diff --git a/cpld/CNT.v b/cpld/CNT.v index 44cef53..ac4a058 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -8,7 +8,7 @@ module CNT( /* Mac PDS bus master control outputs */ output reg AoutOE, output reg nBR_IOB, /* Sound QoS */ - input BACT, input WS, input SndRAMCSWR, output reg QoSReady); + input BACT, input WS, input SndRAMCSWR, output reg SndReady); /* E clock synchronization */ reg [1:0] Er; always @(posedge CLK) Er[1:0] <= { Er[0], E }; @@ -56,36 +56,42 @@ module CNT( * 4096 states == 57.516 ms */ reg [11:0] LTimer; reg LTimerTC; + always @(posedge CLK) begin + if (EFall) begin + LTimer <= LTimer+1; + LTimerTC <= LTimer[11:0]==12'hFFE; + end + end + /* Sound QoS trigger * Sound timer counts from 1 to 3 * starting at first sound RAM access. * Period is 28.124 us - 42.240 us */ reg [1:0] STimer; - reg SndSlowEN; + reg SndSlow; always @(posedge CLK) begin if (BACT && SndRAMCSWR) begin STimer <= 1; - SndSlowEN <= 1; + SndSlow <= 1; end else if (STimer==0) begin STimer <= 0; - SndSlowEN <= 0; + SndSlow <= 0; end else if (EFall && TimerTC) begin - LTimer <= LTimer+1; - SndSlowEN <= STimer!=3; + STimer <= LTimer+1; + SndSlow <= STimer!=3; end - LTimerTC <= LTimer[11:0]==12'hFFE; end /* Sound QoS */ reg [6:0] Credits; always @(posedge CLK) begin - if (!SndSlowEN) Credits <= 8; + if (!SndSlow) Credits <= 8; else if (!C8MFall && !WS) Credits <= Credits+1; else if ( C8MFall && !WS) Credits <= Credits; else if (!C8MFall && WS) Credits <= Credits; else if ( C8MFall && WS) Credits <= Credits-1; end - always @(posedge CLK) if (!BACT || !QoSReady) QoSReady <= Credits[6:3]==0; + always @(posedge CLK) if (!BACT || !SndReady) SndReady <= !SndSlow || Credits[6:3]==0; /* Startup sequence state control */ wire ISTC = EFall && TimerTC && LTimerTC; diff --git a/cpld/FSB.v b/cpld/FSB.v index 939bcbf..488caa9 100644 --- a/cpld/FSB.v +++ b/cpld/FSB.v @@ -2,26 +2,29 @@ module FSB( /* MC68HC000 interface */ input FCLK, input nAS, output reg nDTACK, output reg nVPA, /* AS cycle detection */ - output BACT, output reg [3:1] BACTr, output reg WS, + output BACT, output BACTr_out, output reg WS, /* Ready inputs */ input ROMCS, input RAMCS, input RAMReady, input IOPWCS, input IOPWReady, input IOReady, - input QoSReady, + input SndReady, /* Interrupt acknowledge select */ input IACS); /* AS cycle detection */ reg ASrf = 0; + reg [3:1] BACTr; always @(negedge FCLK) begin ASrf <= !nAS; end assign BACT = !nAS || ASrf; // BACT - bus active always @(posedge FCLK) BACTr[3:1] <= { BACTr[2:1], BACT }; + assign BACTr_out = BACTr[1]; always @(posedge FCLK) WS <= BACTr[3:1]==3'b111 && BACT; /* DTACK/VPA control */ - wire Ready = (QoSReady && RAMCS && RAMReady && !IOPWCS) || - ( RAMCS && RAMReady && IOPWCS && IOPWReady) || - (QoSReady && ROMCS) || (IOReady); + wire Ready = SndReady && + (RAMCS && RAMReady && !IOPWCS) || + (RAMCS && RAMReady && IOPWCS && IOPWReady) || + (ROMCS) || (IOReady); always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS); always @(posedge FCLK, posedge nAS) begin if (nAS) nVPA <= 1; diff --git a/cpld/RAM.v b/cpld/RAM.v index 6866b2d..c7dc493 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -3,7 +3,7 @@ module RAM( input CLK, input [21:1] A, input nWE, input nAS, input nLDS, input nUDS, input nDTACK, /* AS cycle detection */ - input BACT, input [3:1] BACTr, + input BACT, input BACTr, /* Select and ready signals */ input RAMCS, input RAMCS0X, input ROMCS, output reg RAMReady, /* Refresh Counter Interface */ @@ -47,7 +47,7 @@ module RAM( assign nROMWE = !(!nAS && !nWE); /* Shared ROM and RAM /OE control */ - always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr[1] && DTACKr)); + always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr && DTACKr)); /* RAM address mux (and ROM address on RA8) */ // RA11 doesn't do anything so both should be identical. @@ -67,7 +67,7 @@ module RAM( assign RA[00] = !RASEL ? A[09] : A[01]; wire RS0toRef = // Refresh during first clock of non-RAM access - (RefReq && BACT && !BACTr[1] && !RAMCS0X) || + (RefReq && BACT && !BACTr && !RAMCS0X) || // Urgent refresh while bus inactive (RefUrg && !BACT) || // Urgent refresh during non-RAM access diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index ebef925..d272528 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -50,7 +50,7 @@ module WarpSE( /* AS cycle detection */ wire BACT; - wire [3:1] BACTr; + wire BACTr; wire WS; /* Refresh request clock */ @@ -132,7 +132,7 @@ module WarpSE( IORDREQ, IOWRREQ, IOL0, IOU0, IOACT, IODONE, IOBERR); - wire QoSReady; + wire SndReady; CNT cnt( /* FSB clock, C8M clock, E clock inputs */ FCLK, C8M, E, @@ -143,7 +143,7 @@ module WarpSE( /* Mac PDS bus master control outputs */ AoutOE, nBR_IOB, /* Sound QoS */ - BACT, WS, SndRAMCSWR, QoSReady); + BACT, WS, SndRAMCSWR, SndReady); FSB fsb( /* MC68HC000 interface */ @@ -154,7 +154,7 @@ module WarpSE( ROMCS4X, RAMCS0X, RAMReady, IOPWCS, IOPWReady, IOReady, - QoSReady, + SndReady, /* Interrupt acknowledge select */ IACS); diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 1ba73c6..7799278 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -3,16 +3,16 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe -intstyle ise -dd _ngo -uc -C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p +C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd Reading NGO file -"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... +"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file -"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... +"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -30,7 +30,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 155620 kilobytes +Total memory usage is 152020 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index a18416b..8cc6f9e 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -931,3 +931,53 @@ xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/Wa xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 85acfed..5c0498c 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -104,14 +104,12 @@ - - diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 98e9504..5952f58 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -76,31 +76,31 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 ram/RASrf - cnt/Er<1> ram/RefDone ram/RS_FSM_FFd4 iobs/IOU1 +PARTITION FB1_1 ram/nRefClkR ram/RS_FSM_FFd4 ram/RASrf nRESout + iobs/Clear1 cnt/LTimer<0> cnt/Er<1> cnt/C8Mr<0> + ALE0S ram/RS_FSM_FFd5 iobs/TS_FSM_FFd1 iobs/IOU1 iobs/IOL1 cnt/TimerTC cnt/Timer<0> cnt/IS_FSM_FFd2 - RefUrg RefReq cnt/Timer<1> cnt/Timer<3> - cnt/Timer<2> ram/RS_FSM_FFd6 -PARTITION FB2_3 ram/DTACKr iobs/IODONEr iobs/IOACTr iobm/VPAr - iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 - iobm/IORDREQr iobm/Er iobm/C8Mr cnt/nIPL2r - cnt/Er<0> iobm/IOS_FSM_FFd2 IOBERR iobm/ES<2> - -PARTITION FB3_1 QoSReady IONPReady iobs/Clear1 ALE0S - iobs/TS_FSM_FFd1 cs/nOverlay cnt/WS<3> cnt/WS<2> - nDTACK_FSB_OBUF cnt/WS<1> ram/RS_FSM_FFd5 iobs/Load1 - EXP10_ IORDREQ iobs/Sent EXP11_ - nROMWE_OBUF EXP12_ -PARTITION FB4_1 EXP13_ nAoutOE_OBUF EXP14_ -PARTITION FB4_5 nDoutOE_OBUF nDinOE_OBUF ram/BACTr N0 - nRESout fsb/ASrf nVPA_FSB_OBUF cnt/WS<0> - cnt/LTimerTC $OpTx$$OpTx$FX_DC$346_INV$533 EXP15_ cnt/LTimer<1> - EXP16_ cnt/LTimer<0> -PARTITION FB5_1 ram/RS_FSM_FFd7 nROMCS_OBUF EXP17_ EXP18_ - nCAS_OBUF nOE_OBUF ram/RASEN EXP19_ - RA_4_OBUF ram/RASEL RA_11_OBUF RA_5_OBUF - ram/RASrr RA_2_OBUF RA_6_OBUF EXP20_ - EXP21_ RAMReady + cnt/Timer<1> cnt/Timer<2> +PARTITION FB2_1 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 ram/DTACKr iobs/IODONEr + iobs/IOACTr iobm/VPAr iobm/IOWRREQr iobm/IOS_FSM_FFd5 + iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 iobm/IORDREQr iobm/Er + iobm/C8Mr cnt/nIPL2r cnt/Er<0> iobm/IOS_FSM_FFd2 + IOBERR iobm/ES<2> +PARTITION FB3_1 fsb/BACTr<2> fsb/BACTr<1> fsb/ASrf cnt/C8Mr<1> + BACTr cnt/LTimerTC cnt/LTimer<11> WS + nDTACK_FSB_OBUF cnt/Credits<6> cnt/Credits<5> cnt/Credits<4> + cnt/Credits<3> cnt/Credits<2> cnt/Credits<0> SndReady + nROMWE_OBUF cnt/Credits<1> +PARTITION FB4_1 IOL0 nAoutOE_OBUF iobs/Load1 cnt/IS_FSM_FFd1 + nDoutOE_OBUF nDinOE_OBUF IORDREQ N0 + iobs/Sent EXP10_ nVPA_FSB_OBUF iobs/IORW1 + iobs/TS_FSM_FFd2 EXP11_ EXP12_ IOU0 + EXP13_ EXP14_ +PARTITION FB5_1 cnt/STimer<1> nROMCS_OBUF EXP15_ EXP16_ + nCAS_OBUF nOE_OBUF EXP17_ cnt/STimer<0> + RA_4_OBUF ram/RS_FSM_FFd3 RA_11_OBUF RA_5_OBUF + IOWRREQ RA_2_OBUF RA_6_OBUF EXP18_ + EXP19_ cnt/SndSlow PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3 iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout @@ -109,11 +109,11 @@ PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd PARTITION FB7_1 cnt/LTimer<9> RA_1_OBUF cnt/LTimer<8> cnt/LTimer<7> RA_7_OBUF RA_0_OBUF cnt/LTimer<6> RA_8_OBUF RA_10_OBUF cnt/LTimer<5> RA_9_OBUF C25MEN_OBUF - cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11> - cnt/LTimer<10> cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP22_ - nRAS_OBUF nRAMLWE_OBUF EXP23_ nRAMUWE_OBUF - IOWRREQ EXP24_ EXP25_ nBERR_FSB_OBUF - EXP26_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 - iobs/IORW1 EXP27_ + cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<1> + cnt/LTimer<10> RefClk +PARTITION FB8_1 ram/RASEN RA_11_OBUF$BUF0 ram/RefReq ram/RASEL + nRAS_OBUF nRAMLWE_OBUF cs/nOverlay nRAMUWE_OBUF + ram/RS_FSM_FFd7 ram/RefUrg IOReady nBERR_FSB_OBUF + ram/RS_FSM_FFd8 ram/RS_FSM_FFd6 nBR_IOB_OBUF RAMReady + EXP20_ ram/RASrr diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 820acb1..bb7a4d2 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Mon Apr 17 02:27:02 2023 +Date Extracted: Sat Jul 15 23:14:49 2023 QF93312* QP100* @@ -90,897 +90,897 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000128 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00001000* +L0000000 00001000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00010000* +L0000128 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0000192 00000000 00000000 00000000 10000000 00000000 00000100 00000000 00000000* L0000256 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* L0000320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0000384 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0000448 00000000 00000000 00100000 00000000 00000000 00100000 00000000 00000000* +L0000448 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0000512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0000576 000000 000000 000000 000000 000000 000000 000000 110000* -L0000624 000000 000000 000000 000000 100000 000100 000000 000000* -L0000672 000000 000000 000000 000000 100000 000000 000000 000000* +L0000576 000000 000000 000000 000000 000000 000000 000000 000000* +L0000624 000000 000000 000000 000001 000000 000100 000000 100000* +L0000672 000000 000000 000000 000000 000000 000000 000000 000000* L0000720 000000 000000 000000 000000 000000 000100 000000 000000* -L0000768 000000 000000 000000 000000 000000 000100 000000 000001* -L0000816 000000 000000 000000 000000 000000 000000 000000 000100* -L0000864 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0000928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0000992 00000000 00000000 00000000 10000000 00000000 00000000 10000000 00010100* -L0001056 00000000 00000000 10000000 00000000 00000000 00000000 10000000 00010100* -L0001120 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00010100* -L0001184 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000100* -L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00100100* -L0001440 000000 000000 000000 000000 000000 000100 000001 000000* -L0001488 000001 000000 000000 000000 000000 000000 000000 000000* -L0001536 000000 000000 000000 000000 000000 000000 000000 000000* -L0001584 000000 000000 000000 000000 000000 000001 000001 000000* -L0001632 000000 000000 000000 000000 000000 000000 000001 100000* -L0001680 000000 000000 000000 000000 000000 001000 000001 000000* -L0001728 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* +L0000768 000000 000000 000000 000000 000000 000100 000000 000000* +L0000816 000000 000000 000000 000000 000000 000000 000000 001000* +L0000864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0000928 00000000 00000000 00100000 10000000 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 00100000 10000000 00000000 00000000 00000000 00000000* +L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 10000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0001248 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001440 000000 000000 000000 000000 000000 000100 000000 000000* +L0001488 000000 000000 000000 000000 000000 000000 000000 000000* +L0001536 000000 000000 000000 000000 000000 000000 000000 100000* +L0001584 000000 000000 000000 000000 000000 000001 000000 000000* +L0001632 000000 000000 000000 000000 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000 000000 001000 000000 000000* +L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001920 00000010 00000000 00000000 00000000 00000000 00010000 00000000 00000100* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0002048 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* +L0002112 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0002304 000000 000000 100000 000000 000010 000000 000000 000001* -L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 101100 000000 000000* -L0002448 000000 000000 000000 000000 000000 100000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000100* -L0002544 000000 000000 000000 000000 000000 000001 000000 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010100* -L0002848 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00010100* -L0002912 00000000 00000000 10000000 00010000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00000000 00010000 01000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0003104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000100* -L0003168 000000 000000 000100 000000 000000 000000 000000 000000* +L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002304 000000 000000 000000 000000 000000 000000 000000 000000* +L0002352 000000 000000 000000 000000 000000 000000 000000 000010* +L0002400 000000 000000 000000 000000 000000 000000 000000 000010* +L0002448 000000 000000 000000 000000 000000 000000 000000 100010* +L0002496 000000 000000 000000 100000 000000 000000 000000 001010* +L0002544 000000 000000 000000 000000 000000 000000 000000 001010* +L0002592 00000000 00000000 10000000 00000000 01000000 00000000 00000000 10001000* +L0002656 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002912 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* L0003216 000000 000000 000000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000100 000000 000001 000000 000000 000000* -L0003312 000000 000000 000100 000000 000000 000000 000000 000000* +L0003264 000000 000000 000000 000000 000000 000000 000000 000000* +L0003312 000000 000000 000000 000000 000000 000000 000000 000000* L0003360 000000 000000 000000 000000 000000 000000 000000 000000* L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01010000* -L0003520 00100000 00000000 00000000 00000000 10000100 00000000 00000000 01011000* -L0003584 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01011000* -L0003648 00000000 00000000 00000000 00000000 10010100 00000000 00000000 01011000* -L0003712 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11011000* -L0003776 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11100000* -L0003840 00000000 00000000 00000000 00000000 10000100 00001000 00000000 11100000* -L0003904 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11100000* -L0003968 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11100000* -L0004032 000000 000000 000000 000000 100011 000000 000000 111011* -L0004080 000000 000000 000000 000000 000001 000000 000000 100000* -L0004128 000000 000000 010000 000000 000001 000000 000000 000001* -L0004176 000000 000000 010000 000000 000001 000000 000000 101111* -L0004224 000000 000000 000000 000000 000001 000000 000000 001111* -L0004272 000000 000000 000100 000000 000001 000000 000000 001111* -L0004320 10000000 00000000 00000000 00001000 00100000 00000000 00000000 00000000* -L0004384 00000000 00000000 00000100 10000000 00000000 00000000 00000000 00000000* -L0004448 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000100* -L0004512 00000000 00000000 00100100 00000000 00000000 00000000 10000000 00000000* -L0004576 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000100* -L0004640 00000000 00000000 10010000 00000000 01000000 00000000 00000000 00000000* -L0004704 00000000 00000000 00010000 00000000 00000000 00000000 00010000 00000100* -L0004768 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0004832 00000000 00000000 00110000 00000000 00000000 00000000 00000100 00000100* -L0004896 000000 000000 000100 000000 000000 000000 000000 000000* -L0004944 000000 000000 000101 000000 000000 000000 000000 001000* -L0004992 000000 000000 000100 000000 000000 000000 000000 001000* -L0005040 000000 000000 000100 000000 000000 000000 000001 000000* -L0005088 000000 000000 000010 000000 000000 000000 000000 000000* -L0005136 000000 000000 100000 000000 000000 000000 000001 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005376 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005632 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 000000* -L0005808 000000 000000 000000 000000 000000 000010 000000 000000* -L0005856 000000 000000 000000 000000 000000 000000 000000 000000* -L0005904 000000 000000 000000 000000 000000 000000 000000 000000* -L0005952 000000 000000 100000 000000 000000 000000 000000 000000* -L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00000010 00000100 00000000 00001000 00000000 00000000 00000000 00000000* -L0006112 00000011 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0006240 00000001 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0006304 00000010 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0006368 00000011 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0006624 000000 000000 000000 000001 000000 000000 000001 000000* -L0006672 000000 000000 000000 000000 000000 000000 000000 000000* -L0006720 000000 000000 000000 000000 000000 000000 000000 000000* -L0006768 000000 000000 000000 000000 000000 000000 000001 010000* -L0006816 000000 000000 000000 000000 000000 000000 000001 000000* -L0006864 000000 000000 000000 000000 000000 000000 000001 000000* -L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0006976 00000000 00000000 00000000 00000000 00010000 00100000 00000000 00010000* -L0007040 00000100 00000000 00000000 00000000 00010000 00000000 00000000 00010000* -L0007104 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00010000* -L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0007232 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00100000* -L0007296 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* -L0007360 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00100000* -L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0007488 000000 000000 000000 000000 000000 000000 000000 001000* -L0007536 000000 000000 000000 000000 000000 000000 000000 001000* -L0007584 000000 000000 000000 000000 010000 000000 000000 000000* -L0007632 000000 000000 000000 000000 001100 000000 000000 001000* -L0007680 000000 000000 000000 000000 011100 000000 000000 001000* -L0007728 000000 000000 000000 000000 011001 000000 000000 001000* -L0007776 00000000 00000000 00000000 00000000 00000000 00100000 00000000 10000000* -L0007840 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000100* -L0007904 00000000 00000000 00000000 00000000 10001000 00000000 01111100 00000000* -L0007968 00011100 00000000 00000000 00000100 00000100 00100000 00000000 00000100* -L0008032 00000100 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0008096 00010000 00000000 00000000 00000000 00000000 01000000 00000000 00001100* -L0008160 00000000 00000000 01001000 10001000 00000000 00000000 00001100 00000000* -L0008224 00000000 00000000 00000000 10000000 00000100 10000000 10001100 00000100* -L0008288 00011100 00000000 00000000 00001000 10001000 00000000 00000000 00000000* -L0008352 000001 000000 000000 100000 100000 000000 000000 000000* -L0008400 000100 000000 000000 000000 100000 000000 000001 100000* -L0008448 000100 000000 000000 000010 000000 010000 100010 100000* -L0008496 000010 000000 000000 000000 000000 010000 100010 000000* -L0008544 000010 000000 000000 000000 000000 010000 000000 000000* -L0008592 000000 000000 000000 000010 000100 000000 000000 000000* -L0008640 00000000 00000000 00000100 00000000 00001100 00000000 00000000 00010000* -L0008704 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0008768 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0009216 000000 000000 000010 000000 100001 000000 000000 001000* +L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003776 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004032 000000 000000 000000 000000 000000 000000 000000 000000* +L0004080 000000 000000 000000 001000 000000 000000 000000 000000* +L0004128 000000 000000 001000 000011 000000 000000 000000 000000* +L0004176 000000 000000 000000 000000 000000 000000 000000 000000* +L0004224 000000 000000 000000 010000 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000 000000 000000 000000 000000* +L0004320 00000000 00000000 00000000 00010000 00000000 00000000 10000000 00000000* +L0004384 00000000 00000000 00000000 01010000 00000000 00000000 00000000 00000000* +L0004448 00000000 00000000 00100100 00010000 00000000 00000000 00000000 00000000* +L0004512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004576 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0004640 00000000 00000000 00000000 00000000 00000000 00001000 00000100 01000000* +L0004704 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0004768 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004896 000000 000000 000000 000000 010000 000000 000000 000000* +L0004944 000000 000000 000000 000000 000000 000000 000000 000000* +L0004992 000000 000000 000000 000000 000000 000000 000000 000000* +L0005040 000000 000000 000000 101100 010000 000000 000000 000000* +L0005088 000000 000000 000000 000000 000000 000000 000000 000000* +L0005136 000000 000000 000000 000000 000000 000000 000000 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005248 00000110 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005312 00000010 00000000 00001000 00000000 00000000 00000100 00000000 00000000* +L0005376 00000011 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0005504 00000001 00000000 00010000 00000000 00001000 00000100 00000000 00000000* +L0005568 00000000 00000000 00000000 00100000 00010000 00100000 00000000 00000000* +L0005632 00000010 00000000 00001000 00000000 00010000 00100000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00100100 00000000 00000000* +L0005760 000000 000000 000100 000000 000000 000000 000000 000000* +L0005808 000000 000000 000000 000000 001010 000100 000000 000000* +L0005856 000000 000000 000100 000000 000000 000000 000000 000000* +L0005904 000000 000000 000010 000000 000000 000100 000000 000000* +L0005952 000000 000000 000000 000000 000000 000100 000000 000000* +L0006000 000000 000000 000001 000000 000000 000000 000000 000000* +L0006048 01000110 00000000 00010000 00000000 00000000 00000000 10000000 00000000* +L0006112 00000011 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0006176 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00010000* +L0006240 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006368 00000011 00000000 00000000 00000000 00010000 00010000 00000100 00000000* +L0006432 00000000 00000000 00010100 00000000 00001000 00000000 00000000 00010000* +L0006496 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0006560 00000000 00000000 00001000 00000000 00010000 00000000 00000000 00000000* +L0006624 000000 000000 000000 000000 000000 000000 000000 000000* +L0006672 000000 000000 000000 000000 000000 001000 100000 000000* +L0006720 000000 000000 000000 000000 001010 000000 000000 000000* +L0006768 000000 000000 000100 000000 000000 000000 000000 000000* +L0006816 000000 000000 000010 000000 000000 000001 000000 000000* +L0006864 000000 000000 000000 000000 000000 000000 000000 000000* +L0006912 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0006976 00000000 00000000 00000000 01000000 00001000 00000000 00000000 00000000* +L0007040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007104 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* +L0007232 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* +L0007296 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* +L0007360 00000000 00000000 00000000 10011100 00000000 00000000 00000000 00000000* +L0007424 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* +L0007488 000000 000000 000000 000000 000000 000000 000000 000000* +L0007536 000000 000000 000000 100100 000000 000000 000000 000000* +L0007584 000000 000000 000000 100100 000000 000000 000000 000010* +L0007632 000000 000000 000000 110111 000000 000000 000000 000010* +L0007680 000000 000000 000000 000111 000000 000000 000000 000010* +L0007728 000000 000000 000000 000111 000000 000000 000000 000010* +L0007776 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008096 00000000 00000000 10000000 00110000 00000000 00000000 00000000 00000100* +L0008160 00010000 00000000 00000000 00010000 00000000 00000000 10000000 00000100* +L0008224 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0008288 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0008352 000000 000000 000000 000000 000000 000000 000000 000000* +L0008400 000000 000001 000000 000000 000000 000000 000000 000100* +L0008448 000000 000001 000000 000000 000000 000000 000000 001100* +L0008496 000000 000000 000000 000000 000000 000000 000000 000000* +L0008544 000000 000000 000000 001000 000000 000000 000000 000000* +L0008592 000000 000000 000000 001000 000000 000000 000000 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009216 000000 000000 001000 000000 001000 000000 000000 000000* L0009264 000000 000000 000000 000000 000000 000000 000000 000000* -L0009312 000000 000000 010000 000000 000000 000000 000000 000000* -L0009360 000000 000000 010000 000000 000001 000000 000000 000000* -L0009408 000000 000000 000000 000000 000001 000000 000000 000000* -L0009456 000000 000000 000000 000000 000100 000000 000000 000000* -L0009504 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0009312 000000 000000 000000 000000 000001 000000 000000 000000* +L0009360 000000 000000 000000 000000 000000 000000 000000 000000* +L0009408 000000 000000 000000 000000 000000 000000 000000 000000* +L0009456 000000 000000 000000 000000 000000 000000 000000 000000* +L0009504 00000000 00000000 00000000 01000000 00000000 00000000 11111100 00000000* +L0009568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009696 00000000 00000000 00010000 00000100 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00010000 10000100 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 00000000 10010000 00100000 00000000 00000000 00000000* -L0009888 00000000 00000000 00000000 10011000 00100000 00000000 00000000 00000000* -L0009952 00000000 00000000 00000000 10001000 00000000 10000000 00000000 00000000* -L0010016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0010080 000000 000000 000000 100000 010000 000000 000000 110000* -L0010128 000000 000000 010000 000010 000000 000000 000000 000000* -L0010176 000000 000000 000000 000010 000000 000000 000000 000000* -L0010224 000000 000000 000000 000010 000000 000000 000000 000000* -L0010272 000000 000000 000000 000010 000000 000000 000000 010000* -L0010320 000000 000000 000100 000010 000000 000000 000000 000100* -L0010368 00000011 00000001 00000011 00000001 00000111 00000011 00000011 00000010* -L0010432 10000011 00000001 00000010 00000001 00000101 00100011 00000011 00000000* -L0010496 10000011 00000011 00000011 00000001 00100101 00000011 00000010 00000011* -L0010560 10000011 00000011 00000010 00000001 00010101 00010011 00000011 00000000* -L0010624 10000011 00000011 00000011 00000011 00000111 00000011 00000011 00000001* -L0010688 00000011 00000011 00000011 00000010 00000111 10000011 00000011 00000011* -L0010752 00000011 00000011 00000010 01000011 00000110 01000011 00000011 00000001* -L0010816 00000011 00000011 00000001 00000000 00000100 11000011 00000011 00000001* -L0010880 00000011 00000011 00000000 00000011 00000111 00000011 00000011 00000010* -L0010944 000000 000000 000000 000000 000011 000000 000000 000000* -L0010992 000000 000000 000000 000000 000001 000000 000000 000000* -L0011040 000000 000000 000000 000000 000001 000000 000001 000000* +L0009696 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010080 000000 000000 000000 000000 000000 000000 000000 000000* +L0010128 000000 000000 000000 000000 000000 000000 100010 000000* +L0010176 000000 000000 000000 000000 000000 000000 000000 000000* +L0010224 000000 000000 000000 000000 000000 000000 000000 000000* +L0010272 000000 000000 000000 000000 000000 000000 000000 000000* +L0010320 000000 000000 000000 000000 000000 000000 000000 000000* +L0010368 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* +L0010432 00000011 00000011 00000011 00000001 00000001 00000011 00000011 00100011* +L0010496 00000011 00000011 00000011 00000011 00000000 00000011 00000010 00100010* +L0010560 00000011 00000011 00000011 00000011 10000001 00001011 00000011 00000011* +L0010624 00000011 00000011 00000011 00000010 00000011 00000111 00000011 10000011* +L0010688 00000001 00000011 00000011 00000010 00000011 00000011 00000011 00000010* +L0010752 00000011 00000011 00000011 00000011 00000100 00000011 00000011 00000011* +L0010816 00000011 00000011 00000001 00000000 00000010 00000011 00000011 00000100* +L0010880 00000011 00000011 00000011 00000010 00100011 00100011 00000011 00000011* +L0010944 000000 000000 000000 000000 000000 000000 000000 000001* +L0010992 000000 000000 000000 000000 000000 000000 000000 000000* +L0011040 000000 000000 000000 000000 000000 000000 000000 000000* L0011088 000000 000000 000000 000000 000000 000000 000000 000000* -L0011136 000000 000000 000000 000000 000000 010000 000000 000000* -L0011184 000000 000000 000000 000000 000000 000000 000000 000000* -L0011232 00000000 00000000 00100000 00000010 00000000 00100000 00100000 00000001* -L0011296 00000000 00000000 00000001 00000010 00000010 00000000 11000100 00000011* -L0011360 00000000 00000000 00000000 00000010 01000010 00000000 01000100 00000000* -L0011424 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* -L0011488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0011552 00000000 00001000 00000000 00000001 00000000 00000000 00000000 00000000* -L0011616 00000000 00000000 00000001 00000000 00000001 00000000 00000100 00000010* -L0011680 00000000 00000000 00000010 00000001 00000011 00000000 10000100 00000010* -L0011744 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000001* -L0011808 000000 000000 000001 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000000 000000 000000 000000 000000* -L0011904 000000 000000 000000 000000 000000 010000 100000 000000* -L0011952 000000 000000 000000 000000 000000 010000 100000 000000* -L0012000 000000 000000 000000 000000 000000 000000 000000 010000* +L0011136 000000 000000 000000 000000 000000 000100 000000 000100* +L0011184 000000 000000 000000 000000 000000 000000 000000 000100* +L0011232 00000100 00000000 00000000 01000001 00000000 00000000 11000000 00100000* +L0011296 00000100 00000000 00000000 00000010 00000010 10000000 00000000 01000000* +L0011360 00000000 00000000 00000000 00000000 00000011 00000000 00000000 01000001* +L0011424 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0011488 00000000 00000000 00000000 00000001 10000000 00000000 00000000 00000000* +L0011552 00000000 00010000 00000000 00000001 00000000 00100000 00000100 00001001* +L0011616 00000000 00000000 00000000 00000000 00000011 00000100 00000000 00001000* +L0011680 00000100 00000000 00000010 00000011 00000001 00000000 00000000 01000011* +L0011744 00001100 00000000 00000000 00000001 00000100 00000000 00000000 00000000* +L0011808 000000 000000 000000 000000 001001 000000 000000 000000* +L0011856 000010 000000 010000 000000 000000 000000 100000 000000* +L0011904 000000 000000 000000 000000 000001 000000 000000 000000* +L0011952 000001 000000 000000 000000 000000 100000 000000 000000* +L0012000 010011 000000 000000 000000 000000 000000 000000 000000* L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00000000 00000000 00000011 00000000 00000011 00000011 00000011 00001010* -L0012160 00000000 00000000 00000000 00000001 00000001 00010011 00000011 00000000* -L0012224 00000001 00000000 00000001 00000000 00000001 00000011 00000010 00000011* -L0012288 00000000 00000000 00000000 00000000 00000001 00000011 00000011 00000000* -L0012352 00000000 00000000 00000011 00000010 00000010 00000011 00000011 00000001* -L0012416 00000011 00000000 00000011 00000010 00000001 00000010 00000011 00000001* -L0012480 00000011 00000001 00000000 00000001 00000010 00000011 00000011 00000000* -L0012544 00000001 00000000 00000000 00000010 00000000 00000010 00000011 00000001* -L0012608 00000001 00000001 00000000 00000001 00000011 00000011 00000011 00000010* +L0012096 00000001 00000000 00010000 00000010 00000010 00000111 00000000 00000011* +L0012160 00000001 00000000 00000001 00000001 00000001 00000111 00000011 00000001* +L0012224 00000000 00000000 00000001 00000011 00000000 00000111 00000000 00000010* +L0012288 00000000 00000000 00001001 00000001 00000001 00000111 00000000 00000011* +L0012352 00000000 00000000 00000001 00000010 00000011 00001111 00000010 00000011* +L0012416 00000000 00000000 00000001 00000000 00000011 00000010 00000010 00000000* +L0012480 00000001 00000001 00010101 00000011 00000000 00100011 00000000 00000011* +L0012544 00000001 00000000 00000000 00000010 00000010 00100010 00000010 00000000* +L0012608 00000001 00000001 00001011 00000010 00000010 00100011 00000011 00000011* L0012672 000000 000000 000000 000000 000000 000000 000000 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000100 000000 000000* L0012768 000000 000000 000000 000000 000000 000000 000000 000000* -L0012816 000000 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000000 000000 000000 000000 000000 000000 000000* +L0012816 000000 000000 000100 000000 000000 000100 000000 000000* +L0012864 000000 000000 000010 000000 000000 000100 000000 000000* L0012912 000000 000000 000000 000000 000000 000000 000000 000000* -L0012960 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000001* -L0013024 00000000 00000000 00000011 00000010 00000010 00000011 00000000 00000010* -L0013088 00000000 00000000 00000010 00000010 00000010 00000001 10000000 00000000* -L0013152 00000000 00000000 00000001 00000000 00000010 00000000 10000000 00000011* -L0013216 00000000 00000000 00000000 00000001 00000001 00000000 10000000 00000010* -L0013280 00000000 00000000 00000000 01000001 00000010 00000000 00000000 00000010* -L0013344 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000001* -L0013408 00000000 00000000 00000011 00000011 00000011 00000001 00000000 00001010* -L0013472 00000000 00000000 00000011 00000000 00000000 00000010 00000100 00000001* -L0013536 000000 000000 000001 000000 000000 000000 000001 000000* -L0013584 000000 000000 001000 000000 000000 000000 001000 000000* -L0013632 000000 000000 000000 000000 000000 000000 000000 000000* -L0013680 000000 000000 000000 000000 000000 000000 000001 000000* -L0013728 000000 000000 010000 000000 000000 000000 000001 000000* -L0013776 000000 000000 010000 000000 000000 000000 000001 000000* -L0013824 00000000 00000000 00000011 00000000 00000011 00000101 00000001 00000010* -L0013888 00000000 00000000 00000100 00000000 00000000 00000111 00000000 00000000* -L0013952 00000000 00000000 00000001 00000000 00000000 00000111 00000010 00000010* -L0014016 00000000 00000000 00010100 00000000 00000001 00000111 00000011 00000000* -L0014080 00000000 00000000 00000000 00000000 00000010 00001110 00000001 00000001* -L0014144 00000001 00000000 00010111 00000010 00000000 00000110 00000001 00000000* -L0014208 00000001 00000000 00000000 00100001 00000010 00100011 00000011 00000000* -L0014272 00000001 00000001 00000000 00000000 00000000 00100010 00000001 00000001* -L0014336 00000001 00000001 00000000 00000001 00000000 00100011 00000001 00000010* -L0014400 000000 000000 000010 000000 000000 000000 000001 000000* -L0014448 000000 100000 000100 000000 000000 000100 000000 000001* -L0014496 000000 000000 011110 000000 000000 000000 000000 000000* -L0014544 000000 000000 000100 000000 000000 000100 000001 000000* -L0014592 000000 000000 010010 000000 000000 000100 000001 000000* -L0014640 000000 000000 000010 000000 000000 000000 000001 000000* -L0014688 00000010 00000000 00110000 00000010 00000000 01000001 00000010 00000001* -L0014752 00000000 00000000 00000011 00000010 00000010 00000000 00000010 00000010* -L0014816 00000000 00000000 00000010 00000000 00000001 00000000 00000000 10000001* -L0014880 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* -L0014944 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000010* -L0015008 00000000 00000000 00000000 00000001 00000011 00000000 00000000 00000011* -L0015072 00000000 00000000 00100011 00000000 00000001 00000000 00000000 00000001* -L0015136 00000000 00000001 00000011 00000001 00000011 00010001 00000000 00000010* -L0015200 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000001* +L0012960 00000000 00000000 01000001 00000001 00100100 00000000 00000000 00000000* +L0013024 00000000 00000000 00001000 00000010 00100110 00000011 00000000 00000010* +L0013088 00000000 00000000 00000000 00000000 00100111 00000001 00000000 00000001* +L0013152 00000000 00000000 00000000 00000010 00100110 00000000 00000000 00000000* +L0013216 00000000 00000000 00000000 00000001 00100100 00000000 00000000 00000000* +L0013280 00000000 00000000 00000000 01000011 10000000 00000000 00000000 00000001* +L0013344 00000000 00000000 00001010 00000000 10000011 00000000 00000000 00000000* +L0013408 00000000 00000000 00000011 00000011 10000001 00000001 00000000 00000011* +L0013472 00000000 00000000 00010000 00000001 10001001 00010010 00000000 00000000* +L0013536 000000 000000 000000 000000 100010 000000 000000 000000* +L0013584 000000 000000 000100 000000 000000 000000 000000 000000* +L0013632 000000 000000 000010 000000 000000 000001 000000 000000* +L0013680 000000 000000 000000 000000 001011 000000 000000 000000* +L0013728 000000 000000 000001 000000 001011 001000 000000 000000* +L0013776 000000 000000 000100 000000 001011 000000 000000 000000* +L0013824 00000000 00000000 00000000 00000010 00100110 00000001 00000000 00000010* +L0013888 00000000 00000000 00100100 00000000 00100100 00000011 00000000 00000000* +L0013952 00000000 00000000 00000001 00000011 00000000 00000011 00000000 00000010* +L0014016 00000000 00000000 00000001 00010001 00101101 00000011 00000000 00000011* +L0014080 00000000 00000000 00000001 00000000 00100110 00000010 00000000 00000010* +L0014144 00000000 00000000 00000101 00110000 00000010 00000010 00000000 00000000* +L0014208 00000000 00000000 00000001 00000011 00000000 00000011 00000000 00000011* +L0014272 00000001 00000001 00000000 01000000 10000010 00000010 00000000 00000000* +L0014336 00000001 00000001 00000011 01000010 00001000 00000011 00000001 00010011* +L0014400 000000 000000 000000 000000 000000 000000 000000 000000* +L0014448 000000 100000 100000 110100 000100 000010 000000 000000* +L0014496 000000 000000 000000 101100 000000 000000 000000 000000* +L0014544 000000 000000 000000 000000 000101 000000 000000 000000* +L0014592 000000 000000 000000 001000 010001 000000 000000 000000* +L0014640 000000 000000 000000 001000 000101 000000 000000 000000* +L0014688 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* +L0014752 00000000 00000000 00000001 00000011 00000011 00000000 00000000 00000011* +L0014816 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00010001* +L0014880 00000000 00000000 00000000 00001000 00000010 00000000 00000000 00000000* +L0014944 00000000 00000000 00000000 00000011 01000001 00000000 00000000 00000001* +L0015008 00000000 00000000 01000000 00000011 00000001 00000000 00000000 00000001* +L0015072 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00010000* +L0015136 00000000 00000001 00000010 00000001 00000001 00000001 00000000 00000011* +L0015200 00000000 00000000 00000000 00010001 00000011 00000000 00000000 00000000* L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 011010 000000 000000 000001 000000 000000* -L0015360 000000 000000 100000 000000 001000 000000 000000 000000* -L0015408 000000 000000 000000 000000 000000 001000 000000 000000* -L0015456 000000 000000 000100 000000 000000 000000 000000 000000* +L0015312 000000 000000 000000 001000 000000 000000 000000 000000* +L0015360 000000 000000 001000 000011 010000 000000 000000 000000* +L0015408 000000 000000 000000 000000 000000 000000 000000 000000* +L0015456 000000 000000 000000 010000 000000 000000 000000 000000* L0015504 000000 000000 000000 000000 000000 000000 000000 000000* -L0015552 00000001 00000000 00000010 00100000 00000111 00000001 00000000 00000010* -L0015616 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000000* -L0015680 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0015744 00000001 00000000 00000000 00000000 00100001 00000001 00000000 00000000* -L0015808 00000001 00000000 00000000 00000000 00001010 00000000 00000000 00000001* -L0015872 00000001 00000000 00000001 00001000 00000000 00000010 00000000 00000000* -L0015936 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0016000 00000011 00000000 00000000 00000000 00000000 00000010 00000000 00000001* -L0016064 00000011 00000000 00000000 00000001 00000000 00000111 00000001 00000010* -L0016128 000000 000000 000000 000000 001000 000000 000000 000000* -L0016176 000000 000000 000000 000100 000000 100000 000000 000000* +L0015552 00000000 00000000 00000000 00000010 00000010 00000001 00000011 00000010* +L0015616 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000000* +L0015680 00000001 00000000 00000000 00000000 00000000 00000001 00000010 00000000* +L0015744 00000001 00000000 00000101 00000001 00000001 00000001 00000011 00000001* +L0015808 00000001 00000000 00000001 00000000 00000010 00000000 00000001 00000000* +L0015872 00000011 00000000 00000011 00000000 00000000 00000010 00000001 00000000* +L0015936 00000000 00000000 00000011 00000011 00000000 00000011 00000011 00000001* +L0016000 00000001 00000000 00000000 00000000 00000010 00000010 00000001 00000000* +L0016064 00000001 00000000 00000011 00000010 00000000 00000111 00000001 00000001* +L0016128 000000 000000 000000 000000 000000 000000 000000 000000* +L0016176 000000 000000 000000 000000 000000 100000 000000 000000* L0016224 000000 000000 000000 000000 000000 100000 000000 000000* -L0016272 000000 000000 000000 000000 010000 100000 000000 000000* -L0016320 000000 000000 000000 000000 000001 000000 000000 000000* +L0016272 000000 000000 000000 000000 000000 100000 000000 000000* +L0016320 000000 000000 000000 000000 000000 000000 000000 000000* L0016368 000000 000000 000000 000000 000000 000000 000000 000000* -L0016416 00000011 01000000 00000001 00000010 00000000 00001000 00000010 00000101* -L0016480 00000001 00000100 00000111 00000011 00000010 00000100 00000000 00000010* -L0016544 00000000 00000000 00100111 00000000 00010101 00000000 10000000 00000011* -L0016608 00000001 00000000 00100101 00000000 00000010 00000000 10000000 00000011* -L0016672 00000001 00000000 00100101 00000001 00000101 00000000 10000000 00000010* -L0016736 00000001 00000000 10010010 10000001 00000010 00000000 00000000 00000011* -L0016800 00000001 00000000 00010011 10011001 10000011 00000000 00100000 00000001* -L0016864 00000011 00000000 00010011 00001001 10001011 00000001 00000001 00000010* -L0016928 00000010 00000000 00010001 10001000 00001011 00000000 00000100 00000001* -L0016992 000000 000000 000100 100000 100000 000000 000001 000000* -L0017040 000000 000000 000100 000000 000000 000000 000000 000000* -L0017088 000000 000000 000100 000000 000000 000000 000000 000000* -L0017136 000000 000000 000101 000010 000000 000000 000001 000000* -L0017184 000000 000000 000000 000000 000100 000000 000001 000000* -L0017232 000000 000000 100000 000000 011000 000000 000001 000000* -L0017280 00000000 00000000 00000010 00000000 00000110 00000000 00000000 00000010* -L0017344 00000000 00000000 00000000 00000000 00000100 00000001 00000000 00000000* -L0017408 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0017472 00000000 00000000 00000000 00000000 00000101 00000001 00000000 00000000* -L0017536 00000000 00000000 00000000 00000000 00000110 00000000 00000000 00000001* -L0017600 00011100 00000000 00000001 00000000 00000100 00000000 00000000 00000000* -L0017664 00000001 00000000 00000100 01000000 00000100 00000001 00000000 00000000* -L0017728 00000001 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0017792 00000001 00000000 00000000 00000001 00000100 00000011 00000001 00000010* -L0017856 000000 000000 000000 000000 000011 000100 000000 000000* -L0017904 000000 000000 000000 000000 000001 000000 000000 000000* -L0017952 000010 000000 000000 000000 000001 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 000000 000000 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00010110 00000000 00000001 00000010 00010000 00000001 00000010 00000001* -L0018208 00000100 00000000 00000011 00000010 00000010 00000000 00000000 00000010* -L0018272 00000100 00000000 00000011 00000000 00000001 00000000 00000000 00000011* -L0018336 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* -L0018400 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000010* -L0018464 00000000 00000000 00000010 00000001 00000010 00000000 00000000 00000001* -L0018528 00000000 00000000 00000011 00000001 00000011 00000000 01000000 00000001* -L0018592 00000100 00000000 00000011 00000001 00000011 00000010 00000001 00000011* -L0018656 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* +L0016416 00000000 01000000 00000001 00000001 00000000 00001000 11100011 00000001* +L0016480 00010000 00000100 00000001 00000011 00000011 00000100 00000000 00000011* +L0016544 00000001 00000000 00000001 00000011 00000001 00000000 00000010 00000011* +L0016608 00000001 00000000 00000000 00000000 00000010 00000000 00000011 00010000* +L0016672 00000001 00000000 00000000 00000011 00000001 00000000 00000001 00010001* +L0016736 10000011 00000000 00000010 00000011 00000011 00000000 00000101 00000001* +L0016800 00000000 00000000 00000010 00000000 00000011 00000000 00000011 00000010* +L0016864 00000001 00000000 00010010 00000001 00000001 00000001 00000001 00000011* +L0016928 00000001 00000000 00000000 00000001 00000011 00000000 00000001 00000010* +L0016992 000000 000000 000000 000000 010000 000000 000000 000000* +L0017040 000000 000000 000000 000000 000000 000000 100000 000000* +L0017088 000000 000000 000000 000000 000000 000000 000000 000000* +L0017136 000000 000000 000000 000000 000000 000000 000000 000000* +L0017184 000000 000000 000000 000000 000000 000000 000000 000000* +L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000000 00000000 00000000 00000010 00001010 00000000 00000000 00000000* +L0017344 00000000 00000000 00010000 00000000 00000000 00000001 00000000 00000000* +L0017408 00000000 00000000 00010000 00000000 00001000 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000001 00001001 00000001 00000000 00000001* +L0017536 00000000 00000000 00010000 00000000 00001010 00000000 00000000 00000000* +L0017600 01000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00100000 01000011 00000000 00000001 00000000 00000001* +L0017728 00000000 00000000 00100000 00000000 00001010 00000000 00000000 00000000* +L0017792 00000001 00000000 00100011 00000010 00001000 00000011 00000001 00000001* +L0017856 000000 000000 001000 000000 000010 000100 000000 000000* +L0017904 000000 000000 001000 000000 000100 000000 000000 000000* +L0017952 000000 000000 000000 000000 000100 000000 000000 000000* +L0018000 000000 000000 001000 000000 000100 000000 000000 000000* +L0018048 000000 000000 001000 000000 000100 000000 000000 000000* +L0018096 000000 000000 001000 000000 000100 000000 000000 000000* +L0018144 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000011* +L0018208 00000000 00000000 00000001 00000010 00000010 00000000 00000000 00000011* +L0018272 00000000 00000000 00000001 00000011 00000001 00000000 00000000 00000001* +L0018336 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* +L0018400 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0018464 00000000 00000000 00000000 00000011 00000011 00000000 00000000 00000001* +L0018528 00010000 00000000 00000000 00000000 00000011 00000000 01000000 00000000* +L0018592 00000000 00000000 00000010 00000001 00000001 00000010 00000000 00000011* +L0018656 00000000 00000000 00000000 00000001 00000011 00000000 00000000 00000010* L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000010 000000 000000 000000 000000 000000 000000 000000* +L0018768 000000 000000 000000 000000 000000 000000 000000 000000* L0018816 000000 000000 000000 000000 000000 000000 000000 000000* L0018864 000000 000000 000000 000000 000000 000000 000000 000000* L0018912 000000 000000 000000 000000 000000 000000 000000 000000* L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000010* -L0019072 00000001 00000000 00000010 00000011 00000010 00000001 00000000 00000000* -L0019136 00000000 00000000 00010000 00000001 00000000 00000010 00000001 00000010* -L0019200 00000010 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0019264 00000001 00000000 00000001 10000110 00000000 00000011 00000000 00000011* -L0019328 00000011 00000000 00000000 10000010 00000000 00000000 00000000 00000010* -L0019392 00000000 00000000 00000000 10001011 00000000 00000011 00000000 00000000* -L0019456 00000001 00000000 00000001 10001000 00000000 01000001 00000000 00000010* -L0019520 00000000 00000000 00000000 10001000 00000001 00000010 00000000 00000000* -L0019584 000000 000000 000000 100000 000000 000000 000000 000000* -L0019632 000000 000000 000000 101010 000000 000000 000000 000010* -L0019680 000000 000000 000000 000010 000000 000000 000000 000000* -L0019728 000000 000000 000000 000010 000000 010000 000000 000000* -L0019776 000000 000000 000000 000010 000000 000000 000000 000000* -L0019824 000000 000000 000000 000010 000000 000000 000000 000000* -L0019872 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000110* -L0019936 00000000 00000000 00010001 00000000 00000000 00000001 00000000 00000000* -L0020000 00000000 00000000 00000001 00000000 00000000 00100000 00000000 00000001* -L0020064 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0020128 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000001* -L0020192 00000000 00000000 00000001 00000000 00000000 01000000 00000000 00000000* -L0020256 00000000 00000000 00000010 00000001 00000000 00000000 00000000 00000000* -L0020320 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000011* -L0020384 00100000 00000000 00000010 00000001 00000001 00000011 00000001 00000010* -L0020448 000000 000000 000001 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 000000 010000 000000 000000* -L0020544 000000 000000 001000 100000 000000 000000 000000 000010* -L0020592 000000 000000 001000 000001 000000 000000 000000 000000* -L0020640 001000 000000 010000 000000 000000 000000 000000 000000* -L0020688 000000 000000 010000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000010 00000000 00000010 10000000 00000010 00000010* -L0020800 00000000 00000000 00000010 00000010 00100010 10000000 00000000 00000010* -L0020864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0020928 00000000 00000000 00000000 00000000 01000001 00000000 00000000 00000000* -L0020992 00000000 00000000 00000000 00000000 01000011 00010000 00000000 00000011* -L0021056 00100000 00000000 00000001 00000000 00000010 00100000 00000000 00000000* -L0021120 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0021184 00000000 00000000 00000010 00000000 01100000 00000000 00000000 00000000* -L0021248 00000001 00000000 00000000 00000001 01100000 00000000 00000001 00000010* -L0021312 000000 000000 000000 000000 001000 001000 000000 000000* -L0021360 000000 000000 000000 000000 000000 000000 000000 000000* -L0021408 000000 000000 000000 000000 010000 100000 000001 000000* -L0021456 000000 000000 000000 000000 011000 100000 000000 000000* -L0021504 000000 000000 000000 000000 000000 000000 000000 000000* -L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000010 00001000 00000000 00000010 00001100 00000000 00001010 00000101* -L0021664 00000000 00000000 00000010 00000010 10001010 01000000 11110110 00000010* -L0021728 00000000 00000000 00000010 00000010 10001010 00001000 01110100 00000010* -L0021792 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000010* -L0021856 00000000 00000000 00000000 00000000 10001001 00000000 00000000 00000010* -L0021920 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000011* -L0021984 00000000 00000000 00000001 01000000 00000110 00100000 00000100 00000000* -L0022048 00000000 00000000 00000000 00000000 00000110 00000000 10000100 00000000* -L0022112 00000000 00000000 00000010 00000000 00000110 00000000 00000000 00000000* -L0022176 000000 000000 000000 000000 000001 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000100 000000 000000* -L0022272 000000 000000 000000 000000 000000 000000 100000 000000* -L0022320 000000 000000 000000 000000 000001 000000 100000 000000* -L0022368 000000 000000 000000 000000 000001 000001 000000 000000* -L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000001 00001011 00000001 00000011 00000011 00000011 00000010* -L0022528 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000010* -L0022592 00000011 00000011 00000011 00000001 00000001 00000011 00000011 00000011* -L0022656 00000011 00000011 00000010 00000001 01000001 00000011 00000011 00000000* -L0022720 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0019008 00000000 00000000 00010000 00000010 00000010 00000000 00000000 00000000* +L0019072 00000000 00000000 00000000 00000011 00000010 00000001 00000000 00000000* +L0019136 00000000 00000000 00000000 00000000 00001000 00000010 00000001 00000000* +L0019200 00000000 00000000 00000100 00000001 00000000 00000000 00000000 00000000* +L0019264 00000000 00000000 00000010 00000010 00000000 00000011 00000000 00000010* +L0019328 00000011 00000000 00000001 00000010 00000000 00000000 00000000 00000010* +L0019392 00000000 00000000 00010000 00000011 00000000 00000011 00000000 00000001* +L0019456 00000001 00000000 00000001 00000000 00000000 00000001 00000000 00000010* +L0019520 00000001 00000000 00000001 00000000 00000000 00000010 00000000 00000011* +L0019584 000000 000000 000000 000000 000000 000000 000000 000000* +L0019632 000000 000000 000000 000010 000000 000000 000000 000000* +L0019680 000000 000000 000000 000000 000000 000000 000000 000000* +L0019728 000000 000000 000000 000000 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000 000000 000000 000000 000000* +L0019824 000000 000000 000000 000000 000000 000000 000000 000000* +L0019872 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000001* +L0019936 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0020000 00000000 00000000 00000000 00000101 00000001 00010000 00000000 00000001* +L0020064 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000001* +L0020128 00000000 00000000 00001000 00000000 00000010 00000000 00000000 00000000* +L0020192 00000000 00000000 00010000 00000010 00000000 00000000 00000000 00000001* +L0020256 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000001* +L0020320 00000000 00000100 00000010 00000000 00000010 00000000 00000000 00000010* +L0020384 00000000 00000000 00010011 00000010 00000001 00000011 00000000 00010011* +L0020448 000000 000000 000100 000000 000000 000000 000000 000100* +L0020496 000000 000000 000000 000000 000000 000000 000000 000000* +L0020544 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000000 000000 000000 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000 000000 000000 000000 000000* +L0020688 000000 000000 000100 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00011001 00000010 00000010 10000000 00000000 00000010* +L0020800 00000000 00000000 00000001 00000010 00000010 10000000 00000000 00000010* +L0020864 00000000 00000000 00000001 00000010 00001000 00000000 00000000 00000000* +L0020928 00000000 00000000 00000100 00000001 00001001 00000000 00000000 00100000* +L0020992 00000000 00000000 00000000 00000000 00001010 00010000 00000000 00000000* +L0021056 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0021120 00000000 00000000 00010000 00000001 00000000 00000000 00000000 00000000* +L0021184 00000000 00000000 00000000 00000000 00001010 00000000 00000000 00000000* +L0021248 00000000 00000000 00000010 00000010 00001000 00000000 00000000 00000001* +L0021312 000000 000000 000000 000000 000010 001000 000000 000000* +L0021360 000000 000000 000000 000001 000100 000000 000000 000000* +L0021408 000000 000000 000000 000000 000100 100000 000000 000000* +L0021456 000000 000000 000100 000000 000100 100000 000000 000000* +L0021504 000000 000000 000000 000000 000100 000000 000000 000000* +L0021552 000000 000000 000000 000000 000100 000000 000000 000000* +L0021600 00000000 00100000 00000001 00000001 00001000 00000000 11110000 00000010* +L0021664 00000000 00000000 00000001 00000010 00001010 01000000 00000000 00000010* +L0021728 00000000 00000000 00000001 10000010 00000010 00001000 00000000 00000010* +L0021792 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000000* +L0021856 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0021920 01010000 00000000 00010000 00000000 00000010 00000000 00000100 00000000* +L0021984 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0022048 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0022112 00000000 00000000 00010000 00000000 00000010 00000000 00000000 00000000* +L0022176 000000 000000 000100 000000 000000 000000 000000 000000* +L0022224 001000 000000 000100 000000 000000 000100 100000 000000* +L0022272 000000 000000 000100 000000 000000 000000 000000 000000* +L0022320 000000 000000 000000 000000 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000 000000 000001 000000 000000* +L0022416 000000 000000 000100 000000 000000 000000 000000 000000* +L0022464 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* +L0022528 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0022592 00000011 00000011 00000011 00000011 00001001 00000011 00000011 00000011* +L0022656 00000011 00000011 00000011 00000011 00000001 00000011 00000011 00000011* +L0022720 00000011 00000011 00010011 00000010 00000011 00000011 00000011 00000011* L0022784 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* -L0022848 00000011 00000011 00000010 00000011 00000010 00001011 00000011 00000001* -L0022912 00000011 00000011 00000011 00000010 00100000 00000011 00000011 00000011* -L0022976 00000011 00000011 00000010 00000011 00000011 00000011 00000011 00000010* +L0022848 00000011 00000011 00000011 00000011 00000000 00001011 00000011 00000011* +L0022912 00000011 00000011 00000011 00000010 00000010 00000011 00000011 00000010* +L0022976 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00001011* L0023040 000000 000000 000000 000000 000000 000000 000000 000000* L0023088 000000 000000 000000 000000 000000 000000 000000 000000* -L0023136 000000 000000 000000 000000 000000 000000 000000 000010* +L0023136 000000 000000 000000 000000 000000 000000 000000 000000* L0023184 000000 000000 000000 000000 000000 000000 000000 000000* L0023232 000000 000000 000000 000000 000000 000000 000000 000000* L0023280 000000 000000 000000 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* -L0023392 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0023456 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0023520 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0023584 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0023648 00000000 00000000 00000000 00000100 10000000 00000000 00000000 00000000* -L0023712 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* -L0023776 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0023840 00000000 00000000 00000000 00000000 10001000 00000000 00000100 00000000* -L0023904 000000 000000 000000 000000 100000 000000 000001 000000* -L0023952 000000 000000 000000 000000 000000 000000 000000 000000* -L0024000 000000 000000 000000 000000 000000 000000 000000 000000* -L0024048 000000 000000 000000 000000 000000 000000 000001 000000* -L0024096 000000 000000 000000 000000 000000 000000 000001 000000* -L0024144 000000 000000 000000 000000 000100 000000 000001 000000* -L0024192 00011000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000100 00000000 00000000 00000000 11111100 00000000* -L0024320 00000000 00000000 00000100 00000000 00000000 00000000 01111100 00000000* -L0024384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000100 00000000 00010000 00000000 00000000 00000000 00001100 00000000* -L0024640 00000000 00000000 00011000 00000000 00000000 00000000 10001100 00000000* -L0024704 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000110 000000 000000 000000 000000 000000* -L0024816 000000 000000 000100 000000 000000 000000 000001 000000* -L0024864 000010 000000 000110 000000 000000 000000 100010 000010* -L0024912 000000 000000 100110 000000 000000 000000 100010 000000* -L0024960 000000 000000 000010 000000 000000 000000 000000 000000* -L0025008 000000 000000 000010 000000 000000 000000 000000 000000* -L0025056 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0025120 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025184 00000100 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0023328 00000100 00000000 00000000 01000000 00000000 00000000 11111000 00000000* +L0023392 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0023648 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0023712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023776 00000100 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0023840 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0023904 000000 000000 000000 000000 000010 000000 000000 000000* +L0023952 000010 000000 000000 000000 000100 000000 100000 000000* +L0024000 000000 000000 000000 000000 000100 000000 000000 000000* +L0024048 000001 000000 000000 000000 000100 000000 000000 000000* +L0024096 000000 000000 000000 000000 000100 000000 000000 000000* +L0024144 000000 000000 000000 000000 000100 000000 000000 000000* +L0024192 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024704 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00001000* +L0024768 000000 000000 000000 000000 001001 000000 000000 000000* +L0024816 000000 000000 000010 000000 000000 000000 000000 000000* +L0024864 000000 000000 000000 000000 000001 000000 000000 000000* +L0024912 000000 000000 000101 000000 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000 000000 000000 000000 000000* +L0025008 000000 000000 000000 100000 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00001000 00000000 10000000 00000000 00000000 00000000* +L0025184 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000010 000000 000010 000000 000000 000000 000000 000010* -L0025728 000000 000000 000000 000000 000000 000000 000000 000000* -L0025776 000000 000000 000000 000000 000010 000000 000000 000000* +L0025376 00000000 00000000 00010000 00000000 00100000 00000000 00000000 00000000* +L0025440 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0025504 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00001000* +L0025568 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 000100 000000 000000 000000 000000 000000* +L0025680 000000 000000 000100 000000 000001 000000 000001 000000* +L0025728 000000 000000 000101 000000 000000 000000 000000 000000* +L0025776 000000 000000 000000 000000 000000 000000 000001 000000* L0025824 000000 000000 000000 000000 000000 000000 000000 000000* -L0025872 000000 000000 000000 000001 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000100* -L0025984 00000000 00000000 00000000 00000111 00000011 00000000 00000011 00000010* -L0026048 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* -L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025872 000000 000000 000100 000000 000000 000000 000001 000000* +L0025920 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025984 00000100 00000000 00010000 00000011 00000011 00000000 00000011 00000010* +L0026048 00000000 00000000 00010000 00000000 00000001 00000000 00000001 00000001* +L0026112 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0026176 00000000 00000000 00000000 00000010 00000011 00001001 00000010 00000010* -L0026240 00000000 00000100 00000000 00000010 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00000010 00000000 00000010 00000100 00000010 00000000* -L0026496 000000 000000 000000 000000 000000 000000 000000 000000* -L0026544 000000 000000 000000 000000 000000 000000 000000 000010* -L0026592 000000 000000 000000 000000 000000 000000 000001 000010* +L0026240 00000000 00000100 00100000 00000010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00100001 00000000 00000000 00000001 00000010 00001010* +L0026432 00000000 00000000 00100010 00000000 00000010 00000100 00000010 00000000* +L0026496 000000 000000 001000 000000 000000 000000 000000 000000* +L0026544 000000 000000 000000 000010 000000 000000 000000 000000* +L0026592 000000 000000 000000 000000 000001 000000 000000 000000* L0026640 000000 000000 000000 000000 000000 000000 000000 000000* L0026688 000000 000000 000000 000000 000000 000000 000000 000000* L0026736 000000 000000 000000 000000 000000 000000 000000 000000* -L0026784 00011000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0026848 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0026912 00000000 00000000 00000000 00000100 00000000 00000100 01111100 00000000* +L0026784 00000100 00000000 00000000 00000000 00000000 00000000 11111000 00000000* +L0026848 00000000 00000000 00000100 00000100 00000000 00000000 00000000 00000000* +L0026912 00000000 00000000 00000100 00000100 00000000 00000100 00000000 00000000* L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027040 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027040 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 000000 000000 000000 000000 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 100010 000000* -L0027504 000000 000000 000000 000000 000000 000000 100010 000000* -L0027552 000000 000000 000000 000001 000000 000000 000000 000000* +L0027408 000000 000000 000000 000000 000000 000000 100010 000000* +L0027456 000000 000000 000000 000000 000000 000000 000000 000000* +L0027504 000000 000000 000000 000000 000000 000000 000000 000000* +L0027552 000000 000000 000000 000000 000000 000000 000000 000000* L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00011000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0027648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* L0027712 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* -L0027840 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000011 00000001 00000000 00000010 00000010* -L0027968 00001100 00000000 00000000 00000010 00000001 00000001 00000010 00010010* -L0028032 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027776 00000000 00000000 00000000 00000000 00000001 00100000 00000001 00000000* +L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000010 00000010* +L0027968 00000000 00000000 00000000 00000010 00000001 01000001 00000010 00000010* +L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0028096 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000000* +L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000000* L0028224 000000 000000 000000 000000 000000 000000 000000 000000* -L0028272 000000 000000 000000 000000 000000 000000 000000 000000* +L0028272 000000 000000 000000 000000 000000 010000 000000 000000* L0028320 000000 000000 000000 000000 000000 000000 000000 000000* L0028368 000000 000000 000000 000000 000000 000000 000000 000000* L0028416 000000 000000 000000 000000 000000 000000 000000 000000* L0028464 000000 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000111 00000100 00000011 10001001 00000011 00000000 00000011 00000010* -L0028576 00000111 00000000 00000011 00000011 00000000 00000000 00000000 00000000* -L0028640 00000111 00000010 00000011 00000001 00000000 00000000 10000010 00000011* -L0028704 00000011 00000010 00000010 00000001 00000001 00000000 10000011 00000000* -L0028768 00000011 00000011 00000011 00000000 00000010 00000001 10000001 00000001* -L0028832 00000011 00000001 00000011 00000000 00000010 00000000 00000001 00000001* -L0028896 00000011 00000000 00000010 00000011 00000010 00000000 00000011 00000001* -L0028960 00000111 00000000 00000010 00000000 00000000 00000000 00000001 00000001* -L0029024 00000011 00000000 00000010 00000011 00000001 00000000 00000100 00000010* -L0029088 000000 000000 000000 000000 000000 000000 000001 000000* -L0029136 000000 000000 000000 000000 000010 000000 000000 000000* -L0029184 000000 000000 000000 000000 000000 000000 000000 000000* -L0029232 000000 000000 000000 000000 000000 000000 000001 000000* -L0029280 000000 000000 000000 000000 000000 000000 000001 000000* -L0029328 000000 000000 000000 000000 000000 000000 000001 000000* -L0029376 00000000 00000001 00000000 10001100 00000000 00000010 00000000 00000000* -L0029440 00000000 00000001 00100000 10000000 00000000 00000001 00000000 01000100* -L0029504 00000000 00000000 00000000 10000000 00100000 00000011 10000000 01001100* -L0029568 00000000 00000001 00000000 10000000 00010000 00110011 10000000 01001100* -L0029632 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01001100* -L0029696 00000000 00000000 00000000 00000100 00000000 10000000 00000000 11000100* -L0029760 00000000 00000011 00000000 00000100 00000000 01000001 00000000 11000100* -L0029824 00000000 00000010 00000000 00000100 00000000 01000011 00000000 11000100* -L0029888 00000000 00000010 00000000 00000100 00000000 00000011 00000100 11000100* -L0029952 000000 000000 000000 000001 000010 000000 000001 000001* -L0030000 000000 000000 000000 000000 000000 000000 000000 000000* -L0030048 000000 000000 000000 000001 000000 000000 000000 000000* -L0030096 000000 000000 000000 000001 000000 000000 000001 000111* -L0030144 000000 000000 000000 000001 000000 010000 000001 010111* -L0030192 000000 000000 000000 000001 000000 000000 000001 000011* -L0030240 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00010000* -L0030304 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0030368 00000000 00000001 00000000 00000100 00000000 00000001 00000000 00000000* -L0030432 10000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0030496 10000000 00000000 00000000 00000000 00000010 00000010 00000000 00000000* -L0030560 00000000 01000010 00000000 00000000 00000000 00000010 00000000 00100000* -L0030624 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00100000* -L0030688 00000000 00000001 00000000 00000000 01000000 10000001 00000000 00100000* -L0030752 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00100000* -L0030816 000000 000000 000000 000000 010000 000000 000000 001000* -L0030864 000000 000000 000000 000001 000001 000000 000000 000000* -L0030912 000000 000000 000000 000000 000000 010000 000000 000000* -L0030960 000001 000000 000000 000000 000000 010000 000000 000000* +L0028512 00000011 00000110 00100011 00000010 00100111 00000000 00000011 00000011* +L0028576 00000011 00000010 00000011 00000011 00100100 00000000 00000000 00000001* +L0028640 00000011 00000010 00000011 00000011 00100100 00000000 00000010 00000011* +L0028704 00000011 00000010 00000011 00000011 00100101 00000000 00000011 00000011* +L0028768 00000011 00000011 00000011 00000000 00100110 00000001 00000001 00000001* +L0028832 00000011 00000001 00000011 00000000 10000010 00000000 00000001 00000001* +L0028896 00000011 00000000 00000011 00000011 10000000 01000000 00000011 00000011* +L0028960 00000011 00000000 00000010 00000000 10000010 00000000 00000001 00000000* +L0029024 00000011 00000000 00000011 00000010 10000001 00000000 00000001 00000011* +L0029088 000000 000000 000000 000000 100000 000000 000000 000000* +L0029136 000000 000000 010000 000000 000010 000000 000001 000000* +L0029184 000000 000000 000000 000000 000000 010000 000000 000000* +L0029232 000000 000000 000000 000000 001011 000000 000001 000000* +L0029280 000000 000000 000000 000000 001011 000000 000000 000000* +L0029328 000000 000000 000000 000000 001011 000000 000001 000000* +L0029376 10000000 00000001 00010000 00000000 00000000 00000010 00000000 00000000* +L0029440 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00010000* +L0029504 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029568 00000000 00000001 00001000 00000000 00000000 00110011 00000000 00000000* +L0029632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0029696 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0029760 00000000 00000011 00010000 00000000 00000000 01000001 00000000 00000000* +L0029824 00000000 00000010 00000000 00000000 00000000 01000011 00000000 00000000* +L0029888 00000000 00000010 00001000 00000000 00000000 00000011 00000000 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000000 000001 000000 000000 000000 000000 100000* +L0030048 000000 000000 000000 000000 000000 000000 000000 000000* +L0030096 000000 000000 000100 000000 000000 000000 000000 000000* +L0030144 000000 000000 000000 000000 000000 010000 000000 001000* +L0030192 000000 000000 000000 000000 000000 000000 000000 000000* +L0030240 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00010000* +L0030304 00000000 00000000 00001000 00000000 00000000 00000001 00000000 00000000* +L0030368 00000010 00000001 00001010 00000000 00000000 00000001 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0030496 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000000* +L0030560 00001000 01000010 00010000 00000000 00000100 00000010 00000000 00000000* +L0030624 00000000 00000000 00001000 00000000 00000100 00000010 00000000 00000000* +L0030688 00000000 00000001 00001000 00000000 00000100 10000001 00000000 00000000* +L0030752 00000000 00000001 00010000 00000000 00000000 00000010 00000000 00000000* +L0030816 000000 000000 000100 000000 000000 000000 000000 000000* +L0030864 000000 000000 000100 000000 000001 000000 000001 000000* +L0030912 000000 000000 000100 000000 000000 010000 000000 100000* +L0030960 000000 000000 000000 000000 000000 010000 000001 000000* L0031008 000000 000000 000000 000000 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031056 000000 000000 000100 000000 000000 000000 000001 000000* +L0031104 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031552 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* -L0031728 000000 000000 000000 000000 000000 000000 000000 000000* +L0031728 000000 000000 000000 000000 100000 000000 000000 000000* L0031776 000000 000000 000000 000000 000000 000000 000000 000000* L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* -L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032032 00000001 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032160 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032288 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032352 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032416 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032480 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032544 000000 000000 000001 000000 000000 000000 000000 000000* +L0031920 000000 000000 000000 000000 000100 000000 000000 000000* +L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000011 00000000* +L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000010 00000000* +L0032160 00000001 00000000 00000000 00000000 00000000 00000000 00000011 00010000* +L0032224 00000001 00000000 00000000 00000000 00000000 00000000 00000001 00010000* +L0032288 00000011 00000000 00000010 00000000 00000000 00000000 00000001 10000000* +L0032352 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000000* +L0032416 00000001 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0032480 00000001 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* -L0032640 000000 000000 001000 000000 000000 000000 000000 000000* -L0032688 000000 000000 001000 000000 000000 000000 000000 000000* -L0032736 000000 000000 010000 000000 000000 000000 000000 000000* -L0032784 000000 000000 010000 000000 000000 000000 000000 000000* +L0032640 000000 000000 000000 000000 000000 000000 000000 000000* +L0032688 000000 000000 000000 000000 000000 000000 000000 000000* +L0032736 000000 000000 000000 100000 000000 000000 000000 000000* +L0032784 000000 000000 000000 100000 000000 000000 000000 000000* L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032896 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0033152 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 000000 000000 000000 000000 000000* +L0033408 000000 000000 000000 100011 000000 000000 000000 000000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000100 000000 010000 000000 000000 000000 100010 000000* -L0033552 000000 000000 010000 000000 000000 000000 000000 000000* +L0033504 000000 000000 000000 000000 100000 000000 000000 000000* +L0033552 000000 000000 000000 000000 000000 000000 000000 000000* L0033600 000000 000000 000000 000000 000000 000000 000000 000000* -L0033648 000000 000000 000100 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000000 00000000 00000000 11111111 00000010* -L0033760 00000001 00000000 00000100 00000000 00000000 00000010 00000000 00000000* -L0033824 00000001 00000000 00100100 00000001 00000000 00000000 10000010 00000000* -L0033888 00000000 00000000 00100100 00000100 00000000 00000000 10000011 00000000* -L0033952 00000000 00000000 10100100 10000100 00000000 00000010 10000001 00000001* -L0034016 00000000 00000000 10010011 10010000 00000000 00000010 00001101 00000001* -L0034080 00000001 00000000 00010010 10011000 00000000 00000000 00000011 00000001* -L0034144 00000000 00000000 00010010 10001000 00000000 00000010 00000001 00000001* -L0034208 00000000 00000001 00011000 10001000 00000000 00000000 00000100 00000000* -L0034272 000000 000000 001100 100000 000010 000000 000001 000000* -L0034320 000100 000000 000100 000010 000000 000000 100010 000000* -L0034368 000000 000000 000100 000010 000000 000000 000001 010000* -L0034416 000000 000000 000100 010010 000000 000000 000001 000000* -L0034464 000000 000000 000000 000010 000000 000000 000001 000000* -L0034512 000000 000000 100010 000010 000000 000000 000001 000000* -L0034560 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0034688 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01000000* -L0034816 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0034880 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0034944 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0035008 00000000 00000000 10000000 00000000 00000000 00100000 00000000 00000000* -L0035072 00000000 00000000 00000000 00000000 00000000 00100100 00000000 10000000* +L0033648 000000 000000 000000 010000 000000 000000 000000 000000* +L0033696 00000000 00000000 00000001 00000010 00100100 00000000 00000011 00000000* +L0033760 00000000 00000000 00000001 01010000 00100100 00000010 00000000 00000001* +L0033824 00000000 00000000 00000001 00000001 00100100 00000000 00000010 00000000* +L0033888 00000000 00000000 00010001 00010010 00100100 00000000 00000011 00010000* +L0033952 00000000 00000000 00010001 00010000 00100100 00000010 00000001 00010000* +L0034016 00000011 00000000 00000001 00010000 10010000 00000010 00000001 00000001* +L0034080 00000001 00000000 00000010 00010001 10000000 00000000 00000011 00000010* +L0034144 00000000 00000000 00000000 00010000 10000000 00000010 00000001 00000000* +L0034208 00000000 00000001 00000001 01000010 10001000 00000000 00000001 00000000* +L0034272 000000 000000 000000 000000 100010 000000 000000 000000* +L0034320 000000 000000 000000 100100 000000 000000 000000 000000* +L0034368 000000 000000 000000 100100 000000 000000 000000 000000* +L0034416 000000 000000 000000 100100 001111 000000 000000 000000* +L0034464 000000 000000 001000 000000 001111 000000 000000 100000* +L0034512 000000 000000 000000 001000 001011 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000100 000000 000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000000* L0035232 000000 000000 000000 000000 000000 000000 000000 000000* -L0035280 000000 000000 000000 000000 000000 000100 000000 000000* -L0035328 000000 000000 000000 000000 000000 000100 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000010* -L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000100 00000000 00000000 01000000 00000000 00010100* -L0035552 00000000 00000000 00100100 00000000 00000000 01000000 10000000 00010100* -L0035616 00000000 00000000 00100100 00000000 00000000 00000000 10000000 00010100* -L0035680 00000000 00000000 00100100 00000000 00000000 00000000 10000000 00010100* -L0035744 00000000 00000000 10010000 00000000 00000000 00010000 00000000 00000100* -L0035808 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000100* -L0035872 00000000 00000000 00010000 00000000 00000000 00000100 00000000 00000100* -L0035936 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000100* -L0036000 000000 000000 000100 000000 000000 000000 000001 000000* -L0036048 000000 000000 000100 000000 000000 001000 000000 000000* -L0036096 000000 000000 000100 000000 000000 000000 000000 000000* -L0036144 000000 000000 000100 000000 000000 000000 000001 000000* -L0036192 000000 000000 000000 000000 000000 000001 000001 000000* -L0036240 000000 000000 100000 000000 000000 000000 000001 000000* -L0036288 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0035280 000000 000000 000000 000000 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000 000000 000000 000000 000000* +L0035376 000000 000000 000000 000011 000000 000000 000000 000000* +L0035424 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 01000000 00100100 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00010000 00100100 00000000 00000000 00010000* +L0035680 00000000 00000000 00000000 00010000 00100100 00000000 00000000 00010000* +L0035744 00000000 00000000 00000000 00010000 10001000 00000000 00000000 00000000* +L0035808 00000000 00000000 00000000 00010000 10000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00000000 00010000 10000000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0036000 000000 000000 000000 000000 100010 000000 000000 000000* +L0036048 000000 000000 000000 100100 000000 000000 000000 000000* +L0036096 000000 000000 000000 100100 000000 000000 000000 000000* +L0036144 000000 000000 000000 100100 001011 000000 000000 000000* +L0036192 000000 000000 000000 000000 001011 000000 000000 000000* +L0036240 000000 000000 000000 000000 001011 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000010 00000000 00100000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000001* L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0036672 00000000 00000000 00100000 00000000 00000000 01000000 00000000 00000000* +L0036736 00000000 00000000 00000000 10001100 00000000 01000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* L0036912 000000 000000 000000 000000 000000 000000 000000 000000* L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000000 000000 000000 000100* +L0037008 000000 000000 000000 000000 000000 000000 000000 000000* L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010100* -L0037280 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00010100* -L0037344 00000000 00000000 00100100 00000100 00000000 00000000 00000000 00010100* -L0037408 00000000 00000000 00100100 10000100 00000000 00000000 00000000 00010100* -L0037472 00000000 00000000 10010000 10010000 00000000 00000000 00000000 00000100* -L0037536 00000000 00000000 00010000 10011000 00010000 00000000 00000000 00000100* -L0037600 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000100* -L0037664 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000100* -L0037728 000000 000000 000100 100000 000000 000000 000000 000000* -L0037776 000000 000000 000100 000010 000000 000000 000000 000000* -L0037824 000000 000000 000101 000010 000000 000000 000000 000000* -L0037872 000000 000000 000100 000010 000000 000000 000000 000000* -L0037920 000000 000000 000000 000010 000000 000000 000000 000000* -L0037968 000000 000000 100000 000010 000000 000000 000000 100000* +L0037152 00000000 00000000 00000000 00000000 00100100 00100000 00000000 00000000* +L0037216 00000000 00000000 00000000 01000000 00100100 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0037344 00000000 00000000 00010000 00010000 00100100 00100000 00000000 00000000* +L0037408 00000000 00000000 00010000 00010000 00100100 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00010000 10000000 01000000 00000000 00000000* +L0037536 00000000 00000000 00000000 00010000 10010000 00000000 00000000 01000000* +L0037600 00000000 00000000 00000000 00010000 10000000 10000000 00000000 00000000* +L0037664 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0037728 000000 000000 000000 000000 100010 000000 000000 000000* +L0037776 000000 000000 000000 100100 000000 000000 000000 000000* +L0037824 000000 000000 000000 100100 000000 010000 000000 000000* +L0037872 000000 000000 000000 100100 001011 010000 000000 000000* +L0037920 000000 000000 000000 000000 001011 010000 000000 000000* +L0037968 000000 000000 000000 000000 001011 000000 000000 000000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0038272 00000000 00000000 00000000 00000110 00000011 00000001 10000010 00000010* -L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* +L0038208 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000010 00100111 00000001 00000010 10000110* +L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00100011* L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000000 00000001 10001010 00000000 00000001 00000010 00000010* -L0038528 00000000 00000000 00000010 10001000 00000010 00000010 00000010 00000000* -L0038592 000000 000000 000000 100000 000000 000000 000001 000000* +L0038464 00000000 00000000 00000001 00000010 10000000 01000001 00000010 00000010* +L0038528 00000000 00000000 00000010 00000000 10000010 00000010 00000010 00100000* +L0038592 000000 000000 000000 000000 100000 000000 000000 001001* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 010000 000000 000000 000000 000000 000000 000000 000000* -L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000010 000000 000000 000000 000000* -L0038832 000000 000000 000000 000010 000000 000000 000001 000000* -L0038880 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0038944 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000011 00000011 00000011 00000011 00000011 10000010 00000011* -L0039072 00000011 00000011 00000011 00000101 00000011 00000011 00000011 00000011* -L0039136 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* -L0039200 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000011 00000011 10001011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000011* -L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000111 00000011* +L0038688 000000 000000 000000 000000 000000 000000 000000 000011* +L0038736 000000 000000 000000 000000 000000 010000 000000 000010* +L0038784 000000 000000 000000 000000 001010 000000 000000 000010* +L0038832 000000 000000 000000 000000 001011 000000 000000 000010* +L0038880 00000011 00000011 00000011 00000011 00100111 00000011 00000011 00000011* +L0038944 00000011 00000011 00000011 00000011 00100111 00000011 00000011 10001011* +L0039008 00000011 00000011 00000011 00000011 00100111 00100011 00000010 00000011* +L0039072 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039136 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039200 00000001 00000011 00000011 00000011 10000011 01000011 00000011 00000011* +L0039264 00000011 00000011 00000011 00000011 10000011 00000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00000011 00010011 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* -L0039504 100000 000000 000000 000010 000100 000000 000000 000000* -L0039552 000000 000000 000000 000010 000000 000000 000000 000000* -L0039600 000000 000000 000000 000010 000000 000000 000001 000000* -L0039648 000000 000000 000000 000000 000000 000000 000001 000000* -L0039696 000000 000000 000001 000000 000000 000000 000000 000000* -L0039744 00000010 00000000 00000011 00000010 00000011 00000111 00000011 00000011* -L0039808 00000000 00000000 00000111 00000011 00010011 00000111 00000011 01000110* -L0039872 00000001 00000000 00000111 00000010 00010011 00000111 10000010 01001111* -L0039936 00000000 00000000 00010101 00000100 00000011 00000111 10000011 01001111* -L0040000 00000000 00000000 00011111 10000111 00000011 00001111 10000011 01001111* -L0040064 00000011 00000000 10010011 10000011 00000011 00000010 00000011 11000111* -L0040128 00000011 00000001 00010011 10001001 00000011 00100011 00000011 11000101* -L0040192 00000001 00000000 00010011 10001011 10000011 00100011 00000011 11000111* -L0040256 00000001 00000001 00010011 10001001 00000011 00100011 00000111 11000111* -L0040320 000000 000000 000100 100000 000000 000000 000001 110001* -L0040368 000000 000000 000100 000010 100000 000100 000000 000000* -L0040416 000000 000000 010100 000010 110000 000000 000000 000000* -L0040464 000000 000000 010100 000010 001100 000100 000001 000111* -L0040512 000000 000000 000000 000010 011100 000100 000001 010111* -L0040560 000000 000000 100100 000010 011001 000000 000001 000111* -L0040608 00000010 00000000 10000011 00000010 00000011 00000001 00000011 00000011* -L0040672 10000000 00000000 00000011 00000010 10001110 00000011 00000010 00000010* -L0040736 10000000 00000000 00100011 00000000 00000101 00000011 00000010 00000011* -L0040800 00000000 00000000 00000001 00000000 00000011 00000011 00000011 00000011* -L0040864 00000000 00000000 00000001 00000001 00000011 00000010 00000001 00000011* -L0040928 00000001 00000000 00100011 00010011 10000011 00000010 00000001 00000011* -L0040992 00000001 00000000 00000011 00010001 10001111 00000011 00000011 00000001* -L0041056 00000001 00000001 00000011 00000001 00001011 00000011 00000001 00000011* -L0041120 00000001 00000001 00000011 00000001 00000011 00010011 00000001 00000011* +L0039504 000000 000000 000000 000000 000000 010000 000000 000000* +L0039552 000000 000000 000000 000000 000000 000000 000000 000000* +L0039600 000000 000000 000000 000000 001011 000000 000000 000000* +L0039648 000000 000000 000000 000000 000001 000000 000000 000000* +L0039696 000000 000000 000000 000000 000000 000000 000000 000000* +L0039744 00000001 00000000 00000001 00000011 00100110 00000011 00000000 00000011* +L0039808 00000001 00000000 00000001 01000011 00100111 00000011 00000011 01100011* +L0039872 00000000 00000000 00000001 00000011 00100111 00000011 00000000 01100011* +L0039936 00000000 00000000 00000001 00010011 01100111 00000011 00000000 00010011* +L0040000 00000000 00000000 00000001 00011011 00100111 00000011 00000010 10010011* +L0040064 00000000 00000000 00000001 10001111 10000011 00000010 00000010 00001001* +L0040128 00000001 00000001 00000011 10001111 10000011 00000011 00000000 10101011* +L0040192 00000001 00000000 00000011 10011111 10000011 00000011 00000010 01000111* +L0040256 00000001 00000001 00000011 10001111 10000011 00000011 00000011 10100111* +L0040320 000000 000000 000000 100011 100000 000000 000000 101001* +L0040368 000000 000000 000000 100100 000000 000000 000000 000000* +L0040416 000000 000000 000000 100100 100000 000000 000000 000000* +L0040464 000000 000000 000000 110111 001011 000000 000000 000100* +L0040512 000000 000000 000000 000111 011011 000000 000000 000100* +L0040560 000000 000000 000000 010111 011011 000000 000000 000100* +L0040608 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000111* +L0040672 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000011* +L0040736 00000000 00000000 00000001 00000011 00000001 00000011 00000000 00001011* +L0040800 00000000 00000000 00010001 00000001 00001011 00000011 00000000 00000011* +L0040864 00000000 00000000 00000001 00000011 00001011 00000010 00000000 00000011* +L0040928 00000000 00000000 00000001 00010011 00000011 00000010 00000000 00000101* +L0040992 00000000 00000000 00000011 00010011 00000011 00000011 00000000 00000011* +L0041056 00000001 00000001 00000010 00000001 00000011 00000011 00000000 00000011* +L0041120 00000001 00000001 00000011 00000011 00000011 00000011 00000001 00000011* L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 010000 000000 000000 000000 000000* -L0041280 000001 000000 000010 000000 000000 000001 000000 000000* -L0041328 000000 000000 000010 000000 000000 000000 000000 000000* -L0041376 000000 000000 000000 000000 000000 001000 000000 001000* -L0041424 000000 000000 000000 000000 000000 000000 000000 001000* -L0041472 00000011 00000000 00000011 00000010 00000011 00000001 00000010 01000011* -L0041536 00000001 00000000 00000011 00000011 00000010 00000001 00000000 00001010* -L0041600 00000000 00000000 00000011 00000000 00000001 00000001 00000000 00000011* -L0041664 00000001 00000000 00000001 00000000 00001011 00000001 00000000 00000011* -L0041728 00000001 00000000 00000001 00000001 10000011 00000000 00000000 00000011* -L0041792 00000001 00000000 00000011 00000001 00000010 00000010 00000000 00000011* -L0041856 00000001 00000000 00000011 00000001 00000011 00000011 00000000 00000001* -L0041920 00000011 00000000 00000011 00000001 00000011 00000011 00000001 00000011* -L0041984 00000011 00000000 00000001 00000001 00000111 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 000000 000000 000000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 000100* -L0042144 000000 000000 000000 000000 000000 000000 000001 000001* -L0042192 000000 000000 000000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000 000000 000000 000000 000000* -L0042288 000001 000000 000000 000000 000000 000000 000000 000000* -L0042336 00000010 00000000 00000011 00001010 00000010 00000001 00010010 00010011* -L0042400 00000000 00000000 00000011 00000010 00010010 00000001 11100100 00000110* -L0042464 10000000 00000000 00000011 00000000 00110001 00000000 01100100 00010111* -L0042528 00000000 00000000 00010001 00000000 00000011 00000001 00000000 00000111* -L0042592 10000000 00000000 00010001 00000000 00000011 00000000 00000000 00010111* -L0042656 00000000 00100000 00000011 00000001 00000010 00000000 00000000 00000001* -L0042720 00000001 00000000 00000011 00000001 00000011 00000001 00000100 00100001* -L0042784 00000001 00000000 00000011 00000101 10000011 00000010 10000101 00000011* -L0042848 00000001 00000000 00000001 00000001 00000011 00000011 00000001 00100011* +L0041232 000000 000000 001000 010000 000000 000000 000000 000100* +L0041280 000000 000000 000000 001000 000000 000000 000000 000010* +L0041328 000000 000000 000000 001000 000000 000000 000000 000011* +L0041376 000000 000000 000000 000000 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000000 00000000 00000001 00000011 00100110 00000001 00000011 00000011* +L0041536 00000000 00000000 00000001 00000011 00100111 00000001 00000000 01000011* +L0041600 00000001 00000000 00000001 00000011 00100101 00000001 00000010 01000011* +L0041664 00000001 00000000 00000001 00000001 01100111 00000001 00000011 00010001* +L0041728 00000001 00000000 00000001 00000011 00100111 00000000 00000001 10010001* +L0041792 00000011 00000000 00000011 00000011 10000011 00000010 00000001 00001001* +L0041856 00000000 00000000 00000011 00000011 10000011 00000011 00000011 10101011* +L0041920 00000001 00000000 00000010 00000001 10000011 00000011 00000001 01000111* +L0041984 00000001 00000000 00000011 00000011 10000011 00000011 00000001 10100111* +L0042048 000000 000000 000000 000000 100000 000000 000000 101001* +L0042096 000000 000000 000000 000000 000000 000000 000000 000000* +L0042144 000000 000000 000000 000000 000000 000000 000000 000000* +L0042192 000000 000000 000000 000000 001011 000000 000000 000100* +L0042240 000000 000000 000000 000000 011011 000000 000000 000100* +L0042288 000000 000000 000000 000000 011011 000000 000000 000100* +L0042336 00000000 00000000 00100001 00000011 00000010 00000001 00000000 00000011* +L0042400 00000000 00000000 00000001 00000010 00000010 00000001 00000000 00100111* +L0042464 00000000 00000000 00000001 00000011 00000001 00000000 00000000 00100001* +L0042528 00000000 00000000 00000001 00000001 00000011 00000001 00000000 00001001* +L0042592 00000000 00000000 00000000 00000001 00000011 00000000 00000000 00000000* +L0042656 00000000 00100000 00000000 00000011 00000011 00000000 00000000 00000001* +L0042720 00000000 00000000 00000000 00000011 00000011 00000001 00000000 00000101* +L0042784 00000000 00000000 00000010 00000001 00001011 00000010 00000000 00000011* +L0042848 00000001 00000000 00000011 00000011 00000011 00000011 00000001 00000011* L0042912 000000 000000 000000 000000 000000 000000 000000 000000* -L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 100000 000000* -L0043056 000000 000000 000000 000000 000100 000000 100000 000000* -L0043104 000000 000000 000000 000000 011100 000000 000000 000000* -L0043152 000000 000000 000000 000000 011001 000000 000000 001000* -L0043200 00000000 00000000 00000011 00000010 00000000 00000001 00000001 00000001* -L0043264 00000000 00000000 00000000 00000010 00000010 00000011 00000011 00000011* -L0043328 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000011* -L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000011 00000000 00000011 00000010 00000000 00000011 00000001 00000001* +L0042960 000000 000000 010000 000000 000100 000000 000000 000000* +L0043008 000000 000000 000000 000000 100100 000000 000000 000100* +L0043056 000000 000000 000000 000000 000100 000000 000000 000000* +L0043104 000000 000000 000000 000000 000100 000000 000000 000011* +L0043152 000000 000000 000000 000000 000100 000000 000000 000010* +L0043200 00000000 00000000 00000010 00000010 00000001 00000000 00000010 00000001* +L0043264 00000000 00000000 00000000 00000000 00000001 00000011 00000010 00000001* +L0043328 00000000 00000010 00000000 00000010 00000011 00000010 00000000 00000001* +L0043392 00000011 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0043456 00000000 00000010 00000010 00000010 00000011 00000010 00000010 00000011* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043776 000000 000000 000000 000000 000000 000000 000000 000000* L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 000000 000000 000000 000000 000000 001000* +L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000011 00000011 00000001 00000010 00000001 00000011* -L0044128 00000000 00000001 00000001 00000001 00000001 00000000 00000001 00000001* -L0044192 00000000 00000001 00000011 00000001 00000001 00000011 00000001 00000011* +L0044064 00000000 00000000 00100011 00000011 00000001 00000000 11111010 00000001* +L0044128 00000000 00000000 00000001 00101001 00000001 00000010 00000000 00000001* +L0044192 00000000 00000000 00000001 00000011 00000001 00000011 00000010 00000011* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000000 00000001 00000000 00000010 00000010 00010011 00000000 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0044320 00000000 00000000 00000010 00000010 00000010 00000011 00000000 00000010* +L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0044640 000000 000000 000000 000000 000000 000100 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 100000* +L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044640 000000 000000 000000 000000 000000 000000 000000 000000* +L0044688 000000 000000 010000 000000 000000 000000 100000 000000* +L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000010* -L0044992 00000000 00000000 00000010 00000010 00000000 00000000 00000001 00000010* -L0045056 00000010 00000000 10000010 00000000 00000000 00000000 00000010 00000010* -L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000010 00000000 00000000 00000010 00000010 00000010 00000001 00000010* +L0044928 00000000 00000000 00010010 00000010 00000000 00000010 00000010 00000000* +L0044992 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* +L0045056 00000000 00000000 00000000 00000001 00000010 00000010 00000000 00000000* +L0045120 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0045184 00000000 00000000 00000010 00000011 00000010 00000010 00000010 00000010* L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045312 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045504 000000 000000 000000 000000 000000 000000 000000 000000* L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 000000 000000 000000 000001 000000* -L0045648 000000 000000 000000 000000 000000 000000 000000 000000* +L0045600 000000 000000 000000 000000 000000 000000 000000 000000* +L0045648 000000 000000 000100 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000000 00000000 00000011 00000000 00000010 00000010 00000000* -L0045856 00000000 00000010 00000000 00000011 00000001 00000000 11111101 00000001* -L0045920 00000000 00000010 00000010 00000001 00000000 00000000 01111110 00000000* -L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000000 00000010 00000010 00000011 00000001 00000010 00000001 00000011* -L0046112 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* -L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 000000 000000 000000* -L0046464 000000 000000 000000 000000 000000 000000 100010 000000* -L0046512 000000 000000 000000 000000 000000 000000 100010 000000* -L0046560 000000 000000 000000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0046720 00000000 00000000 00100000 00000000 00000010 00000010 00000000 00000000* -L0046784 00000000 00000000 00000010 00000010 00000010 00000010 00000000 00000000* -L0046848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* -L0046912 00000010 00000000 00100010 00000000 00000000 00000010 00000010 00000010* -L0046976 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0045792 00000010 00000000 00000011 00000000 00100110 00000000 00000011 00000000* +L0045856 00000000 00000001 00001001 00000000 00000011 00000011 00000011 00000001* +L0045920 00000010 00000000 00001001 00000000 00100110 00000011 00000000 00000010* +L0045984 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0046048 00000010 00000001 00000011 00000010 00000011 00000011 00000010 00000011* +L0046112 00000000 00000000 00011000 00000000 10000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* +L0046368 000000 000000 000100 000000 000000 000000 000000 000000* +L0046416 000000 000000 000100 000000 000000 000000 010000 000000* +L0046464 000000 000000 000100 000000 000000 000000 000000 000000* +L0046512 000000 000000 000000 000000 000001 000000 000000 000000* +L0046560 000000 000000 000000 000000 001010 000000 000000 000000* +L0046608 000000 000000 000100 000000 000001 000000 000000 000000* +L0046656 00000000 00000000 00000010 00000000 00000001 00000010 00000000 00000011* +L0046720 00000000 00000010 00000000 00000110 00000001 00010000 00000010 00000001* +L0046784 00000010 00000011 00000000 00000010 00000011 00000000 00000001 00000001* +L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0046912 00000010 00000011 00000010 00000000 00000011 00000000 00000000 00000011* +L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047104 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0047232 000000 000000 001000 000000 000000 000000 000000 000000* -L0047280 000000 000000 000000 000000 000000 000000 000000 000001* -L0047328 010000 000000 000000 000000 000000 000000 000001 000000* -L0047376 000000 000000 100000 000000 000000 000000 000000 000000* -L0047424 000000 000000 100000 000000 000000 000000 000000 000000* -L0047472 000000 000000 100000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000010 00000000 00000000 01000000 00000010* -L0047584 00000000 00000001 00000000 00000000 00000000 00000000 10000100 10000000* -L0047648 00000000 00000001 00000000 00000010 00000000 00000010 00000100 10000000* -L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000001 00000010 00000000 00000010 00000010 00000000 00000010* -L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 001000 000000 000000* -L0048144 000000 000000 000000 000000 000000 001000 000000 000000* -L0048192 000000 000000 000000 000000 000000 000000 100000 000000* -L0048240 000000 000000 000000 000000 000000 001000 100000 000000* -L0048288 000000 000000 000000 000000 000000 001000 000000 000000* -L0048336 000000 000000 000000 000000 000000 001000 000000 000000* -L0048384 00000010 00000010 00000010 00000001 00000000 00000000 00000011 00110000* -L0048448 00000000 00000001 10001100 00000001 00000000 00000011 00000011 00010110* -L0048512 00000010 00000001 00000100 00000010 00000000 00000011 10000000 00010100* -L0048576 00000001 00000000 00100100 00000100 00000001 00001000 10000000 00010101* -L0048640 00000010 00000000 00100110 10000111 00000010 00000110 10000011 00010110* -L0048704 00000000 00000000 10010100 10000000 00000000 00000000 00000000 00100100* -L0048768 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00100100* -L0048832 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00100100* -L0048896 00000000 00000000 00010000 10001000 00000000 00000000 00000100 00100100* -L0048960 000000 000000 000100 100000 000000 000000 000001 001000* -L0049008 000000 000000 000100 000010 010000 000000 000000 010000* -L0049056 000000 000000 000100 000010 000000 000000 000000 000000* -L0049104 000000 000000 000100 000010 000000 000000 000001 000000* -L0049152 000000 000000 000000 000010 000000 000100 000001 001000* -L0049200 000000 000000 100000 000010 000000 000000 000001 001000* -L0049248 00000000 00000000 00000011 00000001 00000000 10000000 00000010 00000001* -L0049312 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000000* -L0049376 00000000 00000001 00000001 00000011 00000000 00000001 00000010 00000001* +L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0047232 000000 000000 000000 000000 000000 000000 000000 000000* +L0047280 000100 000000 000000 000000 000000 000000 000000 000000* +L0047328 000000 000000 000000 000000 000000 000000 000000 000000* +L0047376 000000 000000 000000 000000 000000 000000 000000 000100* +L0047424 000000 000000 000000 000000 000000 000000 000000 000000* +L0047472 000000 000000 000000 000000 000000 000000 000000 000000* +L0047520 00000000 00000000 00000010 00000010 00000001 00000000 00000000 00000001* +L0047584 00000000 00000001 00000000 00000000 00000001 00000001 00000000 01000001* +L0047648 00000001 00000001 00000010 00000001 00000001 00000011 00000000 01000001* +L0047712 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0047776 00000001 00000001 00000000 00000011 00000011 00000011 00000010 10000001* +L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0048096 000000 000000 000000 000000 000000 000000 000000 101001* +L0048144 000000 000000 000000 000000 000000 000000 000000 000000* +L0048192 000000 000000 000000 000000 000000 000000 000000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* +L0048288 000000 000000 000000 000000 010000 000000 000000 000100* +L0048336 000000 000000 000000 000000 010000 000000 000000 000100* +L0048384 00000001 00000010 00000011 00000000 00100101 00000000 00000010 00000011* +L0048448 00000000 00000001 00000001 01000000 00100101 00000000 00000010 00000011* +L0048512 00000001 00000011 00000000 00000000 00100101 00000011 00000000 00000011* +L0048576 00000000 00000000 00000000 00110000 00101100 00001000 00000000 00110000* +L0048640 00000011 00000011 00010011 00010010 00101111 00000111 00000010 00010011* +L0048704 00000000 00000000 00000100 00000000 10000000 00000000 00000000 00000000* +L0048768 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0048832 00000000 00000000 00000000 00010000 10001000 00000000 00000000 00000000* +L0048896 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0048960 000000 000000 000000 000000 100010 000000 000000 000000* +L0049008 000000 000000 000000 100100 010100 000000 000000 010000* +L0049056 000000 000000 000000 100100 000100 000000 000000 000000* +L0049104 000000 000000 000000 100100 001111 000000 000000 000000* +L0049152 000000 000000 000000 000000 001111 000100 000000 000000* +L0049200 000000 000000 000000 000000 001111 000000 000000 000000* +L0049248 00000000 00000000 00000001 00000001 00000011 10000000 00000001 00000001* +L0049312 00000000 00000000 00000000 00000010 00000001 00000000 00000000 00000000* +L0049376 00000000 00000001 00010001 00000011 00000010 00000010 00000001 00000001* L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000001 00000001 00000010 00000010 00000000 00010001 00000000 01001010* +L0049504 00000001 00000001 00000010 00000010 00000000 00010010 00000000 00000000* L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049696 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0049760 00000000 00000000 00000000 11001100 00000000 00000000 00000000 00000000* L0049824 000000 000000 000000 000000 000000 001000 000000 000000* -L0049872 000000 000001 000000 010000 000000 000000 000000 000000* -L0049920 000000 000001 000000 010000 000000 100000 000000 000000* -L0049968 000000 000000 000000 010000 000000 000000 000000 000000* +L0049872 000000 000000 000000 010000 000000 000000 000000 000000* +L0049920 000000 000000 000000 000000 000000 100000 000000 000000* +L0049968 000000 000000 000000 000000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000001 000000 000001* -L0050112 00000000 00000010 00000000 00000011 00000001 00000000 00000001 00000001* -L0050176 00000000 00000000 00000000 00000000 00000010 00000000 00000000 10000001* -L0050240 00000000 00000000 00000000 00000011 00000001 00000010 00000001 00000001* -L0050304 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0050368 00000000 00000010 00000000 00000010 00000001 00000010 00000000 00000011* +L0050064 000000 000000 000000 000000 000000 000001 000000 000000* +L0050112 00000000 00000010 00000000 00000001 00000001 00000000 00000000 00000010* +L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050240 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000010* +L0050304 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000000* +L0050368 00000000 00000010 00000000 00000001 00000000 00000010 00000000 00000010* L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 001000 000000 000000 000000 000000 000000 000000 010000* -L0050784 000000 000000 000000 000000 000000 000000 000001 000000* +L0050736 000000 000000 000000 000000 000000 000000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000001 00000000 00000011 00000010 00000010 00000000 00000011 00000000* -L0051040 00000000 00000010 00000000 00000000 00000001 00000000 00000100 00000000* -L0051104 00000000 00000010 00000011 00000010 00000010 00000010 00000111 00000000* -L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 10000000* -L0051232 00000001 00000010 00000001 00000010 00000010 00000010 00000001 00000011* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0050976 00000000 00000000 00000011 01000001 00000000 00000000 00000010 00000010* +L0051040 00000000 00000010 00000001 00000001 00000001 00000000 00000010 00000000* +L0051104 00000000 00000010 00000000 00000001 00000000 00000010 00000000 00000010* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0051232 00000010 00000010 00000011 00000001 00000010 00000011 00000010 00000000* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -990,696 +990,696 @@ L0051648 000000 000000 000000 000000 000000 000000 000000 000000* L0051696 000000 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000001 00000000 00000011 00000000 00000010 00000000* -L0051904 00000000 00000000 00000001 00000000 00000000 00000010 00000010 00000000* -L0051968 00000001 00000000 00000011 00000010 00000000 00000010 00000000 00000001* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000011 00000000 00000001 00000010 00000011 00000010 00000000 00000001* -L0052160 00000000 00000000 00000000 00000000 00001000 00000000 11010000 00000000* -L0052224 00000000 00000000 00000000 00000000 00010000 00000000 00100000 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051840 00000001 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0051904 00000000 00000000 00000010 00000000 00000001 00000110 00000010 00000000* +L0051968 00000000 00000000 00000011 00000010 00000000 00000110 00000001 00000000* +L0052032 00000000 00000000 00000000 00000001 00000000 00000100 00000000 00000001* +L0052096 00000011 00000000 00000011 00000010 00000011 00001010 00000001 00000000* +L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0052352 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 001110 000000 011000 000000* -L0052512 000000 000000 000000 000001 000000 000000 000000 000000* -L0052560 000000 000000 000000 000000 000000 000000 000000 000000* -L0052608 000000 000000 000000 000000 000000 000000 000000 000000* -L0052656 000000 000000 000000 000000 000000 000000 000000 100000* -L0052704 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00100000* -L0052768 00000000 00000010 00000000 00000000 00000000 00000001 00000000 00000000* -L0052832 00000000 00000010 00000010 00000001 00000001 00000011 00000001 00000000* -L0052896 00000000 00000000 00000000 00000100 00010000 00000000 00000000 00000000* -L0052960 00000010 00000010 00000010 10000110 00000000 00000011 00000000 00000010* -L0053024 00000000 00000000 00000000 10000000 00010000 00000000 00100000 00000000* -L0053088 00000000 00000000 00000000 10001000 00000000 00000000 11010000 00000000* -L0053152 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0053280 000000 000000 000000 100000 000000 000000 000000 000000* -L0053328 000000 000000 000000 101010 000000 000000 000000 010000* -L0053376 000000 000000 000000 100010 001110 000000 011000 000000* -L0053424 000000 000000 000000 000010 000010 000000 000000 000000* -L0053472 000000 000000 000000 000010 000000 000000 000000 100000* -L0053520 000000 000000 000000 000010 000000 000000 000000 000000* -L0053568 00000000 00000000 00000000 00000000 00000001 00000000 00000011 00000010* -L0053632 00000000 00000010 00000001 00000011 00000001 00000001 00000011 00000000* -L0053696 00000000 00000010 00000001 00000011 00000000 00000011 00000000 00000010* -L0053760 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000000 00000000 00000000 00000010 00000010 00000011 00000011 00000010* -L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052464 000000 000000 000000 000000 000000 100000 000000 000000* +L0052512 000000 000000 000000 000000 000000 000000 000000 000000* +L0052560 000000 000000 000000 000000 000000 000100 000000 100000* +L0052608 000000 000000 000000 000000 000000 000000 000000 001000* +L0052656 000000 000000 001000 000000 000000 000000 000000 001000* +L0052704 00000000 10000000 00000010 00000001 00000011 10000100 00000000 00000010* +L0052768 00000000 00000010 00000000 00000000 00000000 10001011 00000000 00000010* +L0052832 00000000 00000010 00000000 00000001 00000011 00001011 00000000 00000010* +L0052896 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0052960 00000000 00000010 00000010 00000011 00000011 00010111 00000000 00000010* +L0053024 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0053088 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0053152 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 000000 000000 000000 001000 000000 000000* +L0053328 000000 000000 000000 000000 000000 000000 000000 000000* +L0053376 000000 000000 000000 000000 000000 000000 001000 000000* +L0053424 000000 000000 000000 000000 000000 000000 000000 000000* +L0053472 000000 000000 000000 000000 000000 000000 000000 000000* +L0053520 000000 000000 000000 000000 000000 000001 000000 000000* +L0053568 00000010 00000000 00000010 00000000 01000011 00000000 00000011 00000001* +L0053632 00000000 00000010 00000001 00000000 01000000 00000001 00000010 10001000* +L0053696 00000010 00000000 00000001 00000000 01000010 00000011 00000000 10001000* +L0053760 00000000 00000000 00000000 00000000 01000000 00000000 00000000 10001010* +L0053824 00000010 00000010 00000010 00000011 01000011 00000011 00000010 00001001* +L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0054080 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000100* L0054144 000000 000000 000000 000000 000000 000000 000000 000000* -L0054192 000000 000000 000000 000000 000000 000000 000000 000000* -L0054240 000000 000000 000000 000000 000000 000000 000000 000000* -L0054288 000000 000000 000000 000000 000000 000000 000000 000000* -L0054336 000000 000000 000000 000000 000000 000000 000000 000000* -L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000000 00000000 00000010 00000011 00000000 00000000 00000011 00000000* -L0054496 00000000 00000000 00000000 00000011 00000000 00000010 00000001 00000000* -L0054560 00000000 00000001 00000001 00000000 00000000 00000010 00000010 00000010* -L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000000 00000001 00000010 00000011 00000010 00000010 00000000 00000010* -L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054192 000000 000000 000000 000000 000000 000000 000000 001101* +L0054240 000000 000000 000000 000000 000000 000000 000000 001100* +L0054288 000000 000000 000000 000000 000000 000000 000000 001101* +L0054336 000000 000000 000000 000000 000000 000000 000000 000001* +L0054384 000000 000000 000000 000000 000000 000000 000000 000001* +L0054432 00000000 00010000 00000000 00000000 00000001 00000000 00000011 00000100* +L0054496 00000000 00000000 00000010 00000000 00000011 00000010 00000011 00010100* +L0054560 00000000 00000001 00000010 00000000 00000010 00000010 00000000 00000110* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0054688 00000010 00000001 00000010 00000010 00000010 00000010 00000011 00000010* +L0054752 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000010* L0055104 000000 000000 000000 000000 000000 000000 000000 000000* L0055152 000000 000000 000000 000000 000000 000000 000000 000000* -L0055200 000000 000000 000000 000000 000000 000000 000000 000000* -L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000000 00000011 00000010 00000010 00000000 00000000 00000010* -L0055360 00000000 00000000 00000000 00000010 00000010 00000001 00000000 00000010* -L0055424 00000000 00000000 00000000 00000000 00000000 00000001 00000010 00000010* -L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000011 00000000 00000010 00000010 00000000 00000001 00000011 00000010* -L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 001000* +L0055248 000000 000000 000000 000000 000000 000000 000000 001000* +L0055296 00000100 00000000 00000011 01000010 00000000 00000000 00000000 00000011* +L0055360 00000100 00000000 00000000 00000010 00000001 00100001 00000000 00000011* +L0055424 00000000 00000000 00000000 00000010 00000010 00000001 00000000 00000111* +L0055488 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0055552 00000000 00000000 00000011 00000010 00000011 00000001 00000010 00000111* +L0055616 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00100000* +L0055680 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0055744 00000100 00000000 00000000 00000000 00000000 11000000 00000000 00000000* +L0055808 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055872 000000 000000 000000 000000 000000 000000 000000 000000* -L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 000000 000000 000000* -L0056016 000000 000000 000000 000000 000000 000000 000000 000000* -L0056064 000000 000000 000000 000000 000000 000000 000000 000000* +L0055920 000010 000000 000000 000000 000000 000000 000000 000001* +L0055968 000000 000000 000000 000000 000000 000000 000000 000001* +L0056016 000001 000000 000000 000000 000000 000000 000000 001000* +L0056064 010011 000000 000000 000000 000000 010000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000000 00000000 00000011 00000000 00000000 00000010 00000011* -L0056224 00000000 00000010 00000000 00000001 00000000 00000000 00000000 00000010* -L0056288 00000000 00000000 00000000 00000011 00000000 00000011 00000010 00000010* -L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000000 00000000 00000011 00000001 00000011 00000010 00000011* -L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000001 000000 000000 000000 000000 000000 000000* -L0056832 000000 000001 000000 000000 000000 000000 000000 000000* -L0056880 000000 000000 000000 000000 000000 000000 000000 000000* -L0056928 000000 000000 000000 000000 000000 000000 000000 000000* -L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00000001* -L0057088 10000000 00000000 00000010 00000010 00010100 00000001 00000000 00010001* -L0057152 00000000 00000011 00000011 00000001 00000010 00100001 00000011 00000011* -L0057216 10000000 00000000 00000000 00000000 00000100 00000000 00000000 00010000* -L0057280 00000011 00000011 00000010 00000010 00000000 00000001 00000000 00000011* -L0057344 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00100100* -L0057408 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000100* -L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0057536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000100* -L0057600 000000 000000 000000 000000 000000 000000 000000 001000* -L0057648 000000 000000 000000 000000 000000 010000 000000 000000* -L0057696 000000 000000 000000 000000 000000 000000 000001 000000* -L0057744 000000 000001 000000 000000 000100 000000 000000 000000* -L0057792 000000 000000 000000 000000 011000 000000 000000 011000* -L0057840 000000 000000 000000 000000 000101 000000 000000 000000* -L0057888 00000000 00000000 00000000 00001010 00000100 00000000 00000101 01000000* -L0057952 00000010 00000010 00000010 00000000 00000000 00000001 00000011 00001010* -L0058016 00000010 00000010 00000001 00000011 00000000 00000011 00000010 00000011* -L0058080 00000000 00000000 00000000 10000000 00100000 00000000 00000000 00000000* -L0058144 00000001 00000010 00000010 00000010 00001010 00000011 00000001 00000000* +L0056160 00100000 00000000 00000011 00000010 00000010 00100000 00000010 00000001* +L0056224 00000000 00000010 00000001 00000000 01000011 00000001 00000010 01000000* +L0056288 00000000 00000000 00000000 00000011 01000000 00000011 00000000 11001001* +L0056352 00000000 00000000 00000000 00000000 01000000 00000000 00000000 10001000* +L0056416 00000010 00000000 00000011 00000011 01000010 00000011 00000010 00001011* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* +L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0056736 000000 000000 000000 000000 000000 000000 000000 100000* +L0056784 000000 000001 000000 000000 000000 000000 000000 000100* +L0056832 000000 000001 000000 000000 000000 010000 000000 000100* +L0056880 000000 000000 000000 000000 000000 010000 000000 000100* +L0056928 000000 000000 000000 000000 010000 000000 000000 000100* +L0056976 000000 000000 000000 000000 010000 000000 000000 000100* +L0057024 00000001 00000000 00000010 00000000 00000000 00000100 00000000 00000011* +L0057088 00000001 00000000 00000010 00000001 01000000 00000111 00000000 00000001* +L0057152 00000001 00000011 00000000 00000001 00000011 00000111 00000000 10001001* +L0057216 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00001000* +L0057280 00000001 00000011 00000011 00000001 00000000 00001101 00000011 00000001* +L0057344 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0057408 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0057472 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0057600 000000 000000 000000 000000 000000 000000 000000 000000* +L0057648 000000 000000 000000 000000 000000 000100 000000 001000* +L0057696 000000 000000 000000 000000 000000 000000 000000 000000* +L0057744 000000 000000 000000 000000 000000 000100 000000 000001* +L0057792 000000 000000 000000 000000 000000 000100 000000 000001* +L0057840 000000 000000 000000 000000 000000 000000 000000 000000* +L0057888 00000010 00000100 00100010 00000000 00000000 01000000 00000000 00010010* +L0057952 00000010 00000110 00000000 00000000 00000010 00000000 00000000 00000010* +L0058016 00000000 00000010 00000000 00000000 00000001 00000010 00000000 00000000* +L0058080 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058144 00000010 00000010 00000011 00000000 00000011 00000010 00000010 00000010* L0058208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058272 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058464 000000 000000 000000 000000 001000 000000 000000 000000* -L0058512 000000 000111 000000 000000 000000 000000 000000 000100* -L0058560 000000 000000 000000 000000 000000 010000 000000 000001* -L0058608 000000 000000 000000 000000 010000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000001 000000 000000 000000* -L0058704 000001 000000 000000 000000 000000 000000 000000 000000* -L0058752 01000000 00000000 00000011 00000000 00000000 00000000 00000001 00000000* -L0058816 00000000 00000001 00000000 00000000 00000001 00000000 00000000 00000000* -L0058880 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* +L0058464 000000 000000 000000 000000 000000 000000 000000 000000* +L0058512 000000 000000 010000 000000 000000 000001 000000 000000* +L0058560 000000 000000 000000 000000 000000 000000 000000 100010* +L0058608 000000 000000 000000 000000 000000 001000 000000 100010* +L0058656 000100 000000 000000 000000 000000 000000 000000 000010* +L0058704 000000 000000 000000 000000 000000 000000 000000 000010* +L0058752 00000000 00000000 00000001 00000011 00000010 00000000 00000001 00000011* +L0058816 00000000 00000001 00000000 00000000 00000011 00000000 00000001 00000001* +L0058880 00000000 00000000 00000001 00000011 00000011 00000000 00000001 00000011* L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0059072 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0059136 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000001 00000000 00000000 00000010 00000010 00000000 00000001 00000001* +L0059072 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 000000 000000 000000* -L0059424 010000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000001 000000 000000 000000 000000 000000 000000 000000* +L0059424 000001 000000 000000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000000 000000 000000 000010* -L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000010 00000000 00000001 00000001 00000000 00000000 00000011 00000000* -L0059680 00000010 00000000 00000110 00000010 00000011 00000000 00000011 00010110* -L0059744 00000010 00000000 00100111 00000011 00000000 00000001 00000010 00010100* -L0059808 00000000 00000000 00100100 00000100 00000000 00000000 00000000 00010100* -L0059872 00000010 00000000 00100100 10000100 00000011 00000001 00000011 00010110* -L0059936 10000000 00000000 10010000 10010000 00000000 00000000 00000000 00000100* -L0060000 00000000 00000000 00010000 10011000 00000000 00100000 00000000 00000100* -L0060064 00000000 00000000 00010000 10001000 00000000 00100000 00000000 00000100* -L0060128 00000000 00000000 00010000 10001000 00000000 00100000 00000000 00000100* -L0060192 000000 000000 000100 100000 000000 000000 000000 000000* -L0060240 000000 001000 000100 000010 000000 000000 000000 000000* -L0060288 000000 000000 000100 000010 000000 000000 000000 000000* -L0060336 000000 000000 000100 000010 000000 000000 000000 000000* -L0060384 000000 000000 000000 000010 000000 000000 000000 000000* -L0060432 000000 000000 100000 000010 000000 000000 000000 000000* -L0060480 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000010* -L0060544 00000001 00000000 00000001 00000001 00000101 00000011 00000001 00000001* -L0060608 00000000 00000001 00000010 00000001 00000100 00000011 00000011 00000011* -L0060672 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0060736 00000001 00000001 00000001 00000100 00000101 00000011 10000000 00000000* -L0060800 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0060864 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* -L0060928 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 10001000 10001000 00000000 00000000 00000000* -L0061056 000000 000000 000000 100000 100000 000000 000001 000000* +L0059520 000000 000000 000000 000011 000000 000000 000000 000000* +L0059568 000000 000000 000000 000100 000000 000000 000000 000000* +L0059616 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0059680 00000000 00000000 00000011 01000011 00000010 00000000 00000000 00000010* +L0059744 00000000 00000000 00000010 00000011 00000011 00000001 00000001 00000011* +L0059808 00000000 00000000 00010000 00010000 00000000 00000000 00000000 00010000* +L0059872 00000000 00000000 00010001 00010001 00000000 00000001 00000000 00010001* +L0059936 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0060000 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0060064 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000000* +L0060240 000000 001000 000000 100100 000000 000000 001000 000000* +L0060288 000000 000000 000000 100100 000010 000000 000000 000000* +L0060336 000000 000000 000000 100100 000000 000000 000000 000000* +L0060384 000000 000000 000000 000000 000000 000000 000000 000000* +L0060432 000001 000000 000000 000000 000000 000000 000000 000000* +L0060480 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* +L0060544 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000001* +L0060608 00000000 00000001 00000001 00100011 00000010 00000001 00000001 00000011* +L0060672 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0060736 00000000 00000001 00000000 00000000 00100101 00000001 00000000 00000000* +L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060928 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0060992 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0061056 000000 000000 000000 000000 100000 000000 000000 000000* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* -L0061248 000000 000000 000000 000010 000000 000000 000000 000000* -L0061296 000000 000000 000000 000010 000100 000000 000001 000000* -L0061344 00000000 00000000 00000010 00000011 00000010 00000001 00000000 00000010* -L0061408 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0061472 00000000 00000000 00000010 00000010 00000010 00000000 10000000 00000010* -L0061536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0061600 00000001 00000000 00000000 10000001 01100000 00000001 00000000 00000000* -L0061664 00000000 00000000 00000000 10000000 00000000 00000000 01000000 00010000* -L0061728 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0061248 000000 000000 000000 000000 001010 000000 000000 000000* +L0061296 000000 000000 000000 000000 001011 000000 000000 000000* +L0061344 00000000 00000000 00000011 00000010 00100110 00000000 00000000 00000010* +L0061408 00000001 00000001 00000001 00000000 00100100 00000010 00000000 00000010* +L0061472 00000001 00000001 00000010 00000010 00100110 00000010 00000000 00000010* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00000001 00000000 00000001 00000010 00000001 00000010* +L0061664 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00000000 00100000 00000000 00000100 00000000* +L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 000010 000000 000000 000000 000000* -L0062016 000000 000000 000000 000010 000000 000000 000000 000100* -L0062064 000000 000000 000000 000010 000000 000000 000001 000000* -L0062112 000000 000000 000000 000000 000000 000000 000001 000000* +L0061968 000000 000000 000000 000000 000000 000000 000000 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000000* +L0062064 000000 000000 000000 000000 001011 000000 000000 000000* +L0062112 000000 000000 000000 000000 000001 000000 000000 000000* L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 01000001 00000000 00110000 00010000 00000000 00000000 00000000 00000000* -L0062272 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0062336 00000001 00000000 00000011 00000011 00000010 00000000 00000010 00000001* -L0062400 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0062464 00000011 00000000 00000001 10000100 00000000 00000000 00000000 00000001* -L0062528 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0062592 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 011010 010010 000000 000000 000000 000000* -L0062880 000000 000000 100000 010010 000000 000000 000000 000000* -L0062928 000000 000000 000000 010000 000000 000000 000000 000000* -L0062976 000000 000000 000100 000010 000000 000000 000000 000000* -L0063024 000000 000000 000000 000010 000000 000000 000000 000000* -L0063072 00100000 00000000 00000101 00100001 00000000 00000000 00000001 00000101* -L0063136 00000011 00000000 00000010 00000010 00000010 00000000 00000011 00000010* -L0063200 00000010 00000000 00000111 00000011 00000010 00000010 10000010 00000011* -L0063264 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0063328 00000001 00000000 00010101 00000000 00000000 00000010 10000001 00000000* -L0063392 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00011000 00010000 00000000 00000000 00000100 00000000* -L0063648 000000 000000 000100 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 000100 000000 000000 000000 000000* -L0063744 000000 000000 000000 000000 000100 000000 000000 000000* -L0063792 000000 000000 011010 000000 000000 000000 000000 000000* +L0062208 00000000 00000001 00000001 00000001 00000000 00000000 00000000 00000000* +L0062272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100001* +L0062336 00000000 00000000 00000000 00000001 00000011 00000010 00000001 00000001* +L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0062464 00000001 00000000 00000001 00000001 00000000 00000010 00000000 00000011* +L0062528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0062592 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00010000* +L0062656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0062784 000000 000000 000000 000000 000000 000000 000000 000001* +L0062832 000000 000000 000000 000000 000000 000000 000000 000000* +L0062880 000000 000000 000000 000000 000000 000000 000000 000010* +L0062928 000000 000000 000000 000000 000000 000000 000000 000000* +L0062976 000000 000000 000000 000000 000000 000000 000000 000110* +L0063024 000000 000000 000000 000000 000000 000000 000000 000000* +L0063072 00000000 00000000 00100001 00000000 00000001 00000001 00000001 00100001* +L0063136 00000000 00000000 00000011 00000010 00000010 00000000 00000000 00000011* +L0063200 00000000 00000000 00000010 00000010 00000011 00000001 00000001 00000011* +L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00001001* +L0063392 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00010000* +L0063456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0063584 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 010000 000000 000000 000000 000000 000010* +L0063744 000000 000000 000000 000000 000000 000000 000000 000000* +L0063792 000000 000000 000000 000000 000000 000000 000000 000000* L0063840 000000 000000 000000 000000 000000 000000 000000 000000* -L0063888 000000 000000 010000 000000 000000 000000 000000 000000* -L0063936 00000010 00000000 00000101 00000011 00000000 00000000 00000010 00000110* -L0064000 00000000 00000010 00001010 00000010 00000010 00000001 00000010 00000000* -L0064064 00000010 00000010 00001000 00000001 00000001 00000001 00000000 00000010* -L0064128 00011100 00000000 00001000 00000000 00000000 00000000 00000000 00000001* -L0064192 00000111 00000010 00001011 00000011 00000011 00000001 00000011 00000010* -L0064256 00010000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0063888 000000 000000 000000 000000 000000 000000 000000 000001* +L0063936 00000000 00000000 00000011 00000001 00000001 00000001 00000000 00000000* +L0064000 00000000 00000010 00000011 10000001 00000010 00000000 00000000 00000010* +L0064064 00000001 00000010 00000000 00000001 00000010 00000001 00000000 00000010* +L0064128 00000000 00000000 00100000 00000000 00000000 00000000 11111100 00000000* +L0064192 00000001 00000010 00000011 00000001 00000001 00000001 00000000 00000001* +L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064384 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0064448 00011100 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0064512 000001 000000 000010 000000 000000 000000 000000 000000* -L0064560 000100 000000 000000 000000 000000 000000 000000 000000* -L0064608 000100 000000 000010 000000 100000 000000 000000 000000* -L0064656 000010 000000 000010 000000 000000 000000 000000 000000* -L0064704 000010 000000 000110 000000 000000 000000 000000 000000* -L0064752 000000 000000 000110 000000 000000 000000 000000 000000* -L0064800 00000000 00000000 01001000 00000001 00000000 00000010 00000000 00000001* -L0064864 00000100 00000000 00000000 00000001 00010000 00000011 00000000 00000001* -L0064928 00000000 00000001 00000000 00000000 00010000 00000011 00000000 00000011* -L0064992 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0065056 00000001 00000001 00000000 00000001 00000000 00000011 00000000 00000011* -L0065120 01000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0064512 000000 000000 000000 000000 000000 000000 000000 000000* +L0064560 000000 000000 000000 000000 000000 000000 000000 010000* +L0064608 000000 000000 000000 000000 000000 000000 000001 000000* +L0064656 000000 000000 000000 000000 000000 000000 000000 000000* +L0064704 000000 000000 010000 000000 000000 000000 100011 000000* +L0064752 000000 000000 000000 000000 000000 000000 000000 000000* +L0064800 00000100 00000000 00000011 00000000 00001000 00000001 00000010 00000000* +L0064864 00000100 00000001 00000011 00000000 00001000 00000000 00000010 00000000* +L0064928 00000000 00000001 00000000 00000010 00000001 00000001 00000000 00000000* +L0064992 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0065056 00000000 00000000 00000011 00000010 00000000 00000001 00000010 00000000* +L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000100 000000 000000 000000 000000 000000* -L0065424 000000 000000 100100 000000 000000 000000 000000 000000* +L0065376 000000 000000 000000 000000 000000 000000 000000 000000* +L0065424 000000 000000 000000 000000 000000 000000 000001 000000* L0065472 000000 000000 000000 000000 000000 000000 000000 000000* -L0065520 000000 000000 000100 000000 000100 000000 000000 000000* -L0065568 000000 000000 000000 000000 000100 000000 000000 000000* -L0065616 000000 000000 000000 000000 000001 000000 000000 000000* -L0065664 00000000 00000011 00000010 00000001 00000001 00000000 00000010 00000001* -L0065728 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000001* -L0065792 00000000 00000001 00000011 00000001 00000001 00000001 00000011 00000001* -L0065856 00000000 00000000 00000000 00000000 00000000 00000100 10000000 00000000* -L0065920 00000000 00000011 00000010 00000101 00000000 00000001 10000010 00000001* -L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000000 000000 000000 000000 000000 000000* +L0065616 000000 000000 000000 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000010 00010001 00000001 00000000 00000000 00000000* +L0065728 00000000 00000000 00000000 01010000 00000001 00000001 00000000 00000000* +L0065792 00000000 00000000 00000000 00010001 00000011 00000001 00000010 00000001* +L0065856 00000000 00000000 00000000 00010000 00001000 00000100 00000000 00000000* +L0065920 00000000 00000000 00000010 00010001 00001001 00000001 00000000 00000001* +L0065984 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066112 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0066176 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 100000 000000 000000 000001 000000* -L0066288 000000 000000 000000 000000 000000 000010 000000 000000* -L0066336 000000 000000 000000 000000 000000 000000 000000 000000* -L0066384 000000 000000 000000 000000 000000 000000 000000 000000* -L0066432 000000 000000 000000 000010 000000 000000 000000 000000* -L0066480 000000 000000 000000 000010 000000 000000 000001 000000* +L0066112 00000000 00000000 00000000 00010000 00001000 00000000 00000000 00000000* +L0066176 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0066240 000000 000000 000000 000000 000010 000000 000000 000000* +L0066288 000000 000000 000000 100100 000100 000010 000000 000000* +L0066336 000000 000000 000000 101100 000100 000000 000000 000000* +L0066384 000000 000000 000000 101100 000100 000000 000000 000000* +L0066432 000000 000000 000000 001000 000100 000000 000000 000000* +L0066480 000000 000000 000000 001000 000100 000000 000000 000000* L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0066720 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00000000 10100100 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000010 001000 000000 000000 000000* -L0067200 000000 000000 000000 000010 000000 000000 000000 000000* -L0067248 000000 000000 000000 000010 000000 000000 000001 000000* -L0067296 000000 000000 000000 000000 000000 000000 000001 000000* +L0067152 000000 000000 000000 001000 000000 000000 000000 000000* +L0067200 000000 000000 000000 000000 000000 000000 000000 000000* +L0067248 000000 000000 000000 000000 000000 000000 000000 000000* +L0067296 000000 000000 000000 000000 000000 000000 000000 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* -L0067456 00000010 00000000 00100110 00000010 00000010 00000010 00000010 00000010* -L0067520 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00010100 00000100 00000000 00000000 00000000 00000000* -L0067648 00000000 00000000 00011100 10000100 00000000 00000000 00000000 00000000* -L0067712 00000000 00000000 10010000 10000000 00000000 10000000 00000000 00000000* -L0067776 00000010 00000010 00010010 10001010 00000010 01000010 00000010 00000010* -L0067840 00000000 00000000 00010000 10001000 00000000 11000000 00000000 00000000* -L0067904 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000000* -L0067968 000000 000000 000100 100000 000000 000000 000000 000000* -L0068016 000000 000000 000100 000010 000000 000000 000000 000000* -L0068064 000000 000000 000100 000010 000000 000000 000001 000000* -L0068112 000000 000000 000100 000010 000000 000000 000000 000000* -L0068160 000000 000000 000000 000010 000000 000000 000000 000000* -L0068208 000000 000000 100000 000010 000000 000000 000000 000000* -L0068256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0068384 00000000 00000000 00100000 00000000 00000000 00000000 01111100 00000000* -L0068448 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* -L0068512 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 10000100 00010000 00000000 00000000 00001100 00000000* -L0068704 00000000 00000000 10001100 00000000 00000000 00000000 10001100 00000000* -L0068768 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* -L0068832 000000 000000 101010 000000 000000 000000 000000 000000* -L0068880 000000 000000 000001 000000 000000 010000 000010 000000* -L0068928 000000 000000 010001 010000 000010 000000 100000 000000* -L0068976 000000 000000 010001 010000 000000 000000 100000 000000* -L0069024 000000 000000 000011 000000 000000 010000 000000 000000* -L0069072 000000 000000 000011 000000 000000 000000 000000 000000* +L0067392 00000010 00000010 00000010 00010010 00000010 00000010 00000010 00100010* +L0067456 00000000 00000000 00010010 00010010 00000010 00000010 00000000 00000010* +L0067520 00000000 00000000 00010000 00010000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00001000* +L0067712 00000000 00000000 00100000 00100000 00000000 10000000 00000000 00010000* +L0067776 00000010 00000010 00100010 00000010 00000010 01000010 00000010 00000010* +L0067840 00000000 00000000 00100000 00000000 00000000 11000000 00000000 10110000* +L0067904 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0067968 000000 000000 001000 000000 000000 000000 000000 000000* +L0068016 000000 000000 000000 000000 000000 000000 000000 000010* +L0068064 000000 000000 000000 001000 010000 000000 000000 000000* +L0068112 000000 000000 000000 001000 000000 000000 000000 000000* +L0068160 000000 000000 000000 001000 000000 000000 000000 000000* +L0068208 000000 000000 001000 001000 000000 000000 000000 000001* +L0068256 00000000 00000000 00000000 10101100 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00000000 01101000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00100100 00000000 00000000 01110000* +L0068448 00000000 00000000 00010000 00000000 00000000 00110000 00000000 00000000* +L0068512 00000000 00000000 00000000 00000000 00001000 00000000 00000000 10010000* +L0068576 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0068640 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00001000* +L0068704 00000000 00000000 00000000 00010000 00001000 00000000 00000000 00000000* +L0068768 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0068832 000000 000000 000000 000000 100010 000000 000000 101000* +L0068880 000000 000000 000000 100100 000000 010000 000000 000000* +L0068928 000000 000000 000000 000000 000100 000000 010000 000000* +L0068976 000000 000000 001000 000000 001010 000000 000000 000010* +L0069024 000000 000000 001000 000000 001110 010000 000000 000000* +L0069072 000000 000000 000000 000000 011010 000000 000000 000110* L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069632 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000001 000000 000000 000000 000000* +L0069744 000000 000000 000000 000000 000000 000000 000000 000000* L0069792 000000 000000 000000 000000 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 100001 000000 000000 000000 000000* -L0070608 000000 000000 000000 000010 000000 000000 000000 000000* -L0070656 000000 000000 000000 000011 000000 000000 001000 000000* -L0070704 000000 000001 000000 000011 000000 000000 000000 000000* -L0070752 000000 000000 000000 000011 000000 000000 000000 000000* -L0070800 000000 000000 000000 000011 000000 000000 000000 000000* -L0070848 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070368 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000000 000000 000000 000000 000000 000000* +L0070656 000000 000000 000000 000000 000000 000000 000001 000000* +L0070704 000000 000001 000000 000000 000000 000000 000000 000000* +L0070752 000000 000000 000000 000000 000000 000000 000000 000000* +L0070800 000000 000000 000000 000000 000000 000000 000000 000000* +L0070848 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0071104 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000001 000000* -L0071472 000000 000000 010000 000000 000000 000000 100010 000000* -L0071520 000100 000000 000000 000000 000000 000000 000001 000000* -L0071568 000000 000000 000000 000000 000000 000000 000001 000000* -L0071616 000000 000000 000000 000000 000000 000000 000001 000000* -L0071664 000000 000000 000000 000000 000000 001000 000001 000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071040 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0071296 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000100* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0071424 000000 000000 000000 000000 000001 000000 000000 000001* +L0071472 000000 000000 000000 000000 000000 000000 000000 000001* +L0071520 000000 000000 000000 000000 000000 000000 000000 000001* +L0071568 000000 000000 000000 000000 000000 000000 000000 100001* +L0071616 000000 000000 000000 000000 000000 000000 000000 001001* +L0071664 000000 000000 000000 000000 000000 001000 000000 001001* L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* -L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072288 000000 000000 000000 000000 000000 000000 000000 000000* -L0072336 000100 000000 000000 000000 000000 000000 000000 000000* +L0072032 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0072096 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0072224 00000000 00000000 00010000 00000000 00100100 00000000 00000000 00000000* +L0072288 000000 000000 000100 000000 000000 000000 000000 000000* +L0072336 000000 000000 000000 000000 000001 000000 000000 000000* L0072384 000000 000000 000000 000000 000000 000000 000000 000000* -L0072432 000000 000000 000000 000000 000000 000000 100010 000000* -L0072480 000000 000000 000000 000000 000000 000000 000000 000000* +L0072432 000000 000000 000000 000000 000000 000000 000000 000000* +L0072480 000000 000000 000100 000000 000000 000000 000000 000000* L0072528 000000 000000 000000 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00010000* -L0072640 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* -L0072704 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00010100* -L0072768 00000000 00000000 00000000 00000000 00010100 00001000 00000000 00010100* -L0072832 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00010100* -L0072896 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00100100* -L0072960 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00100100* -L0073024 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00100100* -L0073088 00000000 00000000 00000000 00000000 10000000 00100000 00000000 00100100* -L0073152 000000 000000 000000 000000 100010 000000 000000 001000* -L0073200 000000 000000 000000 000000 000001 000000 000000 000000* -L0073248 000000 000000 000000 100000 000001 000000 000000 000100* +L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072896 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0072960 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0073152 000000 000000 000000 000000 000000 000000 000000 000000* +L0073200 000000 000000 000000 000000 000000 000000 000000 000000* +L0073248 000000 000000 000000 000000 000000 000000 000000 000000* L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000000 000000 000000 000100 000000 001000* -L0073392 000000 000000 000000 000000 000001 000000 000000 001000* -L0073440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0073504 00000000 00000000 00000000 00000000 01100000 10000000 00000000 00000000* +L0073344 000000 000000 000000 000000 000000 000000 000000 000000* +L0073392 000000 000000 000000 000000 000000 000000 000000 000000* +L0073440 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0073760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0073824 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* -L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0074016 000000 000000 000000 000000 000001 000000 000000 000010* -L0074064 000000 000000 000000 000000 000000 000000 000000 000000* -L0074112 000000 000000 000000 000000 000000 000000 000000 000000* -L0074160 000000 000000 000000 000000 000000 100000 000000 100000* +L0073696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073824 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0073888 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0074016 000000 000000 000000 000000 000000 000000 000000 000000* +L0074064 000000 000000 010000 000000 000000 000000 000000 000000* +L0074112 000000 000000 000000 000000 001000 000000 000000 000000* +L0074160 000000 000000 000000 000000 000000 000000 000000 000000* L0074208 000000 000000 000000 000000 000000 000000 000000 000000* L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0074560 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0074496 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000100* +L0074560 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000100* L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074752 00000000 00000000 00000100 10001000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00001000* -L0074880 000000 000000 000000 100000 000000 000000 000001 000000* -L0074928 000000 000000 000000 000000 000000 000000 000000 000000* -L0074976 000000 000001 000000 000000 000000 000000 000000 000000* -L0075024 000000 000000 000000 000000 000000 000000 000000 000000* -L0075072 000000 000000 000000 000010 000000 000000 000000 000000* -L0075120 000000 000000 000000 000010 000000 000000 000001 000000* -L0075168 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075232 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075296 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0075360 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* -L0075488 00000000 00000000 00000000 10000000 00000000 00000000 10000000 00000000* -L0075552 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00001000* -L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0074752 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0074816 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0074880 000000 000000 000000 000000 100000 000000 000000 000000* +L0074928 000000 000000 000000 000000 000000 000000 000000 000010* +L0074976 000000 000001 000000 000000 000000 000000 000000 000010* +L0075024 000000 000000 000000 000000 000000 000000 000000 000010* +L0075072 000000 000000 000000 000000 001010 000000 000000 000010* +L0075120 000000 000000 000000 000000 001011 000000 000001 000010* +L0075168 00010000 00000000 00000000 00000000 00100100 00000000 00000000 01000000* +L0075232 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0075360 00000000 00000000 00100000 00000000 00000000 00000000 11111100 00000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 01000000 10000000 00000000 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* L0075744 000000 000000 000000 000000 000000 000000 000000 000000* -L0075792 000000 000000 000000 000010 000000 000000 000000 000000* -L0075840 000000 000000 000000 000010 000000 000000 000000 000000* -L0075888 000000 000001 000000 000010 000000 000000 000001 000000* -L0075936 000000 000000 000000 000000 000000 000000 000001 000000* +L0075792 100000 000000 000000 000000 000000 000000 000000 000000* +L0075840 000000 000000 000000 000000 000000 000000 000001 000000* +L0075888 000000 000001 000000 000000 001011 000000 000000 000000* +L0075936 000000 000000 010000 000000 000001 000000 100011 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 10000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0076288 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 10000000 00000000 00000000 00000000 00000000 01000000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000001* -L0076656 000001 000000 000000 000000 000000 000000 000000 000000* -L0076704 010001 000000 000000 000000 000000 000000 000001 000000* -L0076752 000001 000000 000000 000000 000000 000000 000000 000000* -L0076800 000001 000000 000000 000000 000000 000000 000000 000100* -L0076848 000001 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00000000 00000000 00000100 00000000 00000000 00000000 10000100 00010100* -L0077024 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00010100* -L0077088 00000000 00000000 00100000 00000100 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00010000 10000000 00000000 00000000 00000000 00000100* -L0077280 00000000 00000000 00010000 10001000 00000000 00000000 00000100 00000100* -L0077344 00000000 00000000 00010000 10001000 00000000 10000000 10000100 00000000* -L0077408 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 000000 100000 000000 000000 000000 000000* -L0077520 000000 000000 000100 000010 000000 000000 100000 000000* -L0077568 000000 000000 000000 000010 000000 000000 000000 000000* -L0077616 000000 000000 000000 000010 000000 000000 000000 000000* -L0077664 000000 000000 000000 000010 000000 000000 000000 000000* -L0077712 000000 000000 100000 000010 000000 000000 000000 000000* -L0077760 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000000* +L0076656 000000 000000 010000 000000 000000 000000 000000 000000* +L0076704 000000 000000 000000 000000 000000 000000 000000 000000* +L0076752 000000 000000 000000 000000 000000 000000 000000 000000* +L0076800 000000 000000 000000 000000 000000 000000 000000 000000* +L0076848 000000 000000 000000 000000 000000 000000 000000 000000* +L0076896 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077472 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000000 000000 000000 000000 000000 000000* +L0077568 000000 000000 000000 000000 000000 000000 000000 000000* +L0077616 000000 000000 000000 000000 000000 000000 000000 000000* +L0077664 000000 000000 000000 000000 000000 000000 000000 000000* +L0077712 000000 000000 000000 000000 000000 000000 000000 000000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077952 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0078208 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 100001 000000 000000 000000 000000 000000* -L0078384 000000 010000 000001 000000 100000 000000 000000 000000* -L0078432 000000 000000 000001 000000 100000 000000 000000 000000* -L0078480 000000 000000 000001 000000 000000 000000 000000 000000* -L0078528 000000 000000 000001 000000 000000 000000 000000 000000* -L0078576 000000 000000 000001 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 000000 000000 000000 000000 000000* -L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000000 000000 000000 000000 010000 000000* -L0079344 000000 000000 000000 000000 000000 000000 000000 000000* -L0079392 000000 000000 000000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000000 000000 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00001000 00000000 00000000 00000000 00000000 00000000 11010000 10000000* +L0078144 00000100 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0078208 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 000000 000000 000000 000000 000000 000000* +L0078384 000000 010000 000000 000000 000000 000000 011000 000000* +L0078432 000001 000000 000000 000000 100000 000000 000000 000000* +L0078480 000000 000000 000000 000011 000000 000000 000000 000000* +L0078528 000000 000000 000000 000100 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 01000000 00100100 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0078816 00000000 00000000 00010000 00010000 00100100 00000000 00000000 00100000* +L0078880 00000000 00000000 00010000 00010000 00100100 00000000 00000000 00000000* +L0078944 00000100 00000000 00000000 00010000 10000000 00000000 00100000 00000000* +L0079008 00000000 00000000 00000000 00010000 10000000 00000000 11010000 00000000* +L0079072 00000000 00000000 00000000 00010000 10000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 100010 000000 000000 000000* +L0079248 000000 000000 000000 100100 000100 000000 000000 010000* +L0079296 000000 000000 000000 100100 000100 000000 011000 000000* +L0079344 000000 000000 000000 100100 001011 000000 000000 000000* +L0079392 000000 000000 000000 000000 001011 000000 000000 100000* +L0079440 000001 000000 000000 000000 001011 000000 000000 000000* +L0079488 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0079616 00000000 00000000 00000000 10000100 00000000 01000000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0079744 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079936 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0079936 00000000 00000000 00010000 00000000 00000000 00000100 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 000000 000001 000000* +L0080064 000000 000000 000000 000000 000000 000000 000000 000100* +L0080112 000000 000000 000011 000011 000000 000000 000000 000000* +L0080160 000000 000000 000000 010000 000000 000000 000000 000000* L0080208 000000 000000 000000 000000 000000 000000 000000 000000* -L0080256 000000 000000 000000 000000 000000 000000 000000 000000* +L0080256 000000 000000 000100 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080416 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0080480 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0080544 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00000000* -L0080736 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* -L0080800 00000000 00000000 00000000 10001000 00000000 00000000 10000100 00000000* -L0080864 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 100000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000010 000000 000000 000000 000000* -L0081024 000000 000000 000000 000010 000000 000000 100000 000000* -L0081072 000000 000000 000000 000010 000000 000000 100000 000000* -L0081120 000000 000000 000001 000010 000000 000000 000000 000000* -L0081168 000000 000000 000000 000010 000000 000000 000000 000000* +L0080352 00000000 00000000 00010000 00010000 00100100 00000000 00000000 00000000* +L0080416 00000000 00000000 00001000 11010100 00100100 00000000 00000000 00000000* +L0080480 00000000 00000000 00001000 00011000 00100100 00000000 00000000 00000000* +L0080544 00000000 00000000 00001000 10111100 00100100 00000000 00000000 00000000* +L0080608 00000000 00000000 00000000 10111100 00100100 00000000 00000000 00000000* +L0080672 00000000 00000000 00010000 10101100 10000000 00000000 00010000 00000000* +L0080736 00000000 00000000 00011100 10001100 10000000 00000000 00000000 00000000* +L0080800 00000000 00000000 00001000 10011100 10000000 00000000 00000000 00000000* +L0080864 00000000 00000000 00011000 10001100 10000000 00000000 00000000 00000000* +L0080928 000000 000000 000100 100011 100000 000000 000000 000000* +L0080976 000000 000000 000100 100100 000000 000000 000000 000000* +L0081024 000000 000000 000111 101111 000000 000000 000000 000000* +L0081072 000000 000000 000111 111111 001011 000000 000000 000000* +L0081120 000000 000000 000011 011111 001011 000000 000000 000000* +L0081168 000000 000000 000101 011111 001011 000000 000000 000000* L0081216 00000000 00000100 00000000 00000000 10000000 00000000 00000000 00000000* -L0081280 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01000000* -L0081344 00000000 00000000 00000000 00000000 00100000 01000000 00000000 00001000* -L0081408 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0081280 00000000 00000100 00010000 00000000 10000000 00000000 00000000 00100000* +L0081344 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00100000* +L0081408 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081664 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 000011 000000 000000 110000* -L0081840 000000 000000 000000 000000 000001 000000 000000 000000* -L0081888 000000 000000 000000 000000 000001 000000 000000 000000* -L0081936 000000 000000 000000 000000 000001 000000 000000 000001* +L0081728 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0081792 000000 000000 000000 100011 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000000 000000 000000* +L0081888 000000 000000 000000 000000 000000 000000 000000 000000* +L0081936 000000 000000 000000 000000 000000 000000 000000 000000* L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000100* -L0082080 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* -L0082144 10000000 00000000 00000000 00000000 00011100 00000000 00000000 00010100* -L0082208 10000000 00000000 00000000 00000000 00011100 00000000 10000000 00010100* -L0082272 10000000 00000000 00010000 00000100 01001100 00000000 10000000 00010100* -L0082336 10000000 00000000 00010000 10000100 01001100 00000000 10000000 00010100* -L0082400 00000000 00000000 00000000 10010000 00100000 00000000 00100000 00100100* -L0082464 00000000 00000000 00000000 10011000 00001100 00000000 00000000 00100100* -L0082528 00000000 00000000 00000000 10001000 00101100 00000000 00000000 00000100* -L0082592 00000000 00000000 00000000 10001000 00101100 00000000 00000100 00000100* -L0082656 000000 000000 000000 100000 001000 000000 000001 000000* -L0082704 000000 000000 000000 000010 000000 000000 000000 000000* -L0082752 000001 000000 000000 010010 010000 000000 000000 000000* -L0082800 000001 000000 000000 000010 011100 000000 000001 000000* -L0082848 000001 000000 000000 000010 011100 000000 000001 000000* -L0082896 000001 000000 000000 000010 011100 000000 000001 000000* +L0082032 000000 000000 000000 010000 000000 000000 000000 000000* +L0082080 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0082144 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0082208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082272 00000000 00000000 00010000 00010000 00000000 00000000 00000000 00010000* +L0082336 00000000 00000000 00010000 00010000 00000000 00000000 00000000 00010000* +L0082400 00000000 00000000 00000000 00010000 00000000 00000000 00100000 00000000* +L0082464 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0082528 00000000 00000000 00000000 01010000 00000100 00000000 00000000 00000000* +L0082592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082656 000000 000000 000000 000000 001001 000000 000000 000000* +L0082704 000000 000000 000000 100100 000000 000000 000000 000000* +L0082752 000000 000000 000000 100100 000000 000000 000000 000000* +L0082800 000000 000000 001000 100100 000000 000000 000000 000000* +L0082848 000000 000000 000000 001000 000000 000000 000000 000000* +L0082896 000000 000000 000000 000000 000000 000000 000000 000000* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083072 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083264 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 010000 000000 000000 000000 000000 000000 000000 000000* +L0083568 000000 000000 000000 000000 000000 000000 000000 000000* L0083616 000000 000000 000000 000000 000000 000000 000000 000000* -L0083664 000000 000000 000000 000000 000000 000000 000000 000000* +L0083664 000000 000000 000000 000000 000000 000000 000001 000000* L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0083872 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083936 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084000 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084064 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0084192 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0083808 00000000 00001000 00100000 00000000 00000000 00000000 00000000 00000000* +L0083872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084064 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0084128 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 000000 000000 000000 000000 000000 000000* -L0084480 000000 000000 000000 000000 000000 000000 000000 000000* +L0084320 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0084384 000000 000000 000000 000000 001001 000000 000000 000000* +L0084432 000000 000000 010000 000000 000000 000000 000000 000000* +L0084480 000000 000000 000000 000000 000001 000000 000001 000000* L0084528 000000 000000 000000 000000 000000 000000 000000 000000* L0084576 000000 000000 000000 000000 000000 000000 000000 000000* L0084624 000000 000000 000000 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0084864 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000000 000000 000000 000000 000000 000000 000000 000001* -L0085344 000000 000000 000000 000000 000000 000000 000000 000000* -L0085392 000000 000000 000000 000000 000000 000000 000000 001000* -L0085440 000000 000000 000000 000000 000000 000000 000000 000000* -L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01010000* -L0085600 00000000 00000000 00001100 00000000 00000000 00000000 00000000 11011100* -L0085664 00000000 00000000 00001100 00000000 00000000 00000000 00000000 01011100* -L0085728 00000000 00000000 00000100 00000000 00000000 00000000 00000000 11011100* -L0085792 00000000 00000000 00001100 10000100 00000000 00000000 00000000 11011100* -L0085856 00000000 00000000 00010000 10000000 00000000 00000000 00000000 11100100* -L0085920 00000000 00000000 00010000 00000000 00000000 00000000 00000000 11101100* -L0085984 00000000 00000000 00011000 00001000 00000000 00000000 00000000 11100100* -L0086048 00000000 00000000 00011000 10000000 00000000 00000000 00000000 11101100* -L0086112 000000 000000 000110 000000 000000 000000 000000 111011* -L0086160 000100 000000 000100 000010 000000 000000 000000 000000* -L0086208 000100 000000 000110 000000 000000 000000 000000 000001* -L0086256 000000 000000 000110 000010 000000 000000 000000 100111* -L0086304 000000 000000 000110 000010 000000 000000 000000 001111* -L0086352 000000 000000 000110 000000 000000 000000 000000 001111* +L0084672 00000000 00000000 00000000 00111000 00000000 00000000 00000000 00000000* +L0084736 00000000 00000000 00001000 00010000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 00001000 00011000 00000000 00000000 00000000 00000000* +L0084864 00000000 00000000 00000000 00101000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 00000000 10101100 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 00010000 10101100 00000000 00000000 00000000 00000000* +L0085056 00000100 00000000 00001100 10001100 00000000 00000000 00000000 00000000* +L0085120 00000000 00000000 00001000 10001100 00000000 00000000 00000000 00000000* +L0085184 00000000 00000000 00010000 10001100 00000000 00000000 00000000 00000000* +L0085248 000000 000000 000100 100011 000000 000000 000000 000000* +L0085296 000011 000000 000100 000000 000000 000000 000000 000000* +L0085344 000000 000000 000100 011011 000000 000000 000000 000000* +L0085392 000000 000000 000001 011011 000000 000000 000000 000000* +L0085440 000000 000000 000010 011111 000000 000000 000000 000000* +L0085488 000000 000000 000100 011111 000000 000000 000000 000000* +L0085536 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0085600 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0085664 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0085728 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00010000* +L0085792 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00010000* +L0085856 00001100 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085920 00000000 00000000 00010000 00000000 10000000 00000000 00010000 00000000* +L0085984 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0086048 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000000 000000 100000 000000 000000 000000* +L0086160 000000 000000 000000 000000 000000 000000 000000 000000* +L0086208 000000 000000 000011 100100 000000 000000 000000 000000* +L0086256 000000 000000 000110 100100 001010 000000 000000 000000* +L0086304 000000 000000 000001 000000 000001 000000 000000 000000* +L0086352 000001 000000 000001 000000 001010 000000 000000 000000* L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086976 000000 000000 000000 000000 000000 000000 000000 000000* L0087024 000000 000000 000000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000000 000000 000000 000000 000001 000000* -L0087120 000000 000000 000000 000000 000000 000000 000000 000010* -L0087168 000001 000000 000000 000000 000000 000000 000000 000000* +L0087072 000000 000000 000000 000000 000000 000000 000000 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 000000* +L0087168 000000 000000 000000 100000 000000 000000 000000 000000* L0087216 000000 000000 000000 000000 000000 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010100* -L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010100* -L0087456 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0087520 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0087584 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* -L0087648 00000000 00000000 00000000 10011000 00000000 00000000 00000100 00000100* -L0087712 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000100* -L0087776 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0087840 000000 000000 000000 100000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000010 000000 000000 000000 000000* -L0087936 000000 000000 000000 000010 000000 000000 000000 000000* -L0087984 000000 000000 000000 000010 000000 000000 000000 000000* -L0088032 000000 000000 000000 000010 000000 000000 000000 000000* -L0088080 000000 000000 000000 000010 000000 000000 000000 000000* -L0088128 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00100000* -L0088192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0088448 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00001000* -L0088576 00000000 00000000 00001000 00000000 00000000 00100000 00000000 00000000* -L0088640 00000000 00000000 00001000 00000000 00000000 00000100 00000000 00000000* -L0088704 000000 000000 000010 000000 000000 000000 000000 000000* -L0088752 000000 000000 100000 100000 000000 100000 000000 000000* -L0088800 000000 000000 000010 000000 000000 000000 000000 000000* -L0088848 000000 000000 000010 000000 000000 000100 000000 000000* -L0088896 000000 000000 000010 000000 000000 000000 000000 000000* -L0088944 000000 000000 000010 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 10001000 11111100 00000000* -L0089120 00000000 00000000 00000000 00000000 01000000 00001000 01111100 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0089248 00000100 00000000 00000000 00000000 00100000 00010100 00000000 00000000* -L0089312 00010000 00000000 00011000 00000000 00000000 00100000 00000000 00000000* -L0089376 00000000 00000000 01011000 00000000 00000000 00000100 00001100 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* -L0089504 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00001000* -L0089568 000001 000000 000000 000000 000000 001000 000000 000000* -L0089616 000100 000000 000000 000000 000000 000000 000001 000000* -L0089664 000100 000000 000100 000000 000000 000000 100010 000000* -L0089712 000010 000000 000000 000000 000000 000000 100010 000000* +L0087264 00000000 00000000 00100000 01000000 00100100 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0087392 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0087456 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00100100 00010000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 10000000 00010000 00000000 00010000* +L0087648 00000000 00000000 00000000 00000000 10000000 00000000 00100000 00000000* +L0087712 00000000 00000000 00000000 00000000 10000000 00010000 00000000 00000000* +L0087776 00000000 00000000 00000000 00000000 10001000 00010000 00000000 00000000* +L0087840 000000 000000 000000 000000 100010 000100 000000 000000* +L0087888 000000 000000 010000 000000 000000 000000 000000 000000* +L0087936 000000 000000 000000 000000 000000 000000 000000 000000* +L0087984 000000 000000 000000 000000 001011 000000 000000 000000* +L0088032 000000 000000 000000 000000 001011 000000 000000 000000* +L0088080 000000 000000 000000 100000 001011 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000100* +L0088192 00010000 00000000 00000000 00000000 00000000 10000000 00000000 00000100* +L0088256 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0088448 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000010* +L0088800 000000 000000 000000 000000 000000 101100 000000 000010* +L0088848 000000 000000 000000 000000 000000 100000 000000 000010* +L0088896 000000 000000 000000 000000 000000 000000 000000 000010* +L0088944 000000 000000 000000 000000 000000 000001 000000 000010* +L0088992 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* +L0089056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0089504 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0089568 000000 000000 000000 100011 000000 000000 000000 000000* +L0089616 000000 000000 010000 010000 000000 000000 000000 000000* +L0089664 000000 000000 000000 000000 000000 000000 000000 000000* +L0089712 000000 000000 000000 000000 010000 000000 000000 000000* L0089760 000000 000000 000000 000000 000000 000000 000000 000000* -L0089808 000000 000000 000000 000000 000000 000001 000000 000000* +L0089808 000000 000000 000000 010000 000000 000000 000000 000000* L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0090112 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090432 000000 000000 000000 000000 000000 000000 000000 000000* -L0090480 010000 000000 000000 000000 000000 000000 000000 000000* +L0090304 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0090368 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0090432 000000 000000 000000 000000 100000 000000 000000 000000* +L0090480 000000 000000 000000 000000 000000 000000 000000 000000* L0090528 000000 000000 000000 000000 000000 000000 000000 000000* -L0090576 000000 000000 000000 000000 000000 000000 000000 001000* -L0090624 000000 000000 000000 000000 000000 000000 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000000 000000* -L0090720 00000000 00010000 00000000 00001000 00000000 00000000 00000000 00000000* -L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* -L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091232 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000100* -L0091296 000000 000000 000000 000000 000000 000000 000000 000000* -L0091344 000001 000000 000000 000000 000000 000000 000000 000000* -L0091392 000001 000000 000000 000000 000000 000000 000000 000000* -L0091440 000001 000000 000000 000000 000000 000000 000000 000000* -L0091488 000001 000000 000000 000000 000000 000000 000000 000000* -L0091536 000001 000000 000000 000000 000000 000000 000000 000000* +L0090576 000000 000001 000000 000000 000000 000000 000000 000000* +L0090624 000000 000000 000000 000000 001010 000000 000000 000000* +L0090672 000000 000000 000000 000000 001011 000000 000000 000000* +L0090720 00000000 00000000 00100000 00000000 00100100 00000000 00000000 00000000* +L0090784 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0090848 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091040 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0091104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 000000 001000 000000 000000* +L0091344 000000 000111 010000 000000 001000 001000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000000 000000 000000* +L0091440 000000 000000 000000 000000 001011 001000 000000 000000* +L0091488 000000 000000 000000 000000 000001 001000 000000 000000* +L0091536 000000 000000 000000 000000 000000 001000 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1690,25 +1690,25 @@ L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000100 000000 000000 000000 000000* -L0092256 000000 000000 000000 000000 000000 000000 000000 000000* -L0092304 000000 000000 000000 000000 000000 000000 000000 000000* -L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092208 000000 000000 000000 000000 000000 000000 000000 000000* +L0092256 000000 000000 000000 010000 000000 000000 000000 000000* +L0092304 000000 000000 000000 000000 000000 000000 000000 100000* +L0092352 000000 000000 000000 000000 000000 000000 000000 001000* +L0092400 000000 000000 000000 000000 000000 000000 000000 001000* +L0092448 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0092960 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* -L0093024 000000 000000 000000 000000 000000 000000 000001 000000* -L0093072 000000 000000 000000 000000 000000 000000 010000 001000* -L0093120 000000 000000 000000 000000 000000 000000 000000 001000* -L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 000000 000000 000000 000000 000000 000000 000001 000000* +L0092896 00000000 00000000 00000000 00010000 00000000 10000000 00000000 00000000* +L0092960 00000000 00000000 00000000 00000000 01000000 00000100 00000000 00000000* +L0093024 000000 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 000100 000000 000000 000000 000000* +L0093120 000000 000000 000000 000100 000000 000000 000000 000000* +L0093168 000000 000000 000000 000100 000000 000000 000000 000000* +L0093216 000000 000000 000000 000000 000000 000000 000000 000000* L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -CE5A9* -2E91 +CD2C2* +2D07 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index 074ee70..72ae311 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -34,8 +34,8 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 8 -INPUTS | 8 | iobm/IOS_FSM_FFd5 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE -INPUTMC | 8 | 1 | 7 | 7 | 0 | 1 | 8 | 5 | 3 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 +INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE +INPUTMC | 8 | 5 | 3 | 3 | 0 | 1 | 7 | 1 | 8 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 IMPORTS | 1 | 5 | 7 EQ | 9 | !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 @@ -53,7 +53,7 @@ MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 7 | 1 | 8 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 +INPUTMC | 8 | 5 | 3 | 3 | 15 | 1 | 7 | 1 | 8 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 IMPORTS | 1 | 5 | 9 EQ | 9 | !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 @@ -67,204 +67,137 @@ EQ | 9 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 17 | cnt/LTimer<0> +MACROCELL | 4 | 17 | cnt/SndSlow ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 18 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 0 | 3 | 12 | 6 | 17 | 3 | 0 | 3 | 16 | 6 | 1 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP13_.EXP | EXP16_.EXP -INPUTMC | 8 | 3 | 17 | 6 | 17 | 7 | 15 | 0 | 11 | 3 | 15 | 6 | 14 | 3 | 0 | 3 | 16 -IMPORTS | 2 | 3 | 0 | 3 | 16 -EQ | 73 | - cnt/LTimer<0>.D = cnt/LTimer<0> & - !cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<1> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<2> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & !cnt/IS_FSM_FFd1 & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/IS_FSM_FFd2 & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_1 - # !cnt/LTimer<0> & cnt/LTimer<10> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<8> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<9> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<11> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_17 - # !cnt/LTimer<0> & cnt/LTimer<3> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<4> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<5> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<6> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<7> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_16 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2; - cnt/LTimer<0>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 15 | cnt/LTimer<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 0 | 3 | 12 | 6 | 17 | 6 | 1 | 3 | 16 -INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | EXP15_.EXP -INPUTMC | 6 | 3 | 15 | 6 | 17 | 3 | 17 | 7 | 15 | 0 | 11 | 3 | 14 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 -EXPORTS | 1 | 3 | 16 -IMPORTS | 1 | 3 | 14 +OUTPUTMC | 12 | 4 | 17 | 2 | 10 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 15 | 2 | 13 | 2 | 9 | 2 | 16 | 4 | 16 | 4 | 0 +INPUTS | 26 | cnt/SndSlow | cnt/STimer<0> | cnt/Er<0> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<9> | A_FSB<15> | A_FSB<13> | A_FSB<8> | EXP19_.EXP +INPUTMC | 8 | 4 | 17 | 4 | 7 | 1 | 14 | 0 | 5 | 6 | 15 | 0 | 13 | 0 | 6 | 4 | 16 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 17 | 15 | 13 | 47 | 54 | 12 | 21 | 18 | 11 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 16 EQ | 58 | - !cnt/LTimer<1>.D = !cnt/LTimer<0> & !cnt/LTimer<1> - # !cnt/LTimer<1> & - !cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # cnt/LTimer<0> & cnt/LTimer<1> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_15 + cnt/SndSlow.D = cnt/SndSlow & cnt/STimer<0> & cnt/Er<0> +;Imported pterms FB5_17 + # cnt/SndSlow & cnt/STimer<0> & !cnt/TimerTC + # cnt/SndSlow & cnt/STimer<0> & !cnt/Er<1> + # cnt/SndSlow & !cnt/STimer<0> & cnt/STimer<1> + # cnt/STimer<0> & !cnt/STimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> + # !cnt/STimer<0> & cnt/STimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> +;Imported pterms FB5_16 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_14 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> +;Imported pterms FB5_15 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2; - cnt/LTimer<1>.CLK = FCLK; // GCK - cnt/LTimer<1>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf; + cnt/SndSlow.CLK = FCLK; // GCK + cnt/SndSlow.EXP = !cnt/LTimer<0> & !cnt/LTimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | cnt/Timer<0> +MACROCELL | 6 | 17 | RefClk ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 13 | 0 | 9 -INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 0 | 10 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 4 | - !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & - cnt/Er<1>; - cnt/Timer<0>.CLK = FCLK; // GCK - cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; +OUTPUTMC | 6 | 6 | 17 | 7 | 9 | 0 | 13 | 7 | 2 | 0 | 0 | 7 | 8 +INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefClk | cnt/TimerTC +INPUTMC | 7 | 0 | 14 | 0 | 16 | 0 | 17 | 1 | 14 | 0 | 6 | 6 | 17 | 0 | 13 +EQ | 9 | + RefClk.T = RefClk & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + !cnt/TimerTC + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + cnt/Er<0> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + !cnt/Er<1>; + RefClk.CLK = FCLK; // GCK + RefClk.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 14 | cnt/Timer<1> -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 6 | 0 | 14 | 0 | 16 | 0 | 12 | 0 | 15 | 0 | 13 | 0 | 9 -INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 10 | 0 | 14 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 5 | - !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> - # !cnt/Timer<0> & !cnt/Timer<1> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<1>.CLK = FCLK; // GCK - cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; +MACROCELL | 7 | 9 | ram/RefUrg +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 7 | 9 | 7 | 12 | 7 | 0 | 7 | 8 | 7 | 15 | 7 | 17 | 4 | 4 | 4 | 3 | 7 | 1 | 7 | 7 | 7 | 11 | 7 | 14 | 7 | 16 | 7 | 10 +INPUTS | 20 | RefClk | ram/RefUrg | ram/nRefClkR | ram/RefReq | iobs/Sent | IOReady | fsb/ASrf | iobs/IODONEr | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nAS_FSB | nADoutLE1 | ram/RS_FSM_FFd7.EXP +INPUTMC | 10 | 6 | 17 | 7 | 9 | 0 | 0 | 7 | 2 | 3 | 8 | 7 | 10 | 2 | 2 | 1 | 3 | 5 | 13 | 7 | 8 +INPUTP | 10 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 +EXPORTS | 1 | 7 | 10 +IMPORTS | 1 | 7 | 8 +EQ | 15 | + ram/RefUrg.D = RefClk & ram/RefReq & ram/nRefClkR + # !RefClk & ram/RefUrg & !ram/nRefClkR +;Imported pterms FB8_9 + # !RefClk & ram/RefUrg & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # ram/RefUrg & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd7 & + !ram/nRefClkR & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3; + ram/RefUrg.CLK = FCLK; // GCK + ram/RefUrg.EXP = iobs/Sent & !IOReady & fsb/ASrf & iobs/IODONEr + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + !IOReady & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + !IOReady & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 16 | cnt/Timer<2> -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 0 | 16 | 0 | 12 | 0 | 15 | 0 | 13 | 0 | 9 -INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 10 | 0 | 16 | 0 | 14 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 6 | - !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> - # !cnt/Timer<1> & !cnt/Timer<2> - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<2>.CLK = FCLK; // GCK - cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; +MACROCELL | 0 | 5 | cnt/LTimer<0> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 15 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 4 | 7 | 4 | 0 | 2 | 6 | 2 | 5 | 4 | 17 +INPUTS | 2 | cnt/Er<0> | cnt/Er<1> +INPUTMC | 2 | 1 | 14 | 0 | 6 +EQ | 3 | + cnt/LTimer<0>.T = Vcc; + cnt/LTimer<0>.CLK = FCLK; // GCK + cnt/LTimer<0>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 14 | iobs/Sent +MACROCELL | 3 | 8 | iobs/Sent ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 2 | 14 | 7 | 16 | 7 | 8 | 7 | 11 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 12 | 2 | 2 | 2 | 10 | 2 | 13 | 2 | 15 | 7 | 7 | 7 | 9 | 7 | 15 -INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | IORDREQ.EXP | EXP11_.EXP -INPUTMC | 7 | 2 | 14 | 3 | 9 | 2 | 4 | 7 | 2 | 5 | 13 | 2 | 13 | 2 | 15 +OUTPUTMC | 16 | 3 | 8 | 3 | 11 | 7 | 10 | 7 | 9 | 3 | 12 | 3 | 2 | 3 | 0 | 3 | 15 | 3 | 6 | 4 | 12 | 3 | 3 | 3 | 7 | 3 | 9 | 3 | 10 | 4 | 11 | 4 | 13 +INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | N0.EXP | EXP10_.EXP +INPUTMC | 7 | 3 | 8 | 2 | 2 | 0 | 10 | 3 | 12 | 5 | 13 | 3 | 7 | 3 | 9 INPUTP | 5 | 54 | 36 | 30 | 28 | 29 -IMPORTS | 2 | 2 | 13 | 2 | 15 -EQ | 32 | +IMPORTS | 2 | 3 | 7 | 3 | 9 +EQ | 26 | iobs/Sent.T = iobs/Sent & nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Sent & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 @@ -274,28 +207,22 @@ EQ | 32 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB3_14 +;Imported pterms FB4_8 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_10 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB3_16 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & !nAS_FSB & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1; + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & fsb/ASrf & nADoutLE1; iobs/Sent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK @@ -315,7 +242,7 @@ MACROCELL | 5 | 9 | IODONE ATTRIBUTES | 8553232 | 0 OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 15 | 1 | 3 | 5 | 10 INPUTS | 12 | nRES.PIN | nDTACK_IOB | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | nAS_IOB | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 1 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 12 | 7 | 13 | 1 | 10 +INPUTMC | 10 | 5 | 1 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 12 | 3 | 15 | 1 | 10 INPUTP | 2 | 145 | 127 EXPORTS | 1 | 5 | 10 EQ | 8 | @@ -329,376 +256,566 @@ EQ | 8 | iobm/IORDREQr GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 12 | RefUrg -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 13 | 0 | 5 | 4 | 0 | 4 | 6 | 0 | 17 | 4 | 16 | 4 | 12 | 4 | 4 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 8 | 4 | 15 | 4 | 17 -INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 14 | 0 | 16 | 0 | 15 | 1 | 14 | 0 | 4 -EQ | 3 | - RefUrg.D = !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; - RefUrg.CLK = FCLK; // GCK - RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 16 | cnt/LTimer<10> +MACROCELL | 2 | 10 | cnt/Credits<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 3 | 0 | 6 | 16 | 6 | 15 | 3 | 12 | 6 | 17 -INPUTS | 35 | cnt/LTimer<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | fsb/ASrf | nAS_FSB | A_FSB<9> -INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 9 | 1 | 14 | 0 | 4 | 3 | 9 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 11 | 54 | 12 -EXPORTS | 1 | 6 | 17 -EQ | 21 | - cnt/LTimer<10>.T = cnt/LTimer<10> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & cnt/TimerTC & - !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & cnt/TimerTC & - cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<10>.CLK = FCLK; // GCK - cnt/LTimer<10>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> +OUTPUTMC | 4 | 2 | 9 | 2 | 15 | 2 | 10 | 2 | 11 +INPUTS | 12 | cnt/SndSlow | cnt/Credits<0> | cnt/Credits<1> | cnt/Credits<3> | cnt/Credits<4> | cnt/Credits<2> | WS | cnt/C8Mr<0> | cnt/C8Mr<1> | cnt/Credits<6> | cnt/Credits<5> | cnt/Credits<4>.EXP +INPUTMC | 12 | 4 | 17 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 7 | 0 | 7 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 11 +EXPORTS | 1 | 2 | 9 +IMPORTS | 1 | 2 | 11 +EQ | 19 | + cnt/Credits<5>.T = cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + cnt/Credits<3> & cnt/Credits<4> & cnt/Credits<2> & !WS & + !cnt/C8Mr<1> + # cnt/SndSlow & !cnt/Credits<0> & !cnt/Credits<1> & + !cnt/Credits<3> & !cnt/Credits<4> & !cnt/Credits<2> & WS & + !cnt/C8Mr<0> & cnt/C8Mr<1> +;Imported pterms FB3_12 + # !cnt/SndSlow & cnt/Credits<5> + # cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + cnt/Credits<3> & cnt/Credits<4> & cnt/Credits<2> & !WS & + cnt/C8Mr<0>; + cnt/Credits<5>.CLK = FCLK; // GCK + cnt/Credits<5>.EXP = !cnt/SndSlow & cnt/Credits<6> + # cnt/SndSlow & cnt/Credits<5> & cnt/Credits<0> & + cnt/Credits<1> & cnt/Credits<3> & cnt/Credits<4> & cnt/Credits<2> & + !WS & cnt/C8Mr<0> + # cnt/SndSlow & cnt/Credits<5> & cnt/Credits<0> & + cnt/Credits<1> & cnt/Credits<3> & cnt/Credits<4> & cnt/Credits<2> & + !WS & !cnt/C8Mr<1> GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 14 | cnt/LTimer<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 14 | 3 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 -INPUTS | 8 | cnt/LTimer<2> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 7 | - cnt/LTimer<2>.T = cnt/LTimer<2> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/TimerTC & - !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/TimerTC & - cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<2>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 13 | cnt/LTimer<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 13 | 3 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 -INPUTS | 9 | cnt/LTimer<3> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 7 | - cnt/LTimer<3>.T = cnt/LTimer<3> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<3>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 12 | cnt/LTimer<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 3 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 -INPUTS | 10 | cnt/LTimer<4> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 9 | - cnt/LTimer<4>.T = cnt/LTimer<4> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & - cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & - cnt/Er<1>; - cnt/LTimer<4>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 9 | cnt/LTimer<5> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 3 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 -INPUTS | 11 | cnt/LTimer<5> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 9 | - cnt/LTimer<5>.T = cnt/LTimer<5> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & - !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/TimerTC & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<5>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 6 | cnt/LTimer<6> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 3 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 -INPUTS | 12 | cnt/LTimer<6> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 9 | - cnt/LTimer<6>.T = cnt/LTimer<6> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/TimerTC & - !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/TimerTC & - cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<6>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 3 | cnt/LTimer<7> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 -INPUTS | 13 | cnt/LTimer<7> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 9 | - cnt/LTimer<7>.T = cnt/LTimer<7> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<7>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 2 | cnt/LTimer<8> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 -INPUTS | 14 | cnt/LTimer<8> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 11 | - cnt/LTimer<8>.T = cnt/LTimer<8> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & - cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & - cnt/Er<1>; - cnt/LTimer<8>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 0 | cnt/LTimer<9> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 3 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 -INPUTS | 34 | cnt/LTimer<9> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | A_FSB<8> | RA_1_OBUF.EXP -INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 9 | 1 | 14 | 0 | 4 | 3 | 9 | 6 | 1 -INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 -EXPORTS | 1 | 6 | 17 -IMPORTS | 1 | 6 | 1 -EQ | 27 | - cnt/LTimer<9>.T = cnt/LTimer<9> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & - !cnt/Er<0> & cnt/Er<1> -;Imported pterms FB7_2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<9>.CLK = FCLK; // GCK - cnt/LTimer<9>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 15 | cnt/Timer<3> +MACROCELL | 0 | 14 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 0 | 12 | 0 | 15 | 0 | 13 | 0 | 9 -INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | cnt/Timer<3> | cnt/TimerTC -INPUTMC | 7 | 0 | 10 | 0 | 14 | 0 | 16 | 1 | 14 | 0 | 4 | 0 | 15 | 0 | 9 -EQ | 10 | - cnt/Timer<3>.T = cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & - !cnt/TimerTC - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & - cnt/Er<0> - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & - !cnt/Er<1> - # cnt/Timer<3> & cnt/TimerTC & !cnt/Er<0> & +OUTPUTMC | 5 | 6 | 17 | 0 | 14 | 0 | 16 | 0 | 17 | 0 | 13 +INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 4 | 0 | 14 | 0 | 13 | 1 | 14 | 0 | 6 +EQ | 4 | + !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<3>.CLK = FCLK; // GCK - cnt/Timer<3>.CE = !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<0>.CLK = FCLK; // GCK + cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 13 | RefReq -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 8 | 0 | 5 | 4 | 3 | 4 | 1 | 0 | 0 | 4 | 15 | 4 | 11 | 4 | 7 | 4 | 13 -INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 15 | 1 | 14 | 0 | 4 -EQ | 4 | - !RefReq.D = !cnt/Timer<0> & cnt/Timer<1> & !cnt/Timer<2> & - cnt/Timer<3>; - RefReq.CLK = FCLK; // GCK - RefReq.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 15 | cnt/LTimer<11> +MACROCELL | 7 | 6 | cs/nOverlay ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 0 | 6 | 15 | 3 | 12 | 6 | 17 -INPUTS | 17 | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 9 | 1 | 14 | 0 | 4 -EQ | 11 | - cnt/LTimer<11>.T = cnt/LTimer<11> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & - cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & - cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<11>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 9 | cnt/TimerTC -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 18 | 0 | 10 | 0 | 14 | 0 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 6 | 15 | 7 | 15 | 0 | 11 | 6 | 17 | 6 | 1 -INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 15 | 1 | 14 | 0 | 4 -EQ | 4 | - cnt/TimerTC.D = cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2> & - cnt/Timer<3>; - cnt/TimerTC.CLK = FCLK; // GCK - cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 5 | cs/nOverlay -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 2 | 13 | 2 | 5 | 4 | 2 | 4 | 9 | 7 | 1 | 4 | 0 | 2 | 9 | 4 | 17 | 7 | 11 | 2 | 11 | 7 | 7 | 4 | 11 | 2 | 15 | 4 | 1 | 7 | 4 | 7 | 5 | 7 | 9 | 2 | 6 -INPUTS | 11 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> -INPUTMC | 5 | 2 | 5 | 3 | 9 | 3 | 11 | 2 | 9 | 2 | 7 -INPUTP | 6 | 145 | 54 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 2 | 6 -EQ | 8 | +OUTPUTMC | 18 | 3 | 7 | 7 | 6 | 7 | 4 | 7 | 3 | 3 | 9 | 7 | 11 | 7 | 13 | 3 | 16 | 3 | 13 | 3 | 4 | 4 | 11 | 7 | 16 | 3 | 5 | 3 | 1 | 4 | 2 | 4 | 13 | 7 | 0 | 7 | 7 +INPUTS | 11 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nUDS_FSB | ram/RASEL +INPUTMC | 3 | 7 | 6 | 2 | 2 | 7 | 3 +INPUTP | 8 | 145 | 54 | 36 | 30 | 29 | 28 | 47 | 56 +EXPORTS | 1 | 7 | 7 +EQ | 7 | cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay & !nAS_FSB # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay & fsb/ASrf; cs/nOverlay.CLK = FCLK; // GCK - cs/nOverlay.EXP = !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf + cs/nOverlay.EXP = !nWE_FSB & !nUDS_FSB & ram/RASEL GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 16 | iobs/IORW1 +MACROCELL | 2 | 14 | cnt/Credits<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 16 | 2 | 12 | 7 | 8 | 7 | 15 | 7 | 17 -INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | cnt/IS_FSM_FFd1.EXP -INPUTMC | 6 | 2 | 14 | 7 | 16 | 7 | 2 | 5 | 13 | 2 | 4 | 7 | 15 -INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 -EXPORTS | 1 | 7 | 17 -IMPORTS | 1 | 7 | 15 -EQ | 36 | +OUTPUTMC | 7 | 2 | 10 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 9 +INPUTS | 5 | cnt/SndSlow | cnt/Credits<0> | WS | cnt/C8Mr<0> | cnt/C8Mr<1> +INPUTMC | 5 | 4 | 17 | 2 | 14 | 2 | 7 | 0 | 7 | 2 | 3 +EQ | 5 | + !cnt/Credits<0>.T = !cnt/SndSlow & !cnt/Credits<0> + # cnt/SndSlow & WS & cnt/C8Mr<0> + # cnt/SndSlow & WS & !cnt/C8Mr<1> + # cnt/SndSlow & !WS & !cnt/C8Mr<0> & cnt/C8Mr<1>; + cnt/Credits<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 17 | cnt/Credits<1> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 2 | 10 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 9 +INPUTS | 7 | cnt/SndSlow | cnt/Credits<1> | WS | cnt/C8Mr<0> | cnt/C8Mr<1> | cnt/Credits<0> | nROMWE_OBUF.EXP +INPUTMC | 7 | 4 | 17 | 2 | 17 | 2 | 7 | 0 | 7 | 2 | 3 | 2 | 14 | 2 | 16 +IMPORTS | 1 | 2 | 16 +EQ | 8 | + !cnt/Credits<1>.T = !cnt/SndSlow & !cnt/Credits<1> + # cnt/SndSlow & cnt/Credits<0> & WS + # cnt/SndSlow & !cnt/Credits<0> & !WS + # cnt/SndSlow & WS & cnt/C8Mr<0> + # cnt/SndSlow & WS & !cnt/C8Mr<1> +;Imported pterms FB3_17 + # cnt/SndSlow & !WS & !cnt/C8Mr<0> & cnt/C8Mr<1>; + cnt/Credits<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 12 | cnt/Credits<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 2 | 10 | 2 | 12 | 2 | 11 | 2 | 15 | 2 | 9 +INPUTS | 9 | cnt/SndSlow | cnt/Credits<3> | cnt/Credits<0> | cnt/Credits<1> | cnt/Credits<2> | WS | cnt/C8Mr<0> | cnt/C8Mr<1> | cnt/Credits<4> +INPUTMC | 9 | 4 | 17 | 2 | 12 | 2 | 14 | 2 | 17 | 2 | 13 | 2 | 7 | 0 | 7 | 2 | 3 | 2 | 11 +EXPORTS | 1 | 2 | 11 +EQ | 9 | + cnt/Credits<3>.T = !cnt/SndSlow & !cnt/Credits<3> + # cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + cnt/Credits<2> & !WS & cnt/C8Mr<0> + # cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + cnt/Credits<2> & !WS & !cnt/C8Mr<1> + # cnt/SndSlow & !cnt/Credits<0> & !cnt/Credits<1> & + !cnt/Credits<2> & WS & !cnt/C8Mr<0> & cnt/C8Mr<1>; + cnt/Credits<3>.CLK = FCLK; // GCK + cnt/Credits<3>.EXP = !cnt/SndSlow & cnt/Credits<4> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 11 | cnt/Credits<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 2 | 10 | 2 | 9 | 2 | 15 | 2 | 11 | 2 | 12 +INPUTS | 11 | cnt/SndSlow | cnt/Credits<0> | cnt/Credits<1> | cnt/Credits<3> | cnt/Credits<2> | WS | cnt/C8Mr<0> | cnt/C8Mr<1> | cnt/Credits<5> | cnt/Credits<4> | cnt/Credits<3>.EXP +INPUTMC | 11 | 4 | 17 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 13 | 2 | 7 | 0 | 7 | 2 | 3 | 2 | 10 | 2 | 11 | 2 | 12 +EXPORTS | 1 | 2 | 10 +IMPORTS | 1 | 2 | 12 +EQ | 13 | + cnt/Credits<4>.T = cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + cnt/Credits<3> & cnt/Credits<2> & !WS & cnt/C8Mr<0> + # cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + cnt/Credits<3> & cnt/Credits<2> & !WS & !cnt/C8Mr<1> + # cnt/SndSlow & !cnt/Credits<0> & !cnt/Credits<1> & + !cnt/Credits<3> & !cnt/Credits<2> & WS & !cnt/C8Mr<0> & cnt/C8Mr<1> +;Imported pterms FB3_13 + # !cnt/SndSlow & cnt/Credits<4>; + cnt/Credits<4>.CLK = FCLK; // GCK + cnt/Credits<4>.EXP = !cnt/SndSlow & cnt/Credits<5> + # cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + cnt/Credits<3> & cnt/Credits<4> & cnt/Credits<2> & !WS & + cnt/C8Mr<0> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 16 | cnt/LTimer<10> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 2 | 2 | 6 | 2 | 5 +INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 12 | 0 | 5 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 1 | 14 | 0 | 6 +EQ | 5 | + cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; + cnt/LTimer<10>.CLK = FCLK; // GCK + cnt/LTimer<10>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 15 | cnt/LTimer<1> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 13 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 4 | 0 | 2 | 6 | 2 | 5 | 4 | 17 +INPUTS | 3 | cnt/LTimer<0> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 3 | 0 | 5 | 1 | 14 | 0 | 6 +EQ | 3 | + cnt/LTimer<1>.T = cnt/LTimer<0>; + cnt/LTimer<1>.CLK = FCLK; // GCK + cnt/LTimer<1>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 14 | cnt/LTimer<2> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 10 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 2 | 6 | 2 | 5 +INPUTS | 4 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 4 | 0 | 5 | 6 | 15 | 1 | 14 | 0 | 6 +EQ | 3 | + cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; + cnt/LTimer<2>.CLK = FCLK; // GCK + cnt/LTimer<2>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 13 | cnt/LTimer<3> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 9 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 2 | 6 | 2 | 5 +INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 0 | 5 | 6 | 15 | 6 | 14 | 1 | 14 | 0 | 6 +EQ | 3 | + cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; + cnt/LTimer<3>.CLK = FCLK; // GCK + cnt/LTimer<3>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 12 | cnt/LTimer<4> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 8 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 2 | 6 | 2 | 5 +INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 5 | 6 | 15 | 6 | 14 | 6 | 13 | 1 | 14 | 0 | 6 +EQ | 4 | + cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3>; + cnt/LTimer<4>.CLK = FCLK; // GCK + cnt/LTimer<4>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 9 | cnt/LTimer<5> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 7 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 2 | 6 | 2 | 5 +INPUTS | 7 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 7 | 0 | 5 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 1 | 14 | 0 | 6 +EQ | 4 | + cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4>; + cnt/LTimer<5>.CLK = FCLK; // GCK + cnt/LTimer<5>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 6 | cnt/LTimer<6> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 6 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 2 | 6 | 2 | 5 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 8 | 0 | 5 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 1 | 14 | 0 | 6 +EQ | 4 | + cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; + cnt/LTimer<6>.CLK = FCLK; // GCK + cnt/LTimer<6>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 3 | cnt/LTimer<7> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 5 | 6 | 16 | 6 | 2 | 6 | 0 | 2 | 6 | 2 | 5 +INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 9 | 0 | 5 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 1 | 14 | 0 | 6 +EQ | 4 | + cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; + cnt/LTimer<7>.CLK = FCLK; // GCK + cnt/LTimer<7>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 2 | cnt/LTimer<8> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 4 | 6 | 16 | 6 | 0 | 2 | 6 | 2 | 5 +INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 10 | 0 | 5 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 1 | 14 | 0 | 6 +EQ | 5 | + cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7>; + cnt/LTimer<8>.CLK = FCLK; // GCK + cnt/LTimer<8>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 0 | cnt/LTimer<9> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 3 | 6 | 16 | 2 | 6 | 2 | 5 +INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 11 | 0 | 5 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 1 | 14 | 0 | 6 +EQ | 5 | + cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8>; + cnt/LTimer<9>.CLK = FCLK; // GCK + cnt/LTimer<9>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 7 | cnt/STimer<0> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 4 | 17 | 4 | 7 | 4 | 0 | 4 | 16 +INPUTS | 8 | cnt/STimer<0> | cnt/Er<0> | cnt/Er<1> | cnt/TimerTC | cnt/LTimer<0> | cnt/STimer<1> | EXP17_.EXP | RA_4_OBUF.EXP +INPUTMC | 8 | 4 | 7 | 1 | 14 | 0 | 6 | 0 | 13 | 0 | 5 | 4 | 0 | 4 | 6 | 4 | 8 +IMPORTS | 2 | 4 | 6 | 4 | 8 +EQ | 41 | + cnt/STimer<0>.D = !cnt/LTimer<0> & cnt/STimer<0> + # cnt/STimer<0> & !cnt/TimerTC + # cnt/STimer<0> & cnt/Er<0> + # cnt/STimer<0> & !cnt/Er<1> + # !cnt/LTimer<0> & cnt/STimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> +;Imported pterms FB5_7 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> +;Imported pterms FB5_9 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf; + cnt/STimer<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 0 | cnt/STimer<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 4 | 16 | 4 | 7 | 4 | 0 +INPUTS | 9 | cnt/STimer<1> | cnt/Er<0> | cnt/Er<1> | cnt/TimerTC | cnt/STimer<0> | cnt/LTimer<0> | cnt/LTimer<1> | nROMCS_OBUF.EXP | cnt/SndSlow.EXP +INPUTMC | 9 | 4 | 0 | 1 | 14 | 0 | 6 | 0 | 13 | 4 | 7 | 0 | 5 | 6 | 15 | 4 | 1 | 4 | 17 +IMPORTS | 2 | 4 | 1 | 4 | 17 +EQ | 43 | + !cnt/STimer<1>.D = !cnt/STimer<0> & !cnt/STimer<1> + # !cnt/STimer<1> & !cnt/TimerTC + # !cnt/STimer<1> & cnt/Er<0> + # !cnt/STimer<1> & !cnt/Er<1> + # cnt/LTimer<0> & cnt/LTimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> +;Imported pterms FB5_2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf +;Imported pterms FB5_18 + # !cnt/LTimer<0> & !cnt/LTimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13>; + cnt/STimer<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 16 | cnt/Timer<1> +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 4 | 6 | 17 | 0 | 16 | 0 | 17 | 0 | 13 +INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 0 | 14 | 0 | 16 | 0 | 13 | 1 | 14 | 0 | 6 +EQ | 5 | + !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> + # !cnt/Timer<0> & !cnt/Timer<1> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<1>.CLK = FCLK; // GCK + cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 17 | cnt/Timer<2> +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 3 | 6 | 17 | 0 | 17 | 0 | 13 +INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 14 | 0 | 17 | 0 | 16 | 0 | 13 | 1 | 14 | 0 | 6 +EQ | 6 | + !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> + # !cnt/Timer<1> & !cnt/Timer<2> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<2>.CLK = FCLK; // GCK + cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 15 | SndReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 15 | 2 | 7 | 2 | 9 +INPUTS | 8 | cnt/SndSlow | SndReady | nAS_FSB | fsb/ASrf | cnt/Credits<5> | cnt/Credits<3> | cnt/Credits<4> | cnt/Credits<6> +INPUTMC | 7 | 4 | 17 | 2 | 15 | 2 | 2 | 2 | 10 | 2 | 12 | 2 | 11 | 2 | 9 +INPUTP | 1 | 54 +EQ | 6 | + SndReady.D = !cnt/SndSlow + # SndReady & !nAS_FSB + # SndReady & fsb/ASrf + # !cnt/Credits<5> & !cnt/Credits<3> & !cnt/Credits<4> & + !cnt/Credits<6>; + SndReady.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 13 | cnt/Credits<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 2 | 10 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 9 +INPUTS | 7 | cnt/SndSlow | cnt/Credits<0> | cnt/Credits<1> | WS | cnt/C8Mr<0> | cnt/C8Mr<1> | cnt/Credits<2> +INPUTMC | 7 | 4 | 17 | 2 | 14 | 2 | 17 | 2 | 7 | 0 | 7 | 2 | 3 | 2 | 13 +EQ | 8 | + cnt/Credits<2>.T = !cnt/SndSlow & cnt/Credits<2> + # cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + !WS & cnt/C8Mr<0> + # cnt/SndSlow & cnt/Credits<0> & cnt/Credits<1> & + !WS & !cnt/C8Mr<1> + # cnt/SndSlow & !cnt/Credits<0> & !cnt/Credits<1> & + WS & !cnt/C8Mr<0> & cnt/C8Mr<1>; + cnt/Credits<2>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 9 | cnt/Credits<6> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 2 | 15 | 2 | 10 | 2 | 8 +INPUTS | 23 | cnt/SndSlow | cnt/Credits<5> | cnt/Credits<0> | cnt/Credits<1> | cnt/Credits<3> | cnt/Credits<4> | cnt/Credits<2> | WS | cnt/C8Mr<0> | cnt/C8Mr<1> | A_FSB<22> | A_FSB<21> | SndReady | IOReady | nWE_FSB | A_FSB<23> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nADoutLE1 | cnt/Credits<5>.EXP +INPUTMC | 14 | 4 | 17 | 2 | 10 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 7 | 0 | 7 | 2 | 3 | 2 | 15 | 7 | 10 | 5 | 13 | 2 | 10 +INPUTP | 9 | 30 | 29 | 47 | 36 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 10 +EQ | 18 | + cnt/Credits<6>.T = cnt/SndSlow & !cnt/Credits<5> & !cnt/Credits<0> & + !cnt/Credits<1> & !cnt/Credits<3> & !cnt/Credits<4> & !cnt/Credits<2> & + WS & !cnt/C8Mr<0> & cnt/C8Mr<1> +;Imported pterms FB3_11 + # !cnt/SndSlow & cnt/Credits<6> + # cnt/SndSlow & cnt/Credits<5> & cnt/Credits<0> & + cnt/Credits<1> & cnt/Credits<3> & cnt/Credits<4> & cnt/Credits<2> & + !WS & cnt/C8Mr<0> + # cnt/SndSlow & cnt/Credits<5> & cnt/Credits<0> & + cnt/Credits<1> & cnt/Credits<3> & cnt/Credits<4> & cnt/Credits<2> & + !WS & !cnt/C8Mr<1>; + cnt/Credits<6>.CLK = FCLK; // GCK + cnt/Credits<6>.EXP = !A_FSB<22> & !A_FSB<21> & !SndReady & !IOReady + # !A_FSB<22> & !SndReady & nWE_FSB & !IOReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !nWE_FSB & !IOReady & !nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 6 | cnt/LTimer<11> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 2 | 2 | 5 | 2 | 7 +INPUTS | 18 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/Er<0> | cnt/Er<1> | nAS_FSB | BACTr | fsb/BACTr<1> | fsb/BACTr<2> | fsb/ASrf +INPUTMC | 17 | 0 | 5 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 1 | 14 | 0 | 6 | 2 | 4 | 2 | 1 | 2 | 0 | 2 | 2 +INPUTP | 1 | 54 +EXPORTS | 1 | 2 | 7 +EQ | 7 | + cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; + cnt/LTimer<11>.CLK = FCLK; // GCK + cnt/LTimer<11>.CE = !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<11>.EXP = !nAS_FSB & BACTr & fsb/BACTr<1> & fsb/BACTr<2> + # BACTr & fsb/BACTr<1> & fsb/ASrf & fsb/BACTr<2> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 13 | cnt/TimerTC +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 10 | 4 | 16 | 6 | 17 | 0 | 14 | 4 | 7 | 4 | 0 | 0 | 16 | 0 | 17 | 3 | 3 | 0 | 15 | 4 | 17 +INPUTS | 6 | RefClk | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 6 | 17 | 0 | 14 | 0 | 16 | 0 | 17 | 1 | 14 | 0 | 6 +EQ | 4 | + cnt/TimerTC.D = RefClk & cnt/Timer<0> & !cnt/Timer<1> & + !cnt/Timer<2>; + cnt/TimerTC.CLK = FCLK; // GCK + cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 11 | iobs/IORW1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 3 | 11 | 3 | 5 | 4 | 12 | 3 | 10 | 3 | 12 +INPUTS | 17 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | iobs/TS_FSM_FFd1 | nVPA_FSB_OBUF.EXP +INPUTMC | 7 | 3 | 8 | 3 | 11 | 3 | 12 | 5 | 13 | 2 | 2 | 0 | 10 | 3 | 10 +INPUTP | 10 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 +EXPORTS | 1 | 3 | 12 +IMPORTS | 1 | 3 | 10 +EQ | 22 | iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd1 & + nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd2 & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd2 & + nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & fsb/ASrf & + nADoutLE1 +;Imported pterms FB4_11 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_16 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1; + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1; iobs/IORW1.CLK = FCLK; // GCK - iobs/IORW1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + iobs/IORW1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 5 | ram/RefDone +MACROCELL | 7 | 2 | ram/RefReq ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 0 | 5 | 4 | 0 | 4 | 3 | 0 | 17 | 4 | 16 | 4 | 12 | 4 | 4 | 0 | 0 | 4 | 1 | 4 | 7 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 -INPUTS | 6 | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | RefUrg | RefReq -INPUTMC | 6 | 0 | 5 | 0 | 2 | 0 | 17 | 0 | 1 | 0 | 12 | 0 | 13 -EQ | 4 | - !ram/RefDone.D = !RefUrg & !RefReq - # !ram/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd6 & - !ram/RS_FSM_FFd2; - ram/RefDone.CLK = FCLK; // GCK +OUTPUTMC | 9 | 7 | 9 | 7 | 2 | 7 | 12 | 7 | 0 | 7 | 8 | 7 | 14 | 7 | 17 | 4 | 3 | 7 | 1 +INPUTS | 9 | ram/RefReq | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | RefClk | ram/nRefClkR | A_FSB<20> | ram/RASEL +INPUTMC | 8 | 7 | 2 | 1 | 1 | 7 | 8 | 1 | 0 | 4 | 9 | 6 | 17 | 0 | 0 | 7 | 3 +INPUTP | 1 | 28 +EXPORTS | 1 | 7 | 1 +EQ | 6 | + ram/RefReq.D = !RefClk & !ram/nRefClkR + # RefClk & ram/RefReq & ram/nRefClkR + # ram/RefReq & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd7 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3; + ram/RefReq.CLK = FCLK; // GCK + ram/RefReq.EXP = A_FSB<20> & ram/RASEL GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 1 | IONPReady -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 1 | 3 | 10 | 2 | 7 | 2 | 2 | 2 | 6 | 2 | 0 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB | IONPReady | $OpTx$$OpTx$FX_DC$346_INV$533 | A_FSB<13> | iobs/Clear1.EXP -INPUTMC | 3 | 2 | 1 | 3 | 13 | 2 | 2 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 18 -EXPORTS | 1 | 2 | 0 -IMPORTS | 1 | 2 | 2 -EQ | 19 | - !IONPReady.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !nWE_FSB & !IONPReady -;Imported pterms FB3_3 - # !iobs/Sent & !IONPReady - # !IONPReady & !iobs/IODONEr - # nAS_FSB & !fsb/ASrf +MACROCELL | 7 | 10 | IOReady +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 7 | 10 | 3 | 10 | 2 | 8 | 2 | 7 | 2 | 9 | 7 | 9 | 7 | 11 +INPUTS | 8 | IOReady | nAS_FSB | fsb/ASrf | iobs/Sent | iobs/IODONEr | nBERR_FSB | IOBERR | ram/RefUrg.EXP +INPUTMC | 7 | 7 | 10 | 2 | 2 | 3 | 8 | 1 | 3 | 7 | 11 | 1 | 16 | 7 | 9 +INPUTP | 1 | 54 +EXPORTS | 1 | 7 | 11 +IMPORTS | 1 | 7 | 9 +EQ | 14 | + IOReady.T = IOReady & nAS_FSB & !fsb/ASrf + # iobs/Sent & !IOReady & !nAS_FSB & iobs/IODONEr +;Imported pterms FB8_10 + # iobs/Sent & !IOReady & fsb/ASrf & iobs/IODONEr # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !nWE_FSB & !IONPReady; - IONPReady.CLK = FCLK; // GCK - IONPReady.EXP = A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$346_INV$533 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + !IOReady & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & + !IOReady & fsb/ASrf & nADoutLE1; + IOReady.CLK = FCLK; // GCK + IOReady.EXP = !iobs/Sent & nBERR_FSB + # !IOBERR & nBERR_FSB + # nAS_FSB & !fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 8 | iobs/IOL1 +MACROCELL | 2 | 5 | cnt/LTimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 0 | 7 | 17 +OUTPUTMC | 2 | 3 | 3 | 0 | 15 +INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 14 | 0 | 5 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 2 | 6 | 1 | 14 | 0 | 6 +EQ | 6 | + cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & + cnt/LTimer<11>; + cnt/LTimerTC.CLK = FCLK; // GCK + cnt/LTimerTC.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 12 | iobs/IOL1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 2 | 3 | 0 | 3 | 17 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 11 +INPUTMC | 1 | 3 | 2 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -706,11 +823,11 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 7 | iobs/IOU1 +MACROCELL | 0 | 11 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 13 | 7 | 12 +OUTPUTMC | 2 | 3 | 15 | 3 | 14 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 11 +INPUTMC | 1 | 3 | 2 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -720,193 +837,193 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 -INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP25_.EXP -INPUTMC | 7 | 3 | 9 | 2 | 14 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 10 -INPUTP | 8 | 54 | 36 | 30 | 26 | 18 | 19 | 29 | 28 +OUTPUTMC | 2 | 7 | 10 | 7 | 12 +INPUTS | 10 | A_FSB<23> | ram/RefUrg | ram/RS_FSM_FFd8 | BACTr | A_FSB<22> | ram/RASEN | cs/nOverlay | nAS_FSB | fsb/ASrf | IOReady.EXP +INPUTMC | 7 | 7 | 9 | 7 | 12 | 2 | 4 | 7 | 0 | 7 | 6 | 2 | 2 | 7 | 10 +INPUTP | 3 | 36 | 30 | 54 EXPORTS | 1 | 7 | 12 IMPORTS | 1 | 7 | 10 -EQ | 11 | - nBERR_FSB.D = !iobs/Sent & nBERR_FSB - # nAS_FSB & !fsb/ASrf -;Imported pterms FB8_11 - # !IOBERR & nBERR_FSB; +EQ | 15 | + nBERR_FSB.D = ;Imported pterms FB8_11 + !iobs/Sent & nBERR_FSB + # !IOBERR & nBERR_FSB + # nAS_FSB & !fsb/ASrf; nBERR_FSB.CLK = FCLK; // GCK - nBERR_FSB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 + nBERR_FSB_OBUF.EXP = A_FSB<23> & !ram/RefUrg & ram/RS_FSM_FFd8 & + BACTr + # A_FSB<22> & !ram/RefUrg & ram/RS_FSM_FFd8 & + BACTr + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + ram/RS_FSM_FFd8 & !ram/RASEN + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 8684418 | 0 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 2 | 1 | 3 | 9 -INPUTP | 9 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 -EQ | 8 | +OUTPUTMC | 1 | 3 | 11 +INPUTS | 16 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IOReady | nAS_FSB | fsb/ASrf | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | nADoutLE1 +INPUTMC | 6 | 7 | 10 | 2 | 2 | 3 | 8 | 3 | 11 | 0 | 10 | 5 | 13 +INPUTP | 10 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 | 47 +EXPORTS | 1 | 3 | 11 +EQ | 12 | !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IOReady & !nAS_FSB # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IOReady & fsb/ASrf; nVPA_FSB.CLK = FCLK; // GCK nVPA_FSB.AP = nAS_FSB; + nVPA_FSB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nRAMLWE_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 5 -INPUTP | 9 | 36 | 30 | 26 | 24 | 23 | 18 | 19 | 29 | 28 -EXPORTS | 1 | 7 | 3 -IMPORTS | 1 | 7 | 5 -EQ | 15 | - !nRAS = ;Imported pterms FB8_6 - ram/RASrr - # ram/RASrf +INPUTS | 7 | ram/RASrf | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN +INPUTMC | 4 | 0 | 2 | 7 | 17 | 7 | 6 | 7 | 0 +INPUTP | 3 | 36 | 30 | 54 +EQ | 4 | + !nRAS = ram/RASrf + # ram/RASrr # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & ram/RASEN; - nRAS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 7 | 14 | 3 | 2 | 7 | 13 -INPUTS | 11 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<16> -INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 11 | 1 | 13 | 7 | 2 | 5 | 13 -INPUTP | 5 | 36 | 30 | 24 | 23 | 22 -EXPORTS | 1 | 7 | 13 -EQ | 10 | - nBR_IOB.T = nBR_IOB & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 +OUTPUTMC | 3 | 7 | 13 | 3 | 2 | 7 | 15 +INPUTS | 12 | ram/RefUrg | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | fsb/ASrf | A_FSB<23> | ram/RefReq | ram/RS_FSM_FFd4 | BACTr | A_FSB<22> | ram/RS_FSM_FFd6.EXP +INPUTMC | 9 | 7 | 9 | 1 | 1 | 0 | 9 | 7 | 13 | 2 | 2 | 7 | 2 | 0 | 1 | 2 | 4 | 7 | 13 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 7 | 15 +IMPORTS | 1 | 7 | 13 +EQ | 19 | + nBR_IOB.T = ;Imported pterms FB8_14 + nBR_IOB & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 # !nBR_IOB & !cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & !cnt/nIPL2r; nBR_IOB.CLK = FCLK; // GCK - nBR_IOB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 + nBR_IOB_OBUF.EXP = ram/RefUrg & nAS_FSB & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf + # A_FSB<23> & ram/RefReq & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 + # A_FSB<23> & ram/RefReq & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & + fsb/ASrf + # A_FSB<22> & ram/RefReq & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 + # A_FSB<22> & ram/RefReq & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & + fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 10 | RA_11_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 4 | 9 -INPUTP | 2 | 26 | 28 -EQ | 2 | +OUTPUTMC | 1 | 4 | 11 +INPUTS | 5 | A_FSB<19> | ram/RASEL | A_FSB<20> | A_FSB<12> | A_FSB<4> +INPUTMC | 1 | 7 | 3 +INPUTP | 4 | 26 | 28 | 17 | 157 +EXPORTS | 1 | 4 | 11 +EQ | 4 | RA<3> = A_FSB<20> & ram/RASEL # A_FSB<19> & !ram/RASEL; + RA_11_OBUF.EXP = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<4> MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 2 | 1 | 2 | 2 | 7 -INPUTS | 6 | nAS_FSB | cnt/WS<2> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2>.EXP -INPUTMC | 5 | 2 | 7 | 3 | 9 | 3 | 11 | 2 | 9 | 2 | 7 -INPUTP | 1 | 54 -EXPORTS | 1 | 2 | 7 -IMPORTS | 1 | 2 | 7 -EQ | 20 | - nDTACK_FSB.D = ;Imported pterms FB3_8 - A_FSB<23> & !IONPReady - # !IONPReady & !QoSReady +OUTPUTMC | 1 | 1 | 2 +INPUTS | 10 | A_FSB<23> | IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | RAMReady | WS.EXP | cnt/Credits<6>.EXP +INPUTMC | 5 | 7 | 10 | 2 | 2 | 7 | 15 | 2 | 7 | 2 | 9 +INPUTP | 5 | 36 | 54 | 30 | 28 | 29 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 19 | + nDTACK_FSB.D = A_FSB<23> & !IOReady # nAS_FSB & !fsb/ASrf - # A_FSB<22> & A_FSB<21> & !IONPReady - # A_FSB<22> & A_FSB<20> & !IONPReady -;Imported pterms FB3_7 - # !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<22> & A_FSB<21> & !IOReady + # A_FSB<22> & A_FSB<20> & !IOReady + # !A_FSB<22> & !IOReady & !RAMReady +;Imported pterms FB3_8 + # !A_FSB<22> & !A_FSB<20> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<19> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<18> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<17> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<16> & !SndReady & !IOReady +;Imported pterms FB3_10 + # !A_FSB<22> & !A_FSB<21> & !SndReady & !IOReady + # !A_FSB<22> & !SndReady & nWE_FSB & !IOReady # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1; + A_FSB<17> & A_FSB<16> & !nWE_FSB & !IOReady & !nADoutLE1; nDTACK_FSB.CLK = FCLK; // GCK - nDTACK_FSB_OBUF.EXP = nAS_FSB & cnt/WS<2> & !fsb/ASrf - # !nAS_FSB & cnt/WS<0> & cnt/WS<1> - # cnt/WS<0> & cnt/WS<1> & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 9 | ram/RASEL +MACROCELL | 7 | 3 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 4 | 10 | 4 | 9 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 6 | 7 | 5 | 7 | 2 -INPUTS | 14 | ram/RASEL | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd5 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN | fsb/ASrf -INPUTMC | 11 | 4 | 9 | 4 | 0 | 0 | 0 | 0 | 2 | 0 | 6 | 0 | 17 | 0 | 1 | 2 | 10 | 2 | 5 | 4 | 6 | 3 | 9 +OUTPUTMC | 14 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 7 | 6 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 1 | 7 | 5 | 7 | 2 +INPUTS | 8 | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf +INPUTMC | 5 | 7 | 13 | 7 | 6 | 7 | 12 | 7 | 0 | 2 | 2 INPUTP | 3 | 36 | 30 | 54 -EQ | 9 | - ram/RASEL.D = ram/RS_FSM_FFd5 +EQ | 6 | + ram/RASEL.D = ram/RS_FSM_FFd6 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN + ram/RS_FSM_FFd8 & ram/RASEN # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf - # ram/RASEL & !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd6 & - !ram/RS_FSM_FFd2; + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; ram/RASEL.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 4 | iobs/TS_FSM_FFd1 +MACROCELL | 0 | 10 | iobs/TS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 2 | 14 | 7 | 16 | 2 | 4 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 2 | 2 | 2 | 10 | 2 | 15 | 7 | 7 | 7 | 9 | 7 | 15 +OUTPUTMC | 16 | 3 | 8 | 3 | 11 | 0 | 10 | 3 | 12 | 3 | 2 | 3 | 0 | 3 | 15 | 3 | 6 | 4 | 12 | 0 | 4 | 3 | 3 | 3 | 7 | 3 | 9 | 3 | 10 | 4 | 11 | 4 | 13 INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 2 | 2 | 4 | 1 | 4 +INPUTMC | 3 | 3 | 12 | 0 | 10 | 1 | 4 EQ | 3 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; iobs/TS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 2 | iobs/TS_FSM_FFd2 +MACROCELL | 3 | 12 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 24 | 2 | 14 | 7 | 16 | 2 | 4 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 2 | 3 | 2 | 2 | 2 | 10 | 2 | 12 | 2 | 15 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP22_.EXP -INPUTMC | 7 | 2 | 4 | 7 | 2 | 1 | 4 | 2 | 14 | 5 | 13 | 4 | 9 | 7 | 3 -INPUTP | 2 | 28 | 26 -EXPORTS | 1 | 7 | 1 -IMPORTS | 1 | 7 | 3 -EQ | 28 | +OUTPUTMC | 21 | 3 | 8 | 3 | 11 | 0 | 10 | 3 | 12 | 3 | 2 | 3 | 0 | 3 | 15 | 3 | 6 | 4 | 12 | 0 | 8 | 0 | 4 | 3 | 1 | 3 | 5 | 3 | 7 | 3 | 9 | 3 | 13 | 3 | 14 | 3 | 16 | 3 | 17 | 4 | 11 | 4 | 13 +INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<16> | iobs/IORW1.EXP | EXP11_.EXP +INPUTMC | 8 | 0 | 10 | 3 | 12 | 1 | 4 | 3 | 8 | 5 | 13 | 2 | 2 | 3 | 11 | 3 | 13 +INPUTP | 4 | 54 | 36 | 30 | 22 +IMPORTS | 2 | 3 | 11 | 3 | 13 +EQ | 24 | !iobs/TS_FSM_FFd2.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_4 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_5 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_12 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & +;Imported pterms FB4_14 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; iobs/TS_FSM_FFd2.CLK = FCLK; // GCK - iobs/TS_FSM_FFd2.EXP = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd7 @@ -970,45 +1087,6 @@ EQ | 2 | iobm/IOS_FSM_FFd4.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 0 | ram/RS_FSM_FFd7 -ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 16 | 4 | 9 | 4 | 0 | 4 | 6 | 2 | 9 | 0 | 17 | 4 | 17 | 4 | 12 | 4 | 3 | 0 | 0 | 4 | 5 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 16 -INPUTS | 11 | RefUrg | cs/nOverlay | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | A_FSB<22> | A_FSB<23> | ram/RASEN | nROMCS_OBUF.EXP | RAMReady.EXP -INPUTMC | 9 | 0 | 12 | 2 | 5 | 0 | 5 | 0 | 2 | 4 | 0 | 0 | 0 | 4 | 6 | 4 | 1 | 4 | 17 -INPUTP | 2 | 30 | 36 -IMPORTS | 2 | 4 | 1 | 4 | 17 -EQ | 29 | - !ram/RS_FSM_FFd7.D = !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 - # A_FSB<23> & RefUrg & !ram/RefDone & - !ram/RS_FSM_FFd1 - # A_FSB<22> & RefUrg & !ram/RefDone & - !ram/RS_FSM_FFd1 - # RefUrg & cs/nOverlay & !ram/RefDone & - !ram/RS_FSM_FFd1 - # RefUrg & !ram/RefDone & !ram/RASEN & - !ram/RS_FSM_FFd1 -;Imported pterms FB5_2 - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB5_18 - # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 - # RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd1 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1; - ram/RS_FSM_FFd7.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 1 | 17 | iobm/ES<2> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 @@ -1045,46 +1123,34 @@ EQ | 5 | iobm/IOS_FSM_FFd6.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 15 | cnt/IS_FSM_FFd1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 7 | 16 -INPUTS | 24 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | cnt/LTimerTC | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> -INPUTMC | 13 | 0 | 9 | 7 | 15 | 0 | 11 | 1 | 14 | 1 | 13 | 0 | 4 | 3 | 12 | 2 | 14 | 7 | 16 | 2 | 4 | 3 | 9 | 5 | 13 | 7 | 2 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 7 | 16 -EQ | 19 | - cnt/IS_FSM_FFd1.T = cnt/TimerTC & !cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1> & cnt/LTimerTC; - cnt/IS_FSM_FFd1.CLK = FCLK; // GCK - cnt/IS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 11 | cnt/IS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 6 | 1 -INPUTS | 6 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> | cnt/LTimerTC -INPUTMC | 6 | 0 | 9 | 7 | 15 | 0 | 11 | 1 | 14 | 0 | 4 | 3 | 12 -EQ | 5 | - cnt/IS_FSM_FFd2.T = cnt/TimerTC & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC - # cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC; - cnt/IS_FSM_FFd2.CLK = FCLK; // GCK +MACROCELL | 7 | 12 | ram/RS_FSM_FFd8 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 12 | 7 | 3 | 7 | 12 | 7 | 0 | 7 | 8 | 7 | 13 | 7 | 15 | 7 | 17 | 4 | 3 | 4 | 5 | 7 | 1 | 7 | 7 | 7 | 11 +INPUTS | 10 | ram/RS_FSM_FFd1 | ram/RefUrg | ram/RS_FSM_FFd4 | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | A_FSB<22> | ram/RefReq | A_FSB<23> | nBERR_FSB_OBUF.EXP +INPUTMC | 7 | 1 | 1 | 7 | 9 | 0 | 1 | 7 | 12 | 2 | 2 | 7 | 2 | 7 | 11 +INPUTP | 3 | 54 | 30 | 36 +IMPORTS | 1 | 7 | 11 +EQ | 20 | + ram/RS_FSM_FFd8.D = ram/RS_FSM_FFd1 + # !ram/RefUrg & ram/RS_FSM_FFd4 + # A_FSB<23> & !ram/RefUrg & !ram/RefReq & + ram/RS_FSM_FFd8 + # A_FSB<22> & !ram/RefUrg & !ram/RefReq & + ram/RS_FSM_FFd8 + # !ram/RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & + !fsb/ASrf +;Imported pterms FB8_12 + # A_FSB<23> & !ram/RefUrg & ram/RS_FSM_FFd8 & + BACTr + # A_FSB<22> & !ram/RefUrg & ram/RS_FSM_FFd8 & + BACTr + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + ram/RS_FSM_FFd8 & !ram/RASEN + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; + ram/RS_FSM_FFd8.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 6 | iobm/ES<1> @@ -1116,143 +1182,127 @@ EQ | 6 | !iobm/ES<3>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 0 | ram/RS_FSM_FFd3 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 9 | 4 | 0 | 4 | 6 | 0 | 17 | 4 | 17 | 4 | 12 | 4 | 4 | 4 | 1 | 4 | 3 | 4 | 7 | 4 | 13 | 4 | 15 | 4 | 16 -INPUTS | 10 | ram/RS_FSM_FFd4 | ram/DTACKr | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd7 | ram/BACTr | fsb/ASrf | A_FSB<22> -INPUTMC | 7 | 0 | 6 | 1 | 2 | 0 | 13 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 0 | 17 -EQ | 10 | - ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd4 & ram/DTACKr; - ram/RS_FSM_FFd3.CLK = FCLK; // GCK - ram/RS_FSM_FFd3.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf +MACROCELL | 3 | 3 | cnt/IS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 7 | 13 | 3 | 3 | 0 | 15 | 0 | 3 | 3 | 2 +INPUTS | 20 | cnt/TimerTC | cnt/LTimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 +INPUTMC | 11 | 0 | 13 | 2 | 5 | 3 | 3 | 0 | 15 | 1 | 14 | 1 | 13 | 0 | 6 | 3 | 8 | 0 | 10 | 2 | 2 | 5 | 13 +INPUTP | 9 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 3 | 2 +EQ | 6 | + cnt/IS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/IS_FSM_FFd1 & + cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; + cnt/IS_FSM_FFd1.CLK = FCLK; // GCK + cnt/IS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 6 | ram/RASEN +MACROCELL | 0 | 15 | cnt/IS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 7 | 13 | 3 | 3 | 0 | 15 | 0 | 3 | 3 | 2 +INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 13 | 2 | 5 | 3 | 3 | 0 | 15 | 1 | 14 | 0 | 6 +EQ | 5 | + cnt/IS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/IS_FSM_FFd1 & + cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> + # cnt/TimerTC & cnt/LTimerTC & !cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/IS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 0 | ram/RASEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 17 | 4 | 9 | 4 | 0 | 4 | 6 | 2 | 9 | 0 | 17 | 4 | 16 | 4 | 12 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 7 | 5 -INPUTS | 14 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RASEN | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | RefUrg | nAS_FSB | fsb/ASrf | ram/DTACKr | ram/BACTr | EXP19_.EXP -INPUTMC | 13 | 4 | 0 | 0 | 0 | 4 | 6 | 0 | 6 | 2 | 10 | 0 | 17 | 0 | 1 | 0 | 2 | 0 | 12 | 3 | 9 | 1 | 2 | 3 | 6 | 4 | 7 -INPUTP | 1 | 54 -EXPORTS | 1 | 4 | 5 -IMPORTS | 1 | 4 | 7 -EQ | 21 | +OUTPUTMC | 11 | 7 | 4 | 7 | 3 | 7 | 11 | 4 | 5 | 7 | 7 | 7 | 13 | 7 | 15 | 7 | 16 | 7 | 0 | 7 | 1 | 7 | 17 +INPUTS | 13 | ram/RS_FSM_FFd1 | ram/RefUrg | ram/RS_FSM_FFd4 | ram/RefReq | ram/RS_FSM_FFd8 | BACTr | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RASEN | ram/RS_FSM_FFd7 | fsb/ASrf | RA_11_OBUF$BUF0.EXP +INPUTMC | 11 | 1 | 1 | 7 | 9 | 0 | 1 | 7 | 2 | 7 | 12 | 2 | 4 | 7 | 6 | 7 | 0 | 7 | 8 | 2 | 2 | 7 | 1 +INPUTP | 2 | 36 | 30 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 1 +EQ | 16 | ram/RASEN.D = ram/RS_FSM_FFd1 - # !RefUrg & ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & ram/RASEN & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & - !ram/RS_FSM_FFd2 -;Imported pterms FB5_8 - # ram/RefDone & ram/RS_FSM_FFd7 - # ram/RefDone & ram/RS_FSM_FFd3 - # !RefUrg & !RefReq & ram/RS_FSM_FFd7 - # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf -;Imported pterms FB5_9 - # !A_FSB<23> & !A_FSB<22> & !RefUrg & - ram/RS_FSM_FFd7 + # !ram/RefUrg & ram/RS_FSM_FFd4 + # !ram/RefUrg & !ram/RefReq & ram/RS_FSM_FFd8 + # !ram/RefUrg & ram/RS_FSM_FFd8 & BACTr +;Imported pterms FB8_2 + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + ram/RS_FSM_FFd8 + # !ram/RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & + !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; ram/RASEN.CLK = FCLK; // GCK - ram/RASEN.EXP = nAS_FSB & !fsb/ASrf - # ram/DTACKr & ram/BACTr + ram/RASEN.EXP = !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & ram/RASEN & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd7 & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 2 | ram/RS_FSM_FFd1 +MACROCELL | 1 | 1 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 0 | 5 | 4 | 9 | 4 | 0 | 4 | 6 | 4 | 17 | 4 | 1 | 4 | 4 | 4 | 13 | 4 | 15 | 4 | 16 +OUTPUTMC | 7 | 7 | 8 | 7 | 2 | 7 | 12 | 7 | 0 | 7 | 15 | 4 | 4 | 7 | 14 INPUTS | 1 | ram/RS_FSM_FFd2 -INPUTMC | 1 | 0 | 1 +INPUTMC | 1 | 1 | 0 EQ | 2 | ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd2; ram/RS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 6 | ram/RS_FSM_FFd4 +MACROCELL | 0 | 1 | ram/RS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 9 | 0 | 0 | 4 | 6 | 0 | 6 | 4 | 17 | 4 | 3 | 4 | 13 | 4 | 15 | 4 | 16 -INPUTS | 3 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd4 | ram/DTACKr -INPUTMC | 3 | 2 | 10 | 0 | 6 | 1 | 2 -EQ | 3 | - ram/RS_FSM_FFd4.D = ram/RS_FSM_FFd5 - # ram/RS_FSM_FFd4 & !ram/DTACKr; +OUTPUTMC | 8 | 7 | 12 | 7 | 0 | 7 | 7 | 7 | 15 | 7 | 17 | 4 | 3 | 7 | 14 | 7 | 16 +INPUTS | 2 | ram/DTACKr | ram/RS_FSM_FFd5 +INPUTMC | 2 | 1 | 2 | 0 | 9 +EQ | 2 | + ram/RS_FSM_FFd4.D = ram/DTACKr & ram/RS_FSM_FFd5; ram/RS_FSM_FFd4.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 10 | ram/RS_FSM_FFd5 +MACROCELL | 7 | 8 | ram/RS_FSM_FFd7 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 9 | 4 | 6 | 0 | 6 | 4 | 17 | 0 | 3 | 4 | 13 | 4 | 15 | 4 | 16 | 2 | 11 -INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | iobs/TS_FSM_FFd2 | A_FSB<14> | cnt/WS<1>.EXP -INPUTMC | 6 | 2 | 14 | 2 | 4 | 5 | 13 | 3 | 9 | 7 | 2 | 2 | 9 -INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 -EXPORTS | 1 | 2 | 11 -IMPORTS | 1 | 2 | 9 -EQ | 26 | - ram/RS_FSM_FFd5.D = ;Imported pterms FB3_10 - !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf; - ram/RS_FSM_FFd5.CLK = FCLK; // GCK - ram/RS_FSM_FFd5.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 +OUTPUTMC | 8 | 7 | 8 | 7 | 2 | 4 | 9 | 7 | 17 | 4 | 4 | 7 | 0 | 7 | 16 | 7 | 9 +INPUTS | 11 | ram/RefUrg | ram/RefReq | BACTr | ram/RS_FSM_FFd8 | RefClk | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/nRefClkR | nRAMUWE_OBUF.EXP +INPUTMC | 11 | 7 | 9 | 7 | 2 | 2 | 4 | 7 | 12 | 6 | 17 | 1 | 1 | 7 | 8 | 1 | 0 | 4 | 9 | 0 | 0 | 7 | 7 +EXPORTS | 1 | 7 | 9 +IMPORTS | 1 | 7 | 7 +EQ | 16 | + !ram/RS_FSM_FFd7.D = !ram/RefUrg & !ram/RefReq + # !ram/RefUrg & !ram/RS_FSM_FFd8 + # !ram/RefUrg & BACTr +;Imported pterms FB8_8 + # !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg + # !ram/RefUrg & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & ram/RASEN & + !ram/RS_FSM_FFd4 + # !A_FSB<23> & !A_FSB<22> & ram/RASEN & + !ram/RS_FSM_FFd4 & fsb/ASrf; + ram/RS_FSM_FFd7.CLK = FCLK; // GCK + ram/RS_FSM_FFd7.EXP = !RefClk & ram/RefUrg & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # ram/RefUrg & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd7 & + !ram/nRefClkR & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 17 | ram/RS_FSM_FFd6 +MACROCELL | 0 | 0 | ram/nRefClkR ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 0 | 5 | 4 | 9 | 4 | 6 | 0 | 1 | 4 | 17 | 4 | 4 | 0 | 3 | 4 | 13 -INPUTS | 10 | RefUrg | ram/RefDone | ram/RS_FSM_FFd3 | A_FSB<22> | ram/RS_FSM_FFd7 | A_FSB<23> | ram/RASEN | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd3.EXP -INPUTMC | 7 | 0 | 12 | 0 | 5 | 0 | 0 | 4 | 0 | 4 | 6 | 3 | 9 | 0 | 0 -INPUTP | 3 | 30 | 36 | 54 -IMPORTS | 1 | 0 | 0 -EQ | 19 | - ram/RS_FSM_FFd6.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd3 - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & - !ram/RASEN - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd7 & !fsb/ASrf -;Imported pterms FB1_1 - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf; - ram/RS_FSM_FFd6.CLK = FCLK; // GCK +OUTPUTMC | 3 | 7 | 9 | 7 | 2 | 7 | 8 +INPUTS | 1 | RefClk +INPUTMC | 1 | 6 | 17 +EQ | 2 | + ram/nRefClkR.D = !RefClk; + ram/nRefClkR.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 4 | BACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 7 | 11 | 7 | 0 | 7 | 8 | 2 | 6 | 2 | 1 | 7 | 14 | 7 | 16 | 4 | 3 | 4 | 5 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 2 | 2 +INPUTP | 1 | 54 +EQ | 2 | + !BACTr.D = nAS_FSB & !fsb/ASrf; + BACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 17 | IOACT @@ -1275,17 +1325,6 @@ EQ | 11 | IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 11 | cnt/WS<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 3 | 11 | 2 | 9 | 2 | 5 | 2 | 8 | 2 | 16 -INPUTS | 3 | nAS_FSB | cnt/WS<0> | fsb/ASrf -INPUTMC | 2 | 3 | 11 | 3 | 9 -INPUTP | 1 | 54 -EQ | 2 | - !cnt/WS<0>.T = nAS_FSB & !cnt/WS<0> & !fsb/ASrf; - cnt/WS<0>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 @@ -1309,7 +1348,7 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 1 | 4 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 4 | 7 | 2 | 2 | 13 | 7 | 8 +OUTPUTMC | 4 | 0 | 10 | 3 | 12 | 3 | 6 | 4 | 12 INPUTS | 1 | IOACT INPUTMC | 1 | 5 | 17 EQ | 2 | @@ -1317,58 +1356,36 @@ EQ | 2 | iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 11 | iobs/Load1 +MACROCELL | 3 | 2 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 0 | 8 | 0 | 7 | 5 | 13 | 2 | 12 -INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | cs/nOverlay | ram/RS_FSM_FFd5.EXP -INPUTMC | 7 | 2 | 14 | 7 | 2 | 5 | 13 | 2 | 4 | 3 | 9 | 2 | 5 | 2 | 10 -INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 -EXPORTS | 1 | 2 | 12 -IMPORTS | 1 | 2 | 10 -EQ | 38 | +OUTPUTMC | 4 | 0 | 12 | 0 | 11 | 5 | 13 | 3 | 1 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | iobs/TS_FSM_FFd1 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE | cnt/IS_FSM_FFd1.EXP +INPUTMC | 10 | 3 | 8 | 3 | 12 | 5 | 13 | 2 | 2 | 0 | 10 | 7 | 14 | 3 | 3 | 0 | 15 | 3 | 1 | 3 | 3 +INPUTP | 10 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 +EXPORTS | 1 | 3 | 1 +IMPORTS | 1 | 3 | 3 +EQ | 16 | iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & - nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & - nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & - nADoutLE1 -;Imported pterms FB3_11 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 +;Imported pterms FB4_4 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1; + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1; iobs/Load1.CLK = FCLK; // GCK - iobs/Load1.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 + iobs/Load1.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 + # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE GLOBALS | 1 | 2 | FCLK MACROCELL | 1 | 2 | ram/DTACKr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 0 | 0 | 0 | 6 | 4 | 3 | 4 | 6 +OUTPUTMC | 4 | 0 | 1 | 0 | 9 | 4 | 4 | 4 | 5 INPUTS | 1 | nDTACK_FSB INPUTMC | 1 | 2 | 8 EQ | 2 | @@ -1376,150 +1393,154 @@ EQ | 2 | ram/DTACKr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 1 | ram/RS_FSM_FFd2 +MACROCELL | 1 | 0 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 5 | 4 | 9 | 4 | 6 | 0 | 2 | 4 | 17 | 4 | 4 | 4 | 13 -INPUTS | 1 | ram/RS_FSM_FFd6 -INPUTMC | 1 | 0 | 17 +OUTPUTMC | 4 | 7 | 8 | 7 | 2 | 1 | 1 | 4 | 4 +INPUTS | 1 | ram/RS_FSM_FFd3 +INPUTMC | 1 | 4 | 9 EQ | 2 | - ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd6; + ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd3; ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 0 | IOL0 +MACROCELL | 4 | 9 | ram/RS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 7 | 8 | 7 | 2 | 1 | 0 | 4 | 4 +INPUTS | 1 | ram/RS_FSM_FFd7 +INPUTMC | 1 | 7 | 8 +EQ | 2 | + ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd7; + ram/RS_FSM_FFd3.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 9 | ram/RS_FSM_FFd5 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 0 | 1 | 0 | 9 | 7 | 15 | 4 | 4 | 7 | 14 +INPUTS | 3 | ram/RS_FSM_FFd6 | ram/DTACKr | ram/RS_FSM_FFd5 +INPUTMC | 3 | 7 | 13 | 1 | 2 | 0 | 9 +EQ | 3 | + ram/RS_FSM_FFd5.D = ram/RS_FSM_FFd6 + # !ram/DTACKr & ram/RS_FSM_FFd5; + ram/RS_FSM_FFd5.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 13 | ram/RS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 7 | 3 | 0 | 9 | 7 | 15 | 0 | 2 | 7 | 14 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r +INPUTMC | 8 | 7 | 6 | 7 | 12 | 7 | 0 | 2 | 2 | 7 | 14 | 3 | 3 | 0 | 15 | 1 | 13 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 14 +EQ | 8 | + ram/RS_FSM_FFd6.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; + ram/RS_FSM_FFd6.CLK = FCLK; // GCK + ram/RS_FSM_FFd6.EXP = nBR_IOB & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 + # !nBR_IOB & !cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & + !cnt/nIPL2r +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 0 | IOL0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 8 | 7 | 0 | 5 | 7 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP27_.EXP -INPUTMC | 8 | 2 | 4 | 2 | 14 | 7 | 2 | 5 | 13 | 7 | 0 | 0 | 8 | 7 | 1 | 7 | 17 +OUTPUTMC | 4 | 5 | 8 | 3 | 0 | 3 | 17 | 5 | 7 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | nAoutOE_OBUF.EXP | EXP14_.EXP +INPUTMC | 8 | 0 | 10 | 3 | 8 | 3 | 12 | 5 | 13 | 3 | 0 | 0 | 12 | 3 | 1 | 3 | 17 INPUTP | 1 | 49 -IMPORTS | 2 | 7 | 1 | 7 | 17 -EQ | 30 | +IMPORTS | 2 | 3 | 1 | 3 | 17 +EQ | 27 | !IOL0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nLDS_FSB & !IOL0 & nADoutLE1 # !nLDS_FSB & IOL0 & nADoutLE1 # iobs/IOL1 & IOL0 & !nADoutLE1 -;Imported pterms FB8_2 +;Imported pterms FB4_2 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_18 + # !iobs/IOL1 & !IOL0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_18 - # !iobs/IOL1 & !IOL0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_17 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1; IOL0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 13 | IOU0 +MACROCELL | 3 | 15 | IOU0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 10 | 7 | 13 | 5 | 9 | 7 | 12 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP26_.EXP | nBR_IOB_OBUF.EXP -INPUTMC | 8 | 2 | 4 | 2 | 14 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 7 | 7 | 12 | 7 | 14 +OUTPUTMC | 4 | 5 | 10 | 3 | 15 | 3 | 14 | 5 | 9 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP12_.EXP | EXP13_.EXP +INPUTMC | 8 | 0 | 10 | 3 | 8 | 3 | 12 | 5 | 13 | 3 | 15 | 0 | 11 | 3 | 14 | 3 | 16 INPUTP | 1 | 56 -IMPORTS | 2 | 7 | 12 | 7 | 14 -EQ | 30 | +IMPORTS | 2 | 3 | 14 | 3 | 16 +EQ | 27 | !IOU0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nUDS_FSB & !IOU0 & nADoutLE1 # !nUDS_FSB & IOU0 & nADoutLE1 # iobs/IOU1 & IOU0 & !nADoutLE1 -;Imported pterms FB8_13 +;Imported pterms FB4_15 # !iobs/IOU1 & !IOU0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_12 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_15 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_17 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IOU0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 9 | cnt/WS<1> +MACROCELL | 2 | 7 | WS ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 9 | 2 | 5 | 2 | 8 | 2 | 16 | 2 | 10 -INPUTS | 9 | cnt/WS<0> | cnt/WS<1> | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RS_FSM_FFd7 | ram/RASEN -INPUTMC | 6 | 3 | 11 | 2 | 9 | 3 | 9 | 2 | 5 | 4 | 0 | 4 | 6 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 2 | 10 -EQ | 8 | - !cnt/WS<1>.D = nAS_FSB & !fsb/ASrf - # cnt/WS<0> & cnt/WS<1> - # !cnt/WS<0> & !cnt/WS<1>; - cnt/WS<1>.CLK = FCLK; // GCK - cnt/WS<1>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 7 | cnt/WS<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 2 | 5 | 2 | 8 | 2 | 16 -INPUTS | 10 | A_FSB<23> | IONPReady | QoSReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | cnt/WS<3>.EXP | nDTACK_FSB_OBUF.EXP -INPUTMC | 5 | 2 | 1 | 2 | 0 | 3 | 9 | 2 | 6 | 2 | 8 -INPUTP | 5 | 36 | 54 | 30 | 29 | 28 +OUTPUTMC | 9 | 2 | 10 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 9 | 2 | 16 | 2 | 8 +INPUTS | 9 | A_FSB<22> | A_FSB<20> | SndReady | IOReady | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cnt/LTimer<11>.EXP +INPUTMC | 3 | 2 | 15 | 7 | 10 | 2 | 6 +INPUTP | 6 | 30 | 28 | 26 | 24 | 23 | 22 EXPORTS | 1 | 2 | 8 -IMPORTS | 2 | 2 | 6 | 2 | 8 -EQ | 20 | - cnt/WS<2>.T = ;Imported pterms FB3_9 - nAS_FSB & cnt/WS<2> & !fsb/ASrf - # !nAS_FSB & cnt/WS<0> & cnt/WS<1> - # cnt/WS<0> & cnt/WS<1> & fsb/ASrf; - cnt/WS<2>.CLK = FCLK; // GCK - cnt/WS<2>.EXP = A_FSB<23> & !IONPReady - # !IONPReady & !QoSReady - # nAS_FSB & !fsb/ASrf - # A_FSB<22> & A_FSB<21> & !IONPReady - # A_FSB<22> & A_FSB<20> & !IONPReady -;Imported pterms FB3_7 - # !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1 +IMPORTS | 1 | 2 | 6 +EQ | 9 | + WS.D = ;Imported pterms FB3_7 + !nAS_FSB & BACTr & fsb/BACTr<1> & fsb/BACTr<2> + # BACTr & fsb/BACTr<1> & fsb/ASrf & fsb/BACTr<2>; + WS.CLK = FCLK; // GCK + WS.EXP = !A_FSB<22> & !A_FSB<20> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<19> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<18> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<17> & !SndReady & !IOReady + # !A_FSB<22> & !A_FSB<16> & !SndReady & !IOReady GLOBALS | 1 | 2 | FCLK MACROCELL | 1 | 10 | iobm/IORDREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 INPUTS | 1 | IORDREQ -INPUTMC | 1 | 2 | 13 +INPUTMC | 1 | 3 | 6 EQ | 2 | iobm/IORDREQr.D = IORDREQ; iobm/IORDREQr.CLK = C16M; // GCK @@ -1547,46 +1568,38 @@ EQ | 14 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 13 | IORDREQ +MACROCELL | 3 | 6 | IORDREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 1 | 10 | 2 | 12 | 2 | 14 -INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | A_FSB<22> | A_FSB<21> | iobs/Sent | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | EXP10_.EXP -INPUTMC | 8 | 2 | 4 | 7 | 2 | 1 | 4 | 2 | 14 | 3 | 9 | 5 | 13 | 2 | 5 | 2 | 12 -INPUTP | 4 | 30 | 29 | 28 | 54 -EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 12 -EQ | 21 | +OUTPUTMC | 2 | 1 | 10 | 3 | 6 +INPUTS | 8 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | nWE_FSB | nADoutLE1 | iobs/Sent | nDinOE_OBUF.EXP +INPUTMC | 7 | 0 | 10 | 3 | 12 | 1 | 4 | 3 | 6 | 5 | 13 | 3 | 8 | 3 | 5 +INPUTP | 1 | 47 +IMPORTS | 1 | 3 | 5 +EQ | 14 | !IORDREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr -;Imported pterms FB3_13 # iobs/TS_FSM_FFd2 & !IORDREQ # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_6 # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB3_12 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IORDREQ.CLK = FCLK; // GCK - IORDREQ.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 8 | IOWRREQ +MACROCELL | 4 | 12 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 1 | 6 -INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP24_.EXP -INPUTMC | 10 | 2 | 4 | 7 | 2 | 7 | 8 | 1 | 4 | 7 | 16 | 5 | 13 | 2 | 14 | 3 | 9 | 7 | 7 | 7 | 9 +OUTPUTMC | 2 | 4 | 12 | 1 | 6 +INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | RA_5_OBUF.EXP | RA_2_OBUF.EXP +INPUTMC | 10 | 0 | 10 | 3 | 12 | 4 | 12 | 1 | 4 | 3 | 11 | 5 | 13 | 3 | 8 | 2 | 2 | 4 | 11 | 4 | 13 INPUTP | 3 | 36 | 47 | 54 -IMPORTS | 2 | 7 | 7 | 7 | 9 -EQ | 35 | +IMPORTS | 2 | 4 | 11 | 4 | 13 +EQ | 29 | IOWRREQ.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2 & IOWRREQ # iobs/TS_FSM_FFd2 & !iobs/IOACTr & IOWRREQ # !iobs/IORW1 & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & @@ -1595,7 +1608,7 @@ EQ | 35 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<23> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB8_8 +;Imported pterms FB5_12 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & @@ -1606,128 +1619,31 @@ EQ | 35 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_10 +;Imported pterms FB5_14 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + A_FSB<17> & A_FSB<16> & !iobs/Sent & !nWE_FSB & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + A_FSB<17> & A_FSB<16> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1; IOWRREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 0 | QoSReady +MACROCELL | 0 | 7 | cnt/C8Mr<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 7 | 2 | 0 -INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$346_INV$533 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | IONPReady.EXP | EXP12_.EXP -INPUTMC | 6 | 3 | 13 | 2 | 0 | 3 | 17 | 3 | 15 | 2 | 1 | 2 | 17 -INPUTP | 4 | 36 | 47 | 30 | 28 -IMPORTS | 2 | 2 | 1 | 2 | 17 -EQ | 36 | - QoSReady.D = A_FSB<23> & !$OpTx$$OpTx$FX_DC$346_INV$533 - # !cnt/LTimer<0> & !cnt/LTimer<1> - # !nWE_FSB & !$OpTx$$OpTx$FX_DC$346_INV$533 - # QoSReady & !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<20> & - !$OpTx$$OpTx$FX_DC$346_INV$533 -;Imported pterms FB3_2 - # A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$346_INV$533 -;Imported pterms FB3_18 - # A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$346_INV$533 -;Imported pterms FB3_17 - # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$346_INV$533; - QoSReady.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 17 | RAMReady -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 6 | 4 | 16 | 4 | 0 -INPUTS | 16 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | RefUrg | ram/RefDone | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP21_.EXP -INPUTMC | 13 | 4 | 0 | 0 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 0 | 17 | 0 | 1 | 0 | 12 | 0 | 5 | 3 | 9 | 2 | 5 | 4 | 6 | 4 | 16 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 16 -EQ | 44 | - !RAMReady.D = !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & - ram/RS_FSM_FFd6 - # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & - ram/RS_FSM_FFd2 -;Imported pterms FB5_17 - # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !RAMReady - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & - !ram/RASEN & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 - # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & - ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 -;Imported pterms FB5_16 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf; - RAMReady.CLK = FCLK; // GCK - RAMReady.EXP = RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 - # RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd1 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1 +OUTPUTMC | 9 | 2 | 10 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 9 | 2 | 3 | 2 | 16 +INPUTS | 1 | C8M +INPUTP | 1 | 35 +EQ | 2 | + cnt/C8Mr<0>.D = C8M; + cnt/C8Mr<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 1 | 14 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 12 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 13 | 6 | 15 | 0 | 9 | 7 | 15 | 0 | 11 | 0 | 4 | 6 | 17 | 6 | 1 +OUTPUTMC | 25 | 4 | 17 | 6 | 17 | 0 | 5 | 0 | 14 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 4 | 7 | 4 | 0 | 0 | 16 | 0 | 17 | 2 | 6 | 0 | 13 | 2 | 5 | 3 | 3 | 0 | 15 | 0 | 6 | 4 | 16 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1735,34 +1651,9 @@ EQ | 2 | cnt/Er<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 6 | cnt/WS<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 2 | 6 | 2 | 16 | 2 | 7 -INPUTS | 18 | nAS_FSB | cnt/WS<3> | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nADoutLE1 | A_FSB<14> | cs/nOverlay.EXP -INPUTMC | 6 | 2 | 6 | 3 | 9 | 2 | 1 | 4 | 17 | 5 | 13 | 2 | 5 -INPUTP | 12 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 2 | 7 -IMPORTS | 1 | 2 | 5 -EQ | 14 | - cnt/WS<3>.T = nAS_FSB & cnt/WS<3> & !fsb/ASrf -;Imported pterms FB3_6 - # !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf; - cnt/WS<3>.CLK = FCLK; // GCK - cnt/WS<3>.EXP = !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1 -GLOBALS | 1 | 2 | FCLK - MACROCELL | 1 | 13 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 14 | 7 | 15 +OUTPUTMC | 2 | 7 | 13 | 3 | 3 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1770,11 +1661,21 @@ EQ | 2 | cnt/nIPL2r.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 2 | 1 | fsb/BACTr<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 2 | 6 | 2 | 0 +INPUTS | 1 | BACTr +INPUTMC | 1 | 2 | 4 +EQ | 2 | + fsb/BACTr<1>.D = BACTr; + fsb/BACTr<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 4358912 | 0 OUTPUTMC | 3 | 5 | 7 | 3 | 4 | 5 | 8 INPUTS | 10 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | IOL0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 2 | 1 | 12 | 5 | 7 | 1 | 6 | 5 | 3 | 1 | 8 | 1 | 7 | 5 | 0 | 7 | 0 | 1 | 10 +INPUTMC | 10 | 5 | 2 | 1 | 12 | 5 | 7 | 1 | 6 | 5 | 3 | 1 | 8 | 1 | 7 | 5 | 0 | 3 | 0 | 1 | 10 EXPORTS | 1 | 5 | 8 EQ | 13 | iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & @@ -1823,7 +1724,7 @@ MACROCELL | 1 | 6 | iobm/IOWRREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 4 INPUTS | 1 | IOWRREQ -INPUTMC | 1 | 7 | 8 +INPUTMC | 1 | 4 | 12 EQ | 2 | iobm/IOWRREQr.D = IOWRREQ; iobm/IOWRREQr.CLK = C16M; // GCK @@ -1839,67 +1740,65 @@ EQ | 2 | !iobm/VPAr.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 6 | ram/BACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 4 | 1 | 4 | 3 | 0 | 0 | 4 | 15 | 4 | 11 | 4 | 6 | 4 | 7 | 4 | 13 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 3 | 9 -INPUTP | 1 | 54 -EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 12 | ram/RASrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 4 | 13 | 7 | 5 -INPUTS | 11 | RefUrg | ram/RefDone | ram/RS_FSM_FFd3 | A_FSB<22> | ram/RS_FSM_FFd7 | A_FSB<23> | ram/RASEN | nAS_FSB | fsb/ASrf | RA_5_OBUF.EXP | RA_2_OBUF.EXP -INPUTMC | 8 | 0 | 12 | 0 | 5 | 0 | 0 | 4 | 0 | 4 | 6 | 3 | 9 | 4 | 11 | 4 | 13 -INPUTP | 3 | 30 | 36 | 54 -IMPORTS | 2 | 4 | 11 | 4 | 13 -EQ | 27 | - ram/RASrr.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd3 - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & - !ram/RASEN - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd7 & !fsb/ASrf -;Imported pterms FB5_12 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr -;Imported pterms FB5_14 - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf - # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/RS_FSM_FFd2 & ram/RASrr; - ram/RASrr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 3 | ALE0S +MACROCELL | 0 | 8 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 1 | iobs/TS_FSM_FFd2 -INPUTMC | 1 | 7 | 2 +INPUTMC | 1 | 3 | 12 EQ | 2 | ALE0S.D = iobs/TS_FSM_FFd2; ALE0S.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 4 | cnt/Er<1> +MACROCELL | 7 | 15 | RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 12 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 13 | 6 | 15 | 0 | 9 | 7 | 15 | 0 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 10 | A_FSB<22> | ram/RefUrg | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | A_FSB<23> | ram/RASEN | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | nBR_IOB_OBUF.EXP +INPUTMC | 8 | 7 | 9 | 1 | 1 | 0 | 9 | 7 | 13 | 7 | 0 | 7 | 12 | 0 | 1 | 7 | 14 +INPUTP | 2 | 30 | 36 +IMPORTS | 1 | 7 | 14 +EQ | 26 | + !RAMReady.D = A_FSB<23> & ram/RefUrg & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 + # A_FSB<22> & ram/RefUrg & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 + # ram/RefUrg & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 + # ram/RefUrg & !ram/RASEN & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 + # !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 +;Imported pterms FB8_15 + # ram/RefUrg & nAS_FSB & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf + # A_FSB<23> & ram/RefReq & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 + # A_FSB<23> & ram/RefReq & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & + fsb/ASrf + # A_FSB<22> & ram/RefReq & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 + # A_FSB<22> & ram/RefReq & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !BACTr & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & + fsb/ASrf; + RAMReady.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 3 | cnt/C8Mr<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 2 | 10 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 2 | 13 | 2 | 9 | 2 | 16 +INPUTS | 1 | cnt/C8Mr<0> +INPUTMC | 1 | 0 | 7 +EQ | 2 | + cnt/C8Mr<1>.D = cnt/C8Mr<0>; + cnt/C8Mr<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 6 | cnt/Er<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 24 | 4 | 16 | 6 | 17 | 0 | 5 | 0 | 14 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 4 | 7 | 4 | 0 | 0 | 16 | 0 | 17 | 2 | 6 | 0 | 13 | 2 | 5 | 3 | 3 | 0 | 15 | 4 | 17 INPUTS | 1 | cnt/Er<0> INPUTMC | 1 | 1 | 14 EQ | 2 | @@ -1907,22 +1806,9 @@ EQ | 2 | cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | cnt/LTimerTC +MACROCELL | 2 | 2 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 15 | 0 | 11 -INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> -INPUTMC | 12 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 -EQ | 5 | - cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & - cnt/LTimer<11>; - cnt/LTimerTC.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 9 | fsb/ASrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 40 | 3 | 1 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 15 | 0 | 0 | 7 | 11 | 3 | 10 | 2 | 7 | 4 | 9 | 4 | 17 | 2 | 15 | 4 | 5 | 2 | 8 | 0 | 17 | 3 | 11 | 2 | 10 | 6 | 0 | 7 | 12 | 2 | 9 | 2 | 2 | 2 | 11 | 7 | 8 | 4 | 15 | 2 | 6 | 3 | 6 | 4 | 12 | 4 | 1 | 2 | 13 | 6 | 16 | 3 | 13 | 4 | 6 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 +OUTPUTMC | 36 | 4 | 15 | 3 | 8 | 7 | 6 | 4 | 6 | 3 | 17 | 2 | 15 | 3 | 11 | 7 | 10 | 7 | 9 | 3 | 10 | 2 | 8 | 7 | 3 | 3 | 12 | 7 | 12 | 4 | 14 | 7 | 7 | 2 | 4 | 3 | 2 | 7 | 13 | 4 | 5 | 3 | 14 | 2 | 6 | 3 | 5 | 4 | 12 | 7 | 14 | 7 | 17 | 4 | 3 | 3 | 3 | 3 | 9 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 0 | 7 | 1 | 7 | 11 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1930,27 +1816,29 @@ EQ | 2 | !fsb/ASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 2 | iobs/Clear1 +MACROCELL | 2 | 0 | fsb/BACTr<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 2 | 1 -INPUTS | 17 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB -INPUTMC | 6 | 2 | 4 | 7 | 2 | 2 | 14 | 2 | 1 | 1 | 3 | 3 | 9 -INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 -EXPORTS | 1 | 2 | 1 -EQ | 8 | +OUTPUTMC | 1 | 2 | 6 +INPUTS | 1 | fsb/BACTr<1> +INPUTMC | 1 | 2 | 1 +EQ | 2 | + fsb/BACTr<2>.D = fsb/BACTr<1>; + fsb/BACTr<2>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 4 | iobs/Clear1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 13 +INPUTS | 2 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 +INPUTMC | 2 | 0 | 10 | 3 | 12 +EQ | 2 | iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; iobs/Clear1.CLK = FCLK; // GCK - iobs/Clear1.EXP = !iobs/Sent & !IONPReady - # !IONPReady & !iobs/IODONEr - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !nWE_FSB & !IONPReady GLOBALS | 1 | 2 | FCLK MACROCELL | 1 | 3 | iobs/IODONEr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 2 +OUTPUTMC | 2 | 7 | 10 | 7 | 9 INPUTS | 1 | IODONE INPUTMC | 1 | 5 | 9 EQ | 2 | @@ -1958,30 +1846,64 @@ EQ | 2 | iobs/IODONEr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 8 | nRESout +MACROCELL | 0 | 3 | nRESout ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 3 | 7 INPUTS | 2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 -INPUTMC | 2 | 7 | 15 | 0 | 11 +INPUTMC | 2 | 3 | 3 | 0 | 15 EQ | 2 | nRESout.D = cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2; nRESout.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 3 | ram/RASrf +MACROCELL | 0 | 2 | ram/RASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 5 -INPUTS | 2 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 -INPUTMC | 2 | 2 | 10 | 0 | 17 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 1 | ram/RS_FSM_FFd6 +INPUTMC | 1 | 7 | 13 EQ | 2 | - !ram/RASrf.D = !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6; + ram/RASrf.D = ram/RS_FSM_FFd6; !ram/RASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 7 | 17 | ram/RASrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 11 | ram/RefUrg | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd4 | nAS_FSB | fsb/ASrf | A_FSB<22> | ram/RefReq | A_FSB<23> | ram/RASEN.EXP | EXP20_.EXP +INPUTMC | 8 | 7 | 9 | 7 | 12 | 7 | 8 | 0 | 1 | 2 | 2 | 7 | 2 | 7 | 0 | 7 | 16 +INPUTP | 3 | 54 | 30 | 36 +IMPORTS | 2 | 7 | 0 | 7 | 16 +EQ | 24 | + !ram/RASrr.D = !ram/RefUrg & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd7 + # !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd7 + # A_FSB<23> & !ram/RefUrg & !ram/RefReq & + !ram/RS_FSM_FFd7 + # A_FSB<22> & !ram/RefUrg & !ram/RefReq & + !ram/RS_FSM_FFd7 + # !ram/RefUrg & nAS_FSB & !ram/RS_FSM_FFd7 & + !fsb/ASrf +;Imported pterms FB8_1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & ram/RASEN & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd7 & fsb/ASrf +;Imported pterms FB8_17 + # A_FSB<23> & !ram/RefUrg & !ram/RS_FSM_FFd7 & + BACTr + # A_FSB<22> & !ram/RefUrg & !ram/RS_FSM_FFd7 & + BACTr + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + !cs/nOverlay & !ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & !ram/RASEN & + !ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & !nAS_FSB & + ram/RASEN & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd7; + ram/RASrr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | ram/RASEL | A_FSB<1> | A_FSB<9> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 7 | 3 INPUTP | 2 | 149 | 12 EQ | 2 | RA<0> = ram/RASEL & A_FSB<1> @@ -1990,7 +1912,7 @@ EQ | 2 | MACROCELL | 6 | 8 | RA_10_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 7 | 3 INPUTP | 2 | 23 | 8 EQ | 2 | RA<10> = A_FSB<17> & !ram/RASEL @@ -1998,91 +1920,105 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 0 -INPUTS | 16 | A_FSB<10> | ram/RASEL | A_FSB<2> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 4 | 9 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 9 | 0 | 11 | 1 | 14 | 0 | 4 +INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<2> +INPUTMC | 1 | 7 | 3 INPUTP | 2 | 13 | 153 -EXPORTS | 1 | 6 | 0 -EQ | 6 | +EQ | 2 | RA<1> = A_FSB<10> & !ram/RASEL # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1> MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 12 -INPUTS | 18 | A_FSB<16> | ram/RASEL | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd7 | ram/BACTr | fsb/ASrf | A_FSB<22> | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | ram/RASrr | RA_6_OBUF.EXP -INPUTMC | 14 | 4 | 9 | 0 | 13 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 | 0 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 0 | 17 | 0 | 1 | 4 | 12 | 4 | 14 -INPUTP | 4 | 22 | 36 | 54 | 30 +INPUTS | 17 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | nAS_FSB +INPUTMC | 7 | 7 | 3 | 3 | 8 | 7 | 6 | 0 | 10 | 3 | 12 | 2 | 2 | 5 | 13 +INPUTP | 10 | 22 | 8 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 54 EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 14 -EQ | 12 | +EQ | 10 | RA<2> = A_FSB<16> & !ram/RASEL -;Imported pterms FB5_15 # ram/RASEL & A_FSB<7>; - RA_2_OBUF.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf - # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/RS_FSM_FFd2 & ram/RASrr + RA_2_OBUF.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & !nWE_FSB & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 7 -INPUTS | 10 | A_FSB<11> | ram/RASEL | A_FSB<3> | A_FSB<23> | A_FSB<22> | RefUrg | ram/RS_FSM_FFd7 | nAS_FSB | ram/RASEN | fsb/ASrf -INPUTMC | 5 | 4 | 9 | 0 | 12 | 4 | 0 | 4 | 6 | 3 | 9 -INPUTP | 5 | 15 | 155 | 36 | 30 | 54 +INPUTS | 20 | A_FSB<11> | ram/RASEL | A_FSB<3> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<12> | A_FSB<10> | nWE_FSB | A_FSB<8> | A_FSB<15> | A_FSB<13> | fsb/ASrf | A_FSB<9> +INPUTMC | 2 | 7 | 3 | 2 | 2 +INPUTP | 18 | 15 | 155 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 17 | 13 | 47 | 11 | 21 | 18 | 12 EXPORTS | 1 | 4 | 7 -EQ | 8 | +EQ | 14 | RA<4> = A_FSB<11> & !ram/RASEL # ram/RASEL & A_FSB<3>; - RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !RefUrg & - ram/RS_FSM_FFd7 - # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & - ram/RASEN & fsb/ASrf + RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 12 -INPUTS | 13 | A_FSB<12> | ram/RASEL | A_FSB<4> | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd7 | ram/RASEN | fsb/ASrf | RefReq | ram/RefDone | ram/BACTr -INPUTMC | 8 | 4 | 9 | 2 | 5 | 4 | 0 | 4 | 6 | 3 | 9 | 0 | 13 | 0 | 5 | 3 | 6 -INPUTP | 5 | 17 | 157 | 36 | 30 | 54 +INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | RA_11_OBUF.EXP +INPUTMC | 7 | 3 | 8 | 0 | 10 | 3 | 12 | 5 | 13 | 2 | 2 | 7 | 6 | 4 | 10 +INPUTP | 5 | 30 | 29 | 47 | 54 | 28 EXPORTS | 1 | 4 | 12 -EQ | 8 | - RA<5> = A_FSB<12> & !ram/RASEL +IMPORTS | 1 | 4 | 10 +EQ | 13 | + RA<5> = ;Imported pterms FB5_11 + A_FSB<12> & !ram/RASEL # ram/RASEL & A_FSB<4>; - RA_5_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/BACTr + RA_5_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 13 -INPUTS | 4 | A_FSB<13> | ram/RASEL | A_FSB<5> | A_FSB<7> -INPUTMC | 1 | 4 | 9 -INPUTP | 3 | 18 | 4 | 8 -EXPORTS | 1 | 4 | 13 -EQ | 3 | - RA<6> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - RA_6_OBUF.EXP = ram/RASEL & A_FSB<7> +OUTPUTMC | 1 | 4 | 15 +INPUTS | 20 | ram/RASEL | A_FSB<13> | A_FSB<5> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | A_FSB<15> | fsb/ASrf | A_FSB<9> +INPUTMC | 2 | 7 | 3 | 2 | 2 +INPUTP | 18 | 18 | 4 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 17 | 15 | 13 | 47 | 11 | 21 | 12 +EXPORTS | 1 | 4 | 15 +EQ | 14 | + RA<6> = ram/RASEL & A_FSB<5> + # !ram/RASEL & A_FSB<13>; + RA_6_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 7 | 3 INPUTP | 2 | 19 | 6 EQ | 2 | RA<7> = A_FSB<14> & !ram/RASEL @@ -2091,7 +2027,7 @@ EQ | 2 | MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<21> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 7 | 3 INPUTP | 2 | 24 | 29 EQ | 2 | RA<8> = A_FSB<21> & ram/RASEL @@ -2099,62 +2035,54 @@ EQ | 2 | MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 4 | 9 +INPUTS | 3 | ram/RASEL | A_FSB<15> | A_FSB<8> +INPUTMC | 1 | 7 | 3 INPUTP | 2 | 21 | 11 EQ | 2 | - RA<9> = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<8>; + RA<9> = ram/RASEL & A_FSB<8> + # !ram/RASEL & A_FSB<15>; MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 17 -INPUTS | 12 | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$346_INV$533 | A_FSB<10> | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> -INPUTMC | 5 | 3 | 13 | 3 | 11 | 2 | 9 | 2 | 7 | 2 | 6 -INPUTP | 7 | 47 | 54 | 30 | 15 | 13 | 12 | 11 +INPUTS | 6 | nWE_FSB | nAS_FSB | cnt/SndSlow | WS | cnt/C8Mr<0> | cnt/C8Mr<1> +INPUTMC | 4 | 4 | 17 | 2 | 7 | 0 | 7 | 2 | 3 +INPUTP | 2 | 47 | 54 EXPORTS | 1 | 2 | 17 -EQ | 9 | +EQ | 2 | !nROMWE = !nWE_FSB & !nAS_FSB; - nROMWE_OBUF.EXP = A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$346_INV$533 + nROMWE_OBUF.EXP = cnt/SndSlow & !WS & !cnt/C8Mr<0> & cnt/C8Mr<1> MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 15 | 2 | 3 +INPUTMC | 2 | 5 | 15 | 0 | 8 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 8 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | RefUrg | ram/RS_FSM_FFd6 | ram/RefDone | ram/RS_FSM_FFd3 | EXP18_.EXP | nOE_OBUF.EXP -INPUTMC | 8 | 0 | 1 | 0 | 2 | 0 | 12 | 0 | 17 | 0 | 5 | 0 | 0 | 4 | 3 | 4 | 5 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/DTACKr | ram/RS_FSM_FFd5 | ram/RefUrg | ram/RS_FSM_FFd7 | EXP16_.EXP | nOE_OBUF.EXP +INPUTMC | 9 | 1 | 0 | 4 | 9 | 1 | 1 | 1 | 2 | 0 | 9 | 7 | 9 | 7 | 8 | 4 | 3 | 4 | 5 IMPORTS | 2 | 4 | 3 | 4 | 5 -EQ | 20 | +EQ | 19 | nCAS.D = ram/RS_FSM_FFd1 # ram/RS_FSM_FFd2 - # !RefUrg & ram/RS_FSM_FFd3 - # !RefUrg & ram/RS_FSM_FFd6 - # ram/RefDone & ram/RS_FSM_FFd6 + # ram/RS_FSM_FFd3 + # !ram/RefUrg & ram/RS_FSM_FFd7 + # ram/DTACKr & ram/RS_FSM_FFd5 ;Imported pterms FB5_4 - # ram/RefDone & ram/RS_FSM_FFd7 - # ram/RefDone & ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd4 & ram/DTACKr - # !RefUrg & !RefReq & ram/RS_FSM_FFd7 - # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr + # !ram/RefUrg & ram/RS_FSM_FFd4 + # !ram/RefUrg & !ram/RefReq & ram/RS_FSM_FFd8 + # !ram/RefUrg & ram/RS_FSM_FFd8 & BACTr + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + ram/RS_FSM_FFd8 + # !ram/RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & + !fsb/ASrf ;Imported pterms FB5_6 - # !A_FSB<23> & !A_FSB<22> & !RefUrg & - ram/RS_FSM_FFd7 - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; !nCAS.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK @@ -2177,62 +2105,60 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 4 | 4 -INPUTS | 9 | nWE_FSB | A_FSB<23> | A_FSB<22> | RefUrg | ram/RS_FSM_FFd7 | nAS_FSB | fsb/ASrf | ram/RASEN | ram/RASEN.EXP -INPUTMC | 5 | 0 | 12 | 4 | 0 | 3 | 9 | 4 | 6 | 4 | 6 -INPUTP | 4 | 47 | 36 | 30 | 54 +INPUTS | 9 | nWE_FSB | nAS_FSB | fsb/ASrf | BACTr | ram/DTACKr | A_FSB<23> | A_FSB<22> | ram/RS_FSM_FFd8 | ram/RASEN +INPUTMC | 5 | 2 | 2 | 2 | 4 | 1 | 2 | 7 | 12 | 7 | 0 +INPUTP | 4 | 47 | 54 | 36 | 30 EXPORTS | 1 | 4 | 4 -IMPORTS | 1 | 4 | 6 -EQ | 12 | +EQ | 8 | nOE.D = !nWE_FSB -;Imported pterms FB5_7 # nAS_FSB & !fsb/ASrf - # ram/DTACKr & ram/BACTr; + # BACTr & ram/DTACKr; nOE.CLK = FCLK; // GCK - nOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !RefUrg & - ram/RS_FSM_FFd7 - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & + nOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 -INPUTS | 1 | nRESout -INPUTMC | 1 | 3 | 8 -EQ | 2 | +OUTPUTMC | 1 | 3 | 8 +INPUTS | 8 | nRESout | A_FSB<22> | iobs/Sent | cs/nOverlay | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 +INPUTMC | 6 | 0 | 3 | 3 | 8 | 7 | 6 | 0 | 10 | 3 | 12 | 5 | 13 +INPUTP | 2 | 30 | 54 +EXPORTS | 1 | 3 | 8 +EQ | 4 | nRES = Gnd; nRES.OE = !nRESout; + N0.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 7 | 1 | RA_11_OBUF$BUF0 ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 0 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 2 -INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 +INPUTS | 10 | A_FSB<19> | ram/RASEL | A_FSB<23> | A_FSB<22> | ram/RefUrg | ram/RS_FSM_FFd8 | nAS_FSB | fsb/ASrf | ram/RASEN | ram/RefReq.EXP +INPUTMC | 6 | 7 | 3 | 7 | 9 | 7 | 12 | 2 | 2 | 7 | 0 | 7 | 2 +INPUTP | 4 | 26 | 36 | 30 | 54 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 2 -EQ | 13 | - RA<11> = ;Imported pterms FB8_3 - A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - RA_11_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 +EQ | 11 | + RA<11> = A_FSB<19> & !ram/RASEL +;Imported pterms FB8_3 + # A_FSB<20> & ram/RASEL; + RA_11_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + ram/RS_FSM_FFd8 + # !ram/RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & + !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & + ram/RASEN & fsb/ASrf MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 23 | 2 | 14 | 7 | 16 | 2 | 6 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 12 | 7 | 8 | 5 | 13 | 2 | 10 | 2 | 13 | 2 | 15 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +OUTPUTMC | 23 | 3 | 8 | 3 | 11 | 7 | 9 | 5 | 13 | 3 | 12 | 3 | 2 | 3 | 0 | 3 | 15 | 3 | 6 | 4 | 12 | 2 | 9 | 3 | 1 | 3 | 3 | 3 | 5 | 3 | 7 | 3 | 9 | 3 | 10 | 3 | 13 | 3 | 14 | 3 | 16 | 3 | 17 | 4 | 11 | 4 | 13 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 2 | 5 | 13 | 2 | 11 +INPUTMC | 3 | 0 | 4 | 5 | 13 | 3 | 2 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -2242,119 +2168,126 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 | 3 | 2 | 5 | 16 | 3 | 0 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | nAS_FSB | EXP14_.EXP -INPUTMC | 5 | 7 | 15 | 0 | 11 | 3 | 9 | 6 | 17 | 3 | 2 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 | 54 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | nWE_FSB | cs/nOverlay | iobs/Load1.EXP +INPUTMC | 4 | 3 | 12 | 5 | 13 | 7 | 6 | 3 | 2 +INPUTP | 6 | 36 | 30 | 29 | 28 | 26 | 47 EXPORTS | 1 | 3 | 0 IMPORTS | 1 | 3 | 2 -EQ | 29 | +EQ | 14 | !nAoutOE.D = ;Imported pterms FB4_3 !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE; nAoutOE.CLK = FCLK; // GCK - nAoutOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + nAoutOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<20> | A_FSB<21> -INPUTP | 6 | 36 | 47 | 54 | 30 | 28 | 29 -EQ | 3 | - !nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; +OUTPUTMC | 1 | 3 | 6 +INPUTS | 12 | A_FSB<23> | cs/nOverlay | nWE_FSB | nAS_FSB | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | fsb/ASrf | A_FSB<21> | A_FSB<20> | nDoutOE_OBUF.EXP +INPUTMC | 6 | 7 | 6 | 3 | 11 | 3 | 12 | 5 | 13 | 2 | 2 | 3 | 4 +INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 +EXPORTS | 1 | 3 | 6 +IMPORTS | 1 | 3 | 4 +EQ | 13 | + !nDinOE = A_FSB<23> & cs/nOverlay & nWE_FSB & !nAS_FSB +;Imported pterms FB4_5 + # A_FSB<22> & A_FSB<21> & cs/nOverlay & nWE_FSB & + !nAS_FSB + # A_FSB<22> & A_FSB<20> & cs/nOverlay & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr -INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 10 | 5 | 12 | 1 | 6 -EQ | 3 | +OUTPUTMC | 1 | 3 | 5 +INPUTS | 11 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr | A_FSB<22> | A_FSB<21> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<20> +INPUTMC | 6 | 5 | 7 | 3 | 1 | 1 | 10 | 5 | 12 | 1 | 6 | 7 | 6 +INPUTP | 5 | 30 | 29 | 47 | 54 | 28 +EXPORTS | 1 | 3 | 5 +EQ | 7 | !nDoutOE = iobm/DoutOE & !nAoutOE # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & !nAoutOE; + nDoutOE_OBUF.EXP = A_FSB<22> & A_FSB<21> & cs/nOverlay & nWE_FSB & + !nAS_FSB + # A_FSB<22> & A_FSB<20> & cs/nOverlay & nWE_FSB & + !nAS_FSB MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RASEL | ram/RASrr | ram/RASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN -INPUTMC | 5 | 4 | 9 | 4 | 12 | 0 | 3 | 2 | 5 | 4 | 6 -INPUTP | 5 | 47 | 49 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 4 -EQ | 5 | +INPUTS | 3 | nWE_FSB | nLDS_FSB | ram/RASEL +INPUTMC | 1 | 7 | 3 +INPUTP | 2 | 47 | 49 +EQ | 1 | !nRAMLWE = !nWE_FSB & !nLDS_FSB & ram/RASEL; - nRAMLWE_OBUF.EXP = ram/RASrr - # ram/RASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RASEN MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP23_.EXP -INPUTMC | 7 | 2 | 14 | 2 | 4 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 5 | 7 | 6 -INPUTP | 5 | 30 | 29 | 47 | 54 | 28 +INPUTS | 9 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | A_FSB<23> | A_FSB<22> | ram/RefUrg | nAS_FSB | fsb/ASrf | ram/RASEN | cs/nOverlay.EXP +INPUTMC | 6 | 7 | 12 | 0 | 1 | 7 | 9 | 2 | 2 | 7 | 0 | 7 | 6 +INPUTP | 3 | 36 | 30 | 54 EXPORTS | 1 | 7 | 8 IMPORTS | 1 | 7 | 6 -EQ | 12 | +EQ | 9 | !nRAMUWE = ;Imported pterms FB8_7 !nWE_FSB & !nUDS_FSB & ram/RASEL; - nRAMUWE_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + nRAMUWE_OBUF.EXP = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg + # !ram/RefUrg & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & ram/RASEN & + !ram/RS_FSM_FFd4 + # !A_FSB<23> & !A_FSB<22> & ram/RASEN & + !ram/RS_FSM_FFd4 & fsb/ASrf MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 0 -INPUTS | 12 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP17_.EXP -INPUTMC | 9 | 0 | 13 | 0 | 5 | 0 | 0 | 0 | 2 | 3 | 6 | 3 | 9 | 2 | 5 | 4 | 6 | 4 | 2 -INPUTP | 3 | 36 | 54 | 30 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | A_FSB<15> | A_FSB<13> | fsb/ASrf | A_FSB<8> | nAS_FSB | EXP15_.EXP +INPUTMC | 2 | 2 | 2 | 4 | 2 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 17 | 15 | 13 | 47 | 12 | 21 | 18 | 11 | 54 EXPORTS | 1 | 4 | 0 IMPORTS | 1 | 4 | 2 -EQ | 13 | +EQ | 23 | !nROMCS = ;Imported pterms FB5_3 - !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; - nROMCS_OBUF.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !cs/nOverlay + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20>; + nROMCS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 @@ -2362,564 +2295,302 @@ INPUTS | 0 EQ | 1 | C25MEN = Vcc; -MACROCELL | 6 | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 7 | 3 | 17 | 3 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 3 | 14 | 3 | 16 -INPUTS | 37 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<8> | A_FSB<9> | cnt/LTimer<9>.EXP | cnt/LTimer<10>.EXP -INPUTMC | 19 | 0 | 9 | 1 | 14 | 0 | 4 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 11 | 6 | 0 | 6 | 16 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 | 12 -IMPORTS | 2 | 6 | 0 | 6 | 16 -EQ | 47 | - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> - # !cnt/LTimer<0> & !cnt/LTimer<1> & !cnt/LTimer<10> & - !cnt/LTimer<2> & !cnt/LTimer<3> & !cnt/LTimer<4> & !cnt/LTimer<5> & - !cnt/LTimer<6> & !cnt/LTimer<7> & !cnt/LTimer<8> & !cnt/LTimer<9> & - !cnt/LTimer<11> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> -;Imported pterms FB7_1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf -;Imported pterms FB7_17 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9>; - -MACROCELL | 3 | 13 | $OpTx$$OpTx$FX_DC$346_INV$533 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 5 | 2 | 0 | 2 | 1 | 2 | 16 | 2 | 17 | 3 | 14 -INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> -INPUTMC | 4 | 3 | 9 | 7 | 15 | 0 | 11 | 6 | 17 -INPUTP | 18 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 -EXPORTS | 1 | 3 | 14 -EQ | 16 | - $OpTx$$OpTx$FX_DC$346_INV$533 = nAS_FSB & !fsb/ASrf; - $OpTx$$OpTx$FX_DC$346_INV$533.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - -MACROCELL | 2 | 12 | EXP10_ +MACROCELL | 3 | 9 | EXP10_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 9 | iobs/TS_FSM_FFd2 | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/IORW1 | A_FSB<23> | A_FSB<22> | iobs/Load1.EXP -INPUTMC | 6 | 7 | 2 | 2 | 13 | 2 | 14 | 5 | 13 | 7 | 16 | 2 | 11 -INPUTP | 3 | 47 | 36 | 30 -EXPORTS | 1 | 2 | 13 -IMPORTS | 1 | 2 | 11 -EQ | 11 | - EXP10_.EXP = iobs/TS_FSM_FFd2 & !IORDREQ - # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - nADoutLE1 -;Imported pterms FB3_12 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 2 | 15 | EXP11_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 18 | A_FSB<22> | iobs/Sent | cs/nOverlay | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nAS_FSB | A_FSB<14> -INPUTMC | 6 | 2 | 14 | 2 | 5 | 2 | 4 | 7 | 2 | 3 | 9 | 5 | 13 -INPUTP | 12 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 -EXPORTS | 1 | 2 | 14 -EQ | 14 | - EXP11_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & +OUTPUTMC | 1 | 3 | 8 +INPUTS | 16 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | A_FSB<23> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nAS_FSB +INPUTMC | 6 | 3 | 8 | 0 | 10 | 3 | 12 | 2 | 2 | 5 | 13 | 7 | 6 +INPUTP | 10 | 30 | 29 | 28 | 36 | 26 | 24 | 23 | 22 | 47 | 54 +EXPORTS | 1 | 3 | 8 +EQ | 12 | + EXP10_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & !nAS_FSB & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + !nWE_FSB & fsb/ASrf & nADoutLE1 -MACROCELL | 2 | 17 | EXP12_ +MACROCELL | 3 | 13 | EXP11_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 0 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$346_INV$533 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | nROMWE_OBUF.EXP -INPUTMC | 2 | 3 | 13 | 2 | 16 -INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 -EXPORTS | 1 | 2 | 0 -IMPORTS | 1 | 2 | 16 -EQ | 19 | - EXP12_.EXP = A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$346_INV$533 -;Imported pterms FB3_17 - # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$346_INV$533 - -MACROCELL | 3 | 0 | EXP13_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 26 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | nAoutOE_OBUF.EXP -INPUTMC | 9 | 3 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 11 | 3 | 1 -INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 -EXPORTS | 1 | 3 | 17 -IMPORTS | 1 | 3 | 1 -EQ | 39 | - EXP13_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<8> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<9> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<11> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - -MACROCELL | 3 | 2 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 1 -INPUTS | 4 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE -INPUTMC | 4 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 1 -EXPORTS | 1 | 3 | 1 -EQ | 2 | - EXP14_.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 - # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE - -MACROCELL | 3 | 14 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 15 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | $OpTx$$OpTx$FX_DC$346_INV$533.EXP -INPUTMC | 5 | 7 | 15 | 0 | 11 | 6 | 17 | 3 | 9 | 3 | 13 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 -EXPORTS | 1 | 3 | 15 -IMPORTS | 1 | 3 | 13 -EQ | 41 | - EXP15_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_14 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - -MACROCELL | 3 | 16 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<3> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<1>.EXP -INPUTMC | 8 | 3 | 17 | 6 | 13 | 6 | 17 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 15 -EXPORTS | 1 | 3 | 17 -IMPORTS | 1 | 3 | 15 -EQ | 21 | - EXP16_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<4> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<5> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<6> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<7> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_16 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - -MACROCELL | 4 | 2 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 1 -INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 1 | 2 | 5 -INPUTP | 4 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 4 | 1 -EQ | 2 | - EXP17_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay - -MACROCELL | 4 | 3 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 4 -INPUTS | 8 | ram/RefDone | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd4 | ram/DTACKr | RefUrg | RefReq | ram/BACTr -INPUTMC | 8 | 0 | 5 | 4 | 0 | 0 | 0 | 0 | 6 | 1 | 2 | 0 | 12 | 0 | 13 | 3 | 6 -EXPORTS | 1 | 4 | 4 -EQ | 5 | - EXP18_.EXP = ram/RefDone & ram/RS_FSM_FFd7 - # ram/RefDone & ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd4 & ram/DTACKr - # !RefUrg & !RefReq & ram/RS_FSM_FFd7 - # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr - -MACROCELL | 4 | 7 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 9 | ram/RefDone | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | RefUrg | RefReq | ram/BACTr | nAS_FSB | fsb/ASrf | RA_4_OBUF.EXP -INPUTMC | 8 | 0 | 5 | 4 | 0 | 0 | 0 | 0 | 12 | 0 | 13 | 3 | 6 | 3 | 9 | 4 | 8 -INPUTP | 1 | 54 -EXPORTS | 1 | 4 | 6 -IMPORTS | 1 | 4 | 8 -EQ | 12 | - EXP19_.EXP = ram/RefDone & ram/RS_FSM_FFd7 - # ram/RefDone & ram/RS_FSM_FFd3 - # !RefUrg & !RefReq & ram/RS_FSM_FFd7 - # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf -;Imported pterms FB5_9 - # !A_FSB<23> & !A_FSB<22> & !RefUrg & - ram/RS_FSM_FFd7 - # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd7 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & - ram/RASEN & fsb/ASrf - -MACROCELL | 4 | 15 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 16 -INPUTS | 13 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd3 | ram/BACTr | A_FSB<22> -INPUTMC | 10 | 0 | 12 | 0 | 5 | 4 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 3 | 9 | 0 | 13 | 0 | 0 | 3 | 6 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 4 | 16 -EQ | 15 | - EXP20_.EXP = RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf - -MACROCELL | 4 | 16 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 17 -INPUTS | 12 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | RAMReady | A_FSB<23> | RefUrg | ram/RefDone | A_FSB<22> | ram/RASEN | EXP20_.EXP -INPUTMC | 10 | 4 | 0 | 0 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 4 | 17 | 0 | 12 | 0 | 5 | 4 | 6 | 4 | 15 -INPUTP | 2 | 36 | 30 -EXPORTS | 1 | 4 | 17 -IMPORTS | 1 | 4 | 15 -EQ | 30 | - EXP21_.EXP = !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !RAMReady - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & - !ram/RASEN & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 - # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & - ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 -;Imported pterms FB5_16 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd5 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf - -MACROCELL | 7 | 3 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 2 -INPUTS | 11 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 3 | 9 | 5 | 13 | 7 | 4 -INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 22 | 47 -EXPORTS | 1 | 7 | 2 -IMPORTS | 1 | 7 | 4 -EQ | 21 | - EXP22_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & +OUTPUTMC | 1 | 3 | 12 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | nWE_FSB | cs/nOverlay +INPUTMC | 3 | 3 | 12 | 5 | 13 | 7 | 6 +INPUTP | 6 | 36 | 30 | 29 | 28 | 26 | 47 +EXPORTS | 1 | 3 | 12 +EQ | 10 | + EXP11_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_5 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 3 | 14 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 15 +INPUTS | 11 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 5 | 0 | 11 | 3 | 15 | 5 | 13 | 3 | 12 | 2 | 2 +INPUTP | 6 | 54 | 36 | 30 | 24 | 23 | 22 +EXPORTS | 1 | 3 | 15 +EQ | 9 | + EXP12_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 6 | EXP23_ +MACROCELL | 3 | 16 | EXP13_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 7 -INPUTS | 3 | nWE_FSB | nUDS_FSB | ram/RASEL -INPUTMC | 1 | 4 | 9 -INPUTP | 2 | 47 | 56 -EXPORTS | 1 | 7 | 7 -EQ | 1 | - EXP23_.EXP = !nWE_FSB & !nUDS_FSB & ram/RASEL - -MACROCELL | 7 | 9 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 17 | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nAS_FSB | A_FSB<14> -INPUTMC | 6 | 2 | 14 | 2 | 5 | 2 | 4 | 7 | 2 | 3 | 9 | 5 | 13 -INPUTP | 11 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 -EXPORTS | 1 | 7 | 8 -EQ | 14 | - EXP24_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - -MACROCELL | 7 | 10 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 11 -INPUTS | 2 | IOBERR | nBERR_FSB -INPUTMC | 2 | 1 | 16 | 7 | 11 -EXPORTS | 1 | 7 | 11 -EQ | 1 | - EXP25_.EXP = !IOBERR & nBERR_FSB - -MACROCELL | 7 | 12 | EXP26_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 13 -INPUTS | 12 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nBERR_FSB_OBUF.EXP -INPUTMC | 6 | 0 | 7 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 11 -INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 -EXPORTS | 1 | 7 | 13 -IMPORTS | 1 | 7 | 11 -EQ | 16 | - EXP26_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & +OUTPUTMC | 1 | 3 | 15 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<19> | nWE_FSB | cs/nOverlay +INPUTMC | 3 | 3 | 12 | 5 | 13 | 7 | 6 +INPUTP | 6 | 36 | 30 | 29 | 28 | 26 | 47 +EXPORTS | 1 | 3 | 15 +EQ | 10 | + EXP13_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_12 # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 17 | EXP27_ +MACROCELL | 3 | 17 | EXP14_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 12 | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | iobs/IORW1.EXP -INPUTMC | 6 | 0 | 8 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 16 -INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 -EXPORTS | 1 | 7 | 0 -IMPORTS | 1 | 7 | 16 -EQ | 12 | - EXP27_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 +OUTPUTMC | 1 | 3 | 0 +INPUTS | 11 | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 5 | 0 | 12 | 3 | 0 | 5 | 13 | 3 | 12 | 2 | 2 +INPUTP | 6 | 54 | 36 | 30 | 24 | 23 | 22 +EXPORTS | 1 | 3 | 0 +EQ | 9 | + EXP14_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_17 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 47 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 7 | 4 | 9 | 7 | 1 | 4 | 0 | 4 | 5 | 2 | 9 | 0 | 17 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 8 | 2 | 0 | 4 | 16 | 4 | 12 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 0 | 0 | 2 | 2 | 2 | 6 | 2 | 10 | 2 | 15 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 51 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 7 | 4 | 9 | 7 | 1 | 4 | 0 | 4 | 5 | 2 | 9 | 0 | 17 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 0 | 4 | 16 | 4 | 12 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 0 | 0 | 2 | 2 | 2 | 6 | 2 | 10 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 33 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 10 | 7 | 7 | 6 | 17 | 6 | 7 | 3 | 5 | 3 | 13 | 2 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 2 | 17 | 3 | 0 | 3 | 1 | 4 | 2 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 34 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 4 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 10 | 7 | 7 | 2 | 0 | 6 | 0 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 3 | 0 | 3 | 1 | 4 | 2 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 24 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 4 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 6 | 0 | 2 | 2 | 2 | 15 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 2 | 7 | 9 | 7 | 15 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 23 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 6 | 17 | 6 | 7 | 2 | 2 | 2 | 15 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 6 | 17 | 6 | 8 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 3 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 6 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 2 | 1 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 4 | 11 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 12 | 5 | 1 | 1 | 16 | 5 | 9 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 1 | 11 | 1 | 5 +MACROCELL | 4 | 2 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 1 +INPUTS | 5 | cs/nOverlay | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> +INPUTMC | 1 | 7 | 6 +INPUTP | 4 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 1 +EQ | 2 | + EXP15_.EXP = !cs/nOverlay + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + +MACROCELL | 4 | 3 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 4 +INPUTS | 9 | ram/RefUrg | ram/RS_FSM_FFd4 | ram/RefReq | ram/RS_FSM_FFd8 | BACTr | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf +INPUTMC | 6 | 7 | 9 | 0 | 1 | 7 | 2 | 7 | 12 | 2 | 4 | 2 | 2 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 4 +EQ | 7 | + EXP16_.EXP = !ram/RefUrg & ram/RS_FSM_FFd4 + # !ram/RefUrg & !ram/RefReq & ram/RS_FSM_FFd8 + # !ram/RefUrg & ram/RS_FSM_FFd8 & BACTr + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + ram/RS_FSM_FFd8 + # !ram/RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & + !fsb/ASrf + +MACROCELL | 4 | 6 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 7 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<9> | A_FSB<15> | A_FSB<13> | A_FSB<8> | fsb/ASrf +INPUTMC | 1 | 2 | 2 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 17 | 15 | 13 | 47 | 54 | 12 | 21 | 18 | 11 +EXPORTS | 1 | 4 | 7 +EQ | 20 | + EXP17_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + +MACROCELL | 4 | 15 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 16 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<9> | A_FSB<15> | A_FSB<13> | A_FSB<8> | fsb/ASrf | RA_6_OBUF.EXP +INPUTMC | 2 | 2 | 2 | 4 | 14 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 17 | 15 | 13 | 47 | 54 | 12 | 21 | 18 | 11 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 14 +EQ | 33 | + EXP18_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> +;Imported pterms FB5_15 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + +MACROCELL | 4 | 16 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 7 | cnt/SndSlow | cnt/STimer<0> | cnt/TimerTC | cnt/Er<1> | cnt/STimer<1> | cnt/Er<0> | EXP18_.EXP +INPUTMC | 7 | 4 | 17 | 4 | 7 | 0 | 13 | 0 | 6 | 4 | 0 | 1 | 14 | 4 | 15 +EXPORTS | 1 | 4 | 17 +IMPORTS | 1 | 4 | 15 +EQ | 41 | + EXP19_.EXP = cnt/SndSlow & cnt/STimer<0> & !cnt/TimerTC + # cnt/SndSlow & cnt/STimer<0> & !cnt/Er<1> + # cnt/SndSlow & !cnt/STimer<0> & cnt/STimer<1> + # cnt/STimer<0> & !cnt/STimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> + # !cnt/STimer<0> & cnt/STimer<1> & cnt/TimerTC & + !cnt/Er<0> & cnt/Er<1> +;Imported pterms FB5_16 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<9> & A_FSB<15> & A_FSB<13> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & + A_FSB<8> & A_FSB<15> & A_FSB<13> +;Imported pterms FB5_15 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<9> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !A_FSB<14> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & A_FSB<8> & + A_FSB<15> & A_FSB<13> & fsb/ASrf + +MACROCELL | 7 | 16 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 9 | A_FSB<23> | ram/RefUrg | ram/RS_FSM_FFd7 | BACTr | A_FSB<22> | cs/nOverlay | ram/RASEN | nAS_FSB | ram/RS_FSM_FFd4 +INPUTMC | 6 | 7 | 9 | 7 | 8 | 2 | 4 | 7 | 6 | 7 | 0 | 0 | 1 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 17 +EQ | 10 | + EXP20_.EXP = A_FSB<23> & !ram/RefUrg & !ram/RS_FSM_FFd7 & + BACTr + # A_FSB<22> & !ram/RefUrg & !ram/RS_FSM_FFd7 & + BACTr + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & + !cs/nOverlay & !ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & !ram/RefUrg & !ram/RASEN & + !ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & !nAS_FSB & + ram/RASEN & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd7 + +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 39 | 4 | 15 | 3 | 8 | 7 | 6 | 4 | 6 | 3 | 17 | 3 | 11 | 7 | 9 | 3 | 10 | 7 | 4 | 2 | 8 | 7 | 3 | 3 | 12 | 7 | 12 | 4 | 17 | 7 | 7 | 3 | 2 | 7 | 13 | 2 | 9 | 3 | 14 | 3 | 3 | 4 | 12 | 7 | 15 | 7 | 17 | 4 | 3 | 3 | 5 | 3 | 16 | 3 | 1 | 3 | 9 | 3 | 13 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 8 | 4 | 14 | 7 | 0 | 7 | 1 | 7 | 11 | 7 | 14 | 7 | 16 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 43 | 4 | 15 | 3 | 8 | 7 | 6 | 4 | 6 | 3 | 17 | 3 | 11 | 7 | 9 | 3 | 10 | 7 | 4 | 2 | 8 | 7 | 3 | 3 | 12 | 7 | 12 | 4 | 17 | 7 | 7 | 3 | 2 | 7 | 13 | 2 | 9 | 3 | 14 | 3 | 5 | 4 | 11 | 7 | 15 | 7 | 17 | 4 | 3 | 3 | 4 | 3 | 16 | 2 | 7 | 3 | 1 | 3 | 3 | 3 | 7 | 3 | 9 | 3 | 13 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 8 | 4 | 13 | 4 | 14 | 7 | 0 | 7 | 1 | 7 | 11 | 7 | 14 | 7 | 16 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 25 | 4 | 15 | 3 | 8 | 7 | 6 | 4 | 6 | 3 | 16 | 3 | 11 | 7 | 9 | 3 | 10 | 2 | 8 | 3 | 9 | 3 | 2 | 2 | 9 | 3 | 13 | 3 | 5 | 4 | 11 | 6 | 7 | 3 | 4 | 3 | 3 | 3 | 1 | 4 | 1 | 4 | 2 | 4 | 8 | 4 | 13 | 4 | 14 | 4 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 27 | 4 | 15 | 3 | 8 | 7 | 6 | 4 | 6 | 3 | 16 | 3 | 11 | 7 | 9 | 3 | 10 | 4 | 10 | 2 | 8 | 3 | 9 | 3 | 2 | 2 | 9 | 3 | 13 | 3 | 5 | 4 | 11 | 4 | 17 | 3 | 4 | 3 | 3 | 2 | 7 | 3 | 1 | 4 | 1 | 4 | 2 | 4 | 8 | 4 | 13 | 4 | 14 | 7 | 2 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 20 | 4 | 15 | 3 | 3 | 4 | 6 | 3 | 16 | 3 | 11 | 7 | 9 | 3 | 10 | 4 | 10 | 2 | 7 | 3 | 9 | 3 | 2 | 2 | 9 | 3 | 13 | 4 | 8 | 7 | 1 | 3 | 1 | 4 | 1 | 4 | 13 | 4 | 14 | 4 | 17 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 18 | 4 | 15 | 3 | 3 | 4 | 6 | 3 | 17 | 3 | 11 | 7 | 9 | 3 | 10 | 2 | 7 | 3 | 9 | 3 | 2 | 2 | 9 | 3 | 14 | 4 | 8 | 6 | 7 | 4 | 1 | 4 | 13 | 4 | 14 | 4 | 17 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 18 | 4 | 15 | 3 | 3 | 4 | 6 | 3 | 17 | 3 | 11 | 7 | 9 | 3 | 10 | 2 | 7 | 3 | 9 | 3 | 2 | 2 | 9 | 3 | 14 | 4 | 8 | 6 | 8 | 4 | 1 | 4 | 13 | 4 | 14 | 4 | 17 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 18 | 4 | 15 | 3 | 3 | 4 | 6 | 3 | 17 | 3 | 11 | 7 | 9 | 3 | 10 | 2 | 7 | 3 | 12 | 3 | 2 | 2 | 9 | 3 | 14 | 4 | 8 | 4 | 13 | 3 | 9 | 4 | 1 | 4 | 14 | 4 | 17 +PIN | C8M | 8256 | 0 | N/A | 35 | 11 | 1 | 12 | 0 | 7 | 5 | 1 | 1 | 16 | 5 | 9 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 1 | 11 | 1 | 5 PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 12 | 1 | 8 | 1 | 7 | 5 | 0 | 5 | 17 | 1 | 9 | 1 | 15 | 1 | 10 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 6 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 19 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 2 | 6 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 6 | 4 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 4 | 8 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 1 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | FCLK | 16384 | 0 | N/A | 42 | 72 | 3 | 17 | 3 | 15 | 0 | 10 | 0 | 14 | 0 | 16 | 2 | 14 | 0 | 12 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 13 | 6 | 15 | 0 | 9 | 2 | 5 | 7 | 16 | 0 | 5 | 2 | 1 | 0 | 8 | 0 | 7 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 8 | 4 | 9 | 2 | 4 | 7 | 2 | 4 | 0 | 7 | 15 | 0 | 11 | 0 | 0 | 4 | 6 | 0 | 2 | 0 | 6 | 2 | 10 | 0 | 17 | 3 | 11 | 1 | 4 | 2 | 11 | 1 | 2 | 0 | 1 | 7 | 0 | 7 | 13 | 2 | 9 | 2 | 7 | 2 | 13 | 7 | 8 | 2 | 0 | 4 | 17 | 1 | 14 | 2 | 6 | 1 | 13 | 3 | 6 | 4 | 12 | 2 | 3 | 0 | 4 | 3 | 12 | 2 | 2 | 1 | 3 | 3 | 8 | 4 | 5 | 5 | 13 | 3 | 1 | 3 | 9 | 0 | 3 | 4 | 4 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 29 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 2 | 6 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 8 | 2 | 0 | 2 | 16 | 4 | 5 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 46 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 0 | 0 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 7 | 4 | 9 | 4 | 17 | 3 | 1 | 4 | 5 | 2 | 8 | 0 | 17 | 3 | 11 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 9 | 2 | 2 | 2 | 10 | 7 | 8 | 4 | 15 | 2 | 6 | 3 | 6 | 4 | 12 | 3 | 9 | 2 | 16 | 4 | 1 | 3 | 0 | 3 | 5 | 6 | 17 | 3 | 13 | 2 | 13 | 2 | 15 | 4 | 6 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 17 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 5 | 2 | 16 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 7 | 4 | 15 | 4 | 6 | 6 | 4 | 4 | 1 | 4 | 8 | 4 | 14 | 4 | 17 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 7 | 4 | 15 | 4 | 6 | 4 | 10 | 4 | 1 | 4 | 8 | 4 | 14 | 4 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 6 | 4 | 15 | 4 | 6 | 4 | 8 | 4 | 1 | 4 | 14 | 4 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 7 | 4 | 15 | 4 | 6 | 6 | 1 | 4 | 1 | 4 | 8 | 4 | 14 | 4 | 17 +PIN | FCLK | 16384 | 0 | N/A | 42 | 84 | 4 | 17 | 6 | 17 | 7 | 9 | 0 | 5 | 3 | 8 | 2 | 10 | 0 | 14 | 7 | 6 | 2 | 14 | 2 | 17 | 2 | 12 | 2 | 11 | 6 | 16 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 4 | 7 | 4 | 0 | 0 | 16 | 0 | 17 | 2 | 15 | 2 | 13 | 2 | 9 | 2 | 6 | 0 | 13 | 3 | 11 | 7 | 2 | 7 | 10 | 2 | 5 | 0 | 12 | 0 | 11 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 8 | 7 | 3 | 0 | 10 | 3 | 12 | 7 | 12 | 3 | 3 | 0 | 15 | 7 | 0 | 1 | 1 | 0 | 1 | 7 | 8 | 0 | 0 | 2 | 4 | 1 | 4 | 3 | 2 | 1 | 2 | 1 | 0 | 4 | 9 | 0 | 9 | 7 | 13 | 3 | 0 | 3 | 15 | 2 | 7 | 3 | 6 | 4 | 12 | 0 | 7 | 1 | 14 | 1 | 13 | 2 | 1 | 0 | 8 | 7 | 15 | 2 | 3 | 0 | 6 | 2 | 0 | 0 | 4 | 1 | 3 | 0 | 3 | 7 | 17 | 4 | 5 | 5 | 13 | 3 | 1 | 2 | 2 | 0 | 2 | 4 | 4 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 26 | 4 | 15 | 3 | 4 | 4 | 6 | 3 | 16 | 3 | 11 | 7 | 9 | 7 | 6 | 3 | 10 | 3 | 2 | 2 | 9 | 3 | 13 | 3 | 6 | 4 | 12 | 2 | 16 | 4 | 5 | 3 | 5 | 7 | 5 | 3 | 1 | 3 | 3 | 3 | 9 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 14 | 4 | 17 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 39 | 4 | 15 | 3 | 8 | 7 | 6 | 4 | 6 | 3 | 17 | 2 | 15 | 3 | 11 | 7 | 10 | 7 | 9 | 3 | 10 | 7 | 4 | 2 | 8 | 7 | 3 | 3 | 12 | 7 | 12 | 4 | 17 | 7 | 7 | 2 | 4 | 3 | 2 | 7 | 13 | 3 | 5 | 3 | 14 | 2 | 6 | 3 | 4 | 4 | 12 | 7 | 14 | 2 | 2 | 7 | 17 | 2 | 16 | 4 | 3 | 4 | 5 | 3 | 7 | 3 | 9 | 4 | 1 | 4 | 11 | 4 | 13 | 7 | 1 | 7 | 11 | 7 | 16 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 6 | 4 | 15 | 4 | 6 | 4 | 14 | 4 | 1 | 4 | 8 | 4 | 17 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 7 | 4 | 15 | 4 | 6 | 6 | 10 | 4 | 1 | 4 | 8 | 4 | 14 | 4 | 17 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 7 | 4 | 15 | 4 | 6 | 6 | 5 | 4 | 1 | 4 | 8 | 4 | 14 | 4 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 7 | 4 | 15 | 4 | 6 | 6 | 10 | 4 | 1 | 4 | 8 | 4 | 14 | 4 | 17 PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 16 PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 5 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 8 | 7 | 0 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 7 | 7 | 13 | 7 | 6 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 12 | 3 | 0 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 11 | 3 | 15 | 7 | 6 PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 1 | 14 | 1 | 11 PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 13 PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 5 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 -PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 14 +PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 13 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 8 -PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 11 +PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 14 PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 6 | 4 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 @@ -2956,4 +2627,4 @@ PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 5 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 7 | 6 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 79a8c36..356474b 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$7`a4`<,Fz_t)*@pUz'B]YCK-9?:RGAV%707+B582.D|Yv+$NrW|!@_WMI/?98PIOT'165)L88;7)ATy&'KuR,ORTHN*<47]JJS"2;:$O>??4$NrW|!"Hx]r/JUQKC%172ZOI^-?8?#KWP348 JvSp-.D|Yv+FY]GG!53>VCEZ);<3/G[TZVSW98=7)ATy&'KuR,ORTHN*<47]JJS"2;:$NT]Q_T^312>"Hx]r/(B~[x%D[[AE#;=VCEZ);<3/S15>"Hx]r/(B~[x%D[[AE#;=5/a3!:1<7>& )294?6.991#==>?:0234?7789#:?6&>1623>470890:=:>?)028,41783;<<=4>712*55=/91:;6<6?0;3;45/682"94=>52923>7>78 ;;7%=?0180456=;9:;%??4(2234?578908<=>& )1645<4=9:1?8>?)028,637839><=4<512*`>.3890?<=4;01+24>.189:1:=>?:7234,773!=;<=4801293567!8:0$4>?0;;345<>89:"=6>>;008554<9880=??=;009KPRW]]0YM1?>:1<1?45538>97<;=;041?41538297<7>;308654<:;80>>?;;319MKVR\3KTJ^L32282:7=5<;199?5=7391<7=51818>6=?2:116>54:29?>6=:2:156>5092>979>=;531?1453=99798=;421?0453<9978:=;471?0053<2:7;<46208214<><80:;?4739447=0:;1<8?58639437=00;1<5<572::36>>6:229>66:2::56>>0:222=67=;821?<75308974==;861?<3530<9749:;@NF4H1B.E0g?DJB8D$O>R^[_1f8EIC7E'N9S]ZP1e9BH@6J&M8T\YQ=d:COA5K)L;U[XR=k;@NF4H(C:VZ_S994AMG3I+W>3HFN<@ ]EF78EIC7[>1J@H>\.E:8EIC7['N:46OCE1Q-@710MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@d3HUM_OQ>_H@VBa=FWOYIS?>PICWE`>GXNZHT>RGMUGf8EZ@TJV88SDLZFb9B[CUEW;UBNXHl;@]EWGY4W@H^Jn5N_GQA[1YNJ\Lh7LQISC]6[LDRNj1JSK]M_7]JFP@d3HUM_OQ8_H@VBf=FWOYIS5QFBTD`?DYA[KU2SDLZF79BkprHM:1IYK64BTQ\MK@H<2I::@64C04N*UGCm2I::@QFBTD,BFJLn2I::@QFBTD,BFJL%?1H>8CJN`9@60KBF'ZJHo5L24OFJZIE]On0O?;BEO]LFP@)Ll1H>8CJN^MAQC(C9l1H>8CJN^MAQC(C:m1H>8CJN^MAQC(V;2I3A:5L8L,SEA>C_X8:T"HV__QV\5c=BPY;;S#KWP^RW[7`P.DZS[URX=8h0IU^>0^,F\UYs{`gyicobee]maqYf3LR[=C_X8;T"HV__QV\5c=BPY;:S#KWP^RW[7`_/G[TZrtadxnblcjd^lfpZgR JXQd8A]V6:V$NT]Q_T^2e?@^W9;U%IU^PPU]2b>C_X88T"HV__QV\6c=BPY;9S#KWP^RW[6`R JXQ]SPZ2a3LR[=?Q!EYR\TQY29k1NT]?=_/G[TZrtadxnblcjd^lfpZgC_X89T"HV__QV\6c=BPY;8S#KWP^RW[6`Q!EYR\TQY29k1NT]?<_/G[TZrtadxnblcjd^lfpZgi;DZS50Y)MQZT\YQ>f:G[T43X&LR[S]ZP2g9F\U72W'OS\R^[_2d8A]V6=V$NT]Q_T^6e?@^W9S#KWP^vpmhtbfhgnhR`jt^c8A]V6>V$NT]h4EYR22Z(BPYU[XR>i;DZS53Y)MQZT\YQ>f:G[T40X&LR[S]ZP2g9F\U71W'OS\R^[_2d8A]V6>V$NT]Q_T^6e?@^W9?U%IU^PPU]65g=BPY;=S#KWP^vpmhtbfhgnhR`jt^c8A]V6?V$NT]h4EYR23Z(BPYU[XR>i;DZS52Y)MQZT\YQ>1c9F\U70W'OS\Rz|ilpfjdkblVdnxRo4EYR28^,F\UYW\V:m7HV_19]-A]VXX]U:j6KWP0:\*@^WWY^T>k5JXQ3;[+C_XVZ_S>h4EYR2b:G[T4>X&LR[Sy}fmsgmehccWgoSl5JXQ3:[+C_Xo1NT]?6_/G[TZVSW9l0IU^>9^,F\UYW\V;m7HV_18]-A]VXX]U9j6KWP0;\*@^WWY^T?k5JXQ3:[+C_XVZ_S9h4EYR2=Z(BPYU[XR;>b:G[T4?X&LR[Sy}fmsgmehccWgoSl5JXQ03[+C_Xo1NT]_/G[TZVSW8l0IU^=1^,F\UYW\V8m7HV_20]-A]VXX]U8j6KWP33\*@^WWY^T8k5JXQ02[+C_XVZ_S8?m;DZS64Y)MQZTx~gbrdlbi`bXfl~Tm6KWP30\*@^Wn2OS\?C_X;>T"HV__QV\6c=BPY8?S#KWP^RW[6`S#KWP0`8A]V5=V$NT]Q{shoqakgjmmUeiyQn;DZS63Y)MQZm7HV_27]-A]VXX]U;j6KWP34\*@^WWY^T=k5JXQ05[+C_XVZ_S?h4EYR12Z(BPYU[XR=i;DZS63Y)MQZT\YQ;f:G[T70X&LR[S]ZP50`8A]V5>V$NT]Q{shoqakgjmmUeiyQn;DZS62Y)MQZm7HV_26]-A]VXX]U;j6KWP35\*@^WWY^T=k5JXQ04[+C_XVZ_S?h4EYR13Z(BPYU[XR=i;DZS62Y)MQZT\YQ;f:G[T71X&LR[S]ZP50`8A]V5?V$NT]Q{shoqakgjmmUeiyQ9;D]JFP@03Lnli[oc4:D@HN>OI9;>0EC?<4:KM51286GA1768MK70<2CE=5:4IO3:7>OI:=1BB?>;;HL151=NF;8?7D@=359JJ7233@D9995FN347?LH5?=1BB?6;;HL1=6=NF:>0EC=?4:KM74587D@:3:KM26=NF>90EC6<;HL:=>OIA]Y_MYK:;HMBGQ1R^[_1f8MJGD\'N9S]ZP1e9JKDES&M8T\YQ=d:KLEFR)L;U[XR=k;HMBGQ(C:VZ_S9j4INC@P+B5WY^T9:5FO@AW*T?OHLFDN"Ij;HMGKKC)L;U[XR?j;HMGKKC)L;U[XR?1BCA>!Q99JKI6)ZLM:96G@L1]wwlkdzlkiiQwos]:?LIIX[ojhtl4INLSV`gcq'Nh7DAAPSgb`|(C9j1BCC^]e`fz*A4692CDB]\jae{-@7YW\V::=6G@NQPfea)L;U[XR?k;HMMTWcflp$NT]?=;HMMTWcflp$NT]Q_T^226>OHFYXnmiw!EYR\TQY69;1BCC^]e`fz*@^WWY^T><<4INLSV`gcq'OS\R^[_23f?LIIX[ojht JXQ]wwlkumgkfiiQaeu]a?LIIX[ojht ^d:KLJUTbims%^HI8;HMQ@WCV12CD^I\JQ/Fb?LIUL[OZ"I?n;HMQ@WCV&M8m7DA]DSGR*A4XX]U;j6G@REPFU+B5WY^T=k5FOSFQAT(C:VZ_S?l4INPGV@W)MQZ:<6G@REPFU+C_XVZ_S=??;HMQ@WCV&LR[S]ZP1028MJTCZL[%IU^PPU]15f=NG[NYI\ JXQ]wwlkumgkfiiQaeu]:?LIUL[OZ"\l4INPGV@W)ZLM?7DAZ079JKP6)L>1BCX>!D058MJS7&M8h7DAZ0/F1[URX8j1BCX>!D3]SPZ7d3@E^<#J=_QV\6f=NG\:%H?Q_T^1`?LIR8'N9S]ZP4b9JKP6)L;U[XR;l;HMV4+B5WY^T:;5FOT2-U==NG\:%^HI>5:KLQ5Ys{`gh~ho{ee]{kwY03@E\^_K^9:KLSWTBY'Nj7DAXRSGR*A7f3@E\^_K^.E0e?LIPZ[OZ"IOH_[XN]#J=_QV\5c=NG^XYI\ K2^RW[7`1E<#Z]SU18J5703GO_[B\D3:MMA7=HZ:1DYY=4P@F7?UGU\>1[EC@NMDc8TLHXJ\YBHUl4PHL\FPUIIDO=7]k}inf;?Uiu|Ykd55^oRPfeaf3XeX^hoky/Fa?TiTZlkou#J>b:SlWWcflp$O><>4QnQQadb~&M8T\YQ?119RkVTbims%H?Q_T^324>Wh[[ojht K2^RW[7773XeX^hoky/F1[URX;8:0]b]]e`fz*A4XX]U?==5^oRPfea)L;U[XR;>0:SlWWcflp$O>R^[_7c8UjUUmhnr"\m4QnQQadb~&[OL96\N<1<:?WG;87$[MI84R@>24;gTF48;5"]OK5:PB848>3[K7=3 _AE78VD:5601YM1<1.QCG1>TF4:427_O33?,SEA389QE909&YKO96\N<6<:?WG;?7$[MI;4R@>;:<=UI525"]OK5:PB8<8>3[K753 _AE:8VDKUmhnrm6\NMSgb`|(Cj2XJA_kndx,G5g=UIDXnmiw!D333?WGJZlkou#J=_QV\446TFW9UDNXH!D3]SPZ6692XJS=Q@BTD-@7YW\V;i7_OP0^MAQC(Vi2XJS<>POCWEg>TFW8:TCO[I.Ef8VDY68VEIYK K1e9QEZ77WFH^J#J=139QEZ77WFH^J#J=_QV\4440^MAQC(Vn2XJS1^MAQC"E]O:%H<<4R@]25ZIE]O.IYK>!D031?WGX98UDNXH+BTD3*A46;2XJS!EYR\TQY7911YMR?>_N@VB!DRN9$NT]Q_T^32<>TFW8;TCO[I$CWE4+C_XVZ_S??7;SC\54YHJ\L/NXH?.DZS[URX;820^LQ>1^MAQC"E]O:%IU^PPU]761=UIV;:SBLZF%@VB5(BPYUdc}eocnaaYim}U:=6\N_03\KGSA,K_M<#_l;SC\54YHJ\L/]n5]A^32[JDRN'No7_OP10]LFP@)L8n0^LQ>1^MAQC(C:880^LQ>1^MAQC(C:VZ_S=?=;SC\54YHJ\L%H?Q_T^3`?WGX98UDNXH!Q89QEZ7XGK_Mn6\N_0]LFP@)Lj1YMR?POCWE*A7d3[KT=RAMUG,G647_N@VB+B5WY^T=i5]A^3\KGSA&LR[=h5]A^3\KGSA&LR[Sy}fmsgmehccWgoSo5]A^3\KGSA&X30^LQ=_N@VBg=UIV8TCO[I.Ea8VDY5WFH^J#J>c:PB[7YHJ\L%H??>;SC\6ZIE]O$O>R^[_132?WGX:VEIYK K2^RW[4b2:PB[7YHJ\L%IU^PPU]157=UIV8TCO[I.DZS[URX;8o0^LQ=_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ=_N@VB+W>3[KT8RAMUG`8VDY3WFH^J#Jl;SC\0ZIE]O$O=n5]A^6\KGSA&M8:=6\N_5]LFP@)L;U[XR>>1:PB[1YHJ\L%H?Q_T^3g?WGXTFW>2:PB[0YHJ\L%IU^PPU]257=UIV?TCO[I.DZS[URX:8o0^LQ:_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ:_N@VB+W>3[KT:RAMUG`8VDY1WFH^J#Jl;SC\2ZIE]O$O=n5]A^4\KGSA&M8:=6\N_7]LFP@)L;U[XR>>1:PB[3YHJ\L%H?Q_T^3g?WGX>VEIYK JXQ3f?WGX>VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX>VEIYK ^9:PB[2YHJ\Li7_OP7^MAQC(Ck2XJS:Q@BTD-@4eTFW1UDNXH!Db9QEZ>XGK_M"I?l;SC\WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_S3Zoy}F`le18PWO33]S[In5ZSDP\RLUNJEO27[GJW^VZT@5<^ji=7Zo}pRGb?RguxZO%bjo:;Vkgpm4d3QI29<:;XM/24(RV99:+$^~jee"Emic=(_oydaa 2,3-1=_LDF:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C87UA]6:ZgfZOcj2RodR^}ilKmrf=_laU[~dc[yqge?]boWYxba[k}shmm<>^c`V^bahj4Xej\PlkbKaohgi5Wdi]WmhcCmekr==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo68^@TH12iex"K}<1ei|&CXSK]B_GDg5+C_XVZ_S??7;blw+LUXNZGTJKj>.DZS[URX;;>0ocz IR]EWHYANm;%IU^Ptrknv`hfelnTbhzP109`jq)N[VLXARHId0,R56=df}%B_RH\M^DE`4(UMN8;7n`{/HQ\BVKXNOn:Sy}fmbpfeqccWqeySk5lnu-JWZ@TEVLMh??>;blw+LUXNZGTJKj=.E31?fhs'@YTJ^CPFGf1*A76:2iex"G\_GQN[C@c:'N9=:5lnu-JWZ@TEVLMh? K2^RW[5703jd#D]PFRO\BCb5&M8T\YQ>109`jq)N[VLXARHId3,R56=df}%B_RH\M^DE`7(UMN8;7n`{/HQ\BVKXNOn9Sy}fmbpfeqccWqeySi5lnu-OPlkbz5:5j6mat.NWmhcu494%H<>4cov,HQojm{6;2#J>119`jq)K\`gn~1>1.E021>ei|&F_e`k}<1<-@7YW\V::96mat.NWmhcu494%H?Q_T^321>ei|&F_e`k}<1<-@7YW\V8:96mat.NWmhcu494%H?Q_T^121>ei|&F_e`k}<1<-@7YW\V>:96mat.NWmhcu494%H?Q_T^721>ei|&F_e`k}<1<-@7YW\V1119`jq)K\`gn~1??>/F25>ei|&F_e`k}<02=*A7692iex"B[ilgq8469&M8::6mat.NWmhcu48:5"I24;(BPYU[XR?=3:amp*JSadoy0<>1.DZS[qune{oem`kk_ogw[4624;(V9;1hby!CThofv9776'XNK0:amp*JSadoy0ei|&F_e`k}<03=*A4XX]U:=;5lnu-OPlkbz5;:2#J=_QV\64625;(V9;1hby!CThofv9766'XNK0:amp*JSadoy0<0!D033?fhs'E^bah|31?,G6432:+B5WY^T<<;4cov,HQojm{6:2#J=_QV\5432:+B5WY^T><;4cov,HQojm{6:2#J=_QV\7472:+C_X8<0ocz LUknaw:66'OS\R^[_135?fhs'E^bah|31?,F\UYW\V;9>6mat.NWmhcu484%IU^Ptrknv`hfelnTbhzPf:amp*JSadoy0<0!Q038gkr(D]cfi2>>/PFCa=df}%GXdcjr=0=b>ei|&F_e`k}<3<-@461:+B6991hby!CThofv949&M8:96mat.NWmhcu4;4%H?Q_T^221>ei|&F_e`k}<3<-@7YW\V;:96mat.NWmhcu4;4%H?Q_T^0e?fhs'E^bah|32?,R54=df}%GXdcjr=0=*WC@9l1hby!CThofv949W}yban|jaugg[}iuWm1hby!CThofv959n2iex"B[ilgq868)L8:0ocz LUknaw:46'N:==5lnu-OPlkbz595"I<>5:amp*JSadoy0>0!D3]SPZ66=2iex"B[ilgq868)L;U[XR?>5:amp*JSadoy0>0!D3]SPZ4a3jd#AZfmdp?7;(V981hby!CThofv959&[OL=h5lnu-OPlkbz595Sy}fmbpfeqccWqeySi5lnu-OPlkbz5>5j6mat.NWmhcu4=4%H<>4cov,HQojm{6?2#J>119`jq)K\`gn~1:1.E021>ei|&F_e`k}<5<-@7YW\V::96mat.NWmhcu4=4%H?Q_T^321>ei|&F_e`k}<5<-@7YW\V8m7n`{/MVji`t;<7$Z=<5lnu-OPlkbz5>5"_KH1d9`jq)K\`gn~1:1_uqjiftbi}ooSua}_e9`jq)K\`gn~1;1f:amp*JSadoy080!D028gkr(D]cfi2:>/F255=df}%GXdcjr=7=*A46=2iex"B[ilgq808)L;U[XR>>5:amp*JSadoy080!D3]SPZ76=2iex"B[ilgq808)L;U[XRei|&F_e`k}<7<-@465:+B6991hby!CThofv909&M8:96mat.NWmhcu4?4%H?Q_T^221>ei|&F_e`k}<7<-@7YW\V;:96mat.NWmhcu4?4%H?Q_T^0e?fhs'E^bah|36?,R54=df}%GXdcjr=4=*WC@9l1hby!CThofv909W}yban|jaugg[}iuWm1hby!CThofv919n2iex"B[ilgq828)L8:0ocz LUknaw:06'N:==5lnu-OPlkbz5=5"I<>5:amp*JSadoy0:0!D3]SPZ66=2iex"B[ilgq828)L;U[XR?>5:amp*JSadoy0:0!D3]SPZ4a3jd#AZfmdp?3;(V981hby!CThofv919&[OL=h5lnu-OPlkbz5=5Sy}fmbpfeqccWqeySi5lnu-OPlkbz525j6mat.NWmhcu414%H<>4cov,HQojm{632#J>119`jq)K\`gn~161.E021>ei|&F_e`k}<9<-@7YW\V::96mat.NWmhcu414%H?Q_T^321>ei|&F_e`k}<9<-@7YW\V8m7n`{/MVji`t;07$Z=<5lnu-OPlkbz525"_KH1d9`jq)K\`gn~161_uqjiftbi}ooSua}_e9`jq)K\`gn~171f:amp*JSadoy040!D028gkr(D]cfi26>/F255=df}%GXdcjr=;=*A46=2iex"B[ilgq8<8)L;U[XR>>5:amp*JSadoy040!D3]SPZ76=2iex"B[ilgq8<8)L;U[XR<>1:amp*JSadoy040!EYR22>ei|&F_e`k}<8<-A]VXX]U;=;5lnu-OPlkbz535"HV__QV\540::+C_XVZ_S?<=;blw+IRnelx753 JXQ]wwlkumgkfiiQaeu]e?fhs'E^bah|39?,R54=df}%GXdcjr=;=*WC@9l1hby!CThofv9?9W}yban|jaugg[}iuWj1hby!CThofvQEb3jd#AZfmdpWG+Ba3jd#AZfmdpWG+B6n2iex"B[ilgqPF(C:l1hby!CThofvQE)Y8:0ocz LUknawRD&[OL>h5lnu-OPlkbzV;Tbbz?013,gkr(D]cfiQ>_omw4566WM8%H?h4cov,HQojm{U:Sca{0122+fhs'E^bah|P1^llp5679VN9"I?=f:amp*JSadoyS_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0\TQY7;=1hby!CThofvZ7Xff~;<=? cov,HQojm{U:Sca{0122[A4)L;U[XR?<4:amp*JSadoyS>_E0-@7YW\V?886mat.NWmhcuW8Uecy>?00-`jq)K\`gn~R?Pnnv3457XL;$O>R^[_70f?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,VMHeei|&^bah|30?,@A`=df}%_e`k}<1<-@c=df}%_e`k}<1<-@4`3:+W682iex"Zfmdp?4;(UMN;o7n`{/Uknaw:76V~xe`m}e`vf`Z~hzVi0ocz Thofv979n2iex"Zfmdp?5;(DMl1hby![ilgq848)Lo1hby![ilgq848)L8l0ocz Thofv979&M8:86mat.Vji`t;97$O>R^[_137?fhs']cfi2>>/F1[URX98>0ocz Thofv979&M8T\YQ=e:amp*Rnelx7=3 ^119`jq)Sadoy0<0!RDE`?fhs']cfi2=>g9`jq)Sadoy0?0!CDg8gkr(\`gn~1<1.Ed8gkr(\`gn~1<1.E3e?fhs']cfi2=>/F151=df}%_e`k}<3<-@7YW\V::86mat.Vji`t;:7$O>R^[_037?fhs']cfi2=>/F1[URX:8>0ocz Thofv949&M8T\YQ3 ^119`jq)Sadoy0?0!RDE`?fhs']cfi2<>g9`jq)Sadoy0>0!CDg8gkr(\`gn~1=1.Ed8gkr(\`gn~1=1.E3e?fhs']cfi2<>/F151=df}%_e`k}<2<-@7YW\V::86mat.Vji`t;;7$O>R^[_037?fhs']cfi2<>/F1[URX:8>0ocz Thofv959&M8T\YQ0!RDE2`>ei|&^bah|33?]wwlkdzlkiiQwos]a?fhs']cfiZLe:amp*Rnelx_O#MJd:amp*Rnelx_O#Jj;blw+Qojm{^H"I?j;blw+Qojm{^H"I3:+Bd3jd#Z]30?,G5f=df}%\_1>1.E0a?fhs'^Y7<3 ^d:amp*QT494%^HI>a:amp*QT494Tx~gbcsgbp`bXpfxT56mat.UP848e3jd#Z]31?,Gg>ei|&]X0<0!D0a8gkr(_Z6:2#J=109`jq)P[5;5"I6mat.UP848)MQZT\YQ?139`jq)P[5;5"HV__QV\54c>/G[TZrtadxnblcjd^lfpZd>/Sg?fhs'^Y7=3 ]EF;8gkr(_Z692o5lnu-TW949&Mi0ocz WR>1:+B6k2iex"Y\<3<-@7b/G[T44/G[TZVSW9;97n`{/VQ?6;(BPYU[XR?>2:amp*QT4;4%IU^PPU]157=df}%\_1<1.DZS[URX;880ocz WR>1:+C_XVZ_S9?=;blw+RU;:7$NT]Q_T^72a>ei|&]X0?0!EYR\pvojzldjahjPndv\f>ei|&]X0?0!Qe9`jq)P[585"_KH1`9`jq)P[585Sy}fmbpfeqccWqeyS45lnu-TW959j2iex"Y\<2<-@f=df}%\_1=1.E3`?fhs'^Y7?3 K2038gkr(_Z682#J=_QV\447/F1[URX9m1hby!XS=1=*@^W9;1hby!XS=1=*@^WWY^T<<<4cov,SV:46'OS\R^[_031?fhs'^Y7?3 JXQ]SPZ46:2iex"Y\<2<-A]VXX]U8=h5lnu-TW959&LR[Sy}fmsgmehccWgoSo5lnu-TW959&Xn0ocz WR>0:+TBO8k0ocz WR>0:Zrtadiyilzjd^zlvZg Kd:amp*hNXE8y"I?k;blw+kOWD;x%H?m4cov,jLVK:{$Zi6mat.lJTI4u&[OLn6m|/oMuawjfqm1h"`@vdpoe|(Cm2ix#cAyesnb}+B6m2ix#cAyesnb}+B59:1h"`@vdpoe|(C:VZ_S=?<;bq,jJpbzekr"I`tj&KX~k K2`9ewg)F[{l%]n5isc-BWw`)ZLM27damm.A;Iwdohjd%Ocxz@E/F1[URX:890eblb/EmvpJC)L;U[XR=i;hmai*Bh}}EN"HV_219jkgk(LfCH JXQ]wwlkumgkfiiQaeu]g?liee&NdyyAJ.Pd8mjdj'Me~xBK!RDE2g>ohjd%Ocxz@E^vpmheumh~nhRv`r^c8mjdj'LY7<3m4in`n+@U;87$Oh6g`bl-FW969&M;o7damm.GP858)L;;97damm.GP858)L;U[XR>>2:klfh)B[5:5"I/F1[URX:j1bcoc ER>3:+Wb3`eia"K\<1<-V@A6j2cdn`!JS=2=[qunejxnmykk_ymq[d=ngkg$I^2>>b9jkgk(MZ6:2#Jk;hmai*CT484%H<<4in`n+@U;97$O>R^[_131?liee&OX0<0!D3]SPZ76:2cdn`!JS=3=*A4XX]U9=?5foco,AV:66'N9S]ZP3b9jkgk(MZ6:2#_j;hmai*CT484%^HIn;hmai*CT4;4h7damm.GP878)Lm1bcoc ER>1:+B6l2cdn`!JS=0=*A46:2cdn`!JS=0=*A4XX]U;=?5foco,AV:56'N9S]ZP1008mjdj'LY7>3 K2^RW[7eohjd%N_1<1.SGD5g=ngkg$I^2=>^vpmheumh~nhRv`r^c8mjdj'LY7?3m4in`n+@U;;7$Oh6g`bl-FW959&M;o7damm.GP868)L;;97damm.GP868)L;U[XR>>2:klfh)B[595"I/F1[URX:880eblb/DQ?7;(C:VZ_S>m4in`n+@U;;7$Zi6g`bl-FW959&[OL=o5foco,AV:46V~xe`m}e`vf`Z~hzV=0eblb/Dp:?liee&Oy"Io4in`n+@t)L8k0eblb/Dp-@7?ohjd%BC_J]EPp-U47ohjd%BC^>!Db9jkgk(AFY;"I?l;hmai*OH[9$O>ohjd%BC^QISL]EBa46;2cdn`!FOR]EWHYANm8%H<:4in`n+LITWOYFSKHk2/F251=ngkg$EB]PFRO\BCb5&M8:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)Y8?0eblb/HMP[CUJWOLo>#\JG038mjdj'@EXSK]B_GDg745<=4in`n+LITWOYFSKHk3/S21>ohjd%BC^QISL]EBa5)ZLM:=6g`bl-JKVYA[DUMJi:>3:klfh)NGZUM_@QIFe6-@42ohjd%BC^QISL]EBa2)Y8?0eblb/HMP[CUJWOLo8#\JG038mjdj'@EXSK]B_GDg1455:klfh)NGZUM_@QIFe7-V@A692cdn`!FOR]EWHYANm<:?6g`bl-JKVYA[DUMJi8!D068mjdj'@EXSK]B_GDg2+B69=1bcoc INQ\BVKXNOn="I<>9:klfh)NGZUM_@QIFe4-@7YW\V::56g`bl-JKVYA[DUMJi8!D3]SPZ76;2cdn`!FOR]EWHYANm<%]<;4in`n+LITWOYFSKHk6/PFC474:klfh)NGZUM_@QIFe5-@4733`eia"G@S^DPIZ@Al>$O><74in`n+LITWOYFSKHk7/F1[URX8830eblb/HMP[CUJWOLo;#J=_QV\54?0:klfh)NG^XYI\|!D033?liee&CD[_\JQs,G6c=ngkg$EBY]RDSq*T763`eia"G@WSPFUw(UMN30eblb/WRBvg=ngkg$Z]O}.Ea8mjdj'_ZJ~#J>c:klfh)QXHx%H?l4in`n+SVFz'[o7damm.TSEw(UMNh0ebl|/Bnfew7c3`ei"Mce`p2*Acohjz%H`ho}1/G[TZrtadxnblcjd^lfpZbc:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^Z?l;hmaw*OHZ^;%Hi5focq,MJTP9'N:h6g`br-JKWQ6&M8:>6g`br-JKWQ6&M8T\YQ?139jkgu(AFX\=#J=_QV\5442:klfv)NG[]:"Iohjz%BC_Y>.DZS5c=ngky$EB\X1/G[TZrtadxnblcjd^lfpZeohjz%BC_Y>.SGD5g=ngky$EB\X1^vpmheumh~nhRv`r^;8mjdt'@E^=n5focq,MJS6&JOi7dams.KLQ4(Ck2cdn~!FOT3-@4eohjz%BCX?!Qe9jkgu(AF_:"_KHa:klfv)Kghn:o6g`br-Okdb6&Mn0ebl|/Mmb`4(C9m1bco} Lncg5+B59;1bco} Lncg5+B5WY^T<<<4in`p+Iifl8$O>R^[_031?lie{&Fdmi?!D3]SPZ46:2cdn~!Co`f2*A4XX]U8i6g`br-Okdb6&LR[=>5focq,Hjgc9'OS\R^[_130?lie{&Fdmi?!EYR\TQY69o1bco} Lncg5+C_XV~xe`|jn`of`Zhb|Vi0ebl|/Mmb`4(Vm2cdn~!Co`f2*WC@12cdn~!\eova?lie{&Ynby Kc:klfv)Tmg~%H3:klfv)S[VLXARHId0,G64>6g`br-WWZ@TEVLMh< ^159jkgu(\ZUM_@QIFe3-V@A682cdn~![S^DPIZ@Al;;97dams.VP[CUJWOLo>#J>3:klfv)S[VLXARHId3,G545#KWP^RW[4423`ei"Z\_GQN[C@c:'OS\Rz|ilpfjdkblVdnxR?=;hmaw*RTWOYFSKHk2/S20>ohjz%__RH\M^DE`7(UMN30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98:0bLJ`uuNF5ZIE]O$Z=?5aAEmvpIC6WFH^J#\JG69mEVYA[Kh0bL]PFR@-TDBd3gKXSK]M_H@VB2=iIZUBCOl4n@Q\MJD)XHNj7cO\_HMAkprc3gKXSDAMotv'K@ehF[VCDNb{{.SGDb>hF[VCDNb{{.UPPP2=iIfCHl4n@mvpJC)XHNh7cO`uuMF[JDRNl1eMb{{OD]LFP@)Lo1eMb{{OD]LFP@)L8l0bLaztNG\KGSA&M8:<6`NotvLAZIE]O$NT]?:;oClqqIBWFH^J#KWP^RW[5723gKdyyAJ_N@VB+C_XVZ_S?:;oClqqIBWFH^J#KWP^RW[1463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X;;7cO`uuMF[JDRN'XNK45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4703gHN^_QISC]LFP@)MQZT\YQ=229mF@TUWOYISBLZF/G[TZrtadxnblcjd^lfpZ773gHN^_QISC]LFP@)Y880bOK]R^DPFZIE]O$YIJ?=;o@FVWYA[KUDNXH!UHO:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J:5aBS]JKGdhEZVCDNRAMUG,G6ZVSW9;?7cL]_HMA[JDRN'N9S]ZP1028jGTXAFHTCO[I.DZS50=iJ[UBCOQ@BTD-A]VXX]U;=85aBS]JKGYHJ\L%IU^PPU]250=iJ[UBCOQ@BTD-A]VXX]U9><5aBS]JKGYHJ\L%IU^Ptrknv`hfelnTbhzPe:lAVZOHJVEIYK ^119mFWYNGKUDNXH!RDE2`>hEZVCDNRAMUG]wwlkdzlkiiQwos]7?kEF[11eOL]!P@F:?kEF[VEIYKl4nBCP[JDRN'Nh7cMNS^MAQC(C9j1eOL]POCWE*A4692dHM^Q@BTD-@7YW\V::=6`LAR]LFP@)L;U[XR?>1:l@EVYHJ\L%H?Q_T^025>hDIZUDNXH!D3]SPZ5692dHM^Q@BTD-@7YW\V>:=6`LAR]LFP@)L;U[XR;>1:l@EVYHJ\L%H?Q_T^4a?kEF[VEIYK ^d:l@EVYHJ\L%^HIn;oFWEFMXNZHn7cJ[ABI\BVD)XHNm7cJ[ABI\BVDXGK_M=<5aDUC@OZ@TJVEIYK*^109m@QGDCVLXNRAMUG,G57=iL]KHGRH\B^MAQC(C9880bIZNCJ]EWGYHJ\L%H??<;oFWEFMXNZHTCO[I.DZS5==iL]KHGRH\B^MAQC(BPYU[XR>>8:lGPDELWOYISBLZF/G[TZVSW8;37cJ[ABI\BVDXGK_M"HV__QV\672hCagFNSBLZF/Ff?kBnfEOTCO[I.E3f?kBnfEOTCO[I.E0e?kBnfEOTCO[I.DZS51=iL`dGIRAMUG,F\UYW\V::86`KioNF[JDRN'OS\R^[_037?kBnfEOTCO[I.DZS[URX:;:0bIgaLD]LFP@)MQZTx~gbrdlbi`bXfl~Th6`KioNF[JDRN'[m7cJfnMG\KGSA&[OL:6`KioMFe>hCagEN"]OKb:lGmkIBWFH^Ji5aDhlLAZIE]O$Oi6`KioMF[JDRN'N:i6`KioMF[JDRN'N9=>5aDhlLAZIE]O$O>R^[_130?kBnfFOTCO[I.E0\TQY69:1eHd`@E^MAQC(C:VZ_S?j4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,G6ZVSW9;?7cJ`uuMF[JDRN'N9S]ZP1d9m@jssGLUDNXH!Q49mMUJ512dB\A7cBKS^KLFjss&K_MCH @UUf8jIBTW@EIcxz!Dd9mHAUXAFHdyy K1d9mHAUXAFHdyy K2018jIBTW@EIcxz!D3]SPZ66;2dGH^QFOCmvp+B5WY^T=<=4nMFP[LIEg|~%H?Q_T^027>hKLZUBCOazt/F1[URX;890bAJ\_HMAkpr)L;U[XR:k;oNGWZOHJf"\h4nMFP[LIEg|~%^HI>0:lO@VYNGKe~x#Z]SU18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*AdhHMVEIYK K2^RW[4ehHMVEIYK ^c:lLAZIE]O$YIJ94nSCNHRCe3gXJAAYJ.QCGg>hUIDF\IRAMUGg8jWGJD^OTCO[I.Ed8jWGJD^OTCO[I.E3e?kTFEE]NSBLZF/F155=iZHGG[HQ@BTD-A]V6=2dYM@BXE^MAQC(BPYU[XR>>5:lQEHJPMVEIYK JXQ]SPZ76=2dYM@BXE^MAQC(BPYU[XR<=1:lQEHJPMVEIYK JXQ]wwlkumgkfiiQaeu]f?kTFEE]NSBLZF/S4?kTFE\]Nn6`]ALWTA+VFLj1e^LCZWD]LFP@b3gXJAXYJ_N@VB+Ba3gXJAXYJ_N@VB+B6n2dYM@[XE^MAQC(C:8:0b_OBUVG\KGSA&LR[=85aR@OVS@YHJ\L%IU^PPU]350=iZHG^[HQ@BTD-A]VXX]U:=85aR@OVS@YHJ\L%IU^PPU]150=iZHG^[HQ@BTD-A]VXX]U8=85aR@OVS@YHJ\L%IU^PPU]764=iZHG^[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@[XE^MAQC(V<2dYM^64nSCP*UGC12dYM^Q@BTDa?kTF[VEIYK Kc:lQEVYHJ\L%H6`]AR]LFP@)MQZT\YQ?139mVDUXGK_M"HV__QV\5442:lQEVYHJ\L%IU^PPU]75`=iZHYTCO[I.DZS[qune{oem`kk_ogw[g=iZHYTCO[I.P68jWCT02dYI^ _AE58jWCTg|~27c\JSnww*AghUGDIXSBLZF/G[TZVSW=8;7c\@MBQ\KGSA&LR[Sy}fmsgmehccWgoSi5aRNO@WZIE]O$Z:6`]OLUFe>hUGD]N"]OKb:lQKHQBWFH^Ji5aRNOTAZIE]O$Oi6`]OLUF[JDRN'N:i6`]OLUF[JDRN'N9j6`]OLUF[JDRN'OS\<:4nSMNS@YHJ\L%IU^PPU]351=iZFG\IRAMUG,F\UYW\V;:86`]OLUF[JDRN'OS\R^[_337?kTHE^OTCO[I.DZS[URX;;:0b_ABWD]LFP@)MQZTx~gbrdlbi`bXfl~Th6`]OLUF[JDRN'[37c[KS^DPFf=i]MYTJ^L!P@Fg?kSC[VLXNRGMUG:8jPBTW@EIo6`ZDR]JKG(WIMh0bXJ\_HMAkprb3g_O_RG@Bnww JCc3g_O_RG@Bnww T723g_O_RG@Bnww*GSAGL$DYYj4nTFP[LIEg|~%Hh5aUEQ\MJDh}}$O=h5aUEQ\MJDh}}$O><=4nTFP[LIEg|~%H?Q_T^227>hRLZUBCOazt/F1[URX9890bXJ\_HMAkpr)L;U[XR<>3:lV@VYNGKe~x#J=_QV\745o7c[KS^KLFjss&Xl0bXJ\_HMAkpr)ZLM:<6`ZDR]JKGir|'^Y_Y64nWOB[LIEk2d]ALQFOC,SEAd>3:lUIDYNGKe~x#J=_QV\5a=i^DKTEBL`uu,Rb>hQEHUBCOazt/PFC460:lUTDYA[KUDNXH!D033?kPWIVLXNRAMUG,G6430blcj7:lfc`b`m>1{c~kkgd;8vdk(JHI_~o5}al-AEFRu&Mi0~lc B@AWv+B6k2xja"LNCUp-@7d6|nm.PBW@H)MQZT\YQ?139qeh)UIZOE"HV__QV\54c;scn+WGTz{$O>R^[_332?wgj'[KX~ K2^RW[6763{kf#_O\rs,G6ZVSW=;:7ob/SCPvw(C:VZ_S8?>;scn+WGTz{$O>R^[_7`8vdk(ZHYy~#_k;scn+WGTz{$YIJh4r`o,VVYA[DUMJi?>1:pbi*TTWOYFSKHk1/F26>tfe&XXSK]B_GDg5+B69;1ym`!]S^DPIZ@Al8$O>3:pbi*TTWOYFSKHk3/G[T4>5}al-QWZ@TEVLMh> ]EFd8vdk(ZZUM_@QIFe625>tfe&XXSK]B_GDg0+B6:2xja"\\_GQN[C@c<'N:=?5}al-QWZ@TEVLMh9 K2058vdk(ZZUM_@QIFe6-@7YW\V::;6|nm.PP[CUJWOLo8#J=_QV\547%^HIi;scn+WUXNZGTJKj:109qeh)U[VLXARHId4,G57=uid%Y_RH\M^DE`0(C9880~lc RR]EWHYANm?%H??<;scn+WUXNZGTJKj:.DZS5==uid%Y_RH\M^DE`0(BPYU[XR>>8:pbi*TTWOYFSKHk5/G[TZVSW8;37ob/SQ\BVKXNOn>"HV__QV\64>986|nm.PP[CUJWOLo9#KWP^vpmhtbfhgnhR`jt^32?wgj'[YTJ^CPFGf6*T743{kf#_]PFRO\BCb2&[OLj6|nm.PP[CUJWOLo:7:pbi*TTWOYFSKHk6/F1[URX:8=0~lc RR]EWHYANm<%H?Q_T^123>tfe&XXSK]B_GDg2+B5WY^T8<94r`o,VVYA[DUMJi8!D3]SPZ3692xja"\\_GQN[C@c>'[:?6|nm.PP[CUJWOLo:#\JGg9qeh)U[VLXARHId632?wgj'[YTJ^CPFGf4*A753{kf#_]PFRO\BCb0&M;:>6|nm.PP[CUJWOLo;#J=169qeh)U[VLXARHId6,G6ZVSW9;<7ob/SQ\BVKXNOn<"I1ym`!]S^DPIZ@Al>$O>R^[_534?wgj'[YTJ^CPFGf4*A4XX]U>=:5}al-QWZ@TEVLMh: K2^RW[3763{kf#_]PFRO\BCb0&X;87ob/SQ\BVKXNOn<"_KHb:pbi*TbnMeeii5}al-QacBhfl$Oi6|nm.PfbAiim'N:i6|nm.PfbAiim'N9=>5}al-QacBhfl$O>R^[_130?wgj'[omHb`j.E0\TQY6l2xja"\jfEmma+Wa3{kf#_kiDnlf*WC@=2|j`xkr@Ar;1a>4I:382Vb42;h=6l4>35`2542.9n44=b69~Wa4=:k<1m7?<4c325?`3mj1o>o=50;395~Uc;38i:7o5126a547=n=oh7{Z86;295?7==;t3f2?6o<50;65>01c21<7*>f98:7>h6n>0;76g61;29 4`?2090b5$0d;><554i9;94?"6n102?6`>f687?>o??3:1(;2d:j:49;:k;7?6=,8l364=4n0d4>2=f98:7>h6n>0376g8d;29 4`?2090b5;ha3>5<l1<7*>f98:7>h6n>0276g89;29 4`?2090b<;4?::kb6?6=,8l364=4n0d4>c=1<7*>f98:7>h6n>0n76g:3983>>o2>00;66g:8g83>>o2nl0;66gk8;29?l3?k3:17d8=c;29?l>e290/=k65929m5c1=9?10el>50;&2b=<>;2d:j:4>0:9j=3<72-;m477<;o3e3?7232c247>5$0d;><55<#9o215>5a1g5956=f98:7>h6n>0:>65f9d83>!7a03387c?i7;32?>i19;0;66a:0883>>i21;0;66a90083>>i2:>0;66a92083>>i2km0;66a:3c83>>i59:0;6)?i8;03g>h6n>0376a:5c83>>i5810;6)?i8;03g>h6n>0i76a=0`83>!7a038;o6`>f682?>i58o0;6)?i8;03g>h6n>0j76a=1083>!7a038;o6`>f68:?>i59<0;6)?i8;03g>h6n>0<76a=1683>!7a038;o6`>f685?>i5900;6)?i8;03g>h6n>0>76a=1c83>!7a038;o6`>f687?>i59m0;6)?i8;03g>h6n>0876a=1g83>!7a038;o6`>f681?>i2n80;66a;f483>>i2>i2i:0;66%:dc83>>i58m0;6)?i8;03g>h6n>0;76a;fb83>>i2910;66a:7883>>i20:0;66a:b283>>i2jo0;66a:e283>>id<3:17o7::182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>o5+1g496g=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo7=:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>:5+1g4962=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo7?:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>85+1g4960=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6j:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>95+1g4961=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6n:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>>5+1g4966=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo67:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>?5+1g4967=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo69:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91><5+1g4964=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6;:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>=5+1g4965=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6=:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91=k5+1g495c=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo9j:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91=n5+1g495f=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo9l:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91=o5+1g495g=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qoml:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>45+1g496<=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qomj:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5119'265=991vqps4}cae>5<5290;w)oo4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 35328:0(;=<:028yx{z3thh=7>51;294~"5jk0:j95G2`d8m4`3290/>ol51g68 4c42;20(7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 35328:0(;=<:028yx{z3thh?7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18L7df3_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;33?!04;3;;7psr}:a<5<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;3g?!7a>3;o76sma`83>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a3d<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;7b?!7a>3?j76sma683>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a3=<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;71?!7a>3?976sma783>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a503=83;1<7>t$3`a>4`33A8jj6g>f583>!4ej3;m86*>e281`>"6n?09h65rb075>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626955=#>:91==5r}|8yg72?3:1>7>50z&1fg5<#:kh1=k=4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887??;%407?773twvq6sm62294?7=83:p(?lm:0d7?M4fn2c:j94?:%0af?7a<2.:i>4=f:&2b3<5n21vn;=>:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5159'265=9=1vqps4}c733?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:ae6<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;66?!7a>3>>76smbc83>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:ae0<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;6b?!7a>3>j76smbb83>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a16?=83;1<7>t$3`a>4`33A8jj6g>f583>!4ej3;m86*>e287a>"6n?0?i65rb41b>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg7bn3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 35328>0(;=<:068yx{z3th>:l4?:083>5}#:kh1=k:4H3ce?l7a<3:1(?lm:0d7?!7b;3?;7)?i6;73?>{e=?h1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0:86*932820>{zut1vn87?:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91?<5+1g4974=52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6424<729q/>ol51g68L7ga3`;m87>5$3`a>4`33-;n?7=<;%3e2?5432wi:=>50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94>4:&576<6<2wvqp5rbe;94?7=83:p(?lm:0d7?M4fn2c:j94?:%0af?7a<2.:i>4=c:&2b3<5k21vn<;=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5159'265=9=1vqps4}c7;`?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a27b=83;1<7>t$3`a>4`33A8jj6g>f583>!4ej3;m86*>e287b>"6n?0?j65rb70f>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg>d290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d191c=#9o<19k54}c`3>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8ygg6290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d197==#9o<1?554}c`b>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?0290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1925=#9o<1:=54}c`6>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?>290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1924=#9o<1:<54}c`5>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?e290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1927=#9o<1:?54}c`4>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?c290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1963=#9o<1>;54}c`;>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?a290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1975=#9o<1?=54}c`:>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg06;3:1=7>50z&1fg<6n=1C>lh4o0d7>5<#:kh1=k:4$0g0>1b<,8l=69j4;|`557<72:0;6=u+2c`96db<@;km7d?:a;29 7de28?j7[4={%400?753-<8?7?=;|~y>oc<3:1(?lm:e68R7dc28qG>oh51zTg3?4|,8lj6i:4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`64d<7280;6=u+2c`95c2<@;km7b?i4;29 7de28l?7)?j3;77?!7a>3??76sm51;94?5=83:p(?lm:3cg?M4fn2c:9l4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821d=Q9<91>v*935826>"1;:0:>6sr}|9j`1<72-8in7j;;W0a`?7|D;hm6=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764:1:&2b3<2921vn87=:180>5<7s-8in7:5139'265=9;1vqps4ie694?"5jk0o86X=be82I4en3;pZi952z&2bd4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;>=:182>5<7s-8in7?i4:J1ec=h9o>1<7*=bc82b1=#9l919>5+1g4916=53;294~"5jk09mi5G2`d8m43f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;n;W367?4|,?9?6<<4$710>44oj51zN1fc<6s_n<6?u+1gc9`1=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk0:j95G2`d8k4`3290/>ol51g68 4c42=h0(0;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3;97)8<3;31?x{zu2wi:?<50;394?6|,;hi6i6n=0;6)"6m:0>i6*>f786a>=zj?8:6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=?5+621957=zutw0qo;le;295?6=8r.9no4>f59K6d`11<3th>oi4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887?=;%407?753twvq6sm52a94?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>4;c:&2b3<3k21vn8=m:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5139'265=9;1vqps4}c020?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;31?!04;3;97psr}:a10e=83;1<7>t$3`a>4`33A8jj6a>f583>!4ej3;m86*>e281a>"6n?09i65rb47a>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626957=#>:91=?5r}|8yg4713:1=7>50z&1fg<6n=1C>lh4o0d7>5<#:kh1=k:4$0g0>16<,8l=69>4;|`14=<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?753-<8?7?=;|~y>{e:9h1<7?50;2x 7de28l?7E5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=9;1/:>=5139~yx{51;294~"5jk0:j95G2`d8k4`3290/>ol51g68 4c42:o0(lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3;97)8<3;31?x{zu2wi><<50;394?6|,;hi6i6n=0;6)"6m:08o6*>f780g>=zj;;:6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=?5+621957=zutw0qo<>6;295?6=8r.9no4>f59K6d`74$0d5>6?<3th9=84?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887?=;%407?753twvq6sm20:94?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>45<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5139'265=9;1vqps4}c02e?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;31?!04;3;97psr}:a64e=83;1<7>t$3`a>4`33A8jj6a>f583>!4ej3;m86*>e280b>"6n?08j65rb33a>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626957=#>:91=?5r}|8yg46m3:1=7>50z&1fg<6n=1C>lh4o0d7>5<#:kh1=k:4$0g0>17<,8l=69?4;|`15a<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?753-<8?7?=;|~y>{e:;:1<7?50;2x 7de28l?7E5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=9;1/:>=5139~yx{7>51;294~"5jk0:j95G2`d8k4`3290/>ol51g68 4c42:>0(lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3;97)8<3;31?x{zu2wi8k850;394?6|,;hi6i6n=0;6)"6m:0>:6*>f7862>=zj=l>6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=?5+621957=zutw0qo;;d;295?6=8r.9no4>f59K6d`84$0d5>60<3th>8n4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887?=;%407?753twvq6sm57f94?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>4:7:&2b3<2?21vn88l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5139'265=9;1vqps4}c7b0?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;31?!04;3;97psr}:a1ae=83;1<7>t$3`a>4`33A8jj6%>f583>!4ej3;m86*>e286g>"6n?0>o65rb4fa>5<4290;w)N5io1b=8o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950g<^8?86?u+626957=#>:91=?5r}|8ma2=83.9no4k4:T1fa<6sE8ij7?tVe596~"6nh0o86X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k:50;&1fg<6n=1/=h=5479'5c0=3?>76sm4ga94?4=83:p(?lm:cg8L7ga3`;>m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*935826>"1;:0:>6sr}|9~f07>290:6=4?{%0af?7a<2B9mk5`1g694?"5jk0:j95+1d191==#9o<19554}c72N5io1b=8o50;&1fg<6=h10c4`43_;>?744<,?986<<4}|~?xd2?h0;6<4?:1y'6gd=9o>0D?oi;n3e0?6=,;hi6t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571<6:2.=?>4>2:~yx=zj<2?6=4>:183!4ej3;m86F=ag9l5c2=83.9no4>f59'5`5==01/=k855898yg3?;3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532880(;=<:008yx{z3th>n94?:083>5}#:kh1=k:4H3ce?j7a<3:1(?lm:0d7?!7b;3>37)?i6;6;?>{e=k91<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0:>6*932826>{zut1vn8m?:182>5<7s-8in7?i4:J1ec=h9o>1<7*=bc82b1=#9l91845+1g490<=52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6444<729q/>ol51g68L7ga3f;m87>5$3`a>4`33-;n?7=:;%3e2?5232wi9h=50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94>2:&576<6:2wvqp5rbb794?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>4;4:&2b3<3<21vnn:50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94>2:&576<6:2wvqp5rb735>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a242=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6<2.=?>4>4:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn65}#:kh1=k?4H3ce?j7a;3:1(?lm:0d0?>{e>821<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?;26=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg06j3:1:7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74123_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo8>c;29:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?;j6=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6oh51zTg3?4|,8lj6<;l;W367?4|,?9?6h5+6219a>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a15b=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8>j:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi9<<50;494?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{6=4+2c`950g<@;hj7[4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d3<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{6=4+2c`950g<@;hj7[4={%400?713-<8?7?9;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8?>:187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f07029086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1i6*9328f?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=94j;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c7:0?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f0?229096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=0=1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>m<4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj<336=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9K6gg<^;ho64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi94l50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi94m50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a1t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=0l1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{56;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358f?!04;3o0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;><:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`543<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg0683:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd18h0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`54`<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f36>290=6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th==<4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;g8 3542l1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887k4$710>`=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7c229096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:o;1<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg4b>3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6<4?:1y'6gd=:j80D?oi;n3e7?6=,;hi6t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6`g=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6`d=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?kl:187>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>40{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6`b=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg4bm3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:ll1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{58;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5b:&5767683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571g=zutw0qo=?a;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a741=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c13f?6=;3:1n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;9i1<7?50;2x 7de2;i97E5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f66a29086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`055<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=?d;291?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>?<:1815?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;l5Y14196~"1;=0:=6*932825>{zut1b=8j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950b<^8?86?u+626954=#>:91=<5r}|8m43b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>1:&576<692wvqp5f17394?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?647{zut1b=;=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9535<^8?86?u+626954=#>:91=<5r}|8m403290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8;;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>?0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9530<^8?86?u+626952=#>:91=:5r}|8m40?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<87;W367?4|,?9?6o5+6219f>{zut1b=;750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953?<^8?86?u+6269f>"1;:0i7psr}:k22d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::l5Y14196~"1;=0i7)8<3;`8yx{z3`;=n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35f>P6=:09w)8<4;`8 3542k1vqps4i04`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?n1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517f8R4342;q/:>:5b:&5766d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>l1]=8=52z&571g=zutw0e<9?:18'6gd=94173_;>?7g=#>:91n6sr}|9j527=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:?4V070>7}#>:>1n6*9328a?x{zu2c:;>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8236=Q9<91>v*9358a?!04;3h0qpsr;h340?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<593:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328;0(;=<:038yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;32?!04;3;:7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0:=6*932825>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626954=#>:91=<5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>1:&576<692wvqp5f14f94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?647{zut1b=8h50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 35328;0(;=<:038yx{z3`;=<7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571<692.=?>4>1:~yx=n9?;1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74063_;>?747<,?986{M0ab?7|^m=1>v*>f`8227=Q9<91>v*935825>"1;:0:=6sr}|9j535=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;=4V070>7}#>:>1=<5+621954=zutw0e<8;:18'6gd=94033_;>?741<,?986<94}|~?l71=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?95:T216<5s-<887?8;%407?703twvq6g>6783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;84V070>7}#>:>1=:5+621952=zutw0e<87:18'6gd=940?3_;>?7g=#>:91n6sr}|9j53?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;74V070>7}#>:>1n6*9328a?x{zu2c::l4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822d=Q9<91>v*9358a?!04;3h0qpsr;h35f?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>l0;6)P5jm0:wA6d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m417290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9?;W367?4|,?9?6o5+6219f>{zut1b=:?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9527<^8?86?u+6269f>"1;:0i7psr}:k236<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;>5Y14196~"1;=0i7)8<3;`8yx{z3`;<87>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;340>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:;>6=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>69:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6<2.=?>4>4:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd<6;2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6>5290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c1;7?6==3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5179'265=9?1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1;4?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th84:4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=60;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>45ol52``8R7dc2;qG>oh51zT2a7<5s-;mm77ge3-9m978<4:'2gg=9>1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j2;0x 4`f2;3h7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><528a8 6`22?9?7p*9b`823>{zu2e:j>4?:%0af?7a;21vn>66:180>5<7s-8in743e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7=b=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1;a?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7=d=83>1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?5650;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6?629096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;0h1<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4>3:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd41:0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>7;:182>5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;0=1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg5>03:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0=<<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6?2290>6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7<4=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9j>:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7`a<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb5f1>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6g7?6=93:16<729q/>ol5749K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935824>"1;:0:<6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9j6:184>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0ag=8321<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?773-<8?7??;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>46{zut1b=:;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=ni6=47:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;33?!04;3;;7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0e<98:18'6gd=94103_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:k4;29f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3;N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f4>a29086=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg7?l3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4>f290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c3;f?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328:0(;=<:028yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5<6=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:5l4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj83:6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{7>51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd61=0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4?229086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2=3<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg7>;3:187>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0qo?6b;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3:N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328=0(;=<:058yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;34?!04;3;<7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:;6*932823>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?o;:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd<6;2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn?o7:187>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6d3=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?h=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1bc<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<:4$710>424={%400?d<,?986o5r}|8yg4am3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7`3290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0e2?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6c1=83;:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?9;%407?713twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5179'265=9?1vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328<0(;=<:048yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;35?!04;3;=7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0::6*932822>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626953=#>:91=;5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>80;6)P5jm0:wA609U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?h7:1825?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0::6*932822>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626953=#>:91=;5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6=m0;6)P5jm0:wA5e9U505=:r.=?94>6:&576<6>2wvqp5f14g94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3;=7)8<3;35?x{zu2c::<4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8224=Q9<91>v*9358a?!04;3h0qpsr;h356?6=,;hi6<;n;W0a`?7|D;hm66X>5281!04<3h0(;=<:c9~yx{5<3290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;lj6=4=1;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935825>"1;:0:=6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=<5+621954=zutw0e<97:18'6gd=941?3_;>?747<,?986;%407?763twvq6g>7`83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i07f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 35328;0(;=<:038yx{z3`;>j7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36b>P6=:09w)8<4;32?!04;3;:7psr}:k225<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::=5Y14196~"1;=0:=6*932825>{zut1b=;?50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 35328;0(;=<:038yx{z3`;=>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;356>P6=:09w)8<4;32?!04;3;:7psr}:k226<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::>5Y14196~"1;=0:;6*932823>{zut1b=;:50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f285$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;351>P6=:09w)8<4;34?!04;3;<7psr}:k223<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::;5Y14196~"1;=0i7)8<3;`8yx{z3`;=47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35<>P6=:09w)8<4;`8 3542k1vqps4i04:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<27[?:3;0x 3532k1/:>=5b:~yx=n9?k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517c8R4342;q/:>:5b:&5766c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>k1]=8=52z&571g=zutw0e<8k:18'6gd=940c3_;>?7g=#>:91n6sr}|9j53c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;k4V070>7}#>:>1n6*9328a?x{zu2c::k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822c=Q9<91>v*9358a?!04;3h0qpsr;h344?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{90Z<;<:3y'262=j2.=?>4m;|~y>o6?=0;6)P5jm0:wA759U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg4aj3:1><4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=94003_;>?747<,?986;%407?763twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<692.=?>4>1:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5109'265=981vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328;0(;=<:038yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;32?!04;3;:7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:=6*932825>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626954=#>:91=<5r}|8m43c290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;32?!04;3;:7psr}:k21`<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514g8R4342;q/:>:5109'265=981vqps4i07e>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:f:T216<5s-<887?>;%407?763twvq6g>6183>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1=<5+621954=zutw0e<8>:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?80Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2c::84?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9??0Z<;<:3y'262=9>1/:>=5169~yx{4m;|~y>o6>00;6)P5jm0:wA689U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8m;W367?4|,?9?6o5+6219f>{zut1b=;m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953e<^8?86?u+6269f>"1;:0i7psr}:k22a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::i5Y14196~"1;=0i7)8<3;`8yx{z3`;=i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35a>P6=:09w)8<4;`8 3542k1vqps4i04e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9>:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51628R4342;q/:>:5b:&5767083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?81]=8=52z&571g=zutw0e<9;:18'6gd=94133_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>km50;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>?7:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`065<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb23:>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c12e?6=93:11<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9j523=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`05`<72>0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;;81<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8>o4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?742<,?986<:4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo==a;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi??=50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn><;:182>5<7s-8in754;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi??950;:94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?9;%407?713twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6>2.=?>4>6:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?740<,?986<84}|~?l70?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?87:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>40{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn><::187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f64d29096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;:?1<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;37?!04;3;?7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8?94?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj:8o6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd4;90;694?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:9:6=46:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0::6*932822>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>==:18:>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{6X>5281!04<3;=7)8<3;35?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935822>"1;:0::6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi??h50;694?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a760=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e;:=1<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:936=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg54i3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;:h1<7?>:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0::6*932822>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626953=#>:91=;5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8m43c290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6o5+6219f>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+6269f>"1;:0i7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0i7)8<3;`8yx{z3`;=<7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;354>P6=:09w)8<4;`8 3542k1vqps4i042>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 3532k1/:>=5b:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0e<9=:18'6gd=94153_;>?740<,?986<84}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0e<98:18'6gd=94103_;>?740<,?986<84}|~?l7003:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?88:T216<5s-<887?9;%407?713twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<6>2.=?>4>6:~yx=n9>k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5b:&5765e83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=m1]=8=52z&571g=zutw0e<;i:18'6gd=943a3_;>?7g=#>:91n6sr}|9j536=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1n6*9328a?x{zu2c::<4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8224=Q9<91>v*9358a?!04;3h0qpsr;h356?6=,;hi6<;n;W0a`?7|D;hm66X>5281!04<3h0(;=<:c9~yx{5<3290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:>;6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?9750;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?733-<8?7?;;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d3<729q/>ol514:8L7ga3-;:5b:&576?7a=#>:91h6sr}M0aa?2|^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?95r$7`b>45v*933821d=#;o?1:>=4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g79262:4}%4ae?eol52``8R7dc2;qG>oh51zT2a7<5s-;mm77ge3-9m978<4:'2gg=9>1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j2;0x 4`f2;3h7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><528a8 6`22?9?7p*9b`823>{zu2e:j>4?:%0af?7a;21vn>:>:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo=;2;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>:::1825?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328<0(;=<:048yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;35?!04;3;=7psr}:k23d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;l5Y14196~"1;=0::6*932822>{zut1b=8j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950b<^8?86?u+6269f>"1;:0i7psr}:k21`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9h5Y14196~"1;=0i7)8<3;`8yx{z3`;>j7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36b>P6=:09w)8<4;`8 3542k1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 3532k1/:>=5b:~yx=n9?;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5b:&5766383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo=;6;2954<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=94123_;>?740<,?986<84}|~?l70>3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=;5+621953=zutw0e<97:18'6gd=941?3_;>?740<,?986<84}|~?l7013:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?89:T216<5s-<887?9;%407?713twvq6g>7`83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<6>2.=?>4>6:~yx=n9:5b:&5765d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571g=zutw0e<8?:18'6gd=94073_;>?7g=#>:91n6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1n6*9328a?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{54;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c17e?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f63429086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>4:&576<6<2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;<81<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg53j3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5711<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg53n3:1=<4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5179'265=9?1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:k233<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5179'265=9?1vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328<0(;=<:048yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;35?!04;3;=7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0::6*932822>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626953=#>:91=;5r}|8m43c290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6o5+6219f>{zut1b=;>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9536<^8?86?u+6269f>"1;:0i7psr}:k224<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::<5Y14196~"1;=0i7)8<3;`8yx{z3`;=>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;356>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:?;6=4>1;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?9;%407?713twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5179'265=9?1vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328<0(;=<:048yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;35?!04;3;=7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0::6*932822>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+6269f>"1;:0i7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0i7)8<3;`8yx{z3`;=<7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;354>P6=:09w)8<4;`8 3542k1vqps4i042>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 3532k1/:>=5b:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c16`?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=95+621951=zutw0c4`43_;>?7g=#>:91n6sr}|9~f63d290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`010<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a700=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb27;>5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`01<<728;1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>6:&576<6>2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?10;6)P5jm0:wA799U505=:r.=?94>6:&576<6>2wvqp5f16;94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=9?1/:>=5179~yx{h6X>5281!04<3;=7)8<3;35?x{zu2c:9h4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935822>"1;:0::6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1n6*9328a?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>6:&576<6>2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?10;6)P5jm0:wA799U505=:r.=?94>6:&576<6>2wvqp5f16;94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=9?1/:>=5179~yx{h6X>5281!04<3;=7)8<3;35?x{zu2c:9h4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935822>"1;:0::6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1n6*9328a?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;<=1<7:50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`020<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg51k3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5159'265=9=1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:46F=ag9'52d=901b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd4=o0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;?:1<7?50;2x 7de2;i97E5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f604290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571=0;6?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{6X>5281!04<3;=7)8<3;35?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935822>"1;:0::6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935822>"1;:0::6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=;5+621953=zutw0e<9n:18'6gd=941f3_;>?740<,?986<84}|~?l72l3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?9;%407?713twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 3532k1/:>=5b:~yx=n9?;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5b:&5766383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo=91;290?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg51>3:1><4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=94003_;>?747<,?986;%407?763twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<692.=?>4>1:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5109'265=981vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328;0(;=<:038yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;32?!04;3;:7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:=6*932825>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626954=#>:91=<5r}|8m43c290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6=o0;6)P5jm0:wA5g9U505=:r.=?94>1:&576<692wvqp5f17294?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?:0Z<;<:3y'262=981/:>=5109~yx{4={%400?763-<8?7?>;|~y>o6>;0;6)P5jm0:wA639U505=:r.=?94>1:&576<692wvqp5f17194?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?90Z<;<:3y'262=9>1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6><0;6)P5jm0:wA649U505=:r.=?94>7:&576<6?2wvqp5f17494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?<0Z<;<:3y'262=j2.=?>4m;|~y>o6>10;6)P5jm0:wA699U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8n;W367?4|,?9?6o5+6219f>{zut1b=;l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953d<^8?86?u+6269f>"1;:0i7psr}:k22f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::n5Y14196~"1;=0i7)8<3;`8yx{z3`;=h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35`>P6=:09w)8<4;`8 3542k1vqps4i04f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?l1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517d8R4342;q/:>:5b:&5767183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?91]=8=52z&571g=zutw0e<9<:18'6gd=94143_;>?7g=#>:91n6sr}|9j522=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=::4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`022<72;;1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;32?!04;3;:7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0:=6*932825>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626954=#>:91=<5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?10;6)P5jm0:wA799U505=:r.=?94>1:&576<692wvqp5f16;94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=981/:>=5109~yx{P5jm0:wA5e9U505=:r.=?94>1:&576<692wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?647{zut1b=;>50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328;0(;=<:038yx{z3`;==7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>81]=8=52z&571<692.=?>4>1:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5109'265=981vqps4i040>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<87[?:3;0x 35328=0(;=<:058yx{z3`;=87>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>=1]=8=52z&571<6?2.=?>4>7:~yx=n9??1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51778R4342;q/:>:5169'265=9>1vqps4i045>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<=7[?:3;0x 3532k1/:>=5b:~yx=n9?21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517:8R4342;q/:>:5b:&5766883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>01]=8=52z&571g=zutw0e<8m:18'6gd=940e3_;>?7g=#>:91n6sr}|9j53e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;m4V070>7}#>:>1n6*9328a?x{zu2c::i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822a=Q9<91>v*9358a?!04;3h0qpsr;h35a?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{:0Z<;<:3y'262=j2.=?>4m;|~y>o6?80;6)P5jm0:wA709U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m413290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9;;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a73>=838:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935825>"1;:0:=6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=<5+621954=zutw0e<9::18'6gd=94123_;>?747<,?9863:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?>;%407?763twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<692.=?>4>1:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5109'265=981vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328;0(;=<:038yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5109'265=981vqps4i07f>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:e:T216<5s-<887?>;%407?763twvq6g>5g83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=<5+621954=zutw0e<8?:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::<4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2c::94?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?>0Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3h0(;=<:c9~yx{4m;|~y>o6>h0;6)P5jm0:wA6`9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8l;W367?4|,?9?6o5+6219f>{zut1b=;j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953b<^8?86?u+6269f>"1;:0i7psr}:k22`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::h5Y14196~"1;=0i7)8<3;`8yx{z3`;=j7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35b>P6=:09w)8<4;`8 3542k1vqps4i053>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=;7[?:3;0x 3532k1/:>=5b:~yx=n9>;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51638R4342;q/:>:5b:&5767283>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?:1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f60>290?6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>7l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0e3<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3;87pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c1:a?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0=c<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm3`394?3=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8m?4?:483>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{5<2290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?l:50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5>l3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c0b7?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb3;e>5<4290;w)N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>>?:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`04=<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb222>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c136?6=93:11<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<693:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>40{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626953=#>:91=;5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>6:&576<6>2wvqp5f14f94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{j6X>5281!04<3;=7)8<3;35?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935822>"1;:0::6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=;5+621953=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?=850;32>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{6X>5281!04<3;=7)8<3;35?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935822>"1;:0::6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935822>"1;:0::6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=;5+621953=zutw0e<9n:18'6gd=941f3_;>?740<,?986<84}|~?l72l3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?9;%407?713twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328<0(;=<:048yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;35?!04;3;=7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c137?6=<3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>oj:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc956=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi?lo50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>om:182>5<7s-8in755;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5281!04<3h0(;=<:c9~yx{53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0a2<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg5bm3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:l?6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935820>"1;:0:86sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?k=50;494?6|,;hi6<;7;I0bb>"6?k0:56g>5`83>!4ej3;>m6X=be82I4en3;pZ4m;|~y>oc83:1(?lm:e28R7dc28qG>oh51zT2a7<5s-;mm7j?;W367?4|,?9?6o5+6219f>{zut1bh<4?:%0af?b63_8ih74}Q9l81>v*>f`8g5>P6=:09w)8<4;f8 3542m1vqpB=bd87S4fk39pZi;52z&5775`9'7c3=>:>0q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?987p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:e28 6`22?9?7p*9b`8`?xP6n009w[j::3y'264=l81/?k;56268y!0ei3;37psr;h0bf?6=,;hi6?om;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0bf>"4n<0=?95r$7`b>41k2\:9>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?7l;%1e1?04<2w/:oo5169~yx=h9o91<7*=bc82b6=53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8i44?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e;lh1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?773-<8?7??;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;li1<7950;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{4={%400?773-<8?7??;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626955=#>:91==5r}|8m412290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;33?!04;3;;7psr}:k233<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:oo6=48:183!4ej3=>7E:5119'265=991vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328:0(;=<:028yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<682.=?>4>0:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?746<,?986<>4}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6cf290?6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>ki:186>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;o:1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=i1;297?6=8r.9no4>f39K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6a3?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f1de29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>4:&576<6<2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg2fn3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0g5=83;:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;;7)8<3;33?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935824>"1;:0:<6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1==5+621955=zutw0e<9::18'6gd=94123_;>?746<,?986<>4}|~?l70>3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887??;%407?773twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<682.=?>4>0:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5119'265=991vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328:0(;=<:028yx{z3`;5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<682.=?>4>0:~yx=n943c3_;>?746<,?986<>4}|~?l72m3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935822>"1;:0::6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=;5+621953=zutw0e<8?:18'6gd=94073_;>?740<,?986<84}|~?l7193:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?91:T216<5s-<887?9;%407?713twvq6g>6383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo:m4;2954<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>0:&576<682wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935824>"1;:0:<6sr}|9j52g=83.9no4>5`9K6gg<^;ho6P5jm0:wA5e9U505=:r.=?94>0:&576<682wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>6:&576<6>2wvqp5f17394?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=9?1/:>=5179~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:183!4ej3=>7E:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<682.=?>4>0:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5119'265=991vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328:0(;=<:028yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;33?!04;3;;7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0:<6*932824>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626955=#>:91==5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6<>4$710>46{zut1b=8j50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?o7[?:3;0x 35328:0(;=<:028yx{z3`;>i7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328<0(;=<:048yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;35?!04;3;=7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6a2?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{6X>5281!04<3;;7)8<3;33?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935824>"1;:0:<6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1==5+621955=zutw0e<98:18'6gd=94103_;>?746<,?986<>4}|~?l7003:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?88:T216<5s-<887??;%407?773twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<682.=?>4>0:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?746<,?986<>4}|~?l72l3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935824>"1;:0:<6sr}|9j50c=83.9no4>5`9K6gg<^;ho6j6X>5281!04<3;=7)8<3;35?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935822>"1;:0::6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=;5+621953=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8o?50;494?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6aN5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?747<,?986{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5f7<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg0e13:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd1j?0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f3d0290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2f4<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg7e03:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd6io0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>0:&576<682wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935824>"1;:0:<6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1==5+621955=zutw0e<9n:18'6gd=95281!04<3;;7)8<3;33?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4m;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5dc=8391<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4d5290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=o=50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2f1<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<2290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7ea<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3;87pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c6b=?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7ed<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm4``94?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n943d3_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:n8;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6ag?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f1e5290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1=>5rV0d:>7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f1da290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c6`4?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328:0(;=<:028yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a17?=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8=9:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{>l4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9?l50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj<8o6=4<:183!4ej3=>7Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<8n6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c71b?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>>n4?:583>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`674<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg34:3:1;7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74123_;>?741<,?986<94}|~?l70>3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`671<72=0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=>>4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo8=a;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi:?:50;194?6|,;hi6?l7;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c411?6=93:147=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;33?!04;3;;7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:<6*932824>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626955=#>:91==5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6??0;6)P5jm0:wA779U505=:r.=?94>0:&576<682wvqp5f16594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=991/:>=5119~yx{P5jm0:wA789U505=:r.=?94>0:&576<682wvqp5f16c94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>k0Z<;<:3y'262=9?1/:>=5179~yx{4m;|~y>o6=o0;6)P5jm0:wA5g9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m406290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?6o5+6219f>{zut1b=;<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9534<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=>54?:0394?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5119'265=991vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328:0(;=<:028yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;33?!04;3;;7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0:<6*932824>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626955=#>:91==5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6<>4$710>464={%400?713-<8?7?9;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>6:&576<6>2wvqp5f14f94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=l0;6)P5jm0:wA5d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?6o5+6219f>{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c412?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`56g<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8jn:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5f:&5764m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg3c93:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`6`7<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`6`1<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg3c=3:1:7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9i950;594?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?647{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8j7:184>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;h353?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{?0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c70`?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f02329096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e==h1<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;37?!04;3;?7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>8l4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj<9m6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd2<;0;694?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;;3;291?6=8r.9no485:J1ec=n943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0e<9=:18'6gd=96X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`604<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a113=83<1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3h0(;=<:c9~yx{56;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?647{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8:7:187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f72>29096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:=l1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0m7)8<3;d8yx{z3th98l4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>9l50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj;>o6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?:j:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg43k3:1?7>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{h7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn88=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi9;?50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9;=50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;94;290?6=8r.9no485:J1ec=n943e3_;>?747<,?9866683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;34?!04;3;<7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn889:187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f32629096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>=k1<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg03:3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>=<1<7950;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<>4$710>46{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>402\:9>4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>6:&576<6>2wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9?1/:>=5179~yx{5=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328<0(;=<:048yx{z3`;<;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{80Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6f2?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm4d294?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:j3;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6f0?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg2b:3:1?7>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{nj7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9h;:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:jb;297?6=8r.9no485:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo:jc;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?ih4?:583>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9kn:186>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0e<9=:18'6gd=94153_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8k>50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=l96=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn96=:185>5<7s-8in7?:8:J1ec=#9>h1=l5f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:8e;297?6=8r.9no485:J1ec=n943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8:h50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{5<2290;w)=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg20l3:187>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0qo::d;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn98>:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f104290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8;>50;694?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7ce=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5713`;>m7>5$3`a>43f3_8ih7?tL3`e>4}Q9l81>v*>f`821d=Q9<91>v*9358a?!04;3h0qpsr;hf3>5<#:kh1h=5Y2cf95~J5jo0:w[?j2;0x 4`f2m:0Z<;<:3y'262=j2.=?>4m;|~y>oc93:1(?lm:e38R7dc2;qG>oh51zT2a7<5s-;mm7j>;W367?4|,?9?6i5+6219`>{zuE8ii7:tV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792656?u+6209`4=#;o?1:>:4}Wf5>7}#>:81h=5+3g79262v*9338g5>"4n<0=?95r$7`b>4>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?om;%1e1?04<2w/:oo5169~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:m2b6<72-8in7?i3:9~f6`c29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5am3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`0bc<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{:47>53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626951=#>:91=95r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9?8:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:>3;290?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`750<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?=?4?:583>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`723<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg21j3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f10?290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c65=?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0f=3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?h:6=49:183!4ej3;>46F=ag9'52d=9h1b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd1i?0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;o8:182>5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fk3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fl3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fm3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fn3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=m54?:983>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{=0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c61g?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=95+621951=zutw0c4`43_;>?7g=#>:91n6sr}|9~f14e290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`761<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a073=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb504>5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:=8;290?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5119'265=991vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c612?6=<3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{=o7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn99>:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8;k50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj=7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn99=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi8:850;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8:950;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8:;50;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?mi:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1`g<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<:4$710>424={%400?d<,?986o5r}|8yg4ci3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7b6290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0g6?6=03:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0::6*932822>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?j;:1825?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?8;%407?703twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6?2.=?>4>7:~yx=n9:5169'265=9>1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328=0(;=<:058yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;34?!04;3;<7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c0g1?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935823>"1;:0:;6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887?8;%407?703twvq6g>6083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>81]=8=52z&571<6?2.=?>4>7:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935823>"1;:0:;6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=:5+621952=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>i950;32>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:=6*932825>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626954=#>:91=<5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6472\:9>4={%400?763-<8?7?>;|~y>o6?>0;6)P5jm0:wA769U505=:r.=?94>1:&576<692wvqp5f16:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>20Z<;<:3y'262=981/:>=5109~yx{P5jm0:wA7`9U505=:r.=?94>1:&576<692wvqp5f14f94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6=o0;6)P5jm0:wA5g9U505=:r.=?94>7:&576<6?2wvqp5f17294?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?:0Z<;<:3y'262=9>1/:>=5169~yx{6X>5281!04<3h0(;=<:c9~yx{5<2290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1a1<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<:4$710>424={%400?d<,?986o5r}|8yg4b;3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7bb290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0f4?6=?3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>402\:9>4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>40{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg4cn3:1?7>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{9>7>56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e<8k1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo:>b;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9?i:180>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626955=#>:91==5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg2583:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e<8i1<7;50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{8;7>53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626951=#>:91=95r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9=9:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{h4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8?h50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj=9:6=4;:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328:0(;=<:028yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th???4?:483>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=991/:>=5119~yx{5<2290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8>:50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8>>50;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=;54?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo88c;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn;9m:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho6P5jm0:wA779U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>>o1<7950;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{57;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c:;;4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9>1/:>=5169~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5<4<72<0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c47f?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f33429096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e><21<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg03k3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e><:1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e><;1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;35?!04;3;=7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?9;%407?713twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{80Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:8850;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;8l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi:;h50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5<@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb7:6>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c4;2?6=93:16<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5<<<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:5950;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;6l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5==<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb7:g>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c4;a?6=93:16<729q/>ol5749K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d47=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5109'265=981vqps4i07f>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:e:T216<5s-<887?8;%407?703twvq6g>5g83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=o1]=8=52z&571<6?2.=?>4>7:~yx=n9?:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51728R4342;q/:>:5169'265=9>1vqps4i042>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 3532k1/:>=5b:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935823>"1;:0:;6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1n6*9328a?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51083>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:=6*932825>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626954=#>:91=<5r}|8m410290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?00;6)P5jm0:wA789U505=:r.=?94>1:&576<692wvqp5f16c94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?647{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626952=#>:91=:5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>80;6)P5jm0:wA609U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;7;:1825?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?8;%407?703twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6?2.=?>4>7:~yx=n9:5169'265=9>1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328=0(;=<:058yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;`8 3542k1vqps4i041>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=<5+621954=zutw0e<96:18'6gd=941>3_;>?747<,?986{M0ab?7|^m=1>v*>f`823d=Q9<91>v*935825>"1;:0:=6sr}|9j50b=83.9no4>5`9K6gg<^;ho6i6X>5281!04<3;<7)8<3;34?x{zu2c:9k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821c=Q9<91>v*935823>"1;:0:;6sr}|9j536=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1=:5+621952=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5=3<72?0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?326=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:l:50;494?6|,;hi6<;7;I0bb>"6?k0:56g>5`83>!4ej3;>m6X=be82I4en3;pZ4m;|~y>oc83:1(?lm:e28R7dc28qG>oh51zT2a7<5s-;mm7j?;W367?4|,?9?6o5+6219f>{zut1bh<4?:%0af?b63_8ih74}Q9l81>v*>f`8g5>P6=:09w)8<4;f8 3542m1vqpB=bd87S4fk39pZi;52z&5775`9'7c3=>:>0q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?987p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:e28 6`22?9?7p*9b`8`?xP6n009w[j::3y'264=l81/?k;56268y!0ei3;37psr;h0bf?6=,;hi6?om;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0bf>"4n<0=?95r$7`b>41k2\:9>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?7l;%1e1?04<2w/:oo5169~yx=h9o91<7*=bc82b6=53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=5o4?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e>0n1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>0o1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{55;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f3g7290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n9:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;33?!04;3;;7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?3h6=48:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;h353?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{?0Z<;<:3y'262=j2.=?>4m;|~y>o6??0;6)P5jm0:wA779U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg70k3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj82<6=49:183!4ej3;>46F=ag9'52d=901b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd6?m0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9>o1<7?50;2x 7de2;i97E729086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj82:6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<6=:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4>2290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7d`=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e;k:1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo=m1;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>46{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c67b?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f133290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`715<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a007=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb571>5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f13229096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e<{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg22>3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6<4?:1y'6gd=:j80D?oi;n3e7?6=,;hi61<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg22i3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e<<21<7=50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=3i6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8l>50;494?6|,;hi6<;7;I0bb>"6?k0:56g>5`83>!4ej3;>m6X=be82I4en3;pZ4m;|~y>oc83:1(?lm:e28R7dc28qG>oh51zT2a7<5s-;mm7j?;W367?4|,?9?6o5+6219f>{zut1bh<4?:%0af?b63_8ih74}Q9l81>v*>f`8g5>P6=:09w)8<4;f8 3542m1vqpB=bd87S4fk39pZi;52z&5775`9'7c3=>:>0q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?987p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:e28 6`22?9?7p*9b`8`?xP6n009w[j::3y'264=l81/?k;56268y!0ei3;37psr;h0bf?6=,;hi6?om;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0bf>"4n<0=?95r$7`b>41k2\:9>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?7l;%1e1?04<2w/:oo5169~yx=h9o91<7*=bc82b6=2o7>53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?5i4?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e<0o1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8n=50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6g4?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm4b694?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:l7;297?6=8r.9no485:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo:l8;2954<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>0:&576<682wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935824>"1;:0:<6sr}|9j52g=83.9no4>5`9K6gg<^;ho6P5jm0:wA5e9U505=:r.=?94>0:&576<682wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>6:&576<6>2wvqp5f17394?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=j2.=?>4m;|~y>o6>;0;6)P5jm0:wA639U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg2d13:1=<4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0e<88:18'6gd=94003_;>?746<,?986<>4}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887??;%407?773twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<682.=?>4>0:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5119'265=991vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328:0(;=<:028yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;33?!04;3;;7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:<6*932824>{zut1b=:o50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=j7[?:3;0x 35328:0(;=<:028yx{z3`;>h7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=m1]=8=52z&571<682.=?>4>0:~yx=n943b3_;>?740<,?986<84}|~?l72n3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:f:T216<5s-<887?9;%407?713twvq6g>6183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571<6>2.=?>4>6:~yx=n9?;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5b:&5766383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo:la;2954<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>0:&576<682wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935824>"1;:0:<6sr}|9j52g=83.9no4>5`9K6gg<^;ho6P5jm0:wA5e9U505=:r.=?94>0:&576<682wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>6:&576<6>2wvqp5f17394?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=j2.=?>4m;|~y>o6>;0;6)P5jm0:wA639U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg2d>3:197>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f1ed290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?741<,?986<94}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f1ec290<6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{4={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9>1/:>=5169~yx{5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c40f?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f327290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`57f<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a26b=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb71f>5<4290;w)=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c443?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm66194?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo885;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3:`?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f4gf29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>4:&576<6<2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9h31<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg7>m3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=4h50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj8k:6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?773-<8?7??;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?da483>1<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<3290;w)=5179~yx{5281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2e2<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4g729036=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0i7)8<3;`8yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8i<6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=i:50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?733-<8?7?;;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?dd283>3<729q/>ol514:8L7ga3-;:5b:&576?7a=#>:91h6sr}M0aa?2|^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?95r$7`b>45v*933821d=#;o?1:>=4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g79262:4}%4ae?eol52``8R7dc2;qG>oh51zT2a7<5s-;mm77ge3-9m978<4:'2gg=9>1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j2;0x 4`f2;3h7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><528a8 6`22?9?7p*9b`823>{zu2e:j>4?:%0af?7a;21vn5<7s-8in743e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2g<<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm1b`94?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5fe=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5fb=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5fc=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?d<,?986o5r}|8yg7dn3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9m:1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=no50;:94?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0i7)8<3;`8yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;`8 3542k1vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0fa<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg5d:3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd4jh0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328:0(;=<:028yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7ge=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1a=?6=<3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{57;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6474={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9>1/:>=5169~yx{5<0290;w)=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0g5<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7f5=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626951=#>:91=95r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>j?:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?n;50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj:i=6=4<:183!4ej3=>7Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:i36=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626950=#>:91=85r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>m6:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg5di3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`4={%400?703-<8?7?8;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>ml:187>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol5749K6d`o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<0290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5b:&5767783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571g=zutw0qo:5<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn9:>:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5`?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm6583>6<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f33=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb7594?1=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:54?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:44?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:l4?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9?1/:>=5179~yx{=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?df39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5g?=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e9kk1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo?mb;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:nh4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5g`=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9ki1<7:50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:=6*932825>{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:=6*932825>{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0e<9::18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935823>"1;:0:;6sr}|9j521=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{54;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3g1?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f4c6290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`2`3<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5a1=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb0f:>5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<3290;w)=5179~yx{5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2`f<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4bc290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?ddd83>=<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>46{zut1b=:;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8nm6=47:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328:0(;=<:028yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0e<98:18'6gd=94103_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo?k8;29f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3ef?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f760290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`2bf<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5cb=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb0de>5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935824>"1;:0:<6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:991<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg47<3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol5749K6d`o6X>5281!04<3;;7)8<3;33?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5b:&5767783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f74629096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:;21<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9>h4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj;896=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9K6gg<^;ho64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi>?;50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>?850;594?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{6=4+2c`950g<@;hj7[4={%400?713-<8?7?9;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?<8:184>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>40{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3`;<97>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6>2.=?>4>6:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo<=4;290?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg4513:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9>l4?:783>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;8i6=47:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`16f<72=0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9o>4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi>n:50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?m::182>5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=943d3_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=k2;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7`6=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5713`;>m7>5$3`a>43f3_8ih7?tL3`e>4}Q9l81>v*>f`821d=Q9<91>v*9358a?!04;3h0qpsr;hf3>5<#:kh1h=5Y2cf95~J5jo0:w[?j2;0x 4`f2m:0Z<;<:3y'262=j2.=?>4m;|~y>oc93:1(?lm:e38R7dc2;qG>oh51zT2a7<5s-;mm7j>;W367?4|,?9?6i5+6219`>{zuE8ii7:tV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792656?u+6209`4=#;o?1:>:4}Wf5>7}#>:81h=5+3g79262v*9338g5>"4n<0=?95r$7`b>4>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?om;%1e1?04<2w/:oo5169~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:m2b6<72-8in7?i3:9~f6b429086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5c<3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`0`3<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0`2<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6b229086=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5c13:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1ge?6=13:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?il50;32>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9K6gg<^;ho6P5jm0:wA7`9U505=:r.=?94>7:&576<6?2wvqp5f14f94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=l0;6)P5jm0:wA5d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?6o5+6219f>{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1gg?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986;%407?763twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5109'265=981vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328;0(;=<:038yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;32?!04;3;:7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0:=6*932825>{zut1b=:650;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<6?2.=?>4>7:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?741<,?986<94}|~?l72l3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887l4$710>g=zutw0e<;j:18'6gd=943b3_;>?7g=#>:91n6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1n6*9328a?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;mn1<7;50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e;l81<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:o86=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg5b<3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{5281!04<3h0(;=<:c9~yx{;>7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9>n:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`74a<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb520>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c630?6=93:10<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>40{zut1b=:;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?<54?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8=750;594?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9>::186>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0e<9=:18'6gd=94153_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8=l50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{8h7>56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e<:31<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=9j6=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg24j3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{5281!04<3h0(;=<:c9~yx{?87>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9:j:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi89850;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj=>36=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9:6:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f12e290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi89m50;:94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328<0(;=<:048yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?8:4?:783>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0=>=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c6;0?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7<0<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm49494?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0=?=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5713`;>m7>5$3`a>43f3_8ih7?tL3`e>4}Q9l81>v*>f`821d=Q9<91>v*9358a?!04;3h0qpsr;hf3>5<#:kh1h=5Y2cf95~J5jo0:w[?j2;0x 4`f2m:0Z<;<:3y'262=j2.=?>4m;|~y>oc93:1(?lm:e38R7dc2;qG>oh51zT2a7<5s-;mm7j>;W367?4|,?9?6i5+6219`>{zuE8ii7:tV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792656?u+6209`4=#;o?1:>:4}Wf5>7}#>:81h=5+3g79262v*9338g5>"4n<0=?95r$7`b>4>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?om;%1e1?04<2w/:oo5169~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:m2b6<72-8in7?i3:9~f1>f29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg2?j3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`7n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a26g=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c402?6=;3:1n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>:=1<7?50;2x 7de2;i97E5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n943d3_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo8:f;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn;8<:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;8;:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;8::187>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5281!04<3h0(;=<:c9~yx{55;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f30?290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f30>290=6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=94123_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo892;29f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c4f>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a36<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb7d94?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{4?:%0af?7a;21vn:?50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1g`<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb3ab>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c0`f?6=93:16<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`02a<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg50:3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f60a290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c144?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0e<9=:18'6gd=94153_;>?740<,?986<84}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328<0(;=<:048yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;35?!04;3;=7psr}:k23d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;l5Y14196~"1;=0::6*932822>{zut1b=8j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950b<^8?86?u+626953=#>:91=;5r}|8m43b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?6o5+6219f>{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1e2?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f6`e290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`0b2<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7c>=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb2d:>5<4290;w)=5119~yx{4={%400?773-<8?7??;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6:7?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f1?f290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`7=5<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0<7=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb5;1>5<4290;w)=5179~yx{5281!04<3h0(;=<:c9~yx{287>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7=0<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5<4290;w)1/:>=5169~yx{4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d47=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5169'265=9>1vqps4i07f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571g=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi84650;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?m<4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:n6;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi8l<50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9o<:182>5<7s-8in7j87>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;kd;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn8k?:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0213:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj??n6=49:183!4ej3;>46F=ag9'52d=901b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd1=h0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;;m:182>5<7s-8in7o7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:;l1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9?84?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887h4$710>c=zutw0qo<<0;297?6=8r.9no4=b99K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi>>=50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f75329086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n9:5119'265=991vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;996=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?=l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5f:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo<:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;33?!04;3;;7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9?44?:283>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>8>50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c06N5io1b=8o50;&1fg<6=h10c4`43_;>?7c=#>:91j6sr}|9~f73629086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg42:3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`111<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>8;50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<693:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626952=#>:91=:5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{2\:9>4={%400?703-<8?7?8;|~y>o6?>0;6)P5jm0:wA769U505=:r.=?94>7:&576<6?2wvqp5f16:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>20Z<;<:3y'262=9>1/:>=5169~yx{5281!04<3;<7)8<3;34?x{zu2c:9i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935823>"1;:0:;6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`11<<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg42n3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;<>6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1j6*9328e?x{zu2wi>8o50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?;m:182>5<7s-8in7h7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{i7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`11f<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a636=83=1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626954=#>:91=<5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<94$710>41{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626952=#>:91=:5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9:<4?:683>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6474={%400?703-<8?7?8;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?8=:184>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{2\:9>4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d<<729q/>ol5749K6d`4={%400?703-<8?7?8;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=:5+621952=zutw0e<98:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>;:50;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9:;4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo<9c;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:a631=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f70?290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c05e?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626955=#>:91==5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9:o4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?773-<8?7??;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f70b290=6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`12c<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>:>50;694?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a624=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?9<:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo<84;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>46{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a621=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:>?1<7=50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;=26=48:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?9867383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328=0(;=<:058yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c04f?6=?3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:;6*932823>{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328=0(;=<:058yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;34?!04;3;<7psr}:k233<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;=h6=4;:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;h353?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6=2=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3l0(;=<:g9~yx{53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th94=4?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e:181<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7>629086=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg4?=3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?6k:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5f:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo<7b;297?6=8r.9no485:J1ec=n9:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;33?!04;3;;7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th94l4?:283>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>5k50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;d8 3542o1vqps4}c0;b?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1=5<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm28094?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;33?!04;3;;7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6<5=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?7>:180>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo<65;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:a6<0=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7?0290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0:=?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626955=#>:91==5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th95l4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>464={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`6b2<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg3al3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532o1/:>=5f:~yx=zj5`9K6gg<^;ho64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi9k850;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935824>"1;:0:<6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=ok1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=oh1<7850;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9km50;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?j:4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:ib;296?6=8r.9no4me:J1ec=n95<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6e=?6=93:16<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8:j:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi98<50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a102=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a103=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a100=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8;8:180>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg3203:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{=7>58;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5b:&5767683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571g=zutw0qo;9e;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a12>=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c75b?6=;3:1n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=>:1<7?50;2x 7de2;i97E5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`636<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f013290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6?2.=?>4>7:~yx=n943d3_;>?741<,?986<94}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9:;50;494?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?8;%407?703twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<@;hj7[4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8l=:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{m;4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9l950;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj7E:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=9o80D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=hi1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8ok:186>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>41{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8oj:185>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328=0(;=<:058yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>mk4?:783>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a1g6=83?1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2a2<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg7b03:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8o26=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=hj50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94i;%407?`e`83>6<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6oh51zTg3?4|,8lj6<;l;W367?4|,?9?6h5+6219a>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a66b=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?=j:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo<5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th98?4?:783>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?>;%407?763twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0e<9::18'6gd=94123_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo<;3;292?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9K6gg<^;ho6P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{5<1290;w)=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626954=#>:91=<5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a613=83<1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`102<72?0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=ln6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi;94?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;?5;292?6=8r.9no4>599K6d`<,8=i65<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi8kh50;194?6|,;hi6?l7;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c734?6=93:16<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`646<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c72e?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~fgb=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e28k0e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e=8h1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo;>c;295?6=8r.9no4=c39K6d`=i4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>=k4?:3394?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=<5+621954=zutw0e<99:18'6gd=94113_;>?747<,?986;%407?763twvq6g>7983>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?11]=8=52z&571<692.=?>4>1:~yx=n9>31<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516;8R4342;q/:>:5109'265=981vqps4i05b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=j7[?:3;0x 35328;0(;=<:038yx{z3`;>h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;32?!04;3;:7psr}:k21`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9h5Y14196~"1;=0:=6*932825>{zut1b=8h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950`<^8?86?u+626954=#>:91=<5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?647{zut1b=;<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9534<^8?86?u+626954=#>:91=<5r}|8m404290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8<;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6><0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9533<^8?86?u+626952=#>:91=:5r}|8m401290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<89;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8m40>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<86;W367?4|,?9?6o5+6219f>{zut1b=;o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953g<^8?86?u+6269f>"1;:0i7psr}:k22g<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::o5Y14196~"1;=0i7)8<3;`8yx{z3`;=o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35g>P6=:09w)8<4;`8 3542k1vqps4i04g>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?o1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517g8R4342;q/:>:5b:&5766g83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>o1]=8=52z&571g=zutw0e<9>:18'6gd=94163_;>?7g=#>:91n6sr}|9j525=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:=4V070>7}#>:>1n6*9328a?x{zu2c:;94?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8231=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52083>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:=6*932825>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626954=#>:91=<5r}|8m410290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?00;6)P5jm0:wA789U505=:r.=?94>1:&576<692wvqp5f16c94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>k0Z<;<:3y'262=981/:>=5109~yx{i6X>5281!04<3;:7)8<3;32?x{zu2c:9k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821c=Q9<91>v*935825>"1;:0:=6sr}|9j536=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1=<5+621954=zutw0e<8>:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*935825>"1;:0:=6sr}|9j535=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;=4V070>7}#>:>1=:5+621952=zutw0e<8;:18'6gd=94033_;>?741<,?986<94}|~?l71=3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8220=Q9<91>v*935823>"1;:0:;6sr}|9j530=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;84V070>7}#>:>1=:5+621952=zutw0e<87:18'6gd=940?3_;>?7g=#>:91n6sr}|9j53?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;74V070>7}#>:>1n6*9328a?x{zu2c::l4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822d=Q9<91>v*9358a?!04;3h0qpsr;h35f?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>l0;6)P5jm0:wA6d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m417290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9?;W367?4|,?9?6o5+6219f>{zut1b=:?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9527<^8?86?u+6269f>"1;:0i7psr}:k236<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;>5Y14196~"1;=0i7)8<3;`8yx{z3`;<87>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;340>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<8:6=4=1;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935825>"1;:0:=6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=<5+621954=zutw0e<97:18'6gd=941?3_;>?747<,?986;%407?763twvq6g>7`83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<692.=?>4>1:~yx=n943c3_;>?747<,?986{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935825>"1;:0:=6sr}|9j50`=83.9no4>5`9K6gg<^;ho6P5jm0:wA619U505=:r.=?94>1:&576<692wvqp5f17394?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?647{zut1b=;=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9535<^8?86?u+626954=#>:91=<5r}|8m403290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8;;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>?0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9530<^8?86?u+626952=#>:91=:5r}|8m40?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<87;W367?4|,?9?6o5+6219f>{zut1b=;750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953?<^8?86?u+6269f>"1;:0i7psr}:k22d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::l5Y14196~"1;=0i7)8<3;`8yx{z3`;=n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35f>P6=:09w)8<4;`8 3542k1vqps4i04`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?n1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517f8R4342;q/:>:5b:&5766d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>l1]=8=52z&571g=zutw0e<9?:18'6gd=94173_;>?7g=#>:91n6sr}|9j527=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:?4V070>7}#>:>1n6*9328a?x{zu2c:;>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8236=Q9<91>v*9358a?!04;3h0qpsr;h340?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<593:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328;0(;=<:038yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;32?!04;3;:7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0:=6*932825>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626954=#>:91=<5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>1:&576<692wvqp5f14f94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?647{zut1b=8h50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 35328;0(;=<:038yx{z3`;=<7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571<692.=?>4>1:~yx=n9?;1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74063_;>?747<,?986;%407?763twvq6g>6283>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>:1]=8=52z&571<6?2.=?>4>7:~yx=n9?>1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51768R4342;q/:>:5169'265=9>1vqps4i046>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?95:T216<5s-<887?8;%407?703twvq6g>6783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>?1]=8=52z&571<6?2.=?>4>7:~yx=n9?21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517:8R4342;q/:>:5b:&5766883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>01]=8=52z&571g=zutw0e<8m:18'6gd=940e3_;>?7g=#>:91n6sr}|9j53e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;m4V070>7}#>:>1n6*9328a?x{zu2c::i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822a=Q9<91>v*9358a?!04;3h0qpsr;h35a?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{:0Z<;<:3y'262=j2.=?>4m;|~y>o6?80;6)P5jm0:wA709U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m413290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9;;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a175=838:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935825>"1;:0:=6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=<5+621954=zutw0e<9::18'6gd=94123_;>?747<,?9863:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?>;%407?763twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<692.=?>4>1:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5109'265=981vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328;0(;=<:038yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5109'265=981vqps4i07f>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:e:T216<5s-<887?>;%407?763twvq6g>5g83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=<5+621954=zutw0e<8?:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::<4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2c::94?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8221=Q9<91>v*935823>"1;:0:;6sr}|9j533=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c::54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822==Q9<91>v*9358a?!04;3h0qpsr;h35=?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>j0;6)P5jm0:wA6b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8j;W367?4|,?9?6o5+6219f>{zut1b=;h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953`<^8?86?u+6269f>"1;:0i7psr}:k235<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;=5Y14196~"1;=0i7)8<3;`8yx{z3`;<=7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;345>P6=:09w)8<4;`8 3542k1vqps4i050>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=87[?:3;0x 3532k1/:>=5b:~yx=n9>>1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51668R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn89m:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358e?!04;3l0qpsr;|`63f<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<=o6=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg30n3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{P5jm0:wA669U505=:r.=?94>0:&576<682wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=1:1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935824>"1;:0:<6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1==5+621955=zutw0e<9=:18'6gd=96X>5281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`6<4<72<0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<682.=?>4>0:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;8e;290?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg3?=3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<2i6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1j6*9328e?x{zu2wi95850;194?6|,;hi6?l7;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c7;3?6=93:16<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=1k1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>40{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn867:180>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo;m5;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a1gc=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8l8:182>5<7s-8in754;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f0de29086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6?2.=?>4>7:~yx=n9:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c7`1?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f0ed29096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=n2.=?>4i;|~y>{e=j81<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo;l3;295?6=8r.9no4=c39K6d`o94?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>o;4?:883>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626954=#>:91=<5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=:5+621952=zutw0e<97:18'6gd=941?3_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;l7;29=?6=8r.9no485:J1ec=n9:5109'265=981vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=:5+621952=zutw0e<98:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9n650;;94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?747<,?986{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9K6gg<^;ho6P5jm0:wA779U505=:r.=?94>7:&576<6?2wvqp5f16594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d<<729q/>ol5749K6d`o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{4={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<94$710>41{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8mn:18:>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328=0(;=<:058yx{z3`;<97>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?741<,?986<94}|~?l70?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?87:T216<5s-<887?8;%407?703twvq6g>7983>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f0ee290=6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>i84?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;j9;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:a1`0=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd2m10;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;:4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=9>1/:>=5169~yx{5{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9K6gg<^;ho6P5jm0:wA779U505=:r.=?94>7:&576<6?2wvqp5f16594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg3bk3:147>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>41{zut1b=:850;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328=0(;=<:058yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>ii4?:983>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f0cb29036=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n9:5169'265=9>1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74123_;>?741<,?986<94}|~?l70>3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935823>"1;:0:;6sr}|9j521=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{56;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5767<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}cab>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:ag2<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:ag=<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876smc883>4<729q/>ol51g38L7ga3f;m?7>5$3`a>4`432wi?:k50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;d8 3542o1vqps4}c147?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`031<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm36494?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c14=?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9K6gg<^;ho6P5jm0:wA739U505=:r.=?94>6:&576<6>2wvqp5f16794?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>40{zut1b=:950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328<0(;=<:048yx{z3`;<47>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?11]=8=52z&571<6>2.=?>4>6:~yx=n9>31<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741>3_;>?740<,?986<84}|~?l70i3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`823d=Q9<91>v*935822>"1;:0::6sr}|9j50b=83.9no4>5`9K6gg<^;ho6P5jm0:wA5d9U505=:r.=?94>6:&576<6>2wvqp5f14d94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<84$710>40{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c14e?6=:80;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{6X>5281!04<3;;7)8<3;33?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935824>"1;:0:<6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1==5+621955=zutw0e<98:18'6gd=94103_;>?746<,?986<>4}|~?l7003:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?88:T216<5s-<887??;%407?773twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<682.=?>4>0:~yx=n9>k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5119'265=991vqps4i07g>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?o7[?:3;0x 35328:0(;=<:028yx{z3`;>i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36a>P6=:09w)8<4;33?!04;3;;7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0:<6*932824>{zut1b=;>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9536<^8?86?u+626955=#>:91==5r}|8m406290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;33?!04;3;;7psr}:k227<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5119'265=991vqps4i040>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<87[?:3;0x 35328:0(;=<:028yx{z3`;=87>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;350>P6=:09w)8<4;35?!04;3;=7psr}:k220<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51778R4342;q/:>:5179'265=9?1vqps4i045>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<=7[?:3;0x 3532k1/:>=5b:~yx=n9?21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517:8R4342;q/:>:5b:&5766883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>01]=8=52z&571g=zutw0e<8m:18'6gd=940e3_;>?7g=#>:91n6sr}|9j53e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;m4V070>7}#>:>1n6*9328a?x{zu2c::i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822a=Q9<91>v*9358a?!04;3h0qpsr;h35a?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{:0Z<;<:3y'262=j2.=?>4m;|~y>o6?80;6)P5jm0:wA709U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m413290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9;;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a72d=838:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;;7)8<3;33?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935824>"1;:0:<6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1==5+621955=zutw0e<9::18'6gd=94123_;>?746<,?986<>4}|~?l70>3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887??;%407?773twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<682.=?>4>0:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5119'265=991vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328:0(;=<:028yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;33?!04;3;;7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0:<6*932824>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626955=#>:91==5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6>80;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+626955=#>:91==5r}|8m405290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;356>P6=:09w)8<4;33?!04;3;;7psr}:k226<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::>5Y14196~"1;=0:<6*932824>{zut1b=;:50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9532<^8?86?u+626953=#>:91=;5r}|8m402290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;351>P6=:09w)8<4;35?!04;3;=7psr}:k223<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::;5Y14196~"1;=0i7)8<3;`8yx{z3`;=47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35<>P6=:09w)8<4;`8 3542k1vqps4i04:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<27[?:3;0x 3532k1/:>=5b:~yx=n9?k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517c8R4342;q/:>:5b:&5766c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>k1]=8=52z&571g=zutw0e<8k:18'6gd=940c3_;>?7g=#>:91n6sr}|9j53c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;k4V070>7}#>:>1n6*9328a?x{zu2c::k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822c=Q9<91>v*9358a?!04;3h0qpsr;h344?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{90Z<;<:3y'262=j2.=?>4m;|~y>o6?=0;6)P5jm0:wA759U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg50k3:1><4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0e<88:18'6gd=94003_;>?746<,?986<>4}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887??;%407?773twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<682.=?>4>0:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5119'265=991vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328:0(;=<:028yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;33?!04;3;;7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:<6*932824>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626955=#>:91==5r}|8m43c290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;33?!04;3;;7psr}:k21`<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514g8R4342;q/:>:5119'265=991vqps4i07e>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:f:T216<5s-<887??;%407?773twvq6g>6183>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1==5+621955=zutw0e<8>:18'6gd=95281!04<3;;7)8<3;33?x{zu2c::?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?80Z<;<:3y'262=991/:>=5119~yx{5281!04<3;=7)8<3;35?x{zu2c::84?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9??0Z<;<:3y'262=9?1/:>=5179~yx{4m;|~y>o6>00;6)P5jm0:wA689U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8m;W367?4|,?9?6o5+6219f>{zut1b=;m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953e<^8?86?u+6269f>"1;:0i7psr}:k22a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::i5Y14196~"1;=0i7)8<3;`8yx{z3`;=i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35a>P6=:09w)8<4;`8 3542k1vqps4i04e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9>:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51628R4342;q/:>:5b:&5767083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?81]=8=52z&571g=zutw0e<9;:18'6gd=94133_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?:;50;:94?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0i7)8<3;`8yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;`8 3542k1vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5767<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c:94?4=83:p(?lm:cg8L7ga3`;>m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358e?!04;3l0qpsr;|`3>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c394?7=83:p(?lm:3a1?M4fn2e:j>4?:%0af?7a;21vn?4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>46{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0?6=:80;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?o7[?:3;0x 35328;0(;=<:038yx{z3`;>i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36a>P6=:09w)8<4;32?!04;3;:7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0:=6*932825>{zut1b=;>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9536<^8?86?u+626954=#>:91=<5r}|8m406290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;32?!04;3;:7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0:=6*932825>{zut1b=;=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9535<^8?86?u+626952=#>:91=:5r}|8m403290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8;;W367?4|,?9?6<94$710>41{zut1b=;850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9530<^8?86?u+626952=#>:91=:5r}|8m40?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<87;W367?4|,?9?6o5+6219f>{zut1b=;750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953?<^8?86?u+6269f>"1;:0i7psr}:k22d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::l5Y14196~"1;=0i7)8<3;`8yx{z3`;=n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35f>P6=:09w)8<4;`8 3542k1vqps4i04`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?n1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517f8R4342;q/:>:5b:&5766d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>l1]=8=52z&571g=zutw0e<9?:18'6gd=94173_;>?7g=#>:91n6sr}|9j527=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:?4V070>7}#>:>1n6*9328a?x{zu2c:;>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8236=Q9<91>v*9358a?!04;3h0qpsr;h340?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{77=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?647{zut1b=8k50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 35328;0(;=<:038yx{z3`;>j7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=o1]=8=52z&571<692.=?>4>1:~yx=n9?:1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74073_;>?747<,?986{M0ab?7|^m=1>v*>f`8224=Q9<91>v*935825>"1;:0:=6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1=<5+621954=zutw0e<8<:18'6gd=94043_;>?741<,?986<94}|~?l71<3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?94:T216<5s-<887?8;%407?703twvq6g>6483>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;;4V070>7}#>:>1=:5+621952=zutw0e<89:18'6gd=94013_;>?741<,?986<94}|~?l7103:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?98:T216<5s-<887l4$710>g=zutw0e<86:18'6gd=940>3_;>?7g=#>:91n6sr}|9j53g=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;o4V070>7}#>:>1n6*9328a?x{zu2c::o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822g=Q9<91>v*9358a?!04;3h0qpsr;h35g?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>o0;6)P5jm0:wA6g9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m416290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9>;W367?4|,?9?6o5+6219f>{zut1b=:=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9525<^8?86?u+6269f>"1;:0i7psr}:k231<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;95Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c494?46290;w)=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=<5+621954=zutw0e<96:18'6gd=941>3_;>?747<,?986;%407?763twvq6g>5e83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8j4V070>7}#>:>1=<5+621954=zutw0e<;j:18'6gd=9i6X>5281!04<3;:7)8<3;32?x{zu2c:9k4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6>80;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+626954=#>:91=<5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6474={%400?703-<8?7?8;|~y>o6>=0;6)P5jm0:wA659U505=:r.=?94>7:&576<6?2wvqp5f17794?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8:;W367?4|,?9?6<94$710>412\:9>4={%400?703-<8?7?8;|~y>o6>10;6)P5jm0:wA699U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8n;W367?4|,?9?6o5+6219f>{zut1b=;l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953d<^8?86?u+6269f>"1;:0i7psr}:k22f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::n5Y14196~"1;=0i7)8<3;`8yx{z3`;=h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35`>P6=:09w)8<4;`8 3542k1vqps4i04f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?l1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517d8R4342;q/:>:5b:&5767183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?91]=8=52z&571g=zutw0e<9<:18'6gd=94143_;>?7g=#>:91n6sr}|9j522=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=::4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`4>5<3290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zjmk1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3thon7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=85+621950=zutw0e<;l:18'6gd=943d3_;>?743<,?986<;4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qojl:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>7:&576<6?2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8ygbc290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;<7)8<3;34?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wihh4?:583>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<94$710>41{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vnih50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em90;684?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wii<4?:683>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em;0;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6?2.=?>4>7:~yx=n9>=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74103_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qok;:1825?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?>;%407?763twvq6g>5d83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`f1?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935823>"1;:0:;6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`f2?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935825>"1;:0:=6sr}|9j50c=83.9no4>5`9K6gg<^;ho6j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em>0;6?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em10;6;4?:1y'6gd=9o80D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vnh750;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d:5149'265=9<1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328?0(;=<:078yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}cga>5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`fg?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:aaa<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f`c=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8ygca290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&57150z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0e<9::18'6gd=94123_;>?7g=#>:91n6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`e5?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~fc4=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?723-<8?7?:;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>5:&576<6=2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{en:0;694?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?8;%407?703twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zjo>1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>7:&576<6?2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5281!04<3h0(;=<:c9~yx{6=4;:183!4ej3=>7E:5169'265=9>1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3thm:7>54;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6o6X>5281!04<3;<7)8<3;34?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wij:4?:3394?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=<5+621954=zutw0e<99:18'6gd=94113_;>?747<,?986;%407?763twvq6g>7983>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?11]=8=52z&571<692.=?>4>1:~yx=n9>31<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516;8R4342;q/:>:5109'265=981vqps4i05b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=j7[?:3;0x 35328;0(;=<:038yx{z3`;>h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;32?!04;3;:7psr}:k21`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9h5Y14196~"1;=0:=6*932825>{zut1b=8h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950`<^8?86?u+626954=#>:91=<5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?647{zut1b=;<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 35328;0(;=<:038yx{z3`;=?7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;357>P6=:09w)8<4;32?!04;3;:7psr}:k221<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::95Y14196~"1;=0:;6*932823>{zut1b=;;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9533<^8?86?u+626952=#>:91=:5r}|8m401290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;352>P6=:09w)8<4;34?!04;3;<7psr}:k22=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::55Y14196~"1;=0i7)8<3;`8yx{z3`;=57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35=>P6=:09w)8<4;`8 3542k1vqps4i04b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?h1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517`8R4342;q/:>:5b:&5766b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>j1]=8=52z&571g=zutw0e<8j:18'6gd=940b3_;>?7g=#>:91n6sr}|9j53`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;h4V070>7}#>:>1n6*9328a?x{zu2c:;=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8235=Q9<91>v*9358a?!04;3h0qpsr;h345?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{>0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?df39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3thm57>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vnko50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`ef?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626952=#>:91=:5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>41{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:abf<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:aba<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg`b29086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6=2.=?>4>5:~yx=n9:5149'265=9<1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zjol1<7<>:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5109'265=981vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328;0(;=<:038yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;32?!04;3;:7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0:=6*932825>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626954=#>:91=<5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6=m0;6)P5jm0:wA5e9U505=:r.=?94>1:&576<692wvqp5f14g94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{5281!04<3;:7)8<3;32?x{zu2c::<4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=981/:>=5109~yx{4={%400?763-<8?7?>;|~y>o6>:0;6)P5jm0:wA629U505=:r.=?94>1:&576<692wvqp5f17694?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?>0Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho6P5jm0:wA679U505=:r.=?94>7:&576<6?2wvqp5f17:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?20Z<;<:3y'262=j2.=?>4m;|~y>o6>00;6)P5jm0:wA689U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8m;W367?4|,?9?6o5+6219f>{zut1b=;m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953e<^8?86?u+6269f>"1;:0i7psr}:k22a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::i5Y14196~"1;=0i7)8<3;`8yx{z3`;=i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35a>P6=:09w)8<4;`8 3542k1vqps4i04e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9>:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51628R4342;q/:>:5b:&5767083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?81]=8=52z&571g=zutw0e<9;:18'6gd=94133_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi==>50;02>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:=6*932825>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626954=#>:91=<5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6472\:9>4={%400?763-<8?7?>;|~y>o6?>0;6)P5jm0:wA769U505=:r.=?94>1:&576<692wvqp5f16:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>20Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935825>"1;:0:=6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=<5+621954=zutw0e<;i:18'6gd=943a3_;>?747<,?986;%407?763twvq6g>6083>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=<5+621954=zutw0e<8=:18'6gd=96X>5281!04<3;:7)8<3;32?x{zu2c::>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8226=Q9<91>v*935825>"1;:0:=6sr}|9j532=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;:4V070>7}#>:>1=:5+621952=zutw0e<8::18'6gd=94023_;>?741<,?986<94}|~?l71>3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8223=Q9<91>v*935823>"1;:0:;6sr}|9j53>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;64V070>7}#>:>1n6*9328a?x{zu2c::44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822<=Q9<91>v*9358a?!04;3h0qpsr;h35e?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>m0;6)P5jm0:wA6e9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8i;W367?4|,?9?6o5+6219f>{zut1b=:>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9526<^8?86?u+6269f>"1;:0i7psr}:k234<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;<5Y14196~"1;=0i7)8<3;`8yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;347>P6=:09w)8<4;`8 3542k1vqps4i057>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=?7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=<5+621954=zutw0e<96:18'6gd=941>3_;>?747<,?986;%407?763twvq6g>5e83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=m1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i07e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 35328;0(;=<:038yx{z3`;=<7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;354>P6=:09w)8<4;32?!04;3;:7psr}:k224<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5109'265=981vqps4i041>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 35328;0(;=<:038yx{z3`;=?7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;357>P6=:09w)8<4;34?!04;3;<7psr}:k221<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::95Y14196~"1;=0:;6*932823>{zut1b=;;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<>7[?:3;0x 35328=0(;=<:058yx{z3`;=:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;352>P6=:09w)8<4;34?!04;3;<7psr}:k22=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::55Y14196~"1;=0i7)8<3;`8yx{z3`;=57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35=>P6=:09w)8<4;`8 3542k1vqps4i04b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?h1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517`8R4342;q/:>:5b:&5766b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>j1]=8=52z&571g=zutw0e<8j:18'6gd=940b3_;>?7g=#>:91n6sr}|9j53`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;h4V070>7}#>:>1n6*9328a?x{zu2c:;=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8235=Q9<91>v*9358a?!04;3h0qpsr;h345?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{>0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0383>77=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?647{zut1b=8k50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 35328;0(;=<:038yx{z3`;>j7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=o1]=8=52z&571<692.=?>4>1:~yx=n9?:1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74073_;>?747<,?986{M0ab?7|^m=1>v*>f`8224=Q9<91>v*935825>"1;:0:=6sr}|9j534=83.9no4>5`9K6gg<^;ho65281!04<3;:7)8<3;32?x{zu2c::94?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8221=Q9<91>v*935823>"1;:0:;6sr}|9j533=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;;4V070>7}#>:>1=:5+621952=zutw0e<89:18'6gd=95281!04<3;<7)8<3;34?x{zu2c::54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822==Q9<91>v*9358a?!04;3h0qpsr;h35=?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>j0;6)P5jm0:wA6b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8j;W367?4|,?9?6o5+6219f>{zut1b=;h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953`<^8?86?u+6269f>"1;:0i7psr}:k235<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;=5Y14196~"1;=0i7)8<3;`8yx{z3`;<=7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;345>P6=:09w)8<4;`8 3542k1vqps4i050>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=87[?:3;0x 3532k1/:>=5b:~yx=n9>>1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51668R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=941f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935825>"1;:0:=6sr}|9j50c=83.9no4>5`9K6gg<^;ho6P5jm0:wA5g9U505=:r.=?94>1:&576<692wvqp5f17294?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?647{zut1b=;<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 35328;0(;=<:038yx{z3`;=?7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;357>P6=:09w)8<4;32?!04;3;:7psr}:k221<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::95Y14196~"1;=0:;6*932823>{zut1b=;;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9533<^8?86?u+626952=#>:91=:5r}|8m401290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;352>P6=:09w)8<4;34?!04;3;<7psr}:k22=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::55Y14196~"1;=0i7)8<3;`8yx{z3`;=57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35=>P6=:09w)8<4;`8 3542k1vqps4i04b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?h1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517`8R4342;q/:>:5b:&5766b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>j1]=8=52z&571g=zutw0e<8j:18'6gd=940b3_;>?7g=#>:91n6sr}|9j53`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;h4V070>7}#>:>1n6*9328a?x{zu2c:;=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8235=Q9<91>v*9358a?!04;3h0qpsr;h345?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{>0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0583>2<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5b:&5767383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571g=zutw0e<99:18'6gd=94113_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi==;50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0783>6<729q/>ol5749K6d`o6X>5281!04<3;>7)8<3;36?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`242<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f46?290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=:5+621952=zutw0e<;l:18'6gd=943d3_;>?741<,?986<94}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?8;%407?703twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8:j6=4;:183!4ej3=>7E:5169'265=9>1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;34?!04;3;<7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<>l:184>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0e<9=:18'6gd=94153_;>?7g=#>:91n6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1n6*9328a?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<>j:186>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328;0(;=<:038yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c33b?6==3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?747<,?986{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=<>50;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;34?!04;3;<7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a545=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?703-<8?7?8;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e98>1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=<;50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`253<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f470290=6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:=54?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo?>9;297?6=8r.9no485:J1ec=n9:5149'265=9<1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328?0(;=<:078yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c32e?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626952=#>:91=:5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg76j3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>7:&576<6?2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<3290;w)1/:>=5169~yx{5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`25`<72<0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9K6gg<^;ho66X>5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`25c<72>0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;`8 3542k1vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`264<7200;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{P5jm0:wA769U505=:r.=?94>7:&576<6?2wvqp5f16:94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg75:3:1=<4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=94113_;>?741<,?986<94}|~?l70?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935823>"1;:0:;6sr}|9j52>=83.9no4>5`9K6gg<^;ho6P5jm0:wA789U505=:r.=?94>7:&576<6?2wvqp5f16c94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8m43b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6o5+6219f>{zut1b=8h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950`<^8?86?u+6269f>"1;:0i7psr}:k225<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::=5Y14196~"1;=0i7)8<3;`8yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;`8 3542k1vqps4i041>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c:;:4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=9>1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6?00;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626952=#>:91=:5r}|8m41f290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;34?!04;3;<7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0i7)8<3;`8yx{z3`;>i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36a>P6=:09w)8<4;`8 3542k1vqps4i07e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 3532k1/:>=5b:~yx=n9?:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51728R4342;q/:>:5b:&5766083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>81]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f443290:=7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>41{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8m410290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;34?!04;3;<7psr}:k23=<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5169'265=9>1vqps4i05:>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?89:T216<5s-<887?8;%407?703twvq6g>7`83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:o4V070>7}#>:>1=:5+621952=zutw0e<;k:18'6gd=943c3_;>?7g=#>:91n6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1n6*9328a?x{zu2c:9k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821c=Q9<91>v*9358a?!04;3h0qpsr;h354?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2483>47=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328=0(;=<:058yx{z3`;<;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6?2.=?>4>7:~yx=n9>21<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741?3_;>?741<,?986<94}|~?l7013:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935823>"1;:0:;6sr}|9j52g=83.9no4>5`9K6gg<^;ho6h6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a570=83<1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1n6*9328a?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<<7:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>5:&576<6=2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5149~yx{5<0290;w)1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;34?!04;3;<7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?741<,?986<94}|~?l70?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=?l50;:94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;:4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=9>1/:>=5169~yx{5{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<@;hj7[4={%400?703-<8?7?8;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8m410290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:>i4?:983>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<94$710>41{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f44b290<6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c31b?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f45729086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6=2.=?>4>5:~yx=n9:5149'265=9<1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj89:6=4::183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6?2.=?>4>7:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8996=49:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=>=50;494?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d3583>3<729q/>ol5749K6d`4={%400?763-<8?7?>;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626954=#>:91=<5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;32?!04;3;:7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328=0(;=<:058yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c301?6=>3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{80Z<;<:3y'262=j2.=?>4m;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94m;%407?d2\:9>4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<=8:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{59;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<=m:1825?6=8r.9no485:J1ec=n9:5109'265=981vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;32?!04;3;:7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0:=6*932825>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626954=#>:91=<5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626954=#>:91=<5r}|8m41>290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5169'265=9>1vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?8;%407?703twvq6g>5d83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`27f<728;1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935825>"1;:0:=6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=<5+621954=zutw0e<97:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;44?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=9>1/:>=5169~yx{P5jm0:wA5e9U505=:r.=?94>7:&576<6?2wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a56b=83;:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:=6*932825>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626954=#>:91=<5r}|8m410290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?647{zut1b=:750;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328;0(;=<:038yx{z3`;5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<6?2.=?>4>7:~yx=n943c3_;>?741<,?986<94}|~?l72m3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935823>"1;:0:;6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=:5+621952=zutw0e<8?:18'6gd=94073_;>?7g=#>:91n6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1n6*9328a?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51083>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9K6gg<^;ho6P5jm0:wA789U505=:r.=?94>7:&576<6?2wvqp5f16c94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>k0Z<;<:3y'262=9>1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6=l0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626952=#>:91=:5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8m406290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?6o5+6219f>{zut1b=;<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9534<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:?k4?:783>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a517=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<:=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;36?!04;3;>7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:96*932821>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a512=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5169'265=9>1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935825>"1;:0:=6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`202<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg7303:1:7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626954=#>:91=<5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51?=83=1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1n6*9328a?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*9358a?!04;3h0qpsr;h342?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51d=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?723-<8?7?:;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>5:&576<6=2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9=i1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>7:&576<6?2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>41{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51b=83?1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51c=83<1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3h0(;=<:c9~yx{<7>56;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{4={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9<;1<7950;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5b:&5767483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&5713:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887l4$710>g=zutw0c4`43_;>?7g=#>:91n6sr}|9~w<2=839p14;51g68Z<2<5k>1=8o4}r`7>5<0=r7i87?i3:?056<6=k16?<:514`891b?28?i70:k9;36f>;5nh0:9o522g`950d<5:<=6<;m;<153?72j278:54>5c9>7`e=9kk:07a?82e;3;>n63;b5821g=:43e34>i47?:b:?2f5<6=k169>?514`8905528?i70;<3;36f>;1:>0:9o5263:950d<5h;4>5c9>1a1=9n63:47821g=:===1=8l4=765>43e34i:514`897b228?i70;5l>0:9o5266f950d<5?=n6<;m;<4:5?72j27=5?4>5c9>2<5=9=3;>n6399g821g=:43e34>hm7?:b:?7ga<6=k16?ok514`896da28?i70=l9;36f>;6ll0:9o521ed950d<5;::6<;m;<01f?72j278hl4>5c9>230=9n63=60821g=::?n1=8l4=34f>43e348=j7?:b:?13<<6=k16>:o514`890gd28?i70;ne;36f>;2io0:9o52250950d<5;>86<;m;<070?72j279884>5c9>610=9n63:20821g=:=;81=8l4=400>43e34?;4?h0:9o5236`950d<5:=h6<;m;<6950d<5<0:9o526;36f>;b83;>n63j4;36f>;b=3;>n63j6;36f>;b?3;>n63i7;36f>;an3;>n63>01821g=:99;1=8l4=021>43e34;;?7?:b:?24`<6=k16==h514`8944528?i70?=3;36f>;6:h0:9o52120950d<58986<;m;<300?72j27:?84>5c9>510=9n63>4d821g=:9=l1=8l4=073>43e3ty2=7>53z?:6?7a<2T2=63m3;36e>{tj:0;659t=c195c5<5:;86<;l;<120?72k27?hl4>5c9>0ad=9o63<67821f=:;?=1=8m4=24;>43d349no7?:c:?0aa<6=j168o=514a891d328?h70:m5;36g>;3j?0:9n524c:950e<58h;6<;l;<3a6?72j27:n>4>5c9>5g2=9o63:33821f=:>;=1=8m4=70;>43d34?o87?:c:?6`0<6=j169i8514a890b028?h70;;5;36g>;2=6<;l;<473?72k27=mi4>5c9>6a2=93;>o63=d6821f=:>>l1=8l4=7:3>43e34<2=7?:c:?5=7<6=j16:4=514a893?328?h70865;36g>;1i90:9o524b:950e<5=i26<;l;<6`e?72k278nh4>5b9>7g`=9ml:07a?85dl3;>n63>c0821g=:9j81=8l4=0a0>43e348;97?:b:?16g<6=j16?i7514`896bf28?h70897;36f>;31>0:9n52270950d<5;5b9>62?=9n63:f9821g=:=o31=8l4=4db>43e34?jo7?:c:?6e`<6=j169lh514a8972528?h70<;3;36g>;5<=0:9n52257950e<5<;m6<;l;<714?72k27>><4>5b9>174=9n63:80821g=:=ki1=8m4=4a5>43e34?h;7?:b:?6g=<6=k169n7514`890ef28?i70;jc;36g>;2mm0:9o525dg950d<5:=j6<;l;<14f?72k278;n4>5b9>0?72k27>6<;l;<4950e<5l:1=8m4=d1950d<5l>1=8m4=d7950e<5l<1=8m4=d5950e<5lh1=8l4=da950d<5ln1=8l4=dg950d<5ll1=8l4=g5950e<5ol1=8m4=023>43d34;;=7?:c:?247<6=j16===514a8946b28?h70?=4;36f>;6:<0:9o5213`950d<58996<;l;<307?72k27:?94>5b9>563=93;>o63>46821f=:9=21=8m4=06f>43d34;?j7?:c:?215<6=j1v5h50;1x9<6=9o>0R5h4=c0950g4>669>742=9?=019jn:07`?84ai3;=;63=fc8222=:;?<1=;94=244>400349=47?97:?0af<6>>16?hj5175891d428<<70:m4;353>;3j<0:::524c49531<58h;6<88;<707?72k27=>:4>669>27>=9?=018j7:07`?84c<3;=;63=d48222=::m<1=;94=3f4>40034<2=7?97:?5=7<6>>16:4=5175893?328<<70865;353>;3k10:::524b;9531<5=ij6<88;<6``?72k278on4>5b9>5f7=9jn:044?85cj3;>n6343e348?:7?:c:?65c<6>>169?>51758904628<<70;=2;353>;2::0:::52592950e<5669>72d=9?=01>9l:044?82=9?=0184>669>2?71?27n87?97:?f1?71?27n:7?97:?f3?71?27nn7?:c:?e3?71?27mj7?97:?245<6>>16==?51758946528<<70??3;353>;68l0:::5211d950e<58996<88;<30f?72j27:?n4>5c9>56b=93;=;63>4d8222=z{1n1<7=t=9g95c2;49:0:;?523069524<5=ni6<;l;<0ee?70:279jo4>739>730=9>801>88:051?85103;<>6341534>i87?82:?7f0<6?;168o85160894d728=970;<3;353>;1:>0:;?5263:9524<55c9>6a2=9>801?j::051?84c>3;<>63=d68237=:>0;1=:<4=7;1>41534<2?7?82:?5=1<6?;16:4;5160891e?28=970:l9;346>;3kh0:;?524bf9531<5:io6<;l;<3`6?72k279<84>5b9>7ag=9>801>jm:07`?85ck3;>o63;968237=::=<1=;94=43e>41534?9<7?82:?664<6?;169?<51608904428=970;71;36g>;2k10:9n525b;950e<5:=j6<9=;<14f?70:278;n4>739>0?70:27>6<9=;<49524<5l>1=:<4=d79524<5l<1=:<4=d59524<5o=1=:<4=gd9524<58:;6<9=;<335?70:27:739>555=9>801<>j:051?877n3;=;63>328222=:9:h1=8m4=01`>43d34;8h7?:c:?27`<6=j16=9951758942a28<<7p}79;297~;?i3;m86P79:?bb?72i2wxmk4?:2gx9d`=9o901>?<:056?856<3;<963=f`8230=::oh1=:;4=245>412349=;7?85:?02=<6?<168o=5167891d328=>70:m5;341>;3j?0:;8521c29523<5<9:6<88;<413?70=27=>54>749>1a2=9?=01?:j:07a?82a93;>n63=d58230=::m?1=:;4=3f5>412348o;7?85:?5=4<6?<16:4<5167893?428=>70864;341>;11<0:;8524b:9523<5=i26<9:;<6`e?70=278hl4>749>7ad=9?=01>jl:044?82>?3;<963=438222=:=8l1=:;4=403>41234?9=7?85:?667<6?<169?=51678961f28=>70=8b;341>;4?j0:;8524;341>;228=>708516789`2=9>?01h;516789`0=9>?01h9516789`e=9?01<>?:056?87793;<963>038230=:9991=:;4=01a>40034;8o7?97:?27a<6>>16=>k51758yv>02908w067:0d7?[>034kn6<;n;|qba?6=;mq6mh4>f29>745=9><01>?;:055?84ai3;<:63=fc8233=:;?<1=:84=244>411349=47?86:?7f6<6??168o:5164891d228==70:m6;342>;6j90:;;526359520<5?836<99;<7g1?71?27>884>669>6a2=9><01?j::055?84c>3;<:63=d68233=:>0;1=:84=7;1>41134<2?7?86:?5=1<6??16:4;5164891e?28==70:l9;342>;3kh0:;;523ec9520<5:ni6<9=;<1gg?70:27?5:4>779>6<5=941134?9?7?86:?03d<6??16?:l51648961d28==70:5164890<6??16:7?86:?f0?70>27n97?86:?f2?70>27n;7?86:?f`?72k27m;7?86:?eb?70>27:<=4>779>557=9><01<>=:055?877;3;<:63>3c8237=:9:i1=:<4=01g>41534;8i7?82:p<0<72:q64;4>f59]<0=:im0:9l5rs`f94?5cs4ko6769>6cg=9>=01?hm:054?851>3;<;63<668232=:;?21=:94=5`0>41034>i87?87:?7f0<6?>168o85165894d728=<70?m2;36g>;1:>0:;:5263:9521<5769>6a3=9>=01?j9:054?84c?3;<;639908232=:>081=:94=7;0>41034<287?87:?5=0<6?>168n65165891e>28=<70:la;343>;4lh0:;:523e`9523<5:nh6<9:;<6:3?70?279?l4>5c9>612=9?=018?i:054?83583;<;63:208232=:=;81=:94=400>41034916?:m5165891<6?>1697?87:?5>41034o?6<98;41034o=6<98;41034l<6<98;41034;;<7?87:?244<6?>16==<51658946428=<70?;6;j0:;85212f9523<589n6<9:;|q;7?6=;r7387?i4:\;7>;fk3;>m6s|ab83>6b|5hi1=k=4=230>41?349:87?88:?1bd<6?116>kl516:8960128=370=97;34<>;4>10:;5524c1952><5=h?6<97;<6a1?70027?n;4>799>5g6=9>20141?348o97?88:?1`3<6?116>i9516:893?628=370862;34<>;11:0:;552686952><5?3>6<97;<6`799>0fg=9>201>jn:05;?85cj3;<:6343e348?97?97:?65c<6?1169?>516:8904628=370;=2;34<>;2::0:;55236c952><5:=i6<97;<14g?70027?6<97;<7952><5?0:;552e5823==:m<0:;552e7823==:m>0:;552f6823==:no0:;552112952><58::6<97;<336?70027:<>4>799>562=9?=01<=m:055?874k3;<:63>3e8233=:9:o1=:84}r:2>5<4s429652301952?<5:;?6<96;<0ee?701279jo4>789>730=9>301>88:05:?85103;<563=98821g=:=8l1=:74=403>41>34?9=7?89:?667<6?0169?=516;8961f28=270=8b;34=>;4?j0:;4524;34=>;228=2708516;89`c=9301<>?:05:?87793;<563>03823<=:9991=:74}r5g>5<4s4=n628?j7p}n9;296f}:i00:j>52301952g<5:;?6<9n;<0ee?70i279jo4>7`9>730=9>k01>88:05b?85103;1=:74=5`;>40034;i87?:c:?677<6>>169i95175897b328=270;1180:;452680952?<5?386<96;<6`769>0<1=9>301?6=:07a?836n3;41f34?9?7?8a:?03d<6?h16?:l516c8961d28=j70:516c890<6?h16:7?8a:?f0?70127n97?89:?e3?70i27mj7?8a:?245<6?h16==?516c8946528=j70??3;34e>;6;k0:;:5212a95214`33W=i70o7:07b?xuf03:1=ou2a982b6=:;891=8j4=237>43c348mm7?:d:?1bg<6=m16?;8514f8960028?o70=98;36`>;5??0:9o5250d950b<5<8;6<;k;<715?72l27>>?4>5e9>175=99n:07g?850j3;>h63<7b821a=:<3;>h63::07g?80=9;68;0:9i52111950b4`33Wii70mk:07b?8eb28?j70mi:07b?xudl3:1?v3ld;3e7>;4no0:9o523gd950e4`434<:i7j>;|q`b?6=1r7hj7?i3:?2o4k1:?767f59]g5=:k;0:9l52c2821d=z{j81<7ht=b095c5<5=296i?4=546>a7<5=::6i?4=54a>a7<5==:6i?4=55:>a7<582<6i?4=577>a7<5=?h6i?4=560>a7<5?n1h<5241f9`4=:<=o1h<5249:9`4=z{j91<7;t=b195c5<5<;=6i?4=4c2>a7<5?;;6i?4=45;>a74`33W=m70on:07b?xufi3:1>nu2a`82b6=:;891=8k4=237>43b348mm7?:e:?1bg<6=l16?;8514g8960028?n70=98;36a>;3j<0:;4524c4952?<5=h36<9=;<3a4?70127:n84>5b9>164=9>8018j8:051?84c>3;<563=d6823<=:>0>1=:74=7;6>41>34>h57?89:?7gd<6?016?im5165897>e28?i70;>f;36a>;2:90:9h52533950c<5<896<;j;<717?72m278;l4>5d9>72d=99l:07f?82=95d9>2?72m27n:7?89:?f3?70127m;7?:e:?eb?72m27:<=4>5d9>557=9=:07f?877;3;>i63>3e8232=:9:o1=:94}r5:>5<4s4=j60:j>52301950`<5:;?6<;i;<0ee?72n279jo4>5g9>730=988:07e?85103;>j63=6`821g=:=o21=8m4=43e>43a34?9<7?:f:?664<6=o169?<514d8904428?m70=8a;36b>;4?k0:9k5236a950`<5=0:9k525;36b>;128?m70h8:07e?8`a28?m70??0;36b>;6880:9k52110950`<58:86<;i;|q43?6=;r7<47?i4:\43>;f>3;>m6s|a783>4d|5h<1=k=4=230>407349:87?90:?1bd<6>916>kl51728960128<;70=97;354>;4>10::=52246950d<5>=4>619>177=9?:018<=:043?835;3;=<63<7`8225=:;>h1=;>4=25`>40734>1=;>4=48225=:>3;=<63i7;354>;an3;=<63>018225=:99;1=;>4=021>40734;;?7?90:p502=83>p1<;::0d7?[72<27:9;4>5`9>501=9a7<5:;<6i?4=2:6>a7<5:3;6i?4=2;a>a7<5=no6i?4=3cb>a7<5;ln6i?4=203>a7<5:8j6i?4=217>a7<5:9n6i?4=26;>a7<5:?96i?4=27`>a7<5:a7<5;k86i?4=22;>a7<5:kn6i?4=2d0>a7<5=hj6i?4=7`:>a7<58h36i?4=5cg>a7<5=i96i?4=415>a7<5?8j6i?4=46b>a7<5<<36i?4=76b>a7<5=o=6i?4=5d7>a7<5?h:6i?4=3fb>a7<5;o86i?4=7:0>a7<5??36i?4=752>a7<5?2i6i?4=7;;>a7<5?k?6i?4=2`7>a7<5=k;6i?4=5f3>a7<5?>;6i?4=754>a7<58k26i?4=0f0>a7<5:i96i?4=2f3>a7<58i=6i?4=0g2>a7<5;:<6i?4=30f>a7<5;i36i?4=2fe>a7<5:o=6i?4=71b>a7<5?9l4k1:p27`=839p1;=?:0d7?[05n27=?<4>5`9~w356290?mv393082b6=:;891=;?4=237>406348mm7?91:?1bg<6>816?;851738960028<:70=98;355>;3j:0:;l524c6952g<5=h>6<9n;<6a2?70i27:n=4>7`9>6a2=9>k01?j::05b?84c>3;0;1=:o4=7;1>41f34<2?7?8a:?5=1<6?h16:4;516c891e?28=j70:l9;34e>;3kh0:;l521eg950e<58nm6<;l;<036?72j278ho4>799>7ae=9>201978:05b?83a>3;>n63:a8821g=:=h31=8m4=43e>40634?9<7?91:?664<6>8169?<51738904428<:70;8f;36g>;2090:::525939531<5o:4>669>1f>=9?=018m6:044?83di3;>o63<7`8224=:;>h1=;?4=25`>40634>1=;?4=48224=:>3;==63kd;36f>;b<3;;b>3;;a?3;==63if;355>;6890::<521139537<58:96<8>;<337?71927:?84>669>56>=93b823==:9:n1=:64=01f>41?34;?47?97:?215<6>>1v8>9:180837?3;m86P:079>15>=9405349:87?92:?7`2<6=k168i6514a891bf28<<70:kb;353>;5nk0::?523d`950d<5:oh6<9:;<1fb?72j27?n>4>5e9>0g2=93;>h63:2d821g=:>;=1=:74=70a>43e34<9n7?:c:?626<6=k169;:514`8932128<<7088d;36g>;1?o0:9n52646950d<5?3:6<;k;<4b6?72j278n?4>5c9>0f>=9h63;cb821g=:;kk1=8l4=2`f>400349hm7?:b:?2g6<6=j16=ik51758974f28?i70<=b;353>;4l>0:9o5262:950d<5?<26<;m;<1e=?72j278j44>5b9>0<2=9h1=8m4=4d5>43d34?jh7?:b:?6e`<6>>169??51708901a28<<70;70;346>;2080:;?525ca9531<5ol4>669>1`g=963<7c8227=:;>i1=;<4=3821g=:m=0:9i52e7821a=:n>0::?52fg8227=:99:1=;<4=021>40534;;?7?92:?25`<6=k16=??514`8944528?h70?=4;36g>;6;80:9o5212`952?<589o6<96;<371?72j27:8i4>5c9~wd4=839p1l=51g68Zd4<5kh1=8o4}r`a>5639>731=9?801?7n:07a?83ai3;>o63:1g8227=:=;81=;<4=25b>40434940534l<6<8<;40434;;=7?92:?247<6>:1vl:50;1x9d3=9o>0Rl:4=ca950g4`4349:?7?93:?1bg<6>:16?;851708960?28<970<<3;36f>;2nh0:::525329534<5<8:6<8<;<717?71:278;o4>629>0?71:27=6<8=;<334?71;27:<>4>629~w05?2908w0;<9;3e0>X2;1169>o514c8yv34i3:1?v3:3`82b6=:91h1=8l4=0:a>43d3ty>ho4?:5y>5``=9ho4>f29~w4ca290?w0?jf;3e7>;61=0:9o52186950e<5:oi6<88;|q62<<72:q69;o51g68Z00>34?=n7?:a:p13d=839p188m:0d0?87>=3;>n63>94821f=z{<2m6=4<{<7:4?7a<2T>4k52583950g56z?6=4<6n:168ll514`891ge28?h70?n4;353>;6i?0:::525c:950e53z?6bc<6n=1U9kk4=723>43f3ty=<=4?:7y>256=9o9019m?:07a?82d83;>o63>cd8222=:9m:1=;94=01;>43d3tyo47>53z?g=?7a<2To463>53821d=z{8?96=4l{<366?7a;27?=>4>5b9>042=9>?019<8:044?82513;>o63;1g821g=:<:;1=8m4=511>415348h:7?:b:?1g3<6=j168>l514`8915e28?h7p}:8b83>6}:=1n1=k:4^4:`?83?m3;>m6s|59g94?5|5<2n65b9~w34d2908w08=d;3e0>X1:j16:?k514c8yv05m3:1?v392d82b6=:<1i1=8l4=5:`>43d3ty3n7>53z?;g?7a<2T3n63m0;36e>{tj90;6?u2b182b6=:::>1=8m4}rc3>5<4s4k:65<4s43<6o6s|9`83>6}:1k0:j95Q9`9>f2<6=h1vo950;0x9g1=9o901?98:07`?xu>k3:1?v36d;3e0>X>k27i47?:a:pf=<72;q6n54>f29>6=5=943f3tyi57>52z?a=?7a;2794n4>5b9~w3752908w08>3;3e0>X19;16:<<51g18yv06>3:1>v3913821d=:>8<1=k=4}r421?6=:r7==?4k4:?550<6n:1v8>6:180837i3;m86P:089>15?=9o90q~;?d;296~;2800:9l5251f95c552z?64<f29~w0?52908w0;63;3e0>X21;1694<51g18yv3>>3:1>v3:93821d=:=0<1=k=4}r7:1?6=:r7>5?4k4:?6=0<6n:1v;>>:180807:3;m86P9009>257=9o90q~8?5;296~;1880:9l5261795c552z?544f29~w0402908w0;=8;3e0>X2:>169?951g18yv3513:1>v3:26821d=:=;31=k=4}r415?6=;r7=>?4>f59]277<5?8:66}:=jo1=k:4^4ag?83dl3;m?6s|5bd94?4|5l50;1x905d28l?7S;m63:3d82b6=z{;;86=4<{<020?7a<2T9=>5220195c552z?156<6=h16>9751g18yv32j3:1?v3:5b82b1=Y==751g68Z76?348;47?i3:p67`=838p1?>7:07b?845n3;m?6s|21c94?5|5;:i6;58h0:j>5rs315>5<5s48;m7?:a:?173<6n:1v?>i:18084683;m86P=0g9>65`=9o90q~<:0;296~;58o0:9l5224295c553z?157<6n=1U>4`43ty9944?:3y>647=9u220495c270<>5;3e7>{t:?<1<743f348=:7?i3:p641=839p1??7:0d7?[46?279=:4>f29~w7152909w0<>7;36e>;5?;0:j>5rs33:>5<4s48:m7?i4:\15<=::831=k=4}r04a?6=:r79=44>5`9>62c=9o90q~<>b;297~;59j0:j95Q20`8977e28l87p}=8683>7}::8h1=8o4=3:4>4`43ty9=i4?:2y>64c=9o>0R??k;<02`?7a;2wx>5k50;0x977c28?j70<7e;3e7>{t:8l1<7=t=303>4`33W8:j63=1g82b6=z{;3>6=4={<02b?72i279584>f29~w0`62908w0;i2;3e0>X2n8169k?51g18yv3a;3:1>v3:f0821d=:=o91=k=4}r6e1?6=;r7?j;4>f59]0c3<5=l>66}:==n1=k:4^46`?833k3;m?6s|55g94?4|5<>h6<;n;<77a?7a;2wx9;m50;1x900c28l?7S;9c:?62f<6n:1v88j:181831k3;>m63:6d82b6=z{m>525`195c552z?6e6<6=h169l;51g18yv7b?3:1>v3:dc821d=:9l=1=k=4}r3fho4k4:?2a=<6n:1v?>k:180847m3;m86P=0e9>65b=9o90q~<mo7>53z?7ba<6n=1U8km4=5d`>4`43ty?jh4?:3y>0ce=9u250;95c28;3e7>{t=8k1<743f34?:m7?i3:p12?=839p189n:0d7?[30127>;44>f29~w01e2909w0;89;36e>;2?k0:j>5rs4:0>5<4s4?387?i4:\6<6=:=191=k=4}r7;1?6=:r7>4>4>5`9>1=3=9o90q~;m3;297~;2j=0:j95Q5c1890d428l87p}:b483>7}:=k91=8o4=4`6>4`43ty>nk4?:2y>1f6=9o>0R8li;<7ab?7a;2wx9n?50;0x90da28?j70;l1;3e7>{t=l91<7=t=4g7>4`33W?n?63:e282b6=z{6=4={<7f7?72i27>i84>f29~wf2=839p1n;51g68Zf2<5j>1=k=4}ra5>5<5s4i?6<;n;4`43ty==i4?:5y>240=9o6391d82b6=z{?;?6=4;{<420?7a;27==o4>5c9>24e=90;6?u2607950g<5?;<67}:>;:1=8l4=73;>4`43ty=>=4?:3y>276=9o901;?j:07b?xu6=00;6n8t=07:>4`434<:i7;2i8095n5260296dd<5?;;6?7l;<0e5?4fj279j<4=9b9>741=:hh01>?8:3;`?85?=38jn63<8481=f=:;0:1>ll4=2;3>7?d3492n7;60m09mo5218a950e<583j6?om;<0be?4fj279ml4=9b9>6cc=:hh01?hj:3;`?855838jn63<2181=f=:;;k1>ll4=20b>7?d349887k52``8965b2;3h70=;8;0bf>;4<1095n5234096dd<5:?96?7l;<16g?4fj2789n4=9b9>73d=:hh01>8m:3;`?85f>38jn63ll4=3c0>7?d349;47;4n:095n524cc96dd<5=hj6?7l;<4a=?4fj27=n44=9b9>5g>=:hh01ll4=5a1>7?d34?8:7;2>109mo5257:96j6?om;<47e?4>k27?i;4=ac9>0`0=:0i019h;:3ca?82a<382o63;8381eg=:<181>4m4=546>7ge34>=97<6c:?744<5ik168=?528a891702;ki70:>7;0:g>;3>k09mo5247`96k27?>o4=ac9>07d=:0i0199>:3ca?8209382o63;7881eg=:<>31>4m4=3fb>7ge348om7<6c:?1a6<5ik16>h=528a891452;ki70:=2;0:g>;3;?09mo5242496k27=954=ac9>20>=:0i01;9>:3ca?8009382o6398c81eg=:>1h1>4m4=7;;>7ge34<247<6c:?5e1<5ik16:l:528a894>02;ki70?77;0:g>;4j=09mo523c696k27?9n4=ac9>00e=:0i019o?:3ca?82f8382o63;d181eg=:4m4=763>7ge342;ki70?n9;0:g>;6l:09mo521e196k278h=4=ac9>7a6=:0i019:<:3ca?823;382o639d;0bf>;1l382o63>c781eg=:9j<1>4m4=0g2>7ge34;n=7<6c:?142<5ik16>=9528a8974b2;ki70<=e;0:g>;5k109mo522b:96k278i;4=ac9>7`0=:0i019>k:3ca?827l382o63;3e81eg=:<:n1>4m4=56f>7ge34>?i7<6c:?7<=<5ik16856528a891>b2;ki70:7e;0:g>;1;h09mo5262c96k27k279oh4=ac9>6fc=:0i01>9=:3ca?850:382o634m4=5;b>7ge34>2m7<6c:?7e3<5ik168l8528a890c52;ki70;j2;0:g>;1=l09mo5264g96k27>;54=ac9>12>=:0i018l=:3ca?83e:382o63:0481eg=:=9?1>4m4=405>7ge34?9:7<6c:p6g7=8389nv3=b082b6=:>8o1h=525049`5=:=h;1h=526029`5=::o;1h=523059`5=:;891=;64=230>40>349:?7?9a:?056<6>k16?<=517a89674283;35a>;49:0::k523019526<5:;86<9>;<127?70;278=>4>759>742=9?201>?;:04:?856<3;=m63<15822g=:;8>1=;m4=237>40c349:87?9e:?051<6>o16?<:51628967328=:70=>4;347>;49=0:;9524ef9`5=:91n1h=5218c9`5=::oo1h=522g59537<5;l<6<8=;<0e639>6cg=9?<01?hn:04;?84ai3;=563=f`822d=::ok1=;l4=3db>40d348mm7?9d:?1bd<6>l16>ko517d897`f28=;70;5nh0:;>522gc9522<5;li6<89;<0ef?710279jo4>689>6cd=9?k01?hm:04a?84aj3;=o63=fc822a=::oh1=;k4=3da>40a348mn7?80:?1bg<6?816>kl5161897`e28=?70==0;f3?855i3n;70=<4;f3?854m3n;70=;4;k0:9i5232`950c<5:9i6<;i;<10f?718278?o4>609>76d=9?801>=l:05b?854k3;>h63<3b821`=:;:i1=8h4=21`>4073498o7?91:?07f<6>;16?965d19>713=9:::07f?853=3;>j63<448225=:;=?1=;?4=266>405349?:7?:d:?003<6=l16?98514d8962128<;70=;6;355>;443a349?j7?90:?00c<6>816?9h51708963728?n70=:0;36b>;4=90::=523429537<5:?;6<8=;<16g?b7349>57?:f:?01<<6>916?8751738963>28<970=:a;36b>;4=h0::=5234c9537<5:?j6<8=;<15f?b7349=87?90:?021<6>816?;:51708960128<=70=96;35<>;4>?0::452374953g<5:<=6<8m;<152?71k278:;4>6e9>730=9?o01>89:04e?851>3;<<63<678234=:;?<1=:=4=245>413349=;7?96:?022<6>116?;9517;8960028;4>>0::n52375953b<5:<<6<8j;<153?71n278::4>719>731=9>;01>88:050?851?3;<863<698223=:;?21=;64=24;>40>349=47?9a:?02=<6>k16?;6517a8960?28;4>10::k5237:9526<5:<36<9>;<15759>75>=l916?=;51708966128<970=i3;f3?82ei3n;70:m3;356>;3j=0::?524c79534<5=h=6<8=;<4a=?b734;i47j?;<3a4?72m27:n=4>5g9>5g6=9?:0163:378g4>;1:h0o<63926821a=:>;=1=8k4=704>43a34<9;7?90:?562<6>816:?951708934?28?o708=8;36a>;1:10:9k5263:9536<5?836<8>;<418l4k0:?62=;16>i;5170897b128<970;5m:0o<63;238g4>;3;?0o<639828g4>;1=10o<639708g4>;10k0o<639998g4>;1180::<526839534<5?396<8>;<4:6?71:27=5>4>609>2<5=9?801;7;:042?80><3;=>639948224=:>0?1=;<4=7c7>a6<582<6i>4=2`7>a6<5=??6i>4=57`>a6<5=k;6i>4=5f3>a6<5=i36<8>;<6`609>0f?=9?8019mn:042?82di3;=>639418g4>;1?>0o<63>a88g4>;6l:0o<63;4l90o<63;428g4>;1l3n;70?l6;f3?87b93n;70;4lk0:9h523e`950`<5:ni6<8?;<1gf?719278ho4>639>7ae=9jl:07f?85ck3;>j63405349n:7j?;<63`?b734>8h7j?;<67a?b734>347j?;<6;a?b734<8m7j?;<45f?b734=86i>4=3af>a6<5:=96i>4=253>43a349<<7?90:?035<6>816?:>5170896`e2m:0197n:e2891?028?n70:67;36b>;31>0::=524859537<5=3<6<8=;<6b2?b734?n>7j?;<46a?b7348>;7?90:?112<6>816>8951708903f2m:01897:e2890d52m:018>::e2890412m:018?i:04;?836n3;=563:1g822d=:=8l1=;l4=43e>40d34?:j7?9d:?65c<6>l169f;345>;29o0:;>5250d9522<5<8;6<87;<714?71127>>=4>6`9>176=9?h01841734?9<7?81:?665<6?:169?>51668904628<370;=1;35=>;2:80::l52533953d<5<8:6<8l;<715?71l27>><4>6d9>177=9?l018<>:053?83593;<=63:208236=:=;;1=::4=401>40?34?9>7?99:?667<6>h169?<517`8904528;2:;0::h52530953`<5<896<9?;<716?70927>>?4>729>174=9>>018<<:04;?835;3;=563:22822d=:=;91=;l4=400>40d34?9?7?9d:?666<6>l169?=517d8904428=;70;=3;345>;2::0:;>525319522<5:=26<8>;<14=?71:278;l4>679>72g=9?201>9n:04:?850i3;=m63<7`822g=:;>k1=;m4=25b>40c349o16?:o51628961f28=:70=8a;347>;4?h0:;95236`9530<5:=i6<87;<14f?711278;o4>6`9>72d=9?h01>9m:04`?850j3;=h63<7c822`=:;>h1=;h4=25a>417349;4?j0::45236a953g<5:=h6<8m;<14g?71k278;n4>6e9>72e=9?o01>9l:04e?850k3;<<63<7b8234=:;>i1=:=4=25`>41334>1=;64=5822<=:<3;=m63;:04a?82=9?i0194>6e9>0?71m27?6<8i;<69526<5=0:;<524;347>;328=?70;517:890<6>01697?9a:?6>40e34?1=;m4=4822a=:=3;=i63::04e?83=9>:0184>709>1?70;27>6<9;;<4953><5?0::4526;35e>;128m16:7?9e:?5>40a34<1=:>4=78234=:>3;01822<=:99:1=;o4=023>40e34;;<7?9c:?245<6>m16==>517g8946728;6890:;<521129525<58:;6<9;;<335?71027:<<4>689>557=9?k01<>>:04a?87793;=o63>00822a=:99;1=;k4=022>40a34;;=7?80:?244<6?816==?51618946628=?70??2;35<>;68;0::452110953g<58:96<8m;<336?71k27:6e9>554=9?o01<>=:04e?877:3;<<63>038234=:9981=:=4=021>41334;;?7?98:?246<6>016===517c8946428;68:0::i52111953c<58:86<8i;<337?70827:<>4>709>555=9>901<><:057?875:3;>h63>23821`=:9;81=8h4=001>40734;9>7?91:?267<6>;16=?=514f8944428?n70?=3;36b>;6::0::=521319537<58886<8=;<310?72l27:>94>5d9>572=9258227=:9;?1=8j4=006>43b34;997?:f:?260<6>916=?;51738944228<970?;6;k0::<5212`9534<589h6<8?;<30g?71927:?n4>639>56b=9?:01<=k:042?874l3;=>63>3d8225=:9:o1=;?4=01f>4053ty==44?:3y>24>=9;38o0:j>52401950d<5=;?6<;m;<621?72j27?>:4>5c9>07>=9n63;21821g=:<:81=8l4=510>43e34>887?:b:p075=83hp1;?m:044?806k3;=;63>978222=:<8>1=8m4=536>43d34>9?7?i3:?76<<6=k168?>514a8915528?h70:<3;36g>;3;=0:9n5rs53:>55;353>;3:>0:9n5243:950e<5=;265b9>064=9?=019=<:044?824<3;=;6s|43f94??|5?;i6<9:;<42g?70=27:5;4>749>042=9>8019?::051?82583;=;63;2e82b6=:<:;1=8l4=517>4153ty==o4?:3y>24d=9o901;?n:07a?xu6?j0;68u260a9520<58=h65b9>123=952z?55f<6n:16:8l1=8m4=434>43e34?:;7?:c:?6e7<6=k169l<514a8937628?i708>1;36g>;3?o0:9o52492950d<5===6<;m;<643?72j27:484>5c9>22<6=k16897514`8901428?i70lk:0d0?83?13;>n63:8`821g=:nh0:9o5rs436>5<4s4?;h7?:a:?64g<6=h169<851g18yv37j3:19v3:0c82b6=:91o1=8l4=0:f>43d34;2n7?:b:?2=g<6=j1v8>j:181837k3;>m63:0d82b6=z{<;<6=4={<73a?72i27>=:4>f29~w06a2909w0;>6;36e>;28o0:j>5rs433>5<5s4?;j7?:b:?655<6n:1v8?>:181837n3;>o63:1082b6=z{=?o6=4>7z?657<6=k169<=514`8907328?i70;6c;36f>;18k0:9o5244f95c5<5=<96<;m;<657?72j27:494>5c9>00?=928?i708n:07a?80e28?i70:?7;36f>;3810:9o5241;950d<5=>h6<;m;<745?72j27>;94>5c9>123=95b9>7ce=9o901999:07`?820?3;>o63>85821f=:<<31=8m4=57b>43d34>;:7?:b:?742<6=j168=l514`8912f28?i7p};6783>42|5<;96<88;<727?72k27>=94>5b9>1l:07a?82193;>n63;60821f=:43e34;3<7?:c:?53?71?27=47?:c:?5=?72k27=m7?:c:?5f?72k27?<:4>669>05>=96:07`?823k3;>o63:70821f=z{=3z?657<6?;169<=51758907328<<70;6e;36f>;18m0:9o5247;950d<5=<26<;l;<65g?7a;27:4<4>5c9>5=7=9=9?=01;75175893g=9?=01;l51758916028=970:?8;353>;3800:::5245a9531<>7>512y>144=9>?018?<:051?836<3;<>63:9g821g=:>9o1=8l4=54e>43e34>=j7?:c:?737<6n:16=5<514`894>528?h7088:056?80?28=97086:051?80f28=9708m:051?827?3;<963;098237=:<931=:<4=56`>4153ty>=?4?:3y>144=9o9018?>:07a?xu3?h0;6<;t=430>41234;247?:c:?73d<6n:168:k514`8911128<<70:87;353>;60<0:9n52668233=:>10:;852688230=:>h0:;8526c8230=:<9<1=8m4=52;>41234>;n7?:c:?70<<6=j1689o514a8912e28?i70:;c;341>;2?:0:9n52567953152z?656<6n:16940034><:7?82:?2<0<6>>168>k51g1893d=9><019>m:044?823j3;>o63:8`821f=z{=286=4n{<720?70>27?4=4>669>021=9>801;l51658916128<<70:?9;341>;31;353>{t=h:1<7=t=4;5>43f34?287?:a:?6e4<6n:1v87;:18183><3;m?63:9g8222=z{<3<6=4={<7:1?72i27>5:4>f29~w0g52909w0;67;36e>;2i;0:j>5rs4;;>5<5s4?j=7?:a:?6==<6n:1v876:18183>03;>n63:9882b6=z{<3j6=4={<7:5l4>f29~w16f2908w0;6b;36f>;21k0:9n5241c95c552z?6=g<6n:1694o514`8yv7>l3:1mv3:9b821f=:=0n1=8m4=4;f>43d34?2j7?:c:?2=a<6n:16=l:514`894g228?i70?n6;36f>;38k0:;?5215a950d52z?6=f<6n:1694o514a8yv3>l3:1>v3:9e82b6=:=0k1=;94}r7:a?6=:r7>5h4>f29>180q~;6f;296~;21o0:j>5258c952353z?540<6=h16:==514c8937728l87p}90283>7}:>991=k=4=72f>4003ty=<;4?:3y>252=99:0d0?xu1980;6?u2614950g<5?;:6514c8936028l87p}90983>7}:>9=1=8l4=72;>4`43ty=<44?:3y>251=96:0d0?xu61>0;6>u261c950d<5?:j6<;l;<3:3?7a;2wx:=o50;0x936f28l8708?9;36f>{t9j=1<7ot=72a>43d34<;o7?:c:?54a<6=j16:=k514a894??28=970?l7;3e7>;6kl0:9o521bd950d<58n;6<;m;<370?72j2wx:=l50;0x936e28l8708?9;36g>{t>9i1<74`434<;57?97:p25b=838p1;>k:0d0?80713;<>6s|61g94?4|5?:n6k>50;0x97c228?j70{t:l?1<7<7{<0f1?7a;279io4>5c9>6`e=9n63=eg821g=:;9l1=8l4=232>43e348m;7?:b:?1b=<6=k16?;4:10:9o52323950d<5:996<;m;<10f?72j278?n4>5c9>713=9:9:07a?853n3;>n63<51821g=:;<31=8l4=27b>43e349=87?:b:?040<6=k16?=8514`8976428?i70=80;36f>;5=>0:9o5236;950d<5o91=8l4=g6950d<5o?1=8l4=g4950d<58:<6<;m;<335c9>55g=9m:07a?xu5m?0;6?u22g3950g<5;o=6h8514`897c028l87p}=e983>7}::l<1=8m4=3g;>4`43tym=7>53z?1a<<6=k16>h7514a89c7=9o90q~522d:950d53z?1ad<6=k16>ho514a8946228l87p}=e`83>7}::lk1=k=4=3g;>43d3ty8;h4?:33x97ce28?h70;5mm0:9n522dg950e<5;om6<;l;<124?72j278=<4>5b9>745=9?>01>?;:047?836n3;=?63:218226=:=;;1=;:4=401>40434?9?7?93:?03`<6n:1687?93:?6>40434<1=;=4=g1950e<5o>1=8m4=g7950e<5o<1=8m4=g59532<5ol1=;:4=023>40334;;=7?93:?247<6>=16===51768946028?h70??8;36g>;6800:9n5211c950e<58:i6<;l;|q1ag<72;q6>hl51g1897c?28<<7p}=cg83>61|5;oh6<88;<127?71=278=94>649>6c0=943d3499:7?:b:?062<6=j16?>>514`8965628?h70=;4;k0:9n52356950d<5:>>6<;l;<17a?72j2788k4>5b9>70>=9;6:07`?851;3;>n63<65821f=:;?<1=;=4=244>404349=47?93:?041<6=k16?=;514a8902528?i70;;3;36f>;5ko0:j>522e0950d<5;o;6<;m;<0f5?72j27>i=4>5c9>14`=9?>01843d349=16?:m5176891<6>=1697?94:?5>40334l<6<8:;43d34li6<;m;40234;;<7?95:?244<6>=16==<51778946428<>7p}=eb83>7}::li1=k=4=3g;>4153ty9hn4?:25x97cc28<<70=>3;352>;49=0::;522g4950e<5;l36<;l;<0ee?71<279jo4>649>74e=9?j:07`?855>3;>o63<29821f=:;::1=8m4=211>43d3498m7?:c:?07f<6=j16?9:514a8962128?h70=;e;36g>;4=90:9n5234:950e<5:?j6<;l;<157?72k278:;4>659>731=9?>01>87:047?857<3;>o63<07821f=:==81=8m4=460>43d348o>7?:c:?1`f<6n:16>h>514a897c628?h70;j0;36g>;5=>0:9n5250d9533<5<8;6<8:;<715?71>27>>?4>649>175=9??01>96:044?850i3;=963<7c8220=:;>i1=;;4=58220=:=3;=9639:046?8`028<=70hn:044?8`e28?h70hi:045?87783;=:63>008220=:9981=;84=020>4013ty9ii4?:3y>6`b=9o901?k7:056?xu48h0;6400349;m7?i3:?04c<6=j16?<>514a8967628<<70;5n10:::5230f9531<5:;n6<88;<113?71?278>54>669>767=9?=01>==:044?854j3;=;63<3b8222=:;=?1=;94=265>400349?j7?97:?015<6>>16?8751758963f28<<70=94;353>;48<0:::523149531<5;:86<;l;<144?72k2799:4>669>72?=9>80q~522d:9520516y>6``=9?=01?h8:051?84a03;<>63<1982b6=:;8i1=;94=204>415349947?82:?074<6?;16?><51608965e28=970=;4<<0:;?523549524<5:>m6<9=;<164?70:278944>739>70g=9>801>8;:051?857=3;<>63<078237=:;>:1=;94=374>415349<57?85:p6``=838p1?ki:0d0?84b03;<;6s|30494?4|5::j6<;n;<123?7a;2wx?<;50;0x967528?j70=>5;3e7>{t;881<7=t=231>4`434;;:7?:b:?243<6=j1v>>m:181856?3;>m63<0c82b6=z{::h6=4={<13f?72j278f29~w66c2909w0=?b;36g>;48m0:j>5rsgf94?5|5::n6<;m;<13a?72k27mh7?i3:p75c=838p1>>j:0d0?857l3;>n6s|31d94?4|5::m650;0x967728l870=?d;353>{t;8;1<74`4349;h7?82:p745=838p1>?<:0d0?856=3;>n6s|30694?4|5:;?6;40<0:j>5rs25e>5c28?i70=66;36f>;4110:9o523`3950d<5:k96<;m;<1b7?72j279m<4>5c9>7de=952z?0<3<6n:16?5;514c8yv5?83:1>v3<848g4>;4090:j>5rs2:1>5<5s493=7?:b:?0<7<6n:1v>6<:18185?93;>o63<8282b6=z{:k36=4>ez?0<6<6=j16?5k514`896?>28?i70;5n10:;85230f9524<5:;n6<9=;<113?70=278>54>749>767=9>?01>==:056?854j3;<963<3b8230=:;=?1=:;4=265>412349?j7?85:?015<6?<16?8751678963f28=>70=94;341>;4i80:9n523`6950d<5::>6<9:;<132?70=278m54>f29>6a4=9?=01?k?:044?84b93;=;63=568230=:;>21=8l4}r0`7?6=:>q6?5=5175896>728?i70=7e;36g>;4010:9o5238;950e<5:396<;m;<0b1?72j279j:4>779>6c>=9><01>?k:056?856m3;<963<268233=:;;21=:84=212>4113498>7?86:?07g<6??16?>m51648962228==70=;6;342>;4278:94>779>7d4=9o;:07`?85>l3;>n63=9d821g=:;9?1=:84=225>411349j57?:b:?1`7<6?;16>h>5160897c628=970;5kj0:9o522ba950e<5;?<6<99;<14n750;0685?;3;<>63<81821f=:;1o1=;94=2:;>43d349257?97:?0=7<6=j16>l;514a897`028=<70;49m0:;;5230g9520<5:8<6<98;<11769>764=9>=01>=m:054?854k3;<;63<448232=:;=<1=:94=26e>410349><7?87:?01<<6?>16?8o51658960328=<70=n3;36g>;4i=0:::5238f950e<5;3n6<;l;<131?70?278<;4>769>7d?=9410349<47?97:p7=`=838p1>68:07b?85>83;m?6s|39594?d|5:2<65b9>7<1=977:07`?84f03;>n63400348j=7?:c:?0ef<6=j1v>66:18185>83;>m63<8882b6=z{:236=4={<1:4?b7349347?i3:p7=g=838p1>66:07a?85?i3;m?6s|39`94?4|5:226<;l;<1;f?7a;2wx?5m50;0x96>d28l870=7b;36f>{t;1n1<74`43493n7?:c:p7=c=838p1>6j:0d0?85?j3;=;6s|38c94?4|5:3:6<;n;<1:f?7a;2wx?4?50;cx96?628l870=66;36g>;41>0:9n5238:9531<5;k36<;l;<1b5?70:278m?4>739>7d5=9>801?o>:044?85fk3;=;6s|38194?4|5:3i6<;n;<1:7?7a;2wx?4<50;0x96?e2m:01>7=:0d0?xu41=0;6?u2381950d<5:3?67}:;0<1=k=4=2;6>43e3ty85:4?:3y>7<1=9o901>7::07`?xu4110;6?u238:95c5<5:3>6<88;|q0=<<72;q6?4751g1896?228=97p};db83>6}:43d34>oh7?i3:p0a7=839;w0:k1;3e7>;3l>0:9n524e:9531<5=n26<;l;<6ge?70:27?ho4>739>0g5=9i63;b7821`=:=;l1=8l4=5gf>43e348o87?:d:?1`0<6=m16>i8514f897b028?o70861;36a>;11;0:9i52681950b<5?3?6<;k;<4:1?72l27?o54>5d9>0f?=9n63>d0821g=:9j;1=;94=0a1>40034;h?7?97:?2``<6?;16=ih5175891?228?i70;l6;341>;2k>0:;?525b:9523<5669>56d=9>k01<=l:05:?874l3;3d823<=z{=n96=4={<6ga?72j27?h?4>f29~w1bb2909w0:ke;3e7>;3lm0:9l5rs5f0>5<5s4>o>7?:b:?7`6<6n:1v9j;:18182c:3;>o63;d582b6=z{8i;6=4<{<6g1?72j27?h84>5b9>5f6=9o90q~:k5;296~;3l<0:j>524e6950d5b9>a7<6n:1v9j9:18182c>3;m?63;d5821f=z{:l=6=4;9z?7`2<6>>168i75175897`f28<>70=96;351>;4>>0::85237:9533<5:oi6<;l;<1f`?70=278j=4>5c9>17c=9o63:64821g=:>==1=;94=3f7>43b348o97?:e:?1`3<6=l16>i9514g8931b28?h70870;36g>;1==0:9n52680950c<5?386<;j;<4:0?72m27=584>5d9>2d4=9l=:07`?82dk3;>o6343d34;h=7?82:?2g7<6?;16=ih51608974f28?h70=k7;36g>;1;10:9n5267;950e<5:l=65b9>634=940134?9<7?96:?667<6>?169?=5174890e028=>70;l9;341>;2mk0:9n525da9531<5;128<=70k<:044?8c228?n70k8:07f?87793;=:63>1d821f=:9;;1=8m4=000>43d34;997?:c:?274<6=j16=>o51608945d28=j70?;6<<0:9n5215f950eo;7>52z?7`2<6n:168i:51758yv2cn3:1>4u24e:9524<5=n26<9=;<6ge?70=27?ho4>749>0g5=943e348o87?:f:?1`3<6=o16:4?514d893?528?m70864;36b>;3k00:9k521`3950d<58k:6<;l;<3`f?72j27:oo4>5b9>5gc=9c38230=:9j91=:<4=0fa>43e34;oh7?:b:?2``<6?<16=ih5167891?528?i70;l6;342>;2k>0:;;525b:9520<5l514f8945d28?o70?;6;l0:9i5rs5g4>5<3nr7?h54>749>0a?=9>?019jn:055?82cj3;<:63;b5821c=:41234?8=7?82:?677<6?<169>=5160890b328=970;k5;346>;2l?0:;?525e59523<58;4>739>111=9>8019k<:07a?82b;3;>o63;e682b6=:43d348o97?:f:?1`2<6=o16:4=514d893?228?m70:l8;36b>;3kh0:9k524ba9531<5=io6<9=;<3b3?72k27:h<4>5b9>5gc=9:055?87d:3;<:63>c28230=:9mh1=8m4=0f`>43e34;oh7?:c:?2``<6??16=ih51648927=9;5<:0:;?522569524<5;>>6<9=;<072?70:27>o;4>769>1f1=9>=018m7:054?83d13;<;63:c`8233=:lj0:9o52de821f=:ll0:9n52dg821g=:m90:::52e28230=:9:;1=;94=011>41534;8?7?82:?271<6?;16=>;51608945f28==70?;6;j0:9h5212f950c<589n6<;j;<371?71?27:8;4>739>511=9>801<:7:051?873l3;=;63>4d8237=:9=l1=:<4=073>4153ty<87>55by>0a>=9><019j6:055?82ci3;<;63;dc8232=:4=5`7>40734>i97?90:?7f3<6>9168o651648905628=>70;<2;342>;2;:0:;8525e69523<56<9:;<7g2?70=27>h:4>779>1a>=9>?018:::056?833>3;<963:468230=:407348o97?90:?1`3<6>916>i95172893?628<;70862;354>;11:0::=526869536<5?3>6<8?;<6`619>0fg=9?:019ml:051?82dl3;<963>a5821f=:9h?1=8m4=0c5>43d34;j;7?97:?2g`<6=j16=nh514a894b728?h70?k1;353>;6k80:;:521b09521<58i86<99;<3g`?71?27:hh4>769>5a`=9>=01>jm:05:?85ck3;<563=438230=::=91=:;4=367>412348?97?85:?103<6?<16;94>f29>155=941034no6<88;40034nm6<;l;41534o86<99;43a34o>6<;i;43a34o<6<;i;<305?70:27:??4>749>565=9>?01<=;:056?874=3;<963>3`8232=:9:h1=8h4=01`>43a34;8h7?:f:?27`<6=o16=9:514a8942228=970?;6;341>;6<>0:;85215:9523<58>h6<;l;<37`?70:27:8h4>749>51`=9>?01<;?:056?xu3l10;6?u24e:95c5<5=n?6<9=;|q7`<<72;q68i751g1891b328=>7p};d`83>7}:4113ty?ho4?:3y>0ad=9o9019j;:054?xu60j0;6?u219:950g<582o6;2?=0:9n52153950d52z?2v3>8g82b6=:91n1>4m4}r3;=?6=:r7:4i4>5`9>5=?=9o90q~?7a;296~;6000:9o5219c95c552z?2<<<6=j16=5l51g18yv7>13:1>v3>91821d=:90k1=k=4}r3:4?6=>r7:5=4>f29>035=9?=019;n:044?82f<3;>n63;a5821f=:=>>1=;94}r3:5`9>5<>=9o90q~?6b;296~;61j0:9o5218`95c552z?2=f<6n:16=4o528a8yv7>93:1>v3>9`821d=:90;1=k=4}r3:6?6=:r7:5<4>5c9>5<4=9o90q~?63;296~;6180:9n5218195c552z?2=1<6n:16=4=514`8yv7>=3:1>v3>9482b6=:9091=8m4}r3:2?6=:r7:5;4>f29>5<5=9?=0q~523y>6d2=9o901;l9:07a?80fk3;>o639ae821f=:>ho1=8l4=7ce>43e34<>=7?:b:?5=`<6=k16:4h514a893g728?h708n1;36f>;1i;0:::5223;950d<5;8j6<88;<451?72j27=:;4>5b9>231=943e34?jo7?97:?6ea<6>>16=<;514`8947128?i70?>c;36f>;69m0:9o5210g9531<588:6<88;<31e?72k27:>o4>5b9>57e=9lo5d19>6d3=9o90q~52z?1e3<6=j16>l651g18yv5>k3:19v3=a98222=:;0i1=k=4=2c7>415348j=7?82:?0ef<6?;1v?hk:18084a:3;>m63=fg821f=::oo1=k=4}r0e6?6=?r79j?4>f29>6c0=9?=01>>::05;?857>3;<463<718237=:;>31=:84=g1953152z?1b<<6=h16>km51g18yv4a13:1?v3=f882b6=:;><1=8l4=255>43d3ty9j>4?:3y>6c`=9k=514`897`328l87p}=f483>7}::o91=8m4=3d6>4`43ty9j;4?:3y>6c0=9o901?h::07a?xu4:;0;6<;t=3d4>41?348m47?88:?067<6n:16??851758965628=370=<2;34<>;4;k0:;55232a952><5:>>6<97;<172?7002788k4>799>706=9>201>;6:05;?852i3;<463<65823==:;9?1=:74=225>41>349<<7?85:?112<6?116?:751658946028<<7p}<2b83>45|5;l<6<96;<0en4>f29>766=9?=01>=m:05:?854k3;<563<44823<=:;=<1=:74=26e>41>349><7?89:?01<<6?016?8o516;8960328=270=?5;34e>;48?0:;l523629520<5;?<6<96;<14=?70027:<54>669~w651290:=v3=f6823d=::o21=:o4=215>4`43498m7?97:?000<6?h16?98516c8962a28=j70=:0;34e>;4=00:;l5234c952g<5:5e9>726=9>=01?;8:05b?85013;<563>088222=z{:>;6=4i{<0e3?72l279j54>5e9>716=9o901>:;:044?853n3;>h63<51821a=:;<31=8j4=27b>43c349=87?:d:?040<6=l16?=8514g8961728=370<:7;36`>;4?00:;l5211c95315dz?1b2<6=l16>k6514g8962f28l870=;e;353>;4=00:9h5234c950c<5:5g9>726=9>301?;8:07f?85013;>h63>0c8222=z{:??6=4m{<0e3?72n279j54>5g9>702=9o901>;7:044?851<3;>j63<048225=:;9<1=;>4=253>41f348>;7?:f:?03<<6=l16j94>669~w63b2902w0;5n10::=5234g95c5<5:<86<88;<131?719278<;4>609>726=996:07e?8`228<<7p}=f683>7}::o=1=k=4=3d6>43d3ty9j54?:3y>6c>=9o901?h::044?xu5nh0;6?u22gc95c5<5;lh6<;m;|q1bg<72;q6>kl51g1897`d28?h7p}<1g83>6}:;821=8o4=202>43d3499<7?i3:p74?=838p1><>:07a?85613;m?6s|33394?4|5:8:628?i70=>a;3e7>{t;8h1<743d349:n7?i3:p74e=838p1>?l:0d0?856j3;>n6s|30f94?4|5:;o6b;353>{t;;31<7=t=201>43f3499n7?:c:?06d<6n:1v><<:181855j3;>n63<2282b6=z{:8i6=4={<11f?7a;278>l4>5`9~w6432909w0==3;36f>;4:=0:j>5rs206>5<5s499?7?:c:?060<6n:1v><9:181855>3;m?63<24821g=z{:8<6=4={<113?7a;278>84>5b9~w64?2909w0==8;3e7>;4:<0:::5rs210>5<4s499o7?:a:?070<6=j16?>:51g18yv55l3:1>v3<34821g=:;;n1=k=4}r101?6=:r78?84>f29>762=952z?06a<6=j16??h51g18yv5483:1>v3<3182b6=:;;l1=8l4}r105?6=:r78?<4>f29>77`=95233d953153z?073<6=h16?>h514a8965b28l87p}<3683>7}:;:l1=8l4=214>4`43ty8?k4?:3y>76`=9o901>=j:07b?xu4;10;6?u2325950d<5:9369514a8965>28l87p}<3`83>7}:;:k1=k=4=21:>43e3ty8?o4?:3y>76d=9o901>=6:07`?xu4;j0;6?u232a95c5<5:926<88;|q002<72:q6?9>514c8962>28?h70=;8;3e7>{t;=;1<743e349?=7?i3:p71?=838p1>:6:0d0?85303;>m6s|35094?4|5:>:6<;m;<176?7a;2wx?9=50;0x962628?h70=;3;3e7>{t;=>1<74`4349??7?:b:p713=838p1>:::0d0?853;3;>o6s|35494?4|5:>=6;4=;0:j>5rs26a>5<5s49>?7?:b:?00g<6n:1v>;<:181852;3;m?63<53821d=z{:>h6=4={<17f?72j2788n4>f29~w62c2909w0=;b;36g>;45rs26f>5<5s49?i7?i3:?00a<6=k1v>:i:181853n3;m?63<4e821f=z{:?;6=4={<164?7a;2788i4>669~w63e2908w0=:4;36e>;4=m0:9n5234a95c597>52z?01a<6=k16?8;51g18yv52l3:1>v3<5e82b6=:;5c9>700=9o90q~=:7;296~;4=<0:9n5234595c547>52z?01=<6n:16?89514`8yv5213:1>v3<5882b6=:;<=1=8m4}r16e?6=:r789l4>f29>701=9?=0q~=9a;297~;4=l0:9l5237a950e<5:28l87p}<6483>6}:;??1=k=4=254>43e349<;7?:c:p70`=838p1>8l:07a?852n3;m?6s|37a94?4|5:50;0x963a28?i70=90;3e7>{t;?;1<743d349==7?i3:p600=839p1>8=:07a?851:3;>o63=5782b6=z{:<96=4={<156?7a;278:<4>5c9~w6042909w0=93;3e7>;4>80:9n5rs247>5<5s49=87?i3:?024<6>>1v>89:181851>3;m?63<68821g=z{:<<6=4={<153?7a;278:44>5b9~w60?2909w0=98;3e7>;4>00:::5rs2c6>5<4s492o7?:a:?0e2<6=j16?l851g18yv5>m3:1>v3f29>7d0=9f29~w6g72909w0=6e;36g>;4i90:j>5rs2c2>5<5s49j=7?i3:?0e5<6=k1v>o=:18185f:3;m?63669~w6g32909w0=n4;3e7>;4i90:;?5rs3c1>5<5s482h7?:a:?1e6<6n:1v?7k:1820~;51m0:j>526c4950e<5?=o6<88;<44a?71?27=;k4>669>2=6=9?=01?8?:044?84193;=;63=638222=::>h1=;94=4gb>40034?nn7?97:?6aa<6>>169hk51758947228?h70?>c;36g>;6:;0:::521319531<588?6<88;<311?71?2wx>4h50;0x97g428?j70<6f;3e7>{t:0o1<7a6<5;3n64h514`897g728l87p}=a083>7}::0l1=8m4=3c2>4`43ty8<:4?:2y>756=9>6:07`?85703;m?6s|31294?3|5::;65d9>72?=9?:01k851758yv5793:1>v3<08821g=:;9;1=k=4}r13=?6=:r78<44>f29>75>=952z?044<6=j16?==51g18yv57<3:1>v3<0582b6=:;991=8l4}r131?6=:r78<84>f29>755=952311953152z?0e=<6=h16?lk51g18yv5fi3:1>v3om:18185fi3;>n63f29~w6`52908w0=j7;36e>;4n=0:9n523g195c5516y>7`1=9o901>km:051?85bk3;<:6341134;4jo0:;?521b19521<5;8i6<9=;<04=?70:279;l4>739>610=9><018ll:051?83di3;<463:eb8237=:m:0:;:5211d9524<589j6<97;|q0b4<72;q6?hk514c896`628l87p}6}:;lo1=k=4=2f5>43e349o:7?:c:p7`>=838p1>h;:07a?85b03;m?6s|3g694?4|5:l?6{t;lk1<743d349nm7?i3:p7`d=838p1>km:0d0?85bi3;>n6s|3da94?4|5:oh6{t;hl1<77t=2ge>43d349m<7?:c:?621<6=j169;;514a896ga28l870=m2;353>;4jk0:9o523ca950d<5;2><0:::523c495c5<5:hi6<;l;<1ag?72k27>jo4>5b9~w6e4290>w0=jf;346>;4n90:;?525719531<5:i86{t;o:1<74`4349m=7?:c:p0g?=839p19oj:07b?82ej3;>o63;b`82b6=z{=kn6=4m{<6ba?7a;27?n>4>609>0g2=9?;019l::042?82e>3;==63=d58224=::m?1=;?4=3f5>406348o;7?91:?2`a<6?;16hk4>669~w1d?2909w0:m7;36e>;3j10:j>5rs5`4>5<4s4>i;7?i3:?20g<6=k16=9l514a8yv2fn3:1>v3;bc821g=:f29>0gg=9i=7>52z?7ec<6=j168o?51g18yv4c;3:1?v3;b3821g=:4`43ty?n?4?:3y>0g4=9o9019l>:07a?xu3j:0;6?u24c195c5<5=h:6<;l;|q7f1<72;q68o:51g1891d628<<7p};b483>7}:4153ty?n;4?:3y>0g0=9o9019l>:056?xu1j10;6?u26c0950g<5?h26n639ab8222=:>hn1=;94=7cf>40034;11l0:9n5268d9531<5?k;6<88;<4b5?72k27=m?4>739>67?=9o639678222=:>?=1=;94=74;>43d34<=57?82:?125<6?;16>;?51608970528=970<8b;346>;2=10:9o525dc9524<5ih4>739>544=9n63>1c821g=:9;;1=:<4=001>41534;9?7?82:?261<6?;16=?;51608944f28<<70?=b;353>;6:j0:9n5213f950e52z?5f<<6=h16:o=51g18yv0e<3:1>v39b2821g=:>k>1=k=4}r4a1?6=:r7=n>4>5b9>2g3=9o90q~8m6;296~;1j?0:j>526c7950d520y>2g1=9n639ab8237=:>hn1=:<4=7cf>41534;5:>0:9o5223;9531<5;8j6<9:;<01f?70=279:>4>5c9>104=941234?ni7?85:?264<6?<16=?<51678944428=>70?=4;341>;6:<0:;85213;950d<588j6<9=;<31f?70:27:>n4>669>57b=9?=0q~869;29f~;1j>0:::5265:950e<5??96<;m;<4:=?7a;27=;84>5c9>223=9n63=62821f=:=<<1=8m4=47;>43d3ty=;?4?:`y>2g1=9>801;:7:044?802:3;>o6396g821g=:>?l1=8m4=751>4`43489;7?:c:?126<6>>1698=514`8903428?h7p}9b683>7}:>k=1=k=4=7`6>43d3ty:n:4?:3y>5dd=954>7`9>7f?=9mm:07a?85dk3;=;6341f349oo7?8a:?7=0<6=j16848514`891?028?o7p}>b783>7}:9k;1=8o4=0`5>4`43ty:n<4?:2y>5g7=9o901o6s|1`a94?4|58h36<;n;<3bg?7a;2wx=lj50;0x94gd28?i70?nd;3e7>{t9ho1<743d34;ji7?i3:p0<5=839p1o63;9282b6=z{8km6=4={<3bb?7a;27:mh4>5c9~w4d72909w0?m0;3e7>;6il0:9n5rs883>44|58h96<88;<3a7?71?27:n94>669>5g3=9?=01?>>:07`?847:3;>o63=05821g=::9?1=;94=4d;>40034?m57?97:?6bd<6?;169kl516089<<6n:16io4>669>af<6>>16ii4>669>a`<6>>16ik4>669~w4d52909w0?m2;3e7>;6j?0:9o5rs0`0>5<5s4;i?7?i3:?2f3<6=j1vb78222=z{8h>6=4={<3a1?7a;27:n;4>739~w1gd2909w0:n7;36e>;3im0:j>5rs5c4>5<4s4>j;7?i3:?2e0<6>>16=9m51758yv2f13:1>v3;ae821d=:n63;a`82b6=z{=ki6=4={<6b=?72k27?mo4>f29~w1e4290;3i10:9n524cf950d<5=ho6<;l;<6`7?7a;27>5c9>154=9io7>53z?7ff<6n:16=nh51758942328<<7p};bd83>7}:4`43ty?ni4?:3y>0f4=l9168oj51g18yv2en3:1>v3;bd821g=:5b9>0f6=9o90q~;<5;297~;2:00:9l52525950g<5<9=6951g18904a28?h70?;1;36g>{t=:>1<743f34?887?i3:p166=839p18=?:0d0?873;3;>n63>42821f=z{<8j6=4={<702?72i27>>l4>f29~w04e2909w0;=a;36f>;2:k0:j>5rs40`>5<5s4?9m7?:c:?66f<6n:1v<:?:180835l3;>n63:2e821f=:9=:1=k=4}r71`?6=:r7>>i4>f29>17e=95253a950e52z?66c<6n:169?m51758yv3493:1>v3:3082b6=:=:>1=8l4}r706?6=:r7>??4>f29>162=952526953152z?566<6=h16:?o51g18yv05<3:1>v392`821d=:>;>1=k=4}r41f?6=:r7=>l4=ac9>27d=9o90q~8=5;296~;1:=0:9o5263795c552z?561<6=j16:?851g18yv05?3:1>v392682b6=:>;<1=8l4}r4154>f29>270=952z?6`6<6=h169i751g18yv3c;3:1?v3:d282b6=:9::1=8l4=013>43d3ty>h=4?:3y>1ag=9514a890b528l87p}:b883>6}:=m81=8l4=4f1>43d34?i57?i3:p1a2=838p18j;:0d0?83c13;>n6s|5e794?4|56{t=m=1<74`434?o57?82:p1a>=838p18j7:0d0?83c13;<96s|55;94?2|5<9n6<;n;<70`?72i27>8o4>5b9>11g=9o90q~;525509531<5<>86<88;4003ty>854?:3y>112=9u255695c5<58ih6<;m;<3`g?72k2wx9>h50;0x902e28?i70;{t==h1<74`434??m7?:a:p116=838p18=i:07a?83383;m?6s|55394?4|5<9m6<;l;<775?7a;2wx99<50;0x902528l870;;1;36f>{t;l;1<7=t=460>415348o>7?86:?0a4<6n:1v8:<:181833;3;m?63:40821f=z{<>>6=4={<771?7a;27>854>5c9~w0212909w0;;6;3e7>;2<10:9n5rs464>5<5s4??;7?i3:?60=<6>>1v?:i:18184313;>m63=4g82b6=z{;>j6=4={<07b?72i2798l4>f29~w72e2909w0<;a;36f>;55rs36`>5<5s48?m7?:c:?10f<6n:1v;:>:182g~;5:65b9>0c7=9n63=3`821f=:::h1=8l4=377>43d348>97?:b:?11`<6=j16>;o514a8970e28?i70<86;36g>;5?>0:9o52290950e<5;286<;m;<0;1?72j2794o4>5b9>6=e=9;3;>o63=98821f=::0k1=8m4=4`;>40034;847?97:p61b=838p1?:k:0d0?843k3;>n6s|25g94?4|5;>n6;2>10:j>5rs47g>5<4s4?>h7?i3:?57`<6=k16:>k514a8yv31>3:1>v3:63821d=:=?<1=k=4}r756?6=;r7>:?4>f29>7f0=9m9:07`?xu2=o0;6?u257:950g<57}:=4`43ty8o:4?:2y>137=9:07`?85d?3;m?6s|57194?4|5<<86{t=??1<74`434?=:7?97:p21?=838p1;:>:07b?803i3;m?6s|65094?4|5?>j6<;n;<476?7a;2wx:9=50;0x932528?i708;3;3e7>{t>=>1<743d34;1<<0:9n526409520<5?236<;m;<4;f29>670=9><01?<8:055?802k3;>o63=628232=:9;;1=:64=001>41>34;9?7?89:?261<6?016=?;516;8944>28=>70?=a;343>;6:k0:;:5213a9520<588o6<98;|q500<72;q6:9;51g18932328?i7p}9a483>60|5?>=6<9:;<473?70=27=854>749>2d3=9o901;om:07`?800l3;<96397d8230=:>>l1=:;4=7:3>41234<>>7?97:?5=c<6?;16:l>5160893g628<<708n2;341>;4jl0:;8523cd9523<5;8=6<88;<013?71?279>44>739>230=9>801;88:051?80103;=;639688230=::?:1=:;4=342>412348=>7?85:?126<6?;16>;j51608970b28=970<9f;346>;5?00:;85226c9523<5;=i6<9:;<7bg?70:27>mi4>739>1dc=9>8018oi:051?876:3;>o63>148222=:98<1=8m4=03b>43d34;:o7?97:?25a<6=j16=;6::0:;;521369520<588>6<99;<31=?72k27:>l4>749>57d=9>?01<6s|65`94?74s4;1i80:;?523cg9520<5:hm6<99;<01f?70?27=:54>739>63c=9>?01?8i:056?84013;<:63=7`8233=:=ho1=:;4=4ce>41234?io7?85:?6af<6?>16=?m51658yv03>3:1>v394782b6=:>=>1=8m4}r473?6=:r7=8:4>f29>212=9?=0q~888;295<}:>=21=:84=7ca>40034<<47?i3:?514<6=j16:8<5160893?b28<<70<=6;346>;5:>0:;?5223`9520<5?<>6<88;<057?70=27>9:4>5b9>1`g=9><018km:055?83bk3;<:63:ee8233=:=lo1=:84=030>43d34;:n7?:c:?267<6?>16=?=51658944328=<70?=5;343>;6:00:::5213f9523512y>21>=9>=01;9m:07a?802:3;<96398582b6=:>131=8l4=305>4123489;7?85:?126<6??16=<:514`8944628=<70?=2;34<>;6::0:;552136952><588>6<97;<31=?70:27:>l4>779>57d=9><01<36{t43f34>n<7?i3:p0`7=838p19k?:07a?82b93;m?6s|4d094?4|5=o;6<;l;<6f6?7a;2wx8h=50;0x91c428l870:j2;36f>{t<0h1<7;t=5g7>43d34>nh7?:c:?7=g<6n:16=oh514a894bd28?h7p};e583>7}:1=k=4=5g1>43d3ty?j>4?:3y>0`1=9{t43f34>n47?i3:p0`?=838p19k7:07a?82b13;m?6s|4dc94?4|5=o36<;l;<6fe?7a;2wx=?h50;1x91ce28?i70:jb;36g>;6:o0:j>5rs5ga>5<5s4>nn7?i3:?7ad<6=k1v9kl:18182bk3;m?63;e`821f=z{=oo6=4={<6f`?7a;27?il4>669~w1cb2909w0:je;3e7>;3mh0:;?5rs5d3>5<5s4>m<7?i3:?7b7<6=k1v9h>:18182a93;m?63;f3821f=z{=2:6=4={<64e?72i27?4?4>f29~w11e2909w0:72;36e>;3?k0:j>5rs55`>5<5s4>o63;7e82b6=z{=>m6=47{<64a?72k27?;k4>739>0=6=9>8019:i:0d0?80?28==70:;8;36f>;3<10:9n52567952452z?73`<6n:168:j514`8yv20n3:1>v3;7g82b6=:<>n1=8m4}r6;4?6=:r7?4=4>f29>02b=9?=0q~:94;296~;3=m0:9l5247795c5>i7>52z?720<6=h1688k51g18yv22n3:1>v3;5d821g=:<5b9>036=9o90q~:91;296~;3>80:j>52472950d=>7>52z?727<6n:168;>514a8yv21;3:1>v3;6282b6=:5`9>057=9o90q~=id;296~;3880:9l523gf95c552z?0ba<6=k16?kk51g18yv5an3:1>v35`9>04>=97}:<8:1=8l4=532>4`43ty?=?4?:3y>046=96<9:;<6144>669>04`=9:044?824;3;<>63;3982b6=z{=;86=4={<627?7a;27?=?4>5c9~w1732909w0:>4;3e7>;39;0:9n5rs536>5<5s4>:97?i3:?757<6>>1v98n:181821>3;>m63;6c82b6=z{=<<6=4={<65f?72i27?::4>f29~w10?2909w0:97;36f>;3>10:j>5rs54:>5<5s4>=;7?:c:?72<<6n:1v;l?:18180f=3;>m639b082b6=z{?k=6=4={<4a5?72i27=m;4>f29~w3g02909w08n6;36f>;1i>0:j>5rs7c;>5<5s4n639a8821f=:=l31=k=4}r4b=?6=:r7=m44>f29>2d>=97}:>hh1=k=4=7c;>4003ty=mn4?:3y>2de=9o901;o7:051?xu1im0;6?u26`f95c5<5?k36<9:;|q5e`<72;q6:lk51g1893g?28==7p}9ag83>7}:>hl1=k=4=7c;>4103ty?>l4?:2y>075=9{t<;?1<743e34>997?i3:p070=838p19<;:07`?825>3;m?6s|43594?4|5=8<6{t<;31<74`434>9:7?97:p026=838p198l:07b?82093;m?6s|47f94?4|5==:6<;n;<65`?7a;2wx8;k50;0x910c28?i70:9e;3e7>{t43d34>=j7?i3:p02>=838p199=:07b?82013;m?6s|46194?4|5==26<;n;<647?7a;2wx8::50;0x911428?i70:84;3e7>{t<>?1<743d34><97?i3:p020=838p1999:0d0?820=3;>n6s|46594?4|5==<6i750;1x97ea28?j70;5lh0:j>5rs3f;>5<5s48o?7?:a:?1`=<6n:1v?j?:18184cj3;>n63=d182b6=z{;ni6=4={<0gf?7a;279hl4>5`9~w7b62909w0;5l80:j>5rs3f1>5<5s48o<7?:c:?1`7<6n:1v>8k:18784c:3;<;63=e18233=::l;1=:84=24g>4`43ty9h94?:3y>6a2=9o901?j7:07a?xu5l<0;6?u22e795c5<5;n36<;l;|q1`3<72;q6>i851g1897b?28<<7p}=d683>7}::m=1=k=4=3f;>4153ty9i?4?:2y>6ae=9h:50;0x97c328l870{t:mo1<743e348oi7?i3:p6a`=838p1?jk:07`?84cn3;m?6s|2d294?4|5;o;6h?50;0x97c628l870{t<;;1<743f34>9>7?i3:p04g=838p19<=:07b?826i3;m?6s|40`94?4|5=;j6<;m;<62f?7a;2wx8c;3e7>{t<8n1<74`434>:o7?:b:p04c=838p19?j:0d0?826k3;>o6s|40d94?4|5=;m650;0x914728l870:>c;346>{t<:?1<7=t=50g>43f34>8;7?:c:?773<6n:1v9n63;2d82b6=z{=9<6=4={<603?7a;27??;4>5`9~w14a2909w0:=e;36f>;3:o0:j>5rs513>5<5s4>9i7?:c:?775<6n:1v9=>:18182493;m?63;31821g=z{=996=4={<606?7a;27??=4>5b9~w1542909w0:<3;3e7>;3;90:::5rs517>5<5s4>887?i3:?775<6?;1v;6=:18180003;>m6398282b6=z{?2:6=4={<44g?72i27=4<4>f29~w31d2908w088c;3e7>;11m0:9o5268f950e52z?5<6<6=h16::751g18yv00i3:1>v3978821g=:>>k1=k=4}r44f?6=:r7=;44>5b9>22d=9o90q~85b9~w352290:8v397e8233=:>>o1=:84=75e>41134<3<7?86:?510<6=j16:>;51g18970728==70<91;342>;5>;0:;;5226`9520<5ii4>769>1`c=9>=0123823d=:9;91=:o4=007>41f34;997?8a:p22b=838p1;9k:0d0?80?93;>n6s|66g94?4|5?=n6{t>1:1<74`434<3=7?82:p201=838p1;:m:07b?80203;m?6s|64494?4|5??86<;n;<462?7a;2wx:8=50;1x933428l870;na;36f>;2ih0:9n5rs76`>5<5s4<>47?:a:?50f<6n:1v;:k:181803k3;>n6394e82b6=z{?>n6=4={<47g?72k27=8h4>f29~w32a2909w08;f;3e7>;15<4s4<><7?:b:?515<6=j16=<651g18yv0283:1>v395182b6=:>=o1=8m4}r465?6=:r7=9<4>f29>21c=9?=0q~8:2;296~;1=;0:j>5265g952487>52z?511<6n:16:88514`8yv02=3:1>v395482b6=:><<1=8m4}r444?6=:r7=:n4>5`9>227=9o90q~89d;296~;1?80:9l5267f95c552z?52a<6=k16:;k51g18yv01n3:1>v396e821f=:>?l1=k=4}r4;e?6=:r7=494>5`9>2=d=9o90q~875;296~;10k0:9l5269795c552z?5<0<6=k16:5851g18yv0??3:1>v3984821f=:>1=1=k=4}r4;f29>2=1=952695950e52z?5>3:1>v3991821d=:>0<1=k=4}r4:4?6=;r7=5=4>f29>0f1=9b28l87p}98g83>7}:>1n1=8m4=7:e>4`43ty8ni4?:2y>2=`=9{t>091<74`434<2:7?97:p2<2=838p1;7;:0d0?80>>3;<>6s|68794?4|5?3>628?j708n4;3e7>{t>0k1<743f34<2m7?i3:p2j3;m?6s|68a94?4|5?3j6<;l;<4:g?7a;2wx:4j50;0x93?c28l87086c;36f>{t>0o1<74`434<2o7?:c:p2<`=838p1;7i:0d0?80>k3;=;6s|6`294?4|5?k;6{t>h81<74`434<2o7?86:p5=0=838p1<9l:07b?87??3;m?6s|16f94?4|582<6<;n;<34`?7a;2wx=:k50;0x941c28?i70?8e;3e7>{t9>l1<743d34;n6s|19394?4|582:6528l870?8f;353>{t=>81<7=t=0:0>43e34;3?7?:c:?637<6n:1v<6<:18187?;3;m?63>7g8237=z{82?6=4={<3;0?7a;27:;k4>749~w4>22909w0?75;3e7>;6?o0:;;5rs2`0>5<4s49jj7?:a:?0f0<6=j16?o:51g18yv5e83:1>v3f29>7g2=97>52z?0f5<6=j16?o<51g18yv22;3:1>v3;4g821d=:<<>1=k=4}r664?6=:r7?994>5`9>006=9o90q~::1;296~;3=90:9o5244395c5>>7>52z?715<6=j1688<51g18yv22=3:1:v3;53821g=:<<81=8m4=576>4`434<26<99;<67g?70>27>;84>749~w13e2909w0::5;36e>;3=j0:j>5rs575>5<5s4>>o7?:a:?713<6n:1v9;8:181822>3;>n63;5682b6=z{=?36=4={<662?72k27?954>f29~w13>2909w0::9;3e7>;3=10:9o5rs57b>5<5s4>>m7?i3:?71=<6=j1v97i:18182>j3;>m63;a182b6=z{=3h6=4={<6b4?72i27?5n4>f29~w1?c2909w0:6c;36f>;31m0:j>5rs5;f>5<5s4>2o7?:c:?7=`<6n:1v9mi:18182d;3;>m63;d182b6=z{=in6=4={<6`f?72i27?oh4>f29~w1ee2908w0:lb;3e7>;cj3;>n63kb;36g>{t1<743f34>h87?i3:p0f3=838p19m;:07a?82d=3;m?6s|4b494?4|5=i?6<;l;<6`2?7a;2wx8n950;0x91e028l870:l6;36f>{t4`434>h:7?:c:p0f?=838p19m6:0d0?82d>3;=;6s|4bc94?4|5=ij6{t4`434>hi7?:c:p26`=838p1;=m:07b?80383;m?6s|62a94?4|5?>;6<;n;<40g?7a;2wx:>j50;0x935d28?i708{t>:o1<743d34<8i7?i3:p220=838p1;9=:07b?800?3;m?6s|66194?4|5?=<6<;n;<447?7a;2wx:::50;0x931428?i70884;3e7>{t>>?1<743d34<<97?i3:p5d>=839p1<7k:07b?87fi3;>o63>a882b6=z{83n6=4={<3be?72j27:5h4>f29~w4gf2909w0?na;3e7>;6i00:9l5rs0;e>5<5s4;2i7?:b:?2=c<6n:1vm3;>o63>a182b6=z{8k:6=4={<3b5?7a;27:m=4>5c9~w7262908w0?n2;36f>;6i;0:9n5225395c57>52z?2e7<6n:16=l>514a8yv73i3:1?v3>a2821g=:9h91=8m4=06b>4`43ty:m>4?:3y>5d5=9o9017p}>a783>7}:9h<1=k=4=0c3>4113ty:m:4?:3y>5d1=9o901u21b5950g<58n?6<;l;<3g7?7a;2wx=n650;0x94b328?i70?l8;3e7>{t9m>1<74`434;o?7?:a:p5f?=838p1{t9ji1<74`434;hm7?:c:p514=839p1o63>4382b6=z{8io6=4={<3``?7a;27:ol4>669~w4eb2909w0?le;3e7>;6kh0:;?5rs0ae>5<5s4;hj7?i3:?2gd<6?<1vc`8233=z{8n:6=4={<3g5?7a;27:ol4>769~w6e62909w0=m6;36e>;4k;0:j>5rs2a3>5<5s49ih7?:a:?0g5<6n:1v>l8:18185d:3;>m63f29~w6d>2909w0=m7;36g>;4j00:j>5rs2`b>5<5s49im7?i3:?0f<<6=k1v>lm:18185ej3;m?63669~w6db2909w0=me;3e7>;4k90:9o5rs2`e>5<5s49ij7?i3:?0g5<6=j1v>mi:18085d;3;>m635`9>7fc=9o90q~=l4;296~;4l80:9o523b695c552z?0`4<6n:16?i>514c8yv5d=3:1>v35b9>7f0=9o90q~=k8;297~;4k10:9o523b:950e<5:n367}:;j31=k=4=2af>43d3ty8ol4?:3y>7fg=9o901>mj:044?xu6nk0;6>u23b`950e<58li6{t;ji1<74`4349hi7?85:p7fb=838p1>mk:0d0?85dm3;<:6s|45094?4|5=9n6<;n;<677?7a;2wx8>h50;0x912428?j70:{t<=:1<743e34>?<7?i3:p017=838p19=i:07`?82393;m?6s|1c;94?2|5=>:6<;m;<675?72k27:n44>f29>`f<6=j1v;m50;0x935=9{t>=0;6?u26e821d=:>=0:j>5rs7794?4|5?>1=8l4=7795c543d34<=62=<6n:16:;4>5b9~w3?=838p1;751g18930=9?=0q~8n:18180f28l87089:051?xu1j3:1>v39b;3e7>;1>3;<96s|1b794?4|58h26<;n;<3`2?7a;2wx=n:50;0x94e728?j70?l4;3e7>{t9kk1<743f34;im7?i3:p5gd=838p15gb=9be83>7}:9kn1=k=4=0``>43e3ty:nh4?:3y>5gc=9o901c383>7}:9j81=k=4=0a7>43d3ty:o>4?:3y>5f5=9o901;30?0:9o52494950e52z?2a4<6=h16=i851g18yv7c?3:1>v3>d7821g=:9m=1=k=4}r3g5b9>5a>=9o90q~;l5;297~;6l00:9o521e;950e<563883>6}:9mk1=8l4=0fb>43d34;857?i3:p5ag=838p1o6s|1e`94?4|58ni6{t9mn1<74`434;o47?85:p5ac=838p1=850;0x94`e28?j70{t9oi1<743f34;mo7?i3:p5cb=838p1{tm00;6>u2212950d<5;:;6<;l;4`43ty9<=4?:3y>656=9o901=<51g1894`b28=97p}=0283>7}::991=k=4=0df>4123ty9<94?:3y>652=9o901??514c8974b28l87p}=2083>6}::;;1=k=4=2f:>40034;957?86:p67e=838p1?<7:07b?845k3;m?6s|23094?4|5;8n6<;n;<016?7a;2wx>?=50;0x974528?i70<=3;3e7>{t:;>1<743d348987?i3:p571=839p1?<::07a?845=3;>o63>2682b6=z{;8>6=4={<011?7a;279>94>5c9~w7412909w0<=6;3e7>;5:=0:9n5rs304>5<5s489;7?i3:?161<6>>1v?<6:18184513;m?63=2b821g=z{;8j6=4={<01e?7a;279>n4>5b9~w74e2909w0<=b;3e7>;5:j0:::5rs3a4>5<5s48h?7?:a:?1g=<6n:1v?m;:18184d03;>m63=c582b6=z{;i>6=4={<0`0?72j279o84>f29~w7e12909w0;5k?0:j>5rs2ff>5<4s49o>7?:a:?0a5<6=j16?ih51g18yv5c:3:1?v34123ty8hi4?:3y>7a>=9jk:0d0?xu4l:0;6?u23d2950d<5:n8651g1896ba28?j7p}7}:;m91=8l4=2f7>4`43ty8h84?:3y>7a5=9j::0d0?xu4l?0;6?u23e495c5<5:n>6<;m;|q0`2<72;q6?i951g1896b228?h7p}7}:;m31=k=4=2fg>43e3ty8hl4?:3y>7ag=9o901>jk:07`?xu4lk0;6?u23e`95c5<5:no6<88;|q0`f<72;q6?im51g1896bc28=97p}7}:;l;1=8o4=2g5>4`43ty8i?4?:3y>7`0=9k=:0d0?xu4m:0;6?u23d0950d<5:o866}:<981=8o4=52f>43d34>;h7?i3:p054=83=:0d0?827>3;<>63;068233=:<921=:84=52:>41134?357?:c:p05d=838p19>n:07b?827j3;m?6s|41194?4|5=:n6<;m;<637?7a;2wx8=k50;0x916b28l870:?d;36e>{t<9>1<743e34>;87?i3:p053=838p19><:07`?827=3;m?6s|41494?4|5=:=6{t<921<74`434>;97?97:p05?=838p19>6:0d0?827=3;<>6s|42a94?4|5=936<;n;<60`?7a;2wx8>750;0x915c28?j70:<9;3e7>{t<:k1<743e34>8m7?i3:p06d=838p19=6:07`?824j3;m?6s|45f94?4|5=>?6<;n;<67a?7a;2wx89:50;1x912328l870:;c;343>;20h0:;?5rs566>5<5s4>?i7?:a:?700<6n:1v9:9:181823=3;>n63;4782b6=z{=><6=4={<671?72k27?8:4>f29~w12?2909w0:;8;3e7>;3<>0:9o5rs56:>5<5s4>?57?i3:?702<6=j1v9:n:181823i3;m?63;468222=z{=>i6=4={<67f?7a;27?8:4>739~w12d2909w0:;c;3e7>;3<>0:;85rs5:4>5<5s4>3?7?:a:?7<=<6n:1v96;:18182?03;>m63;8582b6=z{=2>6=4={<6;0?72j27?484>f29~w1>12909w0:74;36g>;30?0:j>5rs5:g>5<5s4>357?:a:?7<`<6n:1v96n:18182?m3;>m63;8`82b6=z{=2i6=4={<6;e?72j27?4o4>f29~w1>d2909w0:7a;36g>;30j0:j>5rs71:>5<5s4<897?:a:?57d<6n:1v;=9:181804i3;>m6393782b6=z{?9<6=4={<402?72j27=?:4>f29~w35?2909w08<6;36g>;1;10:j>5rs74b>5<5s4<>j7?:a:?52g<6n:1v;;i:187802n3;m?63=62823==:=kk1=8l4=4`b>43d3ty=:=4?:3y>23d=980;6?u2672950d<5?<:6514a8930528l87p}=7983>6}:>?91=8l4=740>43d348<47?i3:p235=838p1;8<:0d0?801:3;>n6s|24d94?5|5?f29~w3032909w0894;3e7>;1>;0:9n5rs746>5<5s4<=97?i3:?527<6>>1v;89:181801>3;m?639638237=z{?<<6=4={<453?7a;27=:?4>749~w30?2909w0898;3e7>;1>;0:;;5rs74:>5<5s4<=57?i3:?527<6?>1v:<50;0x93c=9o6s|6g83>7}:?:0:9l526g82b6=z{>:1<7:1=k=4}r52>5<5s44`43ty9oi4?:3y>6f?=9no514`897ee28l87p}=cb83>7}::jk1=8m4=3a`>4`43ty8;<4?:3y>73b=99=:0d0?xu4>l0;6?u2360950g<5:7}:;?o1=8m4=253>4`43ty8jl4?:3y>7c0=9hm:0d0?xu4n>0;6?u23g`950g<5:l<67}:;o=1=8m4=2d:>4`43ty?544?:3y>0=`=97}:<0k1=8o4=5;3>4`43ty?5<4?:3y>0<6=9:0d0?xu31;0;6?u2482950e<5=3967}:<0?1=k=4=5;;>43d3ty?m<4?:3y>0<0=9:0d0?xu31?0;6?u248495c5<5=336<88;|q7=2<72;q684951g1891??28=97p};a483>7}:4`43ty?m?4?:3y>0d0=97}:=mn1=8o4=4g1>4`43ty>hi4?:2y>1ab=9o901o6s|5eg94?4|5{t=l:1<743d34?n<7?i3:p20b=838p1;;6:07b?802m3;m?6s|64;94?5|5??26no4>5b9~w33f2909w08:e;36e>;1=h0:j>5rs77a>5<5s4<>m7?:b:?51g<6n:1v;;l:181802i3;>o6395b82b6=z{;9>6=4={<01b?72i279?84>f29~w7572909w0<<5;36e>;5;90:j>5rs312>5<5s488<7?:b:?174<6n:1v?==:18184483;>o63=3382b6=z{;986=4={<007?7a;279??4>5c9~w7532909w0<<4;3e7>;5;;0:9n5rs31`>5<5s488:7?:a:?17f<6n:1v?=8:181844k3;>m63=3682b6=z{;936=4={<003?72j279?54>f29~w75>2909w0<<7;36g>;5;00:j>5rs31b>5<5s488m7?i3:?17<<6=k1v?=m:181844j3;m?63=38821f=z{;?36=4={<064?72i279954>f29~w7302909w0<:6;36e>;5=>0:j>5rs372>5<5s48>47?:a:?114<6n:1v?;=:18184293;>n63=5382b6=z{;?86=4={<065?72k2799>4>f29~w7332909w0<:4;3e7>;5=:0:9o5rs376>5<5s48>97?i3:?116<6=j1v?8::18184213;>m63=6482b6=z{;f29~w73f2909w0<95;36e>;5=h0:j>5rs37a>5<5s48>m7?:b:?11g<6n:1v?;l:181842i3;>o63=5b82b6=z{;2?6=4<{<06`?72j2799i4>5b9>6=2=9o90q~<:d;296~;5=m0:j>5224a950di7>52z?11`<6n:16>8m514a8yv4183:1>v3=6182b6=::?>1=8l4}r055?6=:r79:<4>f29>632=9;0:j>52276953152z?126<6n:16>;:51608yv4093:1>v3=67821d=::>;1=k=4}r044?6=:r79:n4>5`9>626=9o90q~<9c;297~;5>j0:j>5210;950d<58;26<;l;|q122<72;q6>:?514c8970028l87p}=6983>7}::?=1=8l4=34;>4`43ty9:44?:3y>631=9h0;6?u227c95c5<5;<26<;m;|q12g<72;q6>;l51g18970>28?h7p}=6e83>7}::?n1=k=4=353>43e3ty9:h4?:3y>63c=9o901?9?:07`?xu5>o0;6?u227d95c5<5;=;6<88;|q13a<72;q6>:<514c8971c28l87p}=7b83>7}::>21=8o4=35`>4`43ty9;>4?:3y>62b=9:=514a8971228l87p}=7783>7}::><1=k=4=356>43e3ty9;:4?:3y>621=9o901?9::07`?xu5?00;6?u226;95c5<5;=h6<;m;|q13d<72;q6>:o51g18971d28?h7p}=7c83>7}::>h1=k=4=35`>4003ty94;4?:3y>62c=9658514c8971a28l87p}=8183>7}::>l1=8l4=3:3>4`43ty94<4?:3y>62`=9:0d0?xu50;0;6?u229095c5<5;2:6<;m;|q1<6<72;q6>5=51g1897>628?h7p}=8e83>7}::1=1=8o4=3:g>4`43ty9454?:3y>6=b=956514a897>f28l87p}=8c83>7}::1h1=k=4=3:b>43e3ty94n4?:3y>6=e=9o901?6n:07`?xu51=0;6?u229g950g<5;3?64:514c897>a28l87p}=9183>7}::1l1=8l4=3;3>4`43ty95<4?:3y>6=`=9:0d0?xu51;0;6?u228095c5<5;3:6<;m;|q1=6<72;q6>4=51g1897?628?h7p}=9c83>7}::0?1=8o4=3;a>4`43ty95;4?:3y>60;6?u2284950d<5;3<648514a897??28l87p}=9883>7}::031=k=4=3;;>43e3ty95l4?:3y>66}:=o=1=k=4=dc950d<5lk1=8m4}r7e0?6=:r7>ji4>5`9>1c2=9o90q~;i5;296~;2n=0:9o525g795c552z?6b1<6=j169k851g18yv3a03:1>v3:f982b6=:=oi1=8l4}r7e=?6=:r7>j44>f29>1ce=9525ga953152z?6bg<6n:169km51608yv2aj3:1>v3;f6821d=:5`9>0c>=9o90q~:i9;296~;3n10:9o524g;95c5mm7>52z?7b=<6=j168ko51g18yv3213:1>v3:4d821d=:=9l4>5`9>11`=9o90q~;:0;296~;2=7>52z?60c<6=j1698?51g18yv32:3:1>v3:5382b6=:=<;1=8l4}r767?6=:r7>9>4>f29>107=91;297~;2==0:9o52546950e<58;:66}:=43d34?jn7?i3:p103=838p18;::0d0?83293;<>6s|54494?4|5{t=<21<74`434?>=7?87:p121=838p188j:07b?83003;m?6s|56494?4|5<=96<;n;<742?7a;2wx9;h50;0x901?28?j70;9f;3e7>{t=>:1<743e34?<<7?i3:p127=838p188i:07`?83093;m?6s|56194?4|5<=86{t=>?1<74`434?<:7?97:p1g7=838p18o::07b?83e:3;m?6s|5c294?4|5{t=h=1<743e34?j;7?i3:p1d>=838p18o9:07`?83f03;m?6s|5`;94?4|5{t=hi1<74`434?i<7?:b:p1db=838p18ok:0d0?83e83;>o6s|5`g94?4|5{t9ln1<743f34;nh7?i3:p5`?=838p1{t9lh1<743e34;nn7?i3:p5`e=838p19950;0x972628?j70<;7;3e7>{t::o1<743f3488i7?i3:p66`=838p1?=j:07a?844n3;m?6s|25294?4|5;9n6<;l;<074?7a;2wx>9<50;0x972528l870<;7;36f>{t:=91<74`4348?;7?:c:p612=838p1?:;:0d0?843?3;=;6s|25794?4|5;>>69850;0x972128l870<;7;341>{t=9>1<7=t=5df>43f34=?6<;n;<731?7a;2wx8kh50;0x906228?j70:if;3e7>{t=9:1<743e34?;<7?i3:p157=838p19hi:07`?83793;m?6s|51094?4|5<:96{t=;?1<7=t=43b>43f34ho6<;n;<712?7a;2wx9?:50;0x907b28?j70;=4;3e7>{t=8o1<7=t=43f>4`434l96<;m;43d3ty>=o4?:3y>170=9=k4>f29>172=952536950e52z?664<6n:169?:51758yv35:3:1>v3:2382b6=:=;>1=:<4}r717?6=:r7>>>4>f29>172=9>?0q~;72;296~;2?k0:9l5259095c552z?6<7<6=h169:m51g18yv30l3:1>v3:7b821g=:=>n1=k=4}r74a?6=:r7>;n4>5b9>12c=9o90q~;8f;296~;2?o0:j>5256g950d52z?6<5<6n:169:k514a8yv3?93:1>v3:8082b6=:=>o1=;94}r7;f?6=:r7>484>5`9>1=d=9o90q~;76;296~;20k0:9l5259495c552z?6<3<6=k1695951g18yv3?03:1>v3:87821f=:=121=k=4}r7;=?6=:r7>444>f29>1=>=95259:950e52z?6f0<6=h169ok51g18yv3el3:1>v3:b8821d=:=kn1=k=4}r7a2?6=:r7>nh4>5`9>1g0=9o90q~;m7;296~;2j?0:9o525c595c552z?6f3<6=j169o651g18yv3ei3:1>v3:b`82b6=:=kn1=8l4}r7af?6=:r7>no4>f29>1gb=9525cf953152z?6g4<6=h169nm51g18yv3dj3:1>v3:c4821d=:=jh1=k=4}r7`6?6=:r7>on4>5`9>1f4=9o90q~;l3;296~;2k;0:9o525b195c552z?6g7<6=j169n:51g18yv74?3:1?v3:c5821g=:=j>1=8m4=014>4`43ty>o;4?:3y>1f0=9o9018mm:07a?xu2k>0;6?u25b595c5<57}:=j31=k=4=4aa>4153ty>ol4?:3y>1fg=9o9018mm:056?xu2n90;6?u25d7950g<57}:=o:1=8o4=4g5>4`43ty>i:4?:3y>1`0=9{t=lk1<74`434?nj7?:b:p1`d=838p18km:0d0?83bn3;>o6s|5da94?4|5{t=lo1<74`434?nj7?85:pgd<72;q6o;4>5`9>gd<6n:1vn950;0x9fg=9o63l9;3e7>{t;>n1<743f3499k:07b?850;3;m?6s|36694?4|5:=86<;m;<140?7a;2wx?:;50;0x961428?h70=85;3e7>{t;><1<74`4349<97?:b:p721=838p1>98:0d0?850=3;>o6s|36:94?4|5:=3628l870=85;346>{t;>k1<74`4349<97?85:p72d=838p1>9m:0d0?850=3;<:6s|36a94?4|5:=h652z?:>43f3421=k=4}r594?4|5:0:9l527;3e7>{t;3:1?v3<:0d0?8`b28?i70hj:07`?xu72909w06514c895<6n:1v<4?:3y>4?72j27:65<5s4:1=8m4=382b6=z{=0;6?u24;3e7>;028?i7p}::18183=9o901:4>5b9~w3<72;q6:7?i3:?4>4003tyn=7>52z?ge?72i27n=7?i3:p`g<72;q6ho4>f29>a4<6=k1vim50;0x9ae=9o901h?514a8yvbc2909w0jk:0d0?8c628<<7p}ke;296~;cm3;m?63j1;346>{tlo0;6?u2dg82b6=:m80:;85rsd294?4|5l:1=k=4=d3952043f34o36a1<6n:16i54>5b9~w`3=838p1h;51g189`>=9?=0q~k9:1818c128l870k7:051?xub?3:1>v3j7;3e7>;b03;<96s|f183>7}:m00:9l52f182b6=z{lk1<75<5s4oi643d3tyno7>52z?fg?7a;27m<7?97:paa<72;q6ii4>f29>b5<6?;1vhk50;0x9`c=9o901k>51678yvca2909w0ki:0d0?8`728==7p}i8;296~;a93;>m63i8;3e7>{tn;0;6?u2f382b6=:n10:9o5rsg194?4|5o91=k=4=g:950e4`434l36<88;|qe1?6=:r7m97?i3:?eb3<6n:16j54>749~wc1=838p1k951g189c>=9><0q~hl:1818`>28?j70hl:0d0?xuai3:1>v3ia;3e7>;ak3;>n6s|fc83>7}:nk0:j>52fb821f=z{8:?6=4={43f34;;87?i3:pb`<72;q6jh4>f29>552=9{t99:1<74`434;;87?97:p557=838p1<>>:0d0?877<3;<>6s|11094?4|58:96{t99i1<743f34;;o7?i3:p550=838p1<>9:0d0?877k3;>n6s|11594?4|58:<6{t9931<74`434;;o7?82:p55g=838p1<>n:0d0?877k3;<96s|11`94?4|58:i62wx=<>50;0x946c28?j70?>0;3e7>{t99o1<74`434;:<7?:b:p55`=838p1<>i:0d0?87683;>o6s|10594?4|58;:6<;n;<323?7a;2wx=<<50;0x947528l870?>7;36f>{t9891<74`434;:;7?:c:p542=838p167;341>{t98l1<743f34;:j7?i3:p54?=838p1n6s|10c94?4|58;j6f;353>{t98i1<74`434;:j7?82:p54b=838p12wx=?850;0x944728?j70?=6;3e7>{t9;:1<7=t=003>4`434;947?:b:?26=<6=j1v<<>:18187593;m?63>27821g=z{8896=4={<316?7a;27:>;4>5b9~w4442909w0?=3;3e7>;6:?0:::5rs007>5<5s4;987?i3:?263<6?;1v<<::181875=3;m?63>278230=z{88n6=4={<313?72i27:>h4>f29~w44?2909w0?=8;3e7>;6:l0:9o5rs00:>5<5s4;957?i3:?26`<6=j1v<2d8222=z{88i6=4={<31f?7a;27:>h4>739~w44d2909w0?=c;3e7>;6:l0:;85rs00g>5<5s4;9h7?i3:?26`<6??1v<=9:181875n3;>m63>3782b6=z{89;6=4={<304?7a;27:?;4>5c9~w4562909w0?<1;3e7>;6;?0:9n5rs011>5<5s4;8>7?i3:?273<6>>1v<=<:181874;3;m?63>378237=z{89?6=4={<300?7a;27:?;4>749~w4522909w0?<5;3e7>;6;?0:;;5rs01;>5<5s4;8;7?:a:?27=<6n:1v<=i:18187413;>m63>3g82b6=z{89j6=4={<30e?7a;27:?k4>5c9~w45e2909w0?;6;o0:9n5rs01`>5<5s4;8o7?i3:?27c<6>>1v<=k:181874l3;m?63>3g8237=z{89n6=4={<30a?7a;27:?k4>749~w4262909w0?;0;36e>;6<80:j>5rs06:>5<5s4;?>7?:a:?20<<6n:1v<:<:181873;3;m?63>48821g=z{8>?6=4={<370?7a;27:844>5b9~w4222909w0?;5;3e7>;6<00:::5rs065>5<5s4;?:7?i3:?20<<6?;1v<:8:181873?3;m?63>488230=z{8>36=4={<37779~w4362909w0?;a;36e>;6=80:j>5rs06a>5<5s4;?n7?i3:?214<6=k1v<:l:181873k3;m?63>50821f=z{8>o6=4={<37`?7a;27:9<4>669~w42b2909w0?;e;3e7>;6=80:;?5rs06e>5<5s4;?j7?i3:?214<6?<1v<;?:18187283;m?63>508233=zug=i>94?:0y~j2d5=3:1=vsa7c05>5<6std{|l4f7`=83;pqc9m3183>4}zf>h8=7>51zm3g55290:wp`8b2194?7|ug=i?94?:0y~j2d4=3:1=vsa7c15>5<6std950;3xyk1e;10;6{|l4f6`=83;pqc9m4183>4}zf>h?=7>51zm3g25290:wp`8b5194?7|ug=i894?:0y~j2d3=3:1=vsa7c65>5<6stdn6=4>{|l4f1`=83;pqc9m5183>4}zf>h>=7>51zm3g35290:wp`8b4194?7|ug=i994?:0y~j2d2=3:1=vsa7c75>5<6std{|l4f0`=83;pqc9m6183>4}zf>h==7>51zm3g05290:wp`8b7194?7|ug=i:94?:0y~j2d1=3:1=vsa7c45>5<6std10;6{|l4f3`=83;pqc9m7183>4}zf>h<=7>51zm3g15290:wp`8b6194?7|ug=i;94?:0y~j2d0=3:1=vsa7c55>5<6stdh1<7?t}o5a3f<728qvb:l8d;295~{i?k=n6=4>{|l4f2`=83;pqc9m8183>4}zf>h3=7>51zm3g>5290:wp`8b9194?7|ug=i494?:0y~j2d?=3:1=vsa7c:5>5<6std{|l4f=`=83;pqc9m9183>4}zf>h2=7>51zm3g?5290:wp`8b8194?7|ug=i594?:0y~j2d>=3:1=vsa7c;5>5<6std{|l4f<`=83;pqc9ma183>4}zf>hj=7>51zm3gg5290:wp`8b`194?7|ug=im94?:0y~j2df=3:1=vsa7cc5>5<6std{|l4fd`=83;pqc9mb183>4}zf>hi=7>51zm3gd5290:wp`8bc194?7|ug=in94?:0y~j2de=3:1=vsa7c`5>5<6std{|l4fg`=83;pqc9mc183>4}zf>hh=7>51zm3ge5290:wp`8bb194?7|ug=io94?:0y~j2dd=3:1=vsa7ca5>5<6std{|l4ff`=83;pqc9md183>4}zf>ho=7>51zm3gb5290:wp`8be194?7|ug=ih94?:0y~j2dc=3:1=vsa7cf5>5<6std{|l4fa`=83;pqc9me183>4}zf>hn=7>51zm3gc5290:wp`8bd194?7|ug=ii94?:0y~j2db=3:1=vsa7cg5>5<6std{|l4f``=83;pqc9mf183>4}zf>hm=7>51zm3g`5290:wp`8bg194?7|ug=ij94?:0y~j2da=3:1=vsa7cd5>5<6std{|l4fc`=83;pqc9l0183>4}zf>i;=7>51zm3f65290:wp`8c1194?7|ug=h<94?:0y~j2e7=3:1=vsa7b25>5<6stdn:182xh0k9h1<7?t}o5`4f<728qvb:m?d;295~{i?j:n6=4>{|l4g5`=83;pqc9l1183>4}zf>i:=7>51zm3f75290:wp`8c0194?7|ug=h=94?:0y~j2e6=3:1=vsa7b35>5<6stdd;295~{i?j;n6=4>{|l4g4`=83;pqc9l2183>4}zf>i9=7>51zm3f45290:wp`8c3194?7|ug=h>94?:0y~j2e5=3:1=vsa7b05>5<6std{|l4g7`=83;pqc9l3183>4}zf>i8=7>51zm3f55290:wp`8c2194?7|ug=h?94?:0y~j2e4=3:1=vsa7b15>5<6std950;3xyk1d;10;6{|l4g6`=83;pqc9l4183>4}zf>i?=7>51zm3f25290:wp`8c5194?7|ug=h894?:0y~j2e3=3:1=vsa7b65>5<6stdn6=4>{|l4g1`=83;pqc9l5183>4}zf>i>=7>51zm3f35290:wp`8c4194?7|ug=h994?:0y~j2e2=3:1=vsa7b75>5<6std{|l4g0`=83;pqc9l6183>4}zf>i==7>51zm3f05290:wp`8c7194?7|ug=h:94?:0y~j2e1=3:1=vsa7b45>5<6std10;6{|l4g3`=83;pqc9l7183>4}zf>i<=7>51zm3f15290:wp`8c6194?7|ug=h;94?:0y~j2e0=3:1=vsa7b55>5<6stdh1<7?t}o5`3f<728qvb:m8d;295~{i?j=n6=4>{|l4g2`=83;pqc9l8183>4}zf>i3=7>51zm3f>5290:wp`8c9194?7|ug=h494?:0y~j2e?=3:1=vsa7b:5>5<6std{|l4g=`=83;pqc9l9183>4}zf>i2=7>51zm3f?5290:wp`8c8194?7|ug=h594?:0y~j2e>=3:1=vsa7b;5>5<6std{|l4g<`=83;pqc9la183>4}zf>ij=7>51zm3fg5290:wp`8c`194?7|ug=hm94?:0y~j2ef=3:1=vsa7bc5>5<6std{|l4gd`=83;pqc9lb183>4}zf>ii=7>51zm3fd5290:wp`8cc194?7|ug=hn94?:0y~j2ee=3:1=vsa7b`5>5<6std{|l4gg`=83;pqc9lc183>4}zf>ih=7>51zm3fe5290:wp`8cb194?7|ug=ho94?:0y~j2ed=3:1=vsa7ba5>5<6std{|l4gf`=83;pqc9ld183>4}zf>io=7>51zm3fb5290:wp`8ce194?7|ug=hh94?:0y~j2ec=3:1=vsa7bf5>5<6std{|l4ga`=83;pqc9le183>4}zf>in=7>51zm3fc5290:wp`8cd194?7|ug=hi94?:0y~j2eb=3:1=vsa7bg5>5<6std{|l4g``=83;pqc9lf183>4}zf>im=7>51zm3f`5290:wp`8cg194?7|ug=hj94?:0y~j2ea=3:1=vsa7bd5>5<6std{|l4gc`=83;pqc9k0183>4}zf>n;=7>51zm3a65290:wp`8d1194?7|ug=o<94?:0y~j2b7=3:1=vsa7e25>5<6stdn:182xh0l9h1<7?t}o5g4f<728qvb:j?d;295~{i?m:n6=4>{|l4`5`=83;pqc9k1183>4}zf>n:=7>51zm3a75290:wp`8d0194?7|ug=o=94?:0y~j2b6=3:1=vsa7e35>5<6stdd;295~{i?m;n6=4>{|l4`4`=83;pqc9k2183>4}zf>n9=7>51zm3a45290:wp`8d3194?7|ug=o>94?:0y~j2b5=3:1=vsa7e05>5<6std{|l4`7`=83;pqc9k3183>4}zf>n8=7>51zm3a55290:wp`8d2194?7|ug=o?94?:0y~j2b4=3:1=vsa7e15>5<6std950;3xyk1c;10;6{|l4`6`=83;pqc9k4183>4}zf>n?=7>51zm3a25290:wp`8d5194?7|ug=o894?:0y~j2b3=3:1=vsa7e65>5<6stdn6=4>{|l4`1`=83;pqc9k5183>4}zf>n>=7>51zm3a35290:wp`8d4194?7|ug=o994?:0y~j2b2=3:1=vsa7e75>5<6std{|l4`0`=83;pqc9k6183>4}zf>n==7>51zm3a05290:wp`8d7194?7|ug=o:94?:0y~j2b1=3:1=vsa7e45>5<6std10;6{|l4`3`=83;pqc9k7183>4}zf>n<=7>51zm3a15290:wp`8d6194?7|ug=o;94?:0y~j2b0=3:1=vsa7e55>5<6stdh1<7?t}o5g3f<728qvb:j8d;295~{i?m=n6=4>{|l4`2`=83;pqc9k8183>4}zf>n3=7>51zm3a>5290:wp`8d9194?7|ug=o494?:0y~j2b?=3:1=vsa7e:5>5<6std{|l4`=`=83;pqc9k9183>4}zf>n2=7>51zm3a?5290:wp`8d8194?7|ug=o594?:0y~j2b>=3:1=vsa7e;5>5<6std{|l4`<`=83;pqc9ka183>4}zf>nj=7>51zm3ag5290:wp`8d`194?7|ug=om94?:0y~j2bf=3:1=vsa7ec5>5<6std{|l4`d`=83;pqc9kb183>4}zf>ni=7>51zm3ad5290:wp`8dc194?7|ug=on94?:0y~j2be=3:1=vsa7e`5>5<6std{|l4`g`=83;pqc9kc183>4}zf>nh=7>51zm3ae5290:wp`8db194?7|ug=oo94?:0y~j2bd=3:1=vsa7ea5>5<6std{|l4`f`=83;pqc9kd183>4}zf>no=7>51zm3ab5290:wp`8de194?7|ug=oh94?:0y~j2bc=3:1=vsa7ef5>5<6std{|l4`a`=83;pqc9ke183>4}zf>nn=7>51zm3ac5290:wp`8dd194?7|ug=oi94?:0y~j2bb=3:1=vsa7eg5>5<6std{|l4```=83;pqc9kf183>4}zf>nm=7>51zm3a`5290:wp`8dg194?7|ug=oj94?:0y~j2ba=3:1=vsa7ed5>5<6std{|l4`c`=83;pqc9j0183>4}zf>o;=7>51zm3`65290:wp`8e1194?7|ug=n<94?:0y~j2c7=3:1=vsa7d25>5<6stdn:182xh0m9h1<7?t}o5f4f<728qvb:k?d;295~{i?l:n6=4>{|l4a5`=83;pqc9j1183>4}zf>o:=7>51zm3`75290:wp`8e0194?7|ug=n=94?:0y~j2c6=3:1=vsa7d35>5<6stdd;295~{i?l;n6=4>{|l4a4`=83;pqc9j2183>4}zf>o9=7>51zm3`45290:wp`8e3194?7|ug=n>94?:0y~j2c5=3:1=vsa7d05>5<6std{|l4a7`=83;pqc9j3183>4}zf>o8=7>51zm3`55290:wp`8e2194?7|ug=n?94?:0y~j2c4=3:1=vsa7d15>5<6std950;3xyk1b;10;6{|l4a6`=83;pqc9j4183>4}zf>o?=7>51zm3`25290:wp`8e5194?7|ug=n894?:0y~j2c3=3:1=vsa7d65>5<6stdn6=4>{|l4a1`=83;pqc9j5183>4}zf>o>=7>51zm3`35290:wp`8e4194?7|ug=n994?:0y~j2c2=3:1=vsa7d75>5<6std{|l4a0`=83;pqc9j6183>4}zf>o==7>51zm3`05290:wp`8e7194?7|ug=n:94?:0y~j2c1=3:1=vsa7d45>5<6std10;6{|l4a3`=83;pqc9j7183>4}zf>o<=7>51zm3`15290:wp`8e6194?7|ug=n;94?:0y~j2c0=3:1=vsa7d55>5<6stdh1<7?t}o5f3f<728qvb:k8d;295~{i?l=n6=4>{|l4a2`=83;pqc9j8183>4}zf>o3=7>51zm3`>5290:wp`8e9194?7|ug=n494?:0y~j2c?=3:1=vsa7d:5>5<6std{|l4a=`=83;pqc9j9183>4}zf>o2=7>51zm3`?5290:wp`8e8194?7|ug=n594?:0y~j2c>=3:1=vsa7d;5>5<6std{|l4a<`=83;pqc9ja183>4}zf>oj=7>51zm3`g5290:wp`8e`194?7|ug=nm94?:0y~j2cf=3:1=vsa7dc5>5<6std{|l4ad`=83;pqc9jb183>4}zf>oi=7>51zm3`d5290:wp`8ec194?7|ug=nn94?:0y~j2ce=3:1=vsa7d`5>5<6std{|l4ag`=83;pqc9jc183>4}zf>oh=7>51zm3`e5290:wp`8eb194?7|ug=no94?:0y~j2cd=3:1=vsa7da5>5<6std{|l4af`=83;pqc9jd183>4}zf>oo=7>51zm3`b5290:wp`8ee194?7|ug=nh94?:0y~j2cc=3:1=vsa7df5>5<6std{|l4aa`=83;pqc9je183>4}zf>on=7>51zm3`c5290:wp`8ed194?7|ug=ni94?:0y~j2cb=3:1=vsa7dg5>5<6std{|l4a``=83;pqc9jf183>4}zf>om=7>51zm3``5290:wp`8eg194?7|ug=nj94?:0y~j2ca=3:1=vsa7dd5>5<6std{|l4ac`=83;pqc9i0183>4}zf>l;=7>51zm3c65290:wp`8f1194?7|ug=m<94?:0y~j2`7=3:1=vsa7g25>5<6stdn:182xh0n9h1<7?t}o5e4f<728qvb:h?d;295~{i?o:n6=4>{|l4b5`=83;pqc9i1183>4}zf>l:=7>51zm3c75290:wp`8f0194?7|ug=m=94?:0y~j2`6=3:1=vsa7g35>5<6stdd;295~{i?o;n6=4>{|l4b4`=83;pqc9i2183>4}zf>l9=7>51zm3c45290:wp`8f3194?7|ug=m>94?:0y~j2`5=3:1=vsa7g05>5<6std{|l4b7`=83;pqc9i3183>4}zf>l8=7>51zm3c55290:wp`8f2194?7|ug=m?94?:0y~j2`4=3:1=vsa7g15>5<6std950;3xyk1a;10;6{|l4b6`=83;pqc9i4183>4}zf>l?=7>51zm3c25290:wp`8f5194?7|ug=m894?:0y~j2`3=3:1=vsa7g65>5<6stdn6=4>{|l4b1`=83;pqc9i5183>4}zf>l>=7>51zm3c35290:wp`8f4194?7|ug=m994?:0y~j2`2=3:1=vsa7g75>5<6std{|l4b0`=83;pqc9i6183>4}zf>l==7>51zm3c05290:wp`8f7194?7|ug=m:94?:0y~j2`1=3:1=vsa7g45>5<6std10;6{|l4b3`=83;pqc9i7183>4}zf>l<=7>51zm3c15290:wp`8f6194?7|ug=m;94?:0y~j2`0=3:1=vsa7g55>5<6stdh1<7?t}o5e3f<728qvb:h8d;295~{i?o=n6=4>{|l4b2`=83;pqc9i8183>4}zf>l3=7>51zm3c>5290:wp`8f9194?7|ug=m494?:0y~j2`?=3:1=vsa7g:5>5<6std{|l4b=`=83;pqc9i9183>4}zf>l2=7>51zm3c?5290:wp`8f8194?7|ug=m594?:0y~j2`>=3:1=vsa7g;5>5<6std{|l4b<`=83;pqc9ia183>4}zf>lj=7>51zm3cg5290:wp`8f`194?7|ug=mm94?:0y~j2`f=3:1=vsa7gc5>5<6std{|l4bd`=83;pqc9ib183>4}zf>li=7>51zm3cd5290:wp`8fc194?7|ug=mn94?:0y~j2`e=3:1=vsa7g`5>5<6std{|l4bg`=83;pqc9ic183>4}zf>lh=7>51zm3ce5290:wp`8fb194?7|ug=mo94?:0y~j2`d=3:1=vsa7ga5>5<6std{|l4bf`=83;pqc9id183>4}zf>lo=7>51zm3cb5290:wp`8fe194?7|ug=mh94?:0y~j2`c=3:1=vsa7gf5>5<6std{|l4ba`=83;pqc9ie183>4}zf>ln=7>51zm3cc5290:wp`8fd194?7|ug=mi94?:0y~j2`b=3:1=vsa7gg5>5<6std{|l4b``=83;pqc9if183>4}zf>lm=7>51zm3c`5290:wp`8fg194?7|ug=mj94?:0y~j2`a=3:1=vsa7gd5>5<6std{|l4bc`=83;pqc6?0183>4}zf1:;=7>51zm<565290:wp`701194?7|ug2;<94?:0y~j=67=3:1=vsa8125>5<6std3<=950;3xyk>7810;6n:182xh?89h1<7?t}o:34f<728qvb5>?d;295~{i09:n6=4>{|l;45`=83;pqc6?1183>4}zf1::=7>51zm<575290:wp`700194?7|ug2;=94?:0y~j=66=3:1=vsa8135>5<6std3<<950;3xyk>7910;6>d;295~{i09;n6=4>{|l;44`=83;pqc6?2183>4}zf1:9=7>51zm<545290:wp`703194?7|ug2;>94?:0y~j=65=3:1=vsa8105>5<6std37:10;6=d;295~{i098n6=4>{|l;47`=83;pqc6?3183>4}zf1:8=7>51zm<555290:wp`702194?7|ug2;?94?:0y~j=64=3:1=vsa8115>5<6std3<>950;3xyk>7;10;6{|l;46`=83;pqc6?4183>4}zf1:?=7>51zm<525290:wp`705194?7|ug2;894?:0y~j=63=3:1=vsa8165>5<6std3<9950;3xyk>7<10;6;d;295~{i09>n6=4>{|l;41`=83;pqc6?5183>4}zf1:>=7>51zm<535290:wp`704194?7|ug2;994?:0y~j=62=3:1=vsa8175>5<6std3<8950;3xyk>7=10;6:d;295~{i09?n6=4>{|l;40`=83;pqc6?6183>4}zf1:==7>51zm<505290:wp`707194?7|ug2;:94?:0y~j=61=3:1=vsa8145>5<6std3<;950;3xyk>7>10;69d;295~{i09{|l;43`=83;pqc6?7183>4}zf1:<=7>51zm<515290:wp`706194?7|ug2;;94?:0y~j=60=3:1=vsa8155>5<6std3<:950;3xyk>7?10;6h1<7?t}o:33f<728qvb5>8d;295~{zutJKOv6:d98`77d5l<8vLMLt0|BCT~{GH \ No newline at end of file +$7a;0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=2081=>68<2:476>02:2<==69=;621?2453>>97:8=;651?2>53>3:75<48108<44<0;8048<48708<24<00;05?56039:57=>:;12??56439:17=>>;12;85NLD2N3>GKM9G%H55NLD2N*A7?3HFN<@ K2e9BH@6J&M8T\YQ?d:COA5K)L;U[XR?k;@NF4H(C:VZ_S?j4AMG3I+B5WY^T?i5NLD2N*A4XX]U?;6OCE1O-U<=FDL:F"_KH5:COA5U03HFN<^ K8:COA5U)L820MAK?S/F13>GKM9Y%]45NLD2P*WC@<2KGI<=4AOF:?DHIG]K_II74A^DPF9776m1JSK]M<02=*UGC12KTJ^L3103?,SEA?e9B[CUE48>5"]OK9:C\BVD;9<4o7LQISC>21;(WIM30MRH\B=35:a=FWOYI0<81.QCG=>GXNZH7=:0k;@]EWG:6?7$[MI74A^DPF97?6m1JSK]M<0:=*UGC12KTJ^L3181.QCG=>GXNZH7><0k;@]EWG:597$[MI74A^DPF9456m1JSK]M<30=*UGCj2KTJ^L32282:<=FWOYI0?=1d:C\BVD;::4%\LJ7;@]EWG:56j1JSK]M<3<-TDB?3HUM_O2<>b9B[CUE4:4%\LJ7;@]EWG:36j1JSK]M<5<-TDB?3HUM_O2:>b9B[CUE4<4%\LJ7;@]EWG:16j1JSK]M<7<-TDB?3HUM_O28>b9B[CUE4>4%\LJ7;@]EWG:?6j1JSK]M<9<-TDB?3HUM_O26>b9B[CUE404%\LJk;@]EWGY68VCIYKj4A^DPFZ76W@H^Ji5N_GQA[44XAK_Mh6OPFR@\56YNJ\Lo7LQISC]20ZOE]On0MRH\B^36[LDRNm1JSK]M_04\MGSAl2KTJ^LP16]JFP@c3HUM_OQ>8^KAQCbDFK]x%^HI<;CWE<>DR[VCEJB:4C04N<>E6>D$[MIk4C04N[LDRN&LH@Fh4C04N[LDRN&LH@F#9;B06I@Hf3J8>AH@!P@Fa?F42ELDTCO[Id:A11HCIWFH^J#Jj;B06I@HXGK_M"I?j;B06I@HXGK_M"IJ&YKO46M7M^KAQCb1H^HO[EE18GQJ>3Jfdof]eb:8@drf[yoh96Jjl`{2?@30^,F\UYW\V;m7HV_11]-A]VXX]U9j6KWP02\*@^WWY^T?k5JXQ33[+C_XVZ_S9?m;DZS55Y)MQZTx~gbrdlbi`bXfl~Tm6KWP03\*@^Wn2OS\_/G[TZVSW8l0IU^>1^,F\UYW\V8m7HV_10]-A]VXX]U8j6KWP03\*@^WWY^T82^,F\UYW\V9m7HV_13]-A]VXX]U?=o5JXQ31[+C_XV~xe`|jn`of`Zhb|Vk0IU^>3^,F\U`Q!EYR\TQY6n2OS\<=P.DZS[URX:o1NT]?<_/G[TZVSW:l0IU^>3^,F\UYW\V>:n6KWP01\*@^WW}ybakaalgg[kcsWh1NT]?;_/G[Tc=BPY;?S#KWP^RW[5`C_X8?T"HV__QV\4c=BPY;>S#KWP^RW[47e3LR[=8Q!EYR\pvojzldjahjPndv\e>C_X8V$NT]Q_T^0e?@^W9?U%IU^PPU]0b>C_X8U%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP1g9F\U70W'OS\R^[_3d8A]V6?V$NT]Q_T^1e?@^W9>U%IU^PPU]75g=BPY;i;DZS5=Y)MQZT\YQ>f:G[T4>X&LR[S]ZP2g9F\U7?W'OS\R^[_2d8A]V60V$NT]Q_T^6e?@^W91U%IU^PPU]65g=BPY;3S#KWP^vpmhtbfhgnhR`jt^c8A]V61V$NT]h4EYR2=Z(BPYU[XR>i;DZS5f:G[T4?X&LR[S]ZP2g9F\U7>W'OS\R^[_2d8A]V61V$NT]Q_T^6e?@^W90U%IU^PPU]65g=BPY;2S#KWP^vpmhtbfhgnhR`jt^c8A]V58V$NT]h4EYR14Z(BPYU[XR>i;DZS65Y)MQZT\YQ>f:G[T76X&LR[S]ZP2g9F\U47W'OS\R^[_2d8A]V58V$NT]Q_T^62f>C_X;:T"HV__uqjiwciidooSck{_79F[LDRN>1NhjkYam68BFJL02LH@F _AEg8BFJLW@H^J"HLLJd8BFJLW@H^J"HLLJ/1?C@43Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M837DAMESP-Ud=NGKOY^#\JGc9JKGCUZ'XXXK?7;HMAAWTX|zcfokntdf\twjcW?1BCIAAE99JKAIIM'N27DAKOOG-@4?9;HMO4+B03@EG<#J>7:KLH5(C:j1BCA>!D3]SPZ6d3@EG<#J=_QV\5f=NGE:%H?Q_T^0`?LIK8'N9S]ZP3b9JKI6)L;U[XR:l;HMO4+B5WY^T9n5FOM2-@7YW\V<=7DAC0/S;?LIK8'XNK<;4INN3[qunejxnmykk_ymq[2=NG[NYI\74INPGV@W)Lh1BC_J]EP,G5d=NG[NYI\ K2g9JKWBUMX$O>R^[_1d8MJTCZL[%H?Q_T^3e?LIUL[OZ"IOHZMXN]#J=_QV\7c=NG[NYI\ K2^RW[1`3@EYH_K^.P`8MJTCZL[%^HI8;HMQadb~12CD^hoky/Fb?LIUmhnr"I?n;HMQadb~&M8m7DA]e`fz*A4XX]U;j6G@Rdcg}+B5WY^T=k5FOSgb`|(C:VZ_S?l4INPfea)MQZ:<6G@Rdcg}+C_XVZ_S=??;HMQadb~&LR[S]ZP1028MJTbims%IU^PPU]15f=NG[ojht JXQ]wwlkumgkfiiQaeu]:?LIUmhnr"\l4INPfea)ZLM:46G@Rdcg}Zrtadiyilzjd^zlvZ2OH]9$O=:5FOT2-@7eOH]9$O>R^[_0a8MJS7&M8T\YQ=c:KLQ5(C:VZ_S>m4INW3*A4XX]U?o6G@U1,G6ZVSW2CDY= ^8:KLQ5(UMN;>7DAZ0^vpmheumh~nhRv`r^58MJQUZL[27DAXRSGR*Agi;HMTVWCV&M8T\YQ>f:KLSWTBY'N9S]ZP2g9JKRTUMX$O>R^[_2d8MJQUZL[%H?Q_T^6e?LIPZ[OZ"IOH_[XN]#J=_QV\2<=NG^XYI\ ^b:KLSWTBY'XNK55FnuFfhd43EEH;6B@GHABHf=JiceyZh||inl`?Hoig{\n~~g`n39M40=I8-ENo6@?.CWEK@(H]]>0B= K5:L3*A723G:%H?84N1,F\U703G:%IU^Ptrknv`hfelnTbhzP4:L3*T1HB\^EYG>5@ND08KW52Zn~dak8:RlvqVf|a?0^L2?>89QE969&YKO:6\N<02=e>TF48:5"]OK8:PB847=87<0^L2>1?c8VD:697$[MI;4R@>2:<=UI5;5"]OK5:PB878>3[K7>3 _AE78VD:4601YM1=1.QCG1>TF4=427_O34?,SEA3245]A=7=*UGC=2XJ0;06;SC?2;(WIM?0^L28>89QE919&YKO96\N<9<:?WG;07$[MI;4R@>::<=UI535"]OK8:PBIWcflpk0^LC]e`fz*AdTFE[ojht K2^RW[4773[KF^hoky/F1[URX:8:0^LC]e`fz*A4XX]U8==5]ALPfea)L;U[XR:>0:PBIWcflp$O>R^[_4c8VDKUmhnr"\m4R@OQadb~&[OL56\N_1]LFP@e3[KTTFW9UDNXH!D0a8VDY7WFH^J#J=109QEZ6XGK_M"I<<4R@]24ZIE]O$O>R^[_131?WGX99UDNXH!D3]SPZ7d3[KT==Q@BTD-Uc=UIV;:SBLZF%@VB5763[KT=2:PB[47XGK_M(O[I0/F152=UIV;:SBLZF%@VB5(C:VZ_S=?8;SC\54YHJ\L/NXH?.E0\TQY69:1YMR?>_N@VB!DRN9$NT]?7;SC\54YHJ\L/NXH?.DZS[URX8820^LQ>1^MAQC"E]O:%IU^PPU]25==UIV;:SBLZF%@VB5(BPYU[XR<>8:PB[47XGK_M(O[I0/G[TZVSW:8?7_OP10]LFP@#J\L;"HV__uqjiwciidooSck{_038VDY69VEIYK*MUG2-Uf=UIV;:SBLZF%S`?WGX98UDNXH!De9QEZ76WFH^J#J>d:PB[47XGK_M"I<>2:PB[47XGK_M"I_N@VB+C_X890^LQ>1^MAQC(BPYU[XR>>3:PB[47XGK_M"HV__QV\54`_N@VB+B5WY^T<TFW;UDNXH!D332?WGX:VEIYK K2^RW[5763[KT>RAMUG,G6ZVSW8n0^LQ=_N@VB+C_X880^LQ=_N@VB+C_XVZ_S=?=;SC\6ZIE]O$NT]Q_T^326>TFW;UDNXH!EYR\TQY59l1YMR;SC\0ZIE]O$O>R^[_0f8VDY3WFH^J#KWP008VDY3WFH^J#KWP^RW[5753[KT8RAMUG,F\UYW\V;:>6\N_5]LFP@)MQZT\YQ=1d9QEZ2XGK_M"HV__uqjiwciidooSck{_c9QEZ2XGK_M"\74R@]6[JDRNk1YMR;POCWE*AeSBLZF/F2g>TFW6\N_4]LFP@)MQZT\YQ=139QEZ3XGK_M"HV__QV\744SBLZF/G[TZVSW=;n7_OP5^MAQC(BPYUdc}eocnaaYim}Ui7_OP5^MAQC(V12XJS;Q@BTDa?WGX>VEIYK Kc:PB[3YHJ\L%HTFW?UDNXH!EYR26>TFW?UDNXH!EYR\TQY79;1YMR8POCWE*@^WWY^T=<<4R@]5[JDRN'OS\R^[_33f?WGX>VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX>VEIYK ^9:PB[2YHJ\Li7_OP7^MAQC(Ck2XJS:Q@BTD-@4eTFW1UDNXH!Db9QEZ>XGK_M"I?l;SC\WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_SUil[ojht K2^RW[5773Zdo^hoky/F1[URX98:0_cj]e`fz*A4XX]U9==5\nePfea)L;U[XR=n;RlgV`gcq'[h7^`kRdcg}+TBO:1_^D:4TXRFg>STM[U]E^GMLD;8RLCPW]S[I>5Ycb08SV2<_Z$O96Y\.E36?RU)L;<0[^ JXQ`8SV(BPYU[XR>m;VQ-A]VXX]U:n6Y\.DZS[URX:k1\_#KWP^RW[6d<_Z$NT]Q_T^623>QT&LR[Sy}fmsgmehccWgoS95XS/S5?RU)ZLM=7Zo}pRGb?RguxZO%bjo:;Vkgpm4d3QI29<:;XM/24(RV99:+$^~jee"Emic=(_oydaa 2,3-1=_LDF:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C87UA]6:ZgfZOcj2RodR^}ilKmrf=_laU[~dc[yqge?]boWYxba[k}shmm<>^c`V^bahj4Xej\PlkbKaohgi5Wdi]WmhcCmekr==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo68^@THj2iex"M7Ms>3:a=df}%H4@|30?,Ga>ei|&I3A2?>/F2a>ei|&I3A2?>/F1`>ei|&I3A2?>/Se?fhs'J2F~1>1.SGDf>ei|&I3A2>>e9`jq)D0Dx7=3 Ke:amp*E?E{6:2#J>e:amp*E?E{6:2#J=d:amp*E?E{6:2#_i;blw+F>Jz5;5"_KHe:amp*Eummc1>1119`jq)Dzlnbx~2?>/F25>ei|&Iyiig{s=2=*A7692iex"M}eekww969&M8::6mat.Aqaaos{5:5"I3:+B5WY^T=<84cov,Gwcca}y7<3 K2^RW[7713jd#N|jdhvp858)L;U[XR=>0:amp*Eummc1>1.P31?fhs'Jxnhdz|<1<-V@A6n2iex"M}eekww969W}yban|jaugg[}iuWl1hby!Lrdfjpv:668:0ocz Csggmqu;97$O=<5lnu-@v`bn|z6:2#J>109`jq)Dzlnbx~2>>/F153=df}%H~hjftr>2:+B5WY^T<<84cov,Gwcca}y7=3 K2^RW[4713jd#N|jdhvp848)L;U[XR<>6:amp*Eummc1?1.E0\TQY49?1hby!Lrdfjpv:66'N9S]ZP4048gkr(K{ooey}31?,G6ZVSW<;;7n`{/Bpf`lrt484%]<<4cov,Gwcca}y7=3 ]EF3e?fhs'Jxnhdz|<0<\pvojk{ojxhjPxnp\a>ei|&Iyiig{s=0=55=df}%H~hjftr>1:+B692iex"M}eekww949&M;:=6mat.Aqaaos{585"I<>6:amp*Eummc1<1.E0\TQY79?1hby!Lrdfjpv:56'N9S]ZP1048gkr(K{ooey}32?,G6ZVSW;;=7n`{/Bpf`lrt4;4%H?Q_T^124>ei|&Iyiig{s=0=*T753jd#N|jdhvp878)ZLM:j6mat.Aqaaos{585Sy}fmbpfeqccWqeySh5lnu-@v`bn|z682<>4cov,Gwcca}y7?3 K109`jq)Dzlnbx~2<>/F254=df}%H~hjftr>0:+B59?1hby!Lrdfjpv:46'N9S]ZP0048gkr(K{ooey}33?,G6ZVSW8;=7n`{/Bpf`lrt4:4%H?Q_T^022>ei|&Iyiig{s=1=*A4XX]U8=?5lnu-@v`bn|z682#KWP318gkr(K{ooey}33?,F\UYs{`gyicobee]maqY682iex"M}eekww959&X;97n`{/Bpf`lrt4:4%^HI>f:amp*Eummc1=1_uqjiftbi}ooSua}_d9`jq)Dzlnbx~2;>028gkr(K{ooey}34?,G54=df}%H~hjftr>7:+B6981hby!Lrdfjpv:36'N9=;5lnu-@v`bn|z6?2#J=_QV\4402iex"M}eekww929&M8T\YQ<139`jq)Dzlnbx~2;>/G[T41>7:amp*Eummc1:1.DZS[URX9;90ocz Csggmqu;<7$NT]Q{shoqakgjmmUeiyQ>0:amp*Eummc1:1.P31?fhs'Jxnhdz|<5<-V@A6n2iex"M}eekww929W}yban|jaugg[}iuWl1hby!Lrdfjpv:268:0ocz Csggmqu;=7$O=<5lnu-@v`bn|z6>2#J>109`jq)Dzlnbx~2:>/F153=df}%H~hjftr>6:+B5WY^T<<84cov,Gwcca}y793 K2^RW[4713jd#N|jdhvp808)L;U[XR<>2:amp*Eummc1;1.DZS52=df}%H~hjftr>6:+C_XVZ_S=?8;blw+Ftbl`~x080!EYR\TQY69>1hby!Lrdfjpv:26'OS\R^[_300?fhs'Jxnhdz|<4<-A]VX|zcf~h`nmdf\j`rX991hby!Lrdfjpv:26'[:>6mat.Aqaaos{5?5"_KH1g9`jq)Dzlnbx~2:>^vpmheumh~nhRv`r^g8gkr(K{ooey}36?33?fhs'Jxnhdz|<7<-@477$O><84cov,Gwcca}y7:3 K2^RW[5713jd#N|jdhvp838)L;U[XR?>2:amp*Eummc181.DZS52=df}%H~hjftr>5:+C_XVZ_S=?8;blw+Ftbl`~x0;0!EYR\TQY69>1hby!Lrdfjpv:16'OS\R^[_334?fhs'Jxnhdz|<7<-A]VXX]U8>>5lnu-@v`bn|z6=2#KWP^vpmhtbfhgnhR`jt^33?fhs'Jxnhdz|<7<-U44ei|&Oy0=0!D0a8gkr(M{6;2#J=b:amp*Cu494%]i5lnu-Fv969&[OL56mat.Gq848e3jd#H|31?,Gg>ei|&Oy0<0!D0a8gkr(M{6:2#J=b:amp*Cu484%]i5lnu-Fv979&[OLj6mat.KP[CUJWOLo=5lnu-JWZ@TEVLMh< ]EF03?fhs'@YTJ^CPFGf2[qunejxnmykk_ymq[c=df}%B_RH\M^DE`7763jd#D]PFRO\BCb5&M;97n`{/HQ\BVKXNOn9"I?>2:amp*OTWOYFSKHk2/F152=df}%B_RH\M^DE`7(C:VZ_S=?8;blw+LUXNZGTJKj=.E0\TQY6981hby!FS^DPIZ@Al;$Z=>5lnu-JWZ@TEVLMh? ]EF03?fhs'@YTJ^CPFGf1[qunejxnmykk_ymq[a=df}%GXdcjr=2=55=df}%GXdcjr=2=*FCa3jd#AZfmdp?4;(C991hby!CThofv969&M;:<6mat.NWmhcu494%H?h4cov,HQojm{6;2#_>1:amp*JSadoy0=0!RDE2a>ei|&F_e`k}<1<\pvojk{ojxhjPxnp\a>ei|&F_e`k}<02=54=df}%GXdcjr=33:+EB991hby!CThofv9776'N:=6mat.NWmhcu48:5"I?>1:amp*JSadoy0<>1.E024>ei|&F_e`k}<02=*T753jd#AZfmdp?558)ZLM:j6mat.NWmhcu48:5Sy}fmbpfeqccWqeySh5lnu-OPlkbz5;:21?,G54=df}%GXdcjr=32:+B6981hby!CThofv9766'N9=?5lnu-OPlkbz5;:2#KWP058gkr(D]cfi2>1?,F\UYW\V::;6mat.NWmhcu48;5"HV__QV\57525;(BPYUdc}eocnaaYim}U:<6mat.NWmhcu48;5"\?=;blw+IRnelx7=<0!RDE2b>ei|&F_e`k}<03=[qunejxnmykk_ymq[a=df}%GXdcjr=3=55=df}%GXdcjr=3=*FCa3jd#AZfmdp?5;(C991hby!CThofv979&M;:<6mat.NWmhcu484%H?h4cov,HQojm{6:2#_>1:amp*JSadoy0<0!RDE2a>ei|&F_e`k}<0<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<3<24>ei|&F_e`k}<3<-G@`1:+B682iex"B[ilgq878)L8;;7n`{/MVji`t;:7$O>k5lnu-OPlkbz585"\?>;blw+IRnelx7>3 ]EF3f?fhs'E^bah|32?]wwlkdzlkiiQwos]g?fhs'E^bah|33?33?fhs'E^bah|33?,@Ac=df}%GXdcjr=1=*A773jd#AZfmdp?7;(C98:0ocz LUknaw:46'N9j6mat.NWmhcu4:4%]^vpmheumh~nhRv`r^f8gkr(D]cfi2;>028gkr(D]cfi2;>/AFb>ei|&F_e`k}<5<-@467:+B6991hby!CThofv929&M8m7n`{/MVji`t;<7$Z=<5lnu-OPlkbz5>5"_KH1d9`jq)K\`gn~1:1_uqjiftbi}ooSua}_e9`jq)K\`gn~1;1119`jq)K\`gn~1;1.BGe?fhs'E^bah|35?,G55=df}%GXdcjr=7=*A7682iex"B[ilgq808)L;l0ocz LUknaw:26'[:=6mat.NWmhcu4<4%^HI>e:amp*JSadoy080Ptrkngwcf|lnTtb|Pd:amp*JSadoy0;0>0:amp*JSadoy0;0!CDd8gkr(D]cfi29>/F24>ei|&F_e`k}<7<-@4773jd#AZfmdp?2;(C:o1hby!CThofv909&X;:7n`{/MVji`t;>7$YIJ?j;blw+IRnelx7:3Q{sho`v`gsmmUscQk;blw+IRnelx7;3??;blw+IRnelx7;3 LEg9`jq)K\`gn~191.E33?fhs'E^bah|37?,G5464:+B5n2iex"B[ilgq828)Y8;0ocz LUknaw:06'XNK4cov,HQojm{632#MJf:amp*JSadoy050!D028gkr(D]cfi27>/F255=df}%GXdcjr=:=*A4a3jd#AZfmdp?<;(V981hby!CThofv9>9&[OL=h5lnu-OPlkbz525Sy}fmbpfeqccWqeySi5lnu-OPlkbz535==5lnu-OPlkbz535"NKi;blw+IRnelx753 K119`jq)K\`gn~171.E324>ei|&F_e`k}<8<-@7`::+W692iex"B[ilgq8<8)ZLM:i6mat.NWmhcu404Tx~gbcsgbp`bXpfxTo6mat.NWmhcu\Jl0ocz LUknawRD&JOn7n`{/MVji`tSK'Nm7n`{/MVji`tSK'N:j6mat.NWmhcu\J$O>h5lnu-OPlkbz]I%]<>4cov,HQojm{^H"_KHd:amp*USadoy0=0i;blw+VRnelx7<3 K119`jq)T\`gn~1>1.E324>ei|&Y_e`k}<1<-@7723jd#^Zfmdp?4;(C:VZ_S=?:;blw+VRnelx7<3 K2^RW[4723jd#^Zfmdp?4;(C:VZ_S??:;blw+VRnelx7<3 K2^RW[6723jd#^Zfmdp?4;(C:VZ_S9?:;blw+VRnelx7<3 K2^RW[0723jd#^Zfmdp?4;(C:VZ_S;h4cov,WQojm{6;2#_>1:amp*USadoy0=0!RDEg?fhs'Z^bah|31?d8gkr([]cfi2>>/F24>ei|&Y_e`k}<0<-@4773jd#^Zfmdp?5;(C:8?0ocz SUknaw:66'N9S]ZP0078gkr([]cfi2>>/F1[URX98?0ocz SUknaw:66'N9S]ZP2078gkr([]cfi2>>/F1[URX;8?0ocz SUknaw:66'N9S]ZP4078gkr([]cfi2>>/F1[URX=8?0ocz SUknaw:66'N9S]ZP6g9`jq)T\`gn~1?1.P32?fhs'Z^bah|31?,QABd4:amp*UilZfd{#KWP^RW[5733jd#^`kSmmt*@^WWY^T=<:4cov,WkbTdf}%IU^PPU]151=df}%Xbi]cov,F\UYW\V99<6mat.Qm`Vjh'OS\Rz|ilpfjdkblVdnxRj4cov,WkbTdf}%]k5lnu-PjaUkg~$YIJm4cov,Plkbz5:5j6mat.Vji`t;87$HIh5lnu-Wmhcu494%Hk5lnu-Wmhcu494%H0:amp*Rnelx7<3 ]EF3g?fhs']cfi2?>^vpmheumh~nhRv`r^a8gkr(\`gn~1?1f:amp*Rnelx7=3 LEd9`jq)Sadoy0<0!Dg9`jq)Sadoy0<0!D0d8gkr(\`gn~1?1.E020>ei|&^bah|31?,G6ZVSW9;?7n`{/Uknaw:66'N9S]ZP1068gkr(\`gn~1?1.E0\TQY5m2iex"Zfmdp?5;(V991hby![ilgq848)ZLMh7n`{/Uknaw:56o1hby![ilgq878)KLo0ocz Thofv949&Ml0ocz Thofv949&M;m7n`{/Uknaw:56'N9=95lnu-Wmhcu4;4%H?Q_T^220>ei|&^bah|32?,G6ZVSW8;?7n`{/Uknaw:56'N9S]ZP2068gkr(\`gn~1<1.E0\TQY4m2iex"Zfmdp?6;(V991hby![ilgq878)ZLMi7n`{/UknawRDm2iex"ZfmdpWG+EBl2iex"ZfmdpWG+Bb3jd#YgbesV@*A7b3jd#YgbesV@*A4c3jd#YgbesV@*T`d:amp*hNXE8y"I>3:ap+kIqm{fju#J=_QV\545=5ls.lLr`tkip$NT]Q{shoqakgjmmUeiyQk;bq,jJpbzekr"\h4cr-mKscudhs%^HI>c:ap+kIqm{fjuRz|ilaqadrblVrd~R:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJm4fr`,FDESz5;5i6h|b.@BGQt;97$Oj6h|b.@BGQt;97$O=k5isc-AEFRu484%H?k4fr`,FDESz5;5"\??;gqa+GGD\{6:2#\JGb9ewg)EIJ^y0?0j;gqa+GGD\{692#Ji;gqa+GGD\{692#J>f:dpf*DFK]x7>3 K2d9ewg)EIJ^y0?0!Q028bvd(JHI_~1<1.SGD=>ohjd%H4@|m;hmai*E?E{$Oo6g`bl-@ohjd%Ocxz@Ee9jkgk(LfCH Ke:klfh)Cg|~DI#J>e:klfh)Cg|~DI#J=129jkgk(LfCH K2^RW[5743`eia"J`uuMF*A4XX]U:=>5foco,@jssGL$O>R^[_330?liee&NdyyAJ.E0\TQY4n2cdn`!KotvLA+C_X;:0eblb/EmvpJC)MQZTx~gbrdlbi`bXfl~Th6g`bl-GkprHM'[m7damm.FlqqIB&[OL=n5foco,@jssGLUdclrdcwaaYg{Uj7damm.GP858d3`eia"K\<1<-@a=ngkg$I^2?>/F2`>ohjd%N_1>1.E026>ohjd%N_1>1.E0\TQY79;1bcoc ER>3:+B5WY^T=<<4in`n+@U;87$O>R^[_3a8mjdj'LY7<3 ^e:klfh)B[5:5"_KH1c9jkgk(MZ6;2Rz|ilaqadrblVrd~Ro4in`n+@U;97i0eblb/DQ?5;(Cl2cdn`!JS=3=*A7c3`eia"K\<0<-@7753`eia"K\<0<-@7YW\V::>6g`bl-FW979&M8T\YQ>139jkgk(MZ6:2#J=_QV\644ohjd%N_1<1.Ef8mjdj'LY7>3 K1e9jkgk(MZ692#J=139jkgk(MZ692#J=_QV\444/F2`>ohjd%N_1=1.E026>ohjd%N_1=1.E0\TQY79;1bcoc ER>0:+B5WY^T=<<4in`n+@U;;7$O>R^[_331?liee&OX0>0!D3]SPZ5d3`eia"K\<2<-U`=ngkg$I^2<>/PFC4dk5foco,MJTCZL[y"\?>;hmai*OHZMXN] ]EF;8mjdj'@EX;hmai*OH[9$O>R^[_332?liee&CD_= K2^RW[6763`eia"G@S1,G6ZVSW=h0eblb/HMP4+Wc3`eia"G@S1,QAB763`eia"G@S^DPIZ@Al8;87damm.KLWZ@TEVLMh< K159jkgk(AFYTJ^CPFGf2*A76<2cdn`!FOR]EWHYANm;%H??<;hmai*OH[VLXARHId0,R50=ngkg$EB]PFRO\BCb6&[OL=<5foco,MJUXNZGTJKj=129jkgk(AFYTJ^CPFGf1*A733`eia"G@S^DPIZ@Al;$O=<:4in`n+LITWOYFSKHk2/F15<=ngkg$EB]PFRO\BCb5&M8T\YQ?189jkgk(AFYTJ^CPFGf1*A4XX]U:=>5foco,MJUXNZGTJKj=.P36?liee&CD_RH\M^DE`7(UMN;:7damm.KLWZ@TEVLMh>?<;hmai*OH[VLXARHId2,G51=ngkg$EB]PFRO\BCb4&M;:86g`bl-JKVYA[DUMJi=!D33:?liee&CD_RH\M^DE`6(C:VZ_S=?6;hmai*OH[VLXARHId2,G6ZVSW8;27damm.KLWZ@TEVLMh> K2^RW[7743`eia"G@S^DPIZ@Al:$Z=85foco,MJUXNZGTJKj<.SGD54=ngkg$EB]PFRO\BCb39:1bcoc INQ\BVKXNOn?"I?;;hmai*OH[VLXARHId5,G5425foco,MJUXNZGTJKj;.P36?liee&CD_RH\M^DE`1(UMN;:7damm.KLWZ@TEVLMh8?<;hmai*OH[VLXARHId4,G51=ngkg$EB]PFRO\BCb2&M;:86g`bl-JKVYA[DUMJi;!D330?liee&CD_RH\M^DE`0(V9<1bcoc INQ\BVKXNOn>"_KH109jkgk(AFYTJ^CPFGf556=ngkg$EB]PFRO\BCb1&M;?7damm.KLWZ@TEVLMh; K1068mjdj'@EXSK]B_GDg2+B5901bcoc INQ\BVKXNOn="I129jkgk(AFYTJ^CPFGf5*T723`eia"G@S^DPIZ@Al?$YIJ?>;hmai*OH[VLXARHId630?liee&CD_RH\M^DE`2(C9=1bcoc INQ\BVKXNOn<"I?>4:klfh)NGZUM_@QIFe5-@77>3`eia"G@S^DPIZ@Al>$O>R^[_13:?liee&CD_RH\M^DE`2(C:VZ_S1:klfh)NG^XYI\|!RDE:?liee&\[Ml4in`n+SVFz'Nh7damm.TSEw(C9j1bcoc VQCq*A4e3`eia"X_As,R`>ohjd%]\L|!RDEa?lie{&Igil|>d:klfv)Ddlky=#Jj;hmaw*Ekmhx:"I?j;hmaw*Ekmhx:"Iohjz%BCA?!D0a8mjdt'@EG=#J=b:klfv)NGE;%]i5focq,MJJ6&[OLm6g`br-JKWQ6k2cdn~!FOSU2*Abohjz%BC_Y>.E0\TQY4m2cdn~!FOSU2*@^W9:1bco} INPT5+C_XVZ_S=?<;hmaw*OHZ^;%IU^PPU]25c=ngky$EB\X1/G[TZrtadxnblcjd^lfpZeohjz%BC_Y>.SGD5g=ngky$EB\X1^vpmheumh~nhRv`r^;8mjdt'@E^=n5focq,MJS6&JOi7dams.KLQ4(Ck2cdn~!FOT3-@4eohjz%BCX?!Qe9jkgu(AF_:"_KHa:klfv)Kghn:o6g`br-Okdb6&Mn0ebl|/Mmb`4(C9m1bco} Lncg5+B59;1bco} Lncg5+B5WY^T<<<4in`p+Iifl8$O>R^[_031?lie{&Fdmi?!D3]SPZ46:2cdn~!Co`f2*A4XX]U8i6g`br-Okdb6&LR[=>5focq,Hjgc9'OS\R^[_130?lie{&Fdmi?!EYR\TQY69o1bco} Lncg5+C_XV~xe`|jn`of`Zhb|Vi0ebl|/Mmb`4(Vm2cdn~!Co`f2*WC@12cdn~!\eova?lie{&Ynby Kc:klfv)Tmg~%H3:klfv)S[VLXARHId0,G64>6g`br-WWZ@TEVLMh< ^159jkgu(\ZUM_@QIFe3-V@A682cdn~![S^DPIZ@Al;;97dams.VP[CUJWOLo>#J>3:klfv)S[VLXARHId3,G545R^[_53;?lie{&^XSK]B_GDg6+B5WY^T9<64in`p+QUXNZGTJKj=.E0\TQY19;1bco} TR]EWHYANm8%]<:4in`p+QUXNZGTJKj=.SGD=>hFLf@H>k;oCGkprKM9$[MIk4n@FlqqJB8VEIYK??;oCGkprKM9UDNXH!D038jDBh}}FNhFLf@H?POCWE*T753gKOcxzCE0]LFP@)ZLM<7cO\_GQAf>hF[VLXN#^NDb9mEVYA[KUBNXH8;oCP[LIEj2dJ_RG@B/RB@d=iIZUBCOazte9mEVYNGKe~x)AJc:lBWZOHJf(\?;;oCP[LIEg|~%NXH@E/MVPf=iIZUBCOazt/Fg?kGTW@EIcxz!D0f8jDUXAFHdyy K2008jDUXAFHdyy K2^RW[5753gKXSDAMotv-@7YW\V;:>6`NS^KLFjss&M8T\YQ=c:lBWZOHJf"\k4n@Q\MJDh}}$YIJh4n@Q\MJDh}}$_^^Z8;oClqqIBj2dJcxz@E/RB@f=iIfCHQ@BTDf?kGh}}ENSBLZF/Fe?kGh}}ENSBLZF/F2b>hFg|~DIRAMUG,G646hFg|~DIRAMUG,R55=iIfCHQ@BTD-V@A>3gHN^_QISCf8jGCUZVLXN#^NDd9mF@TUWOYISBLZF028jGCUZVLXNRAMUG,G54=iJLXYSK]M_N@VB+B6981eNH\]_GQA[JDRN'N9=?5aBDPQ[CUEWFH^J#KWP058jGCUZVLXNRAMUG,F\UYW\V::;6`MESP\BVDXGK_M"HV__QV\5417:lAAWTXNZHTCO[I.DZS[URX;8=0bOK]R^DPFZIE]O$NT]Q_T^617>hEM[XTJ^LPOCWE*@^WW}ybakaalgg[kcsW8:0bOK]R^DPFZIE]O$Z=?5aBDPQ[CUEWFH^J#\JG008jGCUZVLXNRAMUG,VMH?hEZVCDNRAMUG&Ra>hEZVCDNRAMUG,Gb>hEZVCDNRAMUG,G5c=iJ[UBCOQ@BTD-@7773gHYSDAM_N@VB+C_X8?0bO\PIN@\KGSA&LR[S]ZP0078jGTXAFHTCO[I.DZS[URX98?0bO\PIN@\KGSA&LR[S]ZP2078jGTXAFHTCO[I.DZS[URX;8?0bO\PIN@\KGSA&LR[S]ZP4338jGTXAFHTCO[I.DZS[qune{oem`kk_ogw[`=iJ[UBCOQ@BTD-U46hC\HI@SK]Me:lGPDELWOYI"]OKf:lGPDELWOYISBLZF038jARFKBUM_OQ@BTD'U47hC\HI@SK]M_N@VB+B5WY^T<<94nEVBGNYA[KUDNXH!D3]SPZ76?2dOXLMD_GQA[JDRN'N9S]ZP2058jARFKBUM_OQ@BTD-@7YW\V9:;6`KT@AH[CUEWFH^J#J=_QV\0417:lGPDELWOYISBLZF/F1[URX>8;0bIZNCJ]EWGYHJ\L%]<=4nEVBGNYA[KUDNXH!RDEb?kBSIJATEBLj;oFWEFMXAFH%\LJi;oFWEFMXAFHTEO[I6:lGmkJBi2dOecBJ.QCGf>hCagFNSBLZFe9m@lhKMVEIYK Ke:lGmkJBWFH^J#J>e:lGmkJBWFH^J#J=f:lGmkJBWFH^J#KWP068jAoiDLUDNXH!EYR\TQY79=1eHd`CE^MAQC(BPYU[XR?>4:lGmkJBWFH^J#KWP^RW[7473gNbbAKPOCWE*@^WW}ybakaalgg[kcsWm1eHd`CE^MAQC(Vn2dOecBJ_N@VB+TBO?1eHd`@E`9m@lhHM'ZJHo5aDhlLAZIE]On0bIgaOD]LFP@)Ll1eHd`@E^MAQC(C9l1eHd`@E^MAQC(C:890bIgaOD]LFP@)L;U[XR>>3:lGmkIBWFH^J#J=_QV\5c=iL`dDIRAMUG,F\U733gNbbBKPOCWE*@^WWY^T<<:4nEkmK@YHJ\L%IU^PPU]251=iL`dDIRAMUG,F\UYW\V8:86`KioMF[JDRN'OS\R^[_203?kBnfFOTCO[I.DZS[qune{oem`kk_ogw[a=iL`dDIRAMUG,R3>hCg|~DIo5aDnwwK@(WIMi0bIaztNG\KGSAm2dOcxz@E^MAQC(Cn2dOcxz@E^MAQC(C9o1eHb{{OD]LFP@)L;;?7cJ`uuMF[JDRN'N9S]ZP0068jAir|FOTCO[I.E0\TQY6991eHb{{OD]LFP@)MQZ:96`KotvLAZIE]O$NT]Q_T^221>hCg|~DIRAMUG,F\UYW\V;9=6`KotvLAZIE]O$NT]Q{shoqakgjmmUeiyQj;oFlqqIBWFH^J#_:;oKSH7?#^ND`9mMUJ5W@H^J55aLEQ\BVDd3gFO_RH\B/RB@a=iDMYTJ^LPICWE<>hKLZUBCOm4nMFP[LIE&YKOn6`CDR]JKGir|l1e@I]PIN@lqq"HMm1e@I]PIN@lqq"V9<1e@I]PIN@lqq(E]OEN"B[[d:lO@VYNGKe~x#Jj;oNGWZOHJf"I?j;oNGWZOHJf"I<>3:lO@VYNGKe~x#J=_QV\4454nMFP[LIEg|~%X_][3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H???;oMF[JDRN'N9S]ZP0028jJCXGK_M"Ih5aR@OOS@YHJ\L%]:5aR@OVS@dhUID_\IRAMUG,F\U723gXJAXYJ_N@VB+C_XVZ_S=?:;oPBIPQBWFH^J#KWP^RW[4723gXJAXYJ_N@VB+C_XVZ_S??:;oPBIPQBWFH^J#KWP^RW[6723gXJAXYJ_N@VB+C_XVZ_S9<>;oPBIPQBWFH^J#KWP^vpmhtbfhgnhR`jt^g8jWGJ]^OTCO[I.P68jWGT02dYM^ _AE;8jWGTWFH^Jo5aR@Q\KGSA&Mi0b_O\_N@VB+B6k2dYM^Q@BTD-@7763gXJ_RAMUG,G6ZVSW9;:7c\NS^MAQC(C:VZ_S;oPBWZIE]O$O>R^[_3`8jWGTWFH^J#_;;oPFW==iZLY%\LJ8;oPFWjss12dYI^azt/Fb?kTB[f"I?n;oPFWjss&M827c\JSnww*TdhUGDIXSBLZF/Ff?kTHEJYTCO[I.E3f?kTHEJYTCO[I.E0e?kTHEJYTCO[I.DZS51=iZFGH_RAMUG,F\UYW\V::86`]OLAP[JDRN'OS\R^[_037?kTHEJYTCO[I.DZS[URX:8>0b_ABCR]LFP@)MQZT\YQ<159mVJKD[VEIYK JXQ]SPZ2582dYC@M\_N@VB+C_XV~xe`|jn`of`Zhb|Vn0b_ABCR]LFP@)Y?1e^BCXE`9mVJKPM'ZJHo5aRNOTAZIE]On0b_ABWD]LFP@)Ll1e^BCXE^MAQC(C9l1e^BCXE^MAQC(C:o1e^BCXE^MAQC(BPY8;7c\@MVG\KGSA&LR[Sy}fmsgmehccWgoSi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNi7c[KS^KLFjssm2d^H^QFOCmvp!IBl2d^H^QFOCmvp!W6=2d^H^QFOCmvp+DRNFO%CXZk;oWGWZOHJf"Ik4nTFP[LIEg|~%HhQEHUBCOazt%MF`>hQEHUBCOazt%S21>hQEHUBCOazt/@VBJC)G\^o7cXBA^KLFjss&Mo0b[CN_HMAkpr)L8o0b[CN_HMAkpr)L;;87cXBA^KLFjss&M8T\YQ?129mRHGXAFHdyy K2^RW[4bhQXHUM_OQ@BTD-@7723g\[MRH\B^MAQC(C:VZ_S=?:;oTSEZ@TJVEIYK K2^RW[4763g\[MRH\B^MAQC(BPY897cX_A^DPFZIE]O$NT]Q{shoqakgjmmUeiyQi;oTSEZ@TJVEIYK ^109mRUGXNZHTCO[I.SGD57=i^YKTJ^LPOCWE*VCSN11eZ]OPIN@`?kPWIVCDN#^NDe9mRUGXAFHTEO[I7:lTAZ@TJk1e[HQISC,SEAehfel=0bhijdfg4?uitmmmnm6|nm.FWEFMuk2xja"J[ABIq*Abtfe&N_MNE}.Pg8vdk(L]KHG ]EF;8vdk(ZHYN@o5}al-QEVCK&Mi0~lc R@QFH+B6k2xja"\NSDN-@7763{kf#_O\EM,G6ZVSW9;:7ob/SCPAI(C:VZ_S;scn+WGTME$O>R^[_3`8vdk(ZHYN@#_k;scn+WGTME$YIJ74r`o,VDUBFk1ym`!]ARGM*Aetfe&XJ_H@!D332?wgj'[KXIC K2^RW[5763{kf#_O\EO,G6ZVSW8;:7ob/SCPAK(C:VZ_S??>;scn+WGTMG$O>R^[_232?wgj'[KXIC K2^RW[1b;scn+WGTz{$O>R^[_332?wgj'[KX~ K2^RW[6763{kf#_O\rs,G6ZVSW=;:7ob/SCPvw(C:VZ_S8?>;scn+WGTz{$O>R^[_7`8vdk(ZHYy~#_k;scn+WGTz{$YIJh4r`o,VVYA[DUMJi?>1:pbi*TTWOYFSKHk1/F26>tfe&XXSK]B_GDg5+B69;1ym`!]S^DPIZ@Al8$O>1:pbi*TTWOYFSKHk3/S27>tfe&XXSK]B_GDg7+TBOo1ym`!]S^DPIZ@Al=;:7ob/SQ\BVKXNOn?"I?=;scn+WUXNZGTJKj;.E326>tfe&XXSK]B_GDg0+B5981ym`!]S^DPIZ@Al=$Z=>5}al-QWZ@TEVLMh9 ]EFd8vdk(ZZUM_@QIFe725>tfe&XXSK]B_GDg1+B6:2xja"\\_GQN[C@c='N:=?5}al-QWZ@TEVLMh8 K2058vdk(ZZUM_@QIFe7-@7YW\V::;6|nm.PP[CUJWOLo9#J=_QV\5471ym`!]S^DPIZ@Al?$O>R^[_030?wgj'[YTJ^CPFGf5*@^W911ym`!]S^DPIZ@Al?$NT]Q_T^22<>tfe&XXSK]B_GDg2+C_XVZ_S<<;;scn+WUXNZGTJKj9.DZS[qune{oem`kk_ogw[471ym`!]S^DPIZ@Al>$O>R^[_034?wgj'[YTJ^CPFGf4*A4XX]U9=:5}al-QWZ@TEVLMh: K2^RW[6743{kf#_]PFRO\BCb0&LR[=55}al-QWZ@TEVLMh: JXQ]SPZ6602xja"\\_GQN[C@c?'OS\R^[_007?wgj'[YTJ^CPFGf4*@^WW}ybakaalgg[kcsW8;0~lc RR]EWHYANm=%]<=4r`o,VVYA[DUMJi9!RDEe?wgj'[YTJ^CPFGf;54=uid%Y_RH\M^DE`=(C9;1ym`!]S^DPIZ@Al1$O=<<4r`o,VVYA[DUMJi6!D334?wgj'[YTJ^CPFGf;*A4XX]U;=:5}al-QWZ@TEVLMh5 K2^RW[4703{kf#_]PFRO\BCb?&M8T\YQ=169qeh)U[VLXARHId9,G6ZVSW:;<7ob/SQ\BVKXNOn3"I;scn+WUXNZGTJKj7.P30?wgj'[YTJ^CPFGf;*WC@i2xja"\jfSgrg>tfe&Xnj_k~.Ef8vdk(ZllYi| K1e9qeh)UmoXn}#J=139qeh)UmoXn}#J=_QV\444f:pbi*Tbn[oz"HV__uqjiwciidooSck{_b9qeh)UmoXn}#_j;scn+WcaZl{%^HIn;scn+Wca]{mh7ob/SgeQwa)Lm1ym`!]egWqc+B6l2xja"\jfTpd*A46:2xja"\jfTpd*A4XX]U;=?5}al-QacSuo'N9S]ZP1008vdk(Zll^~j K2^RW[7c5}al-QacSuo'OS\R^[_030?wgj'[omYi!EYR\TQY59o1ym`!]egWqc+C_XV~xe`|jn`of`Zhb|Vi0~lc RddVvb(Vm2xja"\jfTpd*WC@k2xja"`]egAooWc7{ocudCDu1a911KLu<7f;D96?7|[jl1>4<50;300g44k3=jh;ua28a95>h51m0=7)<65;0:7>{Tkl095?4?:017f75d2>ko;6j=8g83>4<6sZim6?7=:18271d5;j05<6280>9ou\cg81=7<7289?n?=l:6cg2>P51109wx?m4;38q4d2291v(?9i:0`0?!7d>382=6l=8d83>10==>o198ltH35f?!40l383i6Tmb;0x65<6<3wb4l4?:%3`=?>>3g;h47>4;h:4>5<#9j31445a1b:95>=n0<0;6)?l9;::?k7d03807d6<:18'5f?=001e=n653:9j3c<72-;h5766;o3`!7d13227c?l8;48?l1>290/=n75889m5f>=?21bo:4?::kag?6=3`=>6=4+1b;9<<=i9j21565f7083>!7d13227c?l8;`8?l0a290/=n75889m5f>=k21b:i4?:%3`=?>>3g;h47j4;h310?6=3`<9h7>5;h732?6=3`=86=4+1b;9<<=i9j21m65f7683>!7d13227c?l8;:8?l?f290/=n75889m5f>=m21b554?:%3`=?>>3g;h47h4;h703?6=3`?=m7>5;h7:=?6=3`?mm7>5;hf7>5<5<c88;=>h6k10::65f9783>!7d13227c?l8;33?>o?k3:1(>3g;h47?=;:k:0?6=,8i26574n0a;>47<3f<;i7>5;n73=?6=3f?2o7>5;n7e`?6=3f?9;7>5;n42`?6=3f?o=7>5;n70e?6=3f;o;7>5$0a:>4b73g;h4764;n76e?6=3f;ho7>5$0a:>4b73g;h47l4;n3`a?6=,8i265$0a:>4b73g;h47o4;n3g1?6=,8i265$0a:>4b73g;h4794;n3gf?6=,8i265$0a:>4b73g;h47;4;n3gb?6=,8i265$0a:>4b73g;h47=4;n3f7?6=,8i265;n6e1?6=3f??n7>5;n75`?6=3f?jh7>5;*7gf?6=3f;o=7>5$0a:>4b73g;h47>4;n6eg?6=3f?:47>5;n74e?6=3f?357>5;n7ag?6=3f?h?7>5;n7f7?6=3fi;6=44b9`94?7=83:p(?78:0a6?M40m2c:o84?:%0:3?7d=2.:n?4=1:&2g2<5921vnlo50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4n;%405?gc49K62c6=4+28595f3<,8h964b<3thj57>52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn5850;394?6|,;3<6o6k<0;6)<67;3`1>"6j;0:n6*>c682f>=zjh21<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<51;294~"51>0:o85G26g8m4e2290/>4951b78 4d528k0(7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 3552h1/:>?5a:~yx=zj1:1<7?50;2x 7?028i>7E<8e:k2g0<72-82;7?l5:&2f7<612.:o:4>9:9~fd3=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&57750z&1=2<6k<1C>:k4i0a6>5<#:0=1=n;4$0`1>4><,8i<6<64;|`b0?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7d=#>:;1m6sr}|9~f2e=83;1<7>t$3;4>4e23A8c483>!4>?3;h96*>b3823>"6k>0:;65rb`194?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*9338b?!0493k0qpsr;|`4e?6=93:1o0ef:&2g2<6n21vnn750;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4n;%405?g2wvqp5rbb`94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2B95;5Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=>2.=?<49;|~y>{ejm0;6<4?:1y'6<1=9j?0D?9j;h3`1?6=,;3<6o0e<4={%3`f?7d<2\:>>4={%406?0<,?9:6;5r}|8ygda29096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95G2848R7?>28qG>4l51zTg7?4|,8ii6{zut1vn:850;394?6|,;3<6o6k<0;6)<67;3`1>"6j;0:?6*>c6827>=zjh:1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<7>51;294~"51>0:o85G26g8m4e2290/>4951b78 4d528;0(m3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 3552h1/:>?5a:~yx=zj>:1<7?50;2x 7?028i>7E<8e:k2g0<72-82;7?l5:&2f7<282.:o:4:0:9~ft$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&57750z&1=2<6k<1C>:k4i0a6>5<#:0=1=n;4$0`1>1><,8i<6964;|`:g?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7d=#>:;1m6sr}|9~f442290:6=4?{%0:3?7d=2B9;h5f1b794?"51>0:o85+1c0966=#9j=1>>54}c312?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?73=#>:;1:6sr}|9~f44029096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95G2848R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;5<7s-82;7?l5:J13`=n9j?1<7*=9682g0=#9k81>85+1b5960=52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8>8:182>5<7s-82;7?l5:J13`=n9j?1<7*=9682g0=#9k81>55+1b596==52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn::50;394?6|,;3<6o6k<0;6)<67;3`1>"6j;0:>6*>c6826>=zj0l1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<51;294~"51>0:o85G26g8m4e2290/>4951b78 4d528?0(7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 3552h1/:>?5a:~yx=zj0h1<7?50;2x 7?028i>7E<8e:k2g0<72-82;7?l5:&2f7<382.:o:4;0:9~fg4=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&57713:1=7>50z&1=2<6k<1C>:k4i0a6>5<#:0=1=n;4$0`1>6d<,8i<6>l4;|`a5?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7d=#>:;1m6sr}|9~f05?290:6=4?{%0:3?7d=2B9;h5f1b794?"51>0:o85+1c0901=#9j=18954}c70=?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7d=#>:;1m6sr}|9~f4e729096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=i2.=?<4n;|~y>{e=?h1<7?50;2x 7?028i>7E<8e:k2g0<72-82;7?l5:&2f7<3>2.:o:4;6:9~f00d29096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=i2.=?<4n;|~y>{e=0k1<7?50;2x 7?028i>7E<8e:k2g0<72-82;7?l5:&2f7<5?2.:o:4=7:9~f0?e29096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=i2.=?<4n;|~y>{e=oh1<7?50;2x 7?028i>7E<8e:k2g0<72-82;7?l5:&2f7<512.:o:4=9:9~f0`d29096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=i2.=?<4n;|~y>{el<0;6<4?:1y'6<1=9j?0D?9j;h3`1?6=,;3<6t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&5770;6<4?:1y'6<1=9j?0D?9j;h3`1?6=,;3<6=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&57750z&1=2<6k<1C>:k4i0a6>5<#:0=1=n;4$0`1>03<,8i<68;4;|`b2?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7d=#>:;1m6sr}|9~f<1=83;1<7>t$3;4>4e23A8c483>!4>?3;h96*>b381a>"6k>09i65rbc294?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*9338b?!0493k0qpsr;|`;`?6=93:1o0ei6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4n;%405?gc49K62c6=4+28595f3<,8h96864$0a4>0><3thjh7>52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn4=50;394?6|,;3<6o6k<0;6)<67;3`1>"6j;0:o6*>c682g>=zjho1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<51;294~"51>0:o85G26g8m4e2290/>4951b78 4d52;<0(7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 3552h1/:>?5a:~yx=zj?:m6=4>:183!4>?3;h96F=7d9l5f3=83.95:4>c49'5g4=<:1/=n954298yg07m3:1?7>50z&1=2<5?j1C>:k4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288j7[?=3;0x 355211/:>?58:~yx=nl90;6)<67;f3?S4>13;p@?7m:0yU`6<5s-;hn7j?;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a15g=83;1<7>t$3;4>4e23A8c483>!4>?3;h96*>b387e>"6k>0?m65rb42:>5<4290;w)<67;04g>N5?l1b=?o50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957g<^8886?u+6209<>"1;8037psr}:kg4?6=,;3<6i>4V3;:>4}K:0h1=vXk3;0x 4ee2m:0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>4951b78L71b3f;h97>5$3;4>4e23-;i>7:8;%3`3?2032wi94m50;194?6|,;3<6?9l;I04a>o6:h0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2`9U575=:r.=??47;%405?>4751zN1=g<6s_n86?u+1b`9`5=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{51;294~"51>0:o85G26g8k4e2290/>4951b78 4d52=30(4?:1y'6<1=:>i0D?9j;h31e?6=,;3<6<2281!04:320(;=>:99~yx{P5100:wA<6b;3xRa5=:r.:oo4k0:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f04?290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0904=#9j=18<54}c713?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f37b290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0911=#9j=19954}c42`?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f0b5290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c097a=#9j=1?i54}c7g5?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f05e290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0907=#9j=18?54}c70e?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4b?290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0975=#9j=1?=54}c3g3?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f03e290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0961=#9j=1>954}c76e?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4ec290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0973=#9j=1?;54}c3`g?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4ea290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c097==#9j=1?554}c3`a?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4b3290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0971=#9j=1?954}c3g7?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4b1290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0977=#9j=1??54}c3g1?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4bf290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c096c=#9j=1>k54}c3g=?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4bd290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0974=#9j=1?<54}c3gf?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4bb290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0976=#9j=1?>54}c3g`?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4c7290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0970=#9j=1?854}c3gb?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4c5290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c0972=#9j=1?:54}c3f5?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f4c3290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c097<=#9j=1?454}c3f7?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f0`5290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c096d=#9j=1>l54}c7e5?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f1`1290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c090f=#9j=18n54}c6e1?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f02d290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c096f=#9j=1>n54}c77f?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f00b290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c090a=#9j=18i54}c75`?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f0gb290:6=4?{%0:3?7d=2B9;h5`1b794?"51>0:o85+1c096a=#9j=1>i54}c7b`?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?7==#>:;146sr}|9~f0bd290:6=4?{%0:3?7d=2B9;h5$1b794?"51>0:o85+1c0917=#9j=19?54}c7gf?6=;3:1o0e<44f3_;9?7==#>:;146sr}|9j`5<72-82;7j?;W0:=?7|D;3i6?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1e094?7=83:p(?78:0a6?M40m2e:o84?:%0:3?7d=2.:n?4:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5745<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5745<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5745<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5745<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5745<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5745<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5745<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><58:&5744<729q/>4951b78L71b3f;h97>5$3;4>4e23-;i>7=n;%3`3?5f32wio=4?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>764$712>==zutw0qo8>2;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a247=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd19:0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209e>"1;80j7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th==l4?:783>5}#:0=1=?64H35f?!73j3l0e<>4={%406?7<,?9:6<5r}|8mfe=83.95:4lc:T1=<<6sE82n7?tV0`2>7}#9jh1on5Y13196~"1;;0:7)8<1;38yx{z3`io6=4+2859ga=Q:031>vB=9c82S7e938p(<53:&574<43twv@?7n:5yU627=;r\o=7fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6nm4$2ge>3553t.=o:4=;|T2gd<5s_n:6?u+6229ga=#;ll1:><4}%4`3?c:0Z?76:3yO6>4={%406?b<,?9:6i5r}|N1=d<6s_82<74952718R7?>2;qG>4l51zT2f4<5s-;hn7<93:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318126=#;ll1:><4}%4`3?b07pl>2883>4<729q/>49528g8L71b3f;h87>5$3;4>4e332wi>5>50;394?6|,;3<6i6k=0;6)<67;3`0>=zj?;?6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{51;294~"51>095h5G26g8k4e3290/>4951b68?xd19>0;6;4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;a8 3562j1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f37?29036=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9j514=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996n5+6239g>{zut1b=9850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9510<^8886?u+6209g>"1;80h7psr}:k202<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8:5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c422?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`55g<72:0;6=u+28592g=O:>o0e<2281!04:3>0(;=>:59~yx{4={%3`f?75k2\:>>4={%406?2<,?9:695r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8>k:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm51`94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`64f<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg37m3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj<;=6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn8>i:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;>0;295?6=8r.95:4=9d9K62c=?4?:783>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=n9:=1<7*=96826d=O:0<0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9j514=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a145=83<1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;h376?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c720?6=?3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552j1/:>?5c:~yx=n9=<1<7*=96826d=O:0<0Z?76:0yO64213_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a141=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0?7)8<1;68yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<33-<8=7:4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;n0;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1<`=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2i80;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo;n2;297?6=8r.95:4=959K62c4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1d5=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb4c6>5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<3290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8o;:185>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?l74?3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7?4$712>4=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?74=#>:;1=6sr}|9j513=83.95:4>2`9U6{Wf0>7}#9jh1=9;4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`6ef<72:0;6=u+28592g=O:>o0e<2281!04:3>0(;=>:59~yx{4={%3`f?75k2\:>>4={%406?2<,?9:695r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;>>:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm5gd94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`545<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg07:3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?:h6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn;><:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`541<7280;6=u+285965$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm61594?5=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm61:94?5=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm61;94?5=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm61c94?2=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=n9:=1<7*=96826d=O:0<0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:=;50;494?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996<5+62395>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+62095>"1;80:7psr}:k200<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:885Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c43`?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552=1/:>?54:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?71=#>:;186sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi?ll50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c1a3:1o0(<:m:g9j57g=83.95:4>2`9U6{W3a5?4|,8ii6<{zut1bon4?:%0:3?ed3_8257?tL3;a>4}Q9k;1>v*>cc8`g>P6::09w)8<2;38 356281vqps4ibf94?"51>0hh6X=9881I4>j3;pZ:3y'5fd=km1]=?=52z&577<43-<8=7=4}|O67}#>::1oi5+3dd92643t\9;<4<{Wf2>7}#>::1oi5+3dd9264;|&5g2<>3t\9;<4<{Wf2>7}#>::1oi5+3dd9264<4}%4`3?4{W3a5?4|,8ii6?9?;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;044>"4mo0=??5r$7a4>a=zut1b>;=50;&1=2<5>:1]>4752zN1=g<6s_;i=77043_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838=?6*c598yg5fk3:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4im0;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a7g6=83>1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th8mh4?:283>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi?o<50;494?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338g?!0493n0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4k;%405?b7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm3c194?46290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=?2.=?<48;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62093>"1;80<7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0<7)8<1;58yx{z3`;?>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;58 3562>1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552>1/:>?57:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><57:&574<03twvq6g>4683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<>1]=?=52z&577<03-<8=794}|~?l7303:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;8:T266<5s-<8>794$712>2=zutw0e<:6:18'6<1=9;k0Z?76:0yO642>3_;9?72=#>:;1;6sr}|9j51g=83.95:4>2`9U6{Wf0>7}#9jh1=9o4V000>7}#>:81;6*93084?x{zu2c:>i4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826a=Q9;91>v*93384?!0493=0qpsr;h31a?6=,;3<6<2281!04:3=0(;=>:69~yx{4={%3`f?75n2\:>>4={%406?1<,?9:6:5r}|8m457290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;304>P6::09w)8<2;58 3562>1vqps4i012>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289:7[?=3;0x 3552>1/:>?57:~yx=n9:81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51208R4442;q/:><57:&574<03twvq6g>3283>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;:1]=?=52z&577<03-<8=794}|~?l74<3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<4:T266<5s-<8>7?4$712>4=zutw0e<=::18'6<1=9;k0Z?76:0yO64523_;9?74=#>:;1=6sr}|9j560=83.95:4>2`9U6{Wf0>7}#9jh1=>84V000>7}#>:81=6*93082?x{zu2c:?54?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827==Q9;91>v*93382?!0493;0qpsr;h30=?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:h0Z<<<:3y'264=92.=?<4>;|~y>o6;j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3b9U575=:r.=??4>;%405?74={%3`f?74l2\:>>4={%406?7<,?9:6<5r}|8m45b290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=j;W317?4|,?996<5+62395>{zut1b=>h50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956`<^8886?u+62095>"1;80:7psr}:k205<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8=5Y13196~"1;;0:7)8<1;38yx{z3`;?=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;375>P6::09w)8<2;38 356281vqps4i060>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>87[?=3;0x 355281/:>?51:~yx=n9=>1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51568R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4j=0;6??50;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?1n5Y13196~"1;;0<7)8<1;58yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;58 3562>1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552>1/:>?57:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><57:&574<03twvq6g>4783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>794$712>2=zutw0e<:7:18'6<1=9;k0Z?76:0yO642?3_;9?72=#>:;1;6sr}|9j51?=83.95:4>2`9U6{Wf0>7}#9jh1=974V000>7}#>:81;6*93084?x{zu2c:8l4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc820d=Q9;91>v*93384?!0493=0qpsr;h31`?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>>50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289;7[?=3;0x 3552>1/:>?57:~yx=n9:;1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51238R4442;q/:><57:&574<03twvq6g>3383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;;1]=?=52z&577<03-<8=794}|~?l74;3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<3:T266<5s-<8>794$712>2=zutw0e<=;:18'6<1=9;k0Z?76:0yO64533_;9?74=#>:;1=6sr}|9j563=83.95:4>2`9U6{Wf0>7}#9jh1=>;4V000>7}#>:81=6*93082?x{zu2c:?;4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8273=Q9;91>v*93382?!0493;0qpsr;h302281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:k0Z<<<:3y'264=92.=?<4>;|~y>o6;k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3c9U575=:r.=??4>;%405?74={%3`f?74k2\:>>4={%406?7<,?9:6<5r}|8m45c290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=k;W317?4|,?996<5+62395>{zut1b=>k50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956c<^8886?u+62095>"1;80:7psr}:k27c<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?k5Y13196~"1;;0:7)8<1;38yx{z3`;?<7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;374>P6::09w)8<2;38 356281vqps4i062>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>:7[?=3;0x 355281/:>?51:~yx=n9=91<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51518R4442;q/:><51:&574<63twvq6g>4583>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<=1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=m5;2964<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552>1/:>?57:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><57:&574<03twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<03-<8=794}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>794$712>2=zutw0e<:8:18'6<1=9;k0Z?76:0yO64203_;9?72=#>:;1;6sr}|9j51>=83.95:4>2`9U6{Wf0>7}#9jh1=964V000>7}#>:81;6*93084?x{zu2c:844?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=30Z<<<:3y'264=?2.=?<48;|~y>o6N51?1]>4751zN1=g<6s_n86?u+1b`951g<^8886?u+62093>"1;80<7psr}:k26a<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513f8R4442;q/:><57:&574<03twvq6g>2d83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?k4V000>7}#>:81;6*93084?x{zu2c:>k4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;l0Z<<<:3y'264=?2.=?<48;|~y>o6;90;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9566<^8886?u+62093>"1;80<7psr}:k274<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?<5Y13196~"1;;0<7)8<1;58yx{z3`;8>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;306>P6::09w)8<2;58 3562>1vqps4i010>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28987[?=3;0x 3552>1/:>?57:~yx=n9:>1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51268R4442;q/:><51:&574<63twvq6g>3483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;<1]=?=52z&577<63-<8=7?4}|~?l74>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<6:T266<5s-<8>7?4$712>4=zutw0e<=7:18'6<1=9;k0Z?76:0yO645?3_;9?74=#>:;1=6sr}|9j56?=83.95:4>2`9U6{Wf0>7}#9jh1=>74V000>7}#>:81=6*93082?x{zu2c:?l4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827d=Q9;91>v*93382?!0493;0qpsr;h30f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:n0Z<<<:3y'264=92.=?<4>;|~y>o6;l0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3d9U575=:r.=??4>;%405?74={%3`f?74n2\:>>4={%406?7<,?9:6<5r}|8m427290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:?;W317?4|,?996<5+62395>{zut1b=9?50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9517<^8886?u+62095>"1;80:7psr}:k206<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8>5Y13196~"1;;0:7)8<1;38yx{z3`;?87>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;370>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:h=6=4::183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;h303?6=,;3<6<2281!04:3;0(;=>:09~yx{96=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:?>1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<5}#:0=1>4:4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?7d=#>:;1m6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>;k50;494?6|,;3<6<<7;I04a>"6495cb9U6{W3a5?4|,8ii6nm4V000>7}#>:81=6*93082?x{zu2chh7>5$3;4>fb<^;326?uC28`95~P6j809w)?lb;ag?S75;38p(;==:29'267=;2wvqA<6a;6xR7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;;1v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;81v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7ml;%1fb?04:2w/:n959:U5fg=:r\o=7fb<,:om6;==;|&5g27}#>::1>:>4$2ge>3553t.=o:4k;|~?l41;3:1(?78:340?S4>138p@?7m:0yU5g7=:r.:oo4=629U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229635<,:om6;==;|&5g2o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<6290;w)<67;0:a>N5?l1d=n:50;&1=2<6k=10qo<99;291?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>;o50;794?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?70<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+6209g>"1;80h7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c05g?6==3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f70?290>6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a633=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?h86=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:oo50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>49513:8L71b3-;?n7h4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vX>b081!7dj3;9m6X>2281!04:3;0(;=>:09~yx{P5100:wA<6b;3xR4d62;q/=nl5cb9U575=:r.=??4>;%405?74752zN1=g<6s_;i=7fb<^8886?u+62097>"1;8087psrL3;b>1}Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8>6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8=6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:ba8 6ca2?997p*9c681?xP6kh09w[j>:3y'266=km1/?hh56208y!0d?3o0qps4i353>5<#:0=1>:>4V3;:>7}K:0h1=vX>b081!7dj38<<6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=719'7``=>:80q)8l7;f8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5>:1/?hh56208y!0d?3n0qps4o0a7>5<#:0=1=n:4;|`5f1<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a2g3=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb7`4>5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<3290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e>k31<7:50;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?e4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?h=6=4;:183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;h303?6=,;3<6<2281!04:3;0(;=>:09~yx{5<2290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338g?!0493n0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4k;%405?b4={%3`f?74?2\:>>4={%406?b<,?9:6i5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996i5+6239`>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a2ge=83;:6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81;6*93084?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=?2.=?<48;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??48;%405?14={%3`f?73:2\:>>4={%406?1<,?9:6:5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996:5+62393>{zut1b=9850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9510<^8886?u+62093>"1;80<7psr}:k202<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8:5Y13196~"1;;0<7)8<1;58yx{z3`;?47>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;37<>P6::09w)8<2;58 3562>1vqps4i06:>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;9:T266<5s-<8>794$712>2=zutw0e<:n:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{4={%3`f?75l2\:>>4={%406?b<,?9:6i5r}|8m44b290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?h50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957`<^8886?u+62095>"1;80:7psr}:k275<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?=5Y13196~"1;;0:7)8<1;38yx{z3`;8=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;305>P6::09w)8<2;38 356281vqps4i011>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28997[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm6cf94?76290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=?2.=?<48;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62093>"1;80<7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0<7)8<1;58yx{z3`;?>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;58 3562>1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552>1/:>?57:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><57:&574<03twvq6g>4683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<>1]=?=52z&577<03-<8=794}|~?l7303:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;8:T266<5s-<8>794$712>2=zutw0e<:6:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{j6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73i2\:>>4={%406?b<,?9:6i5r}|8m44c290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?k50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957c<^8886?u+6209`>"1;80o7psr}:k26c<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>k5Y13196~"1;;0:7)8<1;38yx{z3`;8<7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;304>P6::09w)8<2;38 356281vqps4i012>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289:7[?=3;0x 355281/:>?51:~yx=n9:81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51208R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1jl0;694?:1y'6<1=9j90D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c11`?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81m6*9308b?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`06f<72?0;6=u+285957><@;=n7)?;b;d8m44f290/>49513c8R7?>28qG>4l51zT2f4<5s-;hn7?=a:T266<5s-<8>7?4$712>4=zutw0enm50;&1=2{M0:f?7|^8h:6?u+1b`9gf=Q9;91>v*93382?!0493;0qpsr;hag>5<#:0=1oi5Y28;96~J51k0:w[?m1;0x 4ee2jn0Z<<<:3y'264=;2.=?<4<;|~H7?f2=q]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<2:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<1:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>7fe<,:om6;==;|&5g2<>3t\:ol4={Wf2>7}#>::1oi5+3dd92644952628R7?>2;qG>4l51zT2f4<5s-;hn7<80:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318135=#;ll1:><4}%4`3?b>4={%406?b<,?9:6i5r}|N1=d<6s_82<75+3dd92644951b68?xd4:10;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;;31<7?50;2x 7?02;3n7E<8e:m2g1<72-82;7?l4:9~f64f290:6=4?{%0:3?7d:2B9;h5`1b694?"51>0:o954}c113?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd3l;0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{oj7>53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209e>"1;80j7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?hh4?:783>5}#:0=1=?64H35f?!73j3l0e<>4={%406?7<,?9:6<5r}|8mfe=83.95:4lc:T1=<<6sE82n7?tV0`2>7}#9jh1on5Y13196~"1;;0:7)8<1;38yx{z3`io6=4+2859ga=Q:031>vB=9c82S7e938p(<53:&574<43twv@?7n:5yU627=;r\o=7fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6nm4$2ge>3553t.=o:4=;|T2gd<5s_n:6?u+6229ga=#;ll1:><4}%4`3?c:0Z?76:3yO6>4={%406?b<,?9:6i5r}|N1=d<6s_82<74952718R7?>2;qG>4l51zT2f4<5s-;hn7<93:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318126=#;ll1:><4}%4`3?b07pl;d283>6<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm4e694?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn9j9:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9j8:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9j7:187>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?04={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=n26=48:183!4>?3o5Y13196~"1;;0=7)8<1;48yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<13-<8=784}|~?l74?3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;h376?6=,;3<6<6X>2281!04:3i0(;=>:b9~yx{>6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73=2\:>>4={%406?e<,?9:6n5r}|8m421290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=nj6=48:183!4>?3o5Y13196~"1;;0=7)8<1;48yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9j513=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:9;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a0ad=8321<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552?1/:>?56:~yx=n9=81<7*=96826d=O:0<0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9j513=83.95:4>2`9U6{Wf0>7}#9jh1=9;4V000>7}#>:81o6*9308`?x{zu2c:8;4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=<0Z<<<:3y'264=k2.=?<4l;|~y>o6<>0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9511<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?hn4?:983>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62092>"1;80=7psr}:k272<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><56:&574<13twvq6g>4383>!4>?3;9m6F=979U6{Wf0>7}#9jh1=9<4V000>7}#>:81o6*9308`?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*9338`?!0493i0qpsr;h372?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??4l;%405?e5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6g1?6=03:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*93382?!0493;0qpsr;h376?6=,;3<6<6X>2281!04:3;0(;=>:09~yx{>6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=<0Z<<<:3y'264=92.=?<4>;|~y>o6<>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>469U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg7203:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8?m6=4<:183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{h7>56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo?:9;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?75`83>4<729q/>49528g8L71b3f;h87>5$3;4>4e332wi=8l50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93385?!0493<0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??49;%405?04={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg72m3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg7183:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8<<6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=;m50;194?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn<8n:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb042>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c356?6=93:1o0c07pl>6583>6<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;48 3562?1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8<>6=4<:183!4>?3<56:&574<13twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81:6*93085?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`223<72?0;6=u+28592g=O:>o0e<2281!04:3i0(;=>:b9~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4i061>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7m4$712>f=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=;=50;694?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a53d=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a53>=83?1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0o7)8<1;f8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;f8 3562m1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5mh0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?h7:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;08yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c0ff?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`1af<7280;6=u+285965$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm2dd94??=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=n9:=1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5c:&5744383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7m4$712>f=zutw0e<:9:18'6<1=9;k0Z?76:0yO64213_;9?7f=#>:;1o6sr}|9j511=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:7;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a6c6=83;:6=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&5773:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8203=Q9;91>v*9338`?!0493i0qpsr;h373?6=,;3<6<2281!04:3i0(;=>:b9~yx{36=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?7302\:>>4={%406?e<,?9:6n5r}|8m42>290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:6;W317?4|,?996n5+6239g>{zut1b=9o50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951g<^8886?u+62095>"1;80:7psr}:k26a<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>i5Y13196~"1;;0:7)8<1;38yx{z3`;9i7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31a>P6::09w)8<2;38 356281vqps4i00e>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288m7[?=3;0x 355281/:>?51:~yx=n9::1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51228R4442;q/:><51:&574<63twvq6g>3083>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;81]=?=52z&577<63-<8=7?4}|~?l74:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<2:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7cc290?6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?h=:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209`>"1;80o7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0o7)8<1;f8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c0e7?6=980;6=u+28592g=O:>o0e<44e3_;9?7a=#>:;1h6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81h6*9308g?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338g?!0493n0qpsr;h376?6=,;3<6<6X>2281!04:3n0(;=>:e9~yx{>6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=<0Z<<<:3y'264=l2.=?<4k;|~y>o6<>0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9511<^8886?u+6209`>"1;80o7psr}:k20=<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:855Y13196~"1;;0o7)8<1;f8yx{z3`;?57>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;37=>P6::09w)8<2;f8 3562m1vqps4i06b>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>j7[?=3;0x 355281/:>?51:~yx=n9;n1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513f8R4442;q/:><51:&574<63twvq6g>2d83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:l1]=?=52z&577<63-<8=7?4}|~?l75n3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=f:T266<5s-<8>7?4$712>4=zutw0e<=?:18'6<1=9;k0Z?76:0yO64573_;9?74=#>:;1=6sr}|9j567=83.95:4>2`9U6{Wf0>7}#9jh1=>?4V000>7}#>:81=6*93082?x{zu2c:??4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8277=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{51083>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209`>"1;80o7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0o7)8<1;f8yx{z3`;?>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552m1/:>?5d:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><5d:&5744683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=994V000>7}#>:81h6*9308g?x{zu2c:854?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=20Z<<<:3y'264=l2.=?<4k;|~y>o6<00;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>489U575=:r.=??4k;%405?b4={%3`f?73i2\:>>4={%406?7<,?9:6<5r}|8m44c290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?k50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957c<^8886?u+62095>"1;80:7psr}:k26c<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>k5Y13196~"1;;0:7)8<1;38yx{z3`;8<7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;304>P6::09w)8<2;38 356281vqps4i012>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289:7[?=3;0x 355281/:>?51:~yx=n9:81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51208R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5n<0;694?:1y'6<1=9j90D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c1`4?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81m6*9308b?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`0fc<72?0;6=u+285957><@;=n7)?;b;d8m44f290/>49513c8R7?>28qG>4l51zT2f4<5s-;hn7?=a:T266<5s-<8>7?4$712>4=zutw0enm50;&1=2{M0:f?7|^8h:6?u+1b`9gf=Q9;91>v*93382?!0493;0qpsr;hag>5<#:0=1oi5Y28;96~J51k0:w[?m1;0x 4ee2jn0Z<<<:3y'264=;2.=?<4<;|~H7?f2=q]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<2:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<1:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>7fe<,:om6;==;|&5g2<>3t\:ol4={Wf2>7}#>::1oi5+3dd92644952628R7?>2;qG>4l51zT2f4<5s-;hn7<80:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318135=#;ll1:><4}%4`3?b>4={%406?b<,?9:6i5r}|N1=d<6s_82<75+3dd92644951b68?xd4jk0;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>ll:182>5<7s-82;7<6e:J13`=h9j>1<7*=9682g1=55;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c1ae?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4m:0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>kj:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=jd;292?6=8r.95:4>299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;08yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c1f0?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`0a0<7280;6=u+285965$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;48 3562?1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3<0(;=>:79~yx{96=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;l21<7950;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?04={%3`f?75k2\:>>4={%406?0<,?9:6;5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;48 3562?1vqps4i061>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>784$712>3=zutw0e<:::18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3<0(;=>:79~yx{=6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73>2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>k6:184>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0=7)8<1;48yx{z3`;8;7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<13-<8=784}|~?l73:3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*93385?!0493<0qpsr;h371?6=,;3<6<2281!04:3i0(;=>:b9~yx{=6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73>2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>k9:187>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?l74?3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f6ce290?6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81;6*93084?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=?2.=?<48;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4k;%405?b4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg4fj3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;h>6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338b?!0493k0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a6db=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb3ce>5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th9n=4?:583>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c0a5?6=<3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3`;8;7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<5c:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2c:?:4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg4fm3:197>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<63-<8=7?4}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7d129096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:j81<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;c8 3562h1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;i:6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn?l8:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`1f=<7280;6=u+285965$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm2c`94?5=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{54;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;h303?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<3290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c0aa?6=<3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;hm6=4;:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7d>290<6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996<5+62395>{zut1b=9;50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+62095>"1;80:7psr}:k203<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8;5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c0`a?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7b129096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:mk1<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;c8 3562h1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;n26=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn?mi:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7b4290<6=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81o6*9308`?x{zu2c:884?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=k2.=?<4l;|~y>o6P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg4c<3:1;7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552j1/:>?5c:~yx=n9=<1<7*=96826d=O:0<0Z?76:0yO64213_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>i;50;:94?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;a8 3562j1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552j1/:>?5c:~yx=n9=<1<7*=96826d=O:0<0Z?76:0yO64213_;9?7f=#>:;1o6sr}|9j511=83.95:4>2`9U6{Wf0>7}#9jh1=994V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`1`4<72<0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62095>"1;80:7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c0g3?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552m1/:>?5d:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5lk0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?k6:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;08yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c0gg?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`1`a<7280;6=u+285965$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm2d294?>=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=n9:=1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5c:&5744383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7m4$712>f=zutw0e<:9:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3i0(;=>:b9~yx{<6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:l;1<7650;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e4={%3`f?73=2\:>>4={%406?e<,?9:6n5r}|8m421290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;a8 3562j1vqps4i064>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7c529026=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&5773:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>7m4$712>f=zutw0e<:8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3i0(;=>:b9~yx{36=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:mo1<7;50;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5m=0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7j4$712>a=zutw0e<44d3_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>h;50;;94?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7j4$712>a=zutw0e<44d3_;9?7a=#>:;1h6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81h6*9308g?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338g?!0493n0qpsr;h371?6=,;3<6<2281!04:3n0(;=>:e9~yx{=6=4+285957g<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:8;W317?4|,?996i5+6239`>{zut1b=9650;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951><^8886?u+6209`>"1;80o7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th9i;4?:283>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi??k50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552h1/:>?5a:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm32694?0=83:p(?78:00;?M40m2.:8o4i;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b59=>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f656290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c106?6=980;6=u+28592g=O:>o0e<44e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;h376?6=,;3<6<6X>2281!04:3i0(;=>:b9~yx{>6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=<0Z<<<:3y'264=k2.=?<4l;|~y>o6<>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>469U575=:r.=??4l;%405?e4={%3`f?7302\:>>4={%406?e<,?9:6n5r}|8m42>290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:6;W317?4|,?996n5+6239g>{zut1b=9o50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951g<^8886?u+6209g>"1;80h7psr}:k26a<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>i5Y13196~"1;;0:7)8<1;38yx{z3`;9i7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31a>P6::09w)8<2;38 356281vqps4i00e>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288m7[?=3;0x 355281/:>?51:~yx=n9::1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51228R4442;q/:><51:&574<63twvq6g>3083>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;81]=?=52z&577<63-<8=7?4}|~?l74:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<2:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f64a29086=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{7>52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>:6:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=;8;292?6=8r.95:4>299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;;8yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c170?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`000<7280;6=u+285965$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm35194?5=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f62f29096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;<;1<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;c8 3562h1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:?;6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn>:l:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=;d;295?6=8r.95:4=9d9K62c5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th88o4?:283>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm34094?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`01<<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a70>=83<1<7>t$3;4>44?3A84c8e?l75i3:1(?78:00b?S4>13;p@?7m:0yU5g7=:r.:oo4>2`9U575=:r.=??4>;%405?74751zN1=g<6s_;i=7fe<^8886?u+62095>"1;80:7psr}:k``?6=,;3<6nj4V3;:>7}K:0h1=vX>b081!7dj3io7[?=3;0x 3552:1/:>?53:~yI4>i3>pZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49339~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49309~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?ed3-9nj78<2:'2f1=12w]=no52zTg5?4|,?9;6nj4$2ge>3553t.=o:4j;|~?l4083:1(?78:353?S4>138p@?7m:0yU5g7=:r.:oo4=719U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229626<,:om6;==;|&5g2:1]=?=52z&5777}#>::1>;=4$2ge>3553t.=o:4k;|~?j7d<3:1(?78:0a7?>{e;<>1<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:?>6=4>:183!4>?382i6F=7d9l5f2=83.95:4>c598yg52>3:187>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>;<:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c16e?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f60629086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4n;%405?g4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5183:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?330q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1=o7>53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th89i4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e;2281!04:3i0(;=>:b9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg52j3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th8:?4?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=99;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=i2.=?<4n;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>49513:8L71b3-;?n7h4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vX>b081!7dj3;9m6X>2281!04:3;0(;=>:09~yx{P5100:wA<6b;3xR4d62;q/=nl5cb9U575=:r.=??4>;%405?74752zN1=g<6s_;i=7fb<^8886?u+62097>"1;8087psrL3;b>1}Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8>6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8=6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:ba8 6ca2?997p*9c68:?xP6kh09w[j>:3y'266=km1/?hh56208y!0d?3o0qps4i353>5<#:0=1>:>4V3;:>7}K:0h1=vX>b081!7dj38<<6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=719'7``=>:80q)8l7;f8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5>:1/?hh56208y!0d?3n0qps4o0a7>5<#:0=1=n:4;|`021<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a733=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb245>5<1290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg51;3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th8:l4?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=81;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=i2.=?<4n;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>49513:8L71b3-;?n7h4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vX>b081!7dj3;9m6X>2281!04:3;0(;=>:09~yx{P5100:wA<6b;3xR4d62;q/=nl5cb9U575=:r.=??4>;%405?74752zN1=g<6s_;i=7fb<^8886?u+62097>"1;8087psrL3;b>1}Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8>6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8=6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:ba8 6ca2?997p*9c68:?xP6kh09w[j>:3y'266=km1/?hh56208y!0d?3o0qps4i353>5<#:0=1>:>4V3;:>7}K:0h1=vX>b081!7dj38<<6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=719'7``=>:80q)8l7;f8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5>:1/?hh56208y!0d?3n0qps4o0a7>5<#:0=1=n:4;|`02f<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a73b=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb24f>5<0290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4l;%405?e4={%3`f?73>2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>8m:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c146?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f61>29086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4n;%405?g4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5003:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?330q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1=53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th8;84?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e;><1<7650;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e4={%3`f?73=2\:>>4={%406?e<,?9:6n5r}|8m421290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:9;W317?4|,?996n5+6239g>{zut1b=9950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9511<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th8;>4?:283>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm36c94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`0<4<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a7=6=83<1<7>t$3;4>44?3A84c8e?l75i3:1(?78:00b?S4>13;p@?7m:0yU5g7=:r.:oo4>2`9U575=:r.=??4>;%405?74751zN1=g<6s_;i=7fe<^8886?u+62095>"1;80:7psr}:k``?6=,;3<6nj4V3;:>7}K:0h1=vX>b081!7dj3io7[?=3;0x 3552:1/:>?53:~yI4>i3>pZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49339~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49309~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?ed3-9nj78<2:'2f1=12w]=no52zTg5?4|,?9;6nj4$2ge>3553t.=o:4j;|~?l4083:1(?78:353?S4>138p@?7m:0yU5g7=:r.:oo4=719U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229626<,:om6;==;|&5g2:1]=?=52z&5777}#>::1>;=4$2ge>3553t.=o:4k;|~?j7d<3:1(?78:0a7?>{e;>i1<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:=o6=4>:183!4>?382i6F=7d9l5f2=83.95:4>c598yg50m3:157>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1b=9;50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+6209g>"1;80h7psr}:k203<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8;5Y13196~"1;;0h7)8<1;a8yx{z3`;?;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;373>P6::09w)8<2;a8 3562j1vqps4i06;>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>37[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm36`94?5=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f6>529096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;131<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;c8 3562h1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:236=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn>6;:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=75;295?6=8r.95:4=9d9K62c13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<44d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338`?!0493i0qpsr;h371?6=,;3<6<2281!04:3i0(;=>:b9~yx{=6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9==0Z<<<:3y'264=k2.=?<4l;|~y>o6<10;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>499U575=:r.=??4l;%405?e4={%3`f?7312\:>>4={%406?e<,?9:6n5r}|8m42f290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:n;W317?4|,?996<5+62395>{zut1b=?j50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957b<^8886?u+62095>"1;80:7psr}:k26`<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>h5Y13196~"1;;0:7)8<1;38yx{z3`;9j7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31b>P6::09w)8<2;38 356281vqps4i013>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289;7[?=3;0x 355281/:>?51:~yx=n9:;1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51238R4442;q/:><51:&574<63twvq6g>3383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;;1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=73;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`0=4<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5>l3:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?380q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1=7>53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th85>4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e;0?1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;0<1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;0=1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;021<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>76:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?en5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c1:e?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd41k0;6;4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<2281!04:3i0(;=>:b9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5><3:147>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<63-<8=7?4}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7?4$712>4=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?74=#>:;1=6sr}|9j510=83.95:4>2`9U6{Wf0>7}#9jh1=984V000>7}#>:81=6*93082?x{zu2c:8:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8202=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>on:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb2;e>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4i90;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a7d5=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a7d2=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=n5;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`0e3<72:0;6=u+28592g=O:>o0e<2281!04:3i0(;=>:b9~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>o8:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4i10;6;4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<44d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338`?!0493i0qpsr;h371?6=,;3<6<2281!04:3i0(;=>:b9~yx{5N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;38 356281vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 355281/:>?51:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><51:&574<63twvq6g>4783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f6e629096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;jk1<7850;2x 7?028837E<8e:&20g5$3;4>44f3_8257?tL3;a>4}Q9k;1>v*>cc826d=Q9;91>v*93382?!0493;0qpsr;ha`>5<#:0=1on5Y28;95~J51k0:w[?m1;0x 4ee2ji0Z<<<:3y'264=92.=?<4>;|~y>odl3:1(?78:bf8R7?>2;qG>4l51zT2f4<5s-;hn7mk;W317?4|,?996>5+62397>{zuE82m7:tV352>6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483;9m6*6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483;9m6*6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483ih7)=jf;406>{#>j=156sY1bc96~Pc938p(;=?:bf8 6ca2?997p*9c68f?x{z3`8<<7>5$3;4>7173_82574}Q9k;1>v*>cc8135=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:>:0(>ki:711?x"1k>0o7psr;h057?6=,;3<6?8<;W0:=?4|D;3i65Y13196~"1;;0o7)8<1;f8yx{K:0k1=vX=9181Sb62;q/:>>52718 6ca2?997p*9c68g?x{z3f;h87>5$3;4>4e332wi?n=50;194?6|,;3<6?7;;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c1`0?6=93:1o0c07pl6<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:i36=4;:183!4>?3<5c:&5742b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f6e2290?6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>m=:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c1`f?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f6b2290=6=4?{%0:3?7502B9;h5+15`9b>o6:h0;6)<67;31e>P5100:wA<6b;3xR4d62;q/=nl513c8R4442;q/:><51:&574<63twvq6glc;29 7?02ji0Z?76:0yO64=#>:;1=6sr}|9jga<72-82;7mk;W0:=?4|D;3i62281!04:390(;=>:29~yxJ51h0?w[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56208y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56238y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575o5?90;6)<67;044>P51009wA<6b;3xR4d62;q/=nl52628R4442;q/:><5d:&5747173-9nj78<2:'2f1=l2wvq6g=6283>!4>?38=?6X=9881I4>j3;pZ:3y'5fd=:?90Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?8<;%1fb?04:2w/:n95d:~y>i6k=0;6)<67;3`0>=zj:io6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi?i>50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5c93:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm3e094?2=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi?i=50;694?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<44d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`0gc<72<0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62095>"1;80:7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c1`g?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5?;0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo<83;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi>:850;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg40?3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th9;54?:283>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th9;44?:483>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3i0(;=>:b9~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?9::186>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?l74?3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7?4$712>4=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>n=50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552h1/:>?5a:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm2ba94?0=83:p(?78:00;?M40m2.:8o4i;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b596>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7e2290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c0`3?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5k10;6;4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338`?!0493i0qpsr;h371?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<1290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm2bc94?1=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3i0(;=>:b9~yx{96=4+285957g<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996n5+6239g>{zut1b=9850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9510<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th9o;4?:483>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81=6*93082?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?hi:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm31594?5=83:p(?78:3;7?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3k0(;=>:`9~yx{5<1290;w)<67;31<>N5?l1/=9l5f:k26d<72-82;7?=a:T1=<<6sE82n7?tV0`2>7}#9jh1=?o4V000>7}#>:81=6*93082?x{zu2cho7>5$3;4>fe<^;326!4>?3io7[<69;0xH7?e28q]=o?52z&2gg>4={%406?5<,?9:6>5r}|N1=d<3s_8<=7=tVe396~"1;90hh6*v*931826d=#;ll1:><4}%4`3??v*931826d=#;ll1:>?4}%4`3??v*9318`g>"4mo0=??5r$7a4>7=z^8ij6?uYd081!0483io7)=jf;406>{#>j=1i6sr}:k135<72-82;7<80:T1=<<5sE82n7?tV0`2>7}#9jh1>:>4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2c9:>4?:%0:3?41;2\9544={M0:f?7|^8h:6?u+1b`9635<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;<87)=jf;406>{#>j=1h6sr}:m2g1<72-82;7?l4:9~f7`f29086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg4aj3:1=7>50z&1=2<51l1C>:k4o0a7>5<#:0=1=n:4;|`1ba<72:0;6=u+28592g=O:>o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`1b`<728;1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0e<:=:18'6<1=9;k0D?79;W0:=?7|D;3i66X>2281!04:3i0(;=>:b9~yx{>6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73=2\:>>4={%406?e<,?9:6n5r}|8m421290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;a8 3562j1vqps4i064>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28><7[?=3;0x 3552j1/:>?5c:~yx=n9=21<7*=96826d=O:0<0Z?76:0yO642?3_;9?7f=#>:;1o6sr}|9j51?=83.95:4>2`9U6{Wf0>7}#9jh1=974V000>7}#>:81o6*9308`?x{zu2c:8l4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=k0Z<<<:3y'264=k2.=?<4l;|~y>o6:m0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2e9U575=:r.=??4>;%405?74={%3`f?75m2\:>>4={%406?7<,?9:6<5r}|8m44a290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>>50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9566<^8886?u+62095>"1;80:7psr}:k274<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?<5Y13196~"1;;0:7)8<1;38yx{z3`;8>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;306>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;lh6=4<:183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{55;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=O:0<0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi?=?50;794?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<2281!04:3=0(;=>:69~yx{4={%3`f?74?2\:>>4={%406?b<,?9:6i5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj::96=46:183!4>?3o5Y13196~"1;;0<7)8<1;58yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552>1/:>?57:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><57:&574<03twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<03-<8=794}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>794$712>2=zutw0e<:8:18'6<1=9;k0Z?76:0yO64203_;9?72=#>:;1;6sr}|9j51>=83.95:4>2`9U6{Wf0>7}#9jh1=964V000>7}#>:81;6*93084?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`046<728;1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62093>"1;80<7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0<7)8<1;58yx{z3`;?97>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;58 3562>1vqps4i065>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 3552>1/:>?57:~yx=n9==1<7*=96826d=O:0<0Z?76:0yO64203_;9?72=#>:;1;6sr}|9j51>=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:6;W317?4|,?996i5+6239`>{zut1b=9o50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951g<^8886?u+62095>"1;80:7psr}:k26a<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>i5Y13196~"1;;0:7)8<1;38yx{z3`;9i7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31a>P6::09w)8<2;38 356281vqps4i00e>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288m7[?=3;0x 355281/:>?51:~yx=n9::1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51228R4442;q/:><51:&574<63twvq6g>3083>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;81]=?=52z&577<63-<8=7?4}|~?l74:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<2:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f663290>6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a760=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4;k0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209e>"1;80j7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th88=4?:783>5}#:0=1=?64H35f?!73j3l0e<>4={%406?7<,?9:6<5r}|8mfe=83.95:4lc:T1=<<6sE82n7?tV0`2>7}#9jh1on5Y13196~"1;;0:7)8<1;38yx{z3`io6=4+2859ga=Q:031>vB=9c82S7e938p(<53:&574<43twv@?7n:5yU627=;r\o=7fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6nm4$2ge>3553t.=o:46;|T2gd<5s_n:6?u+6229ga=#;ll1:><4}%4`3?c:0Z?76:3yO6>4={%406?b<,?9:6i5r}|N1=d<6s_82<74952718R7?>2;qG>4l51zT2f4<5s-;hn7<93:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318126=#;ll1:><4}%4`3?b07pl<3983>6<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm32;94?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn>=n:1825?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9j513=83.95:4>2`9U6{Wf0>7}#9jh1=9;4V000>7}#>:81o6*9308`?x{zu2c:8;4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8203=Q9;91>v*9338`?!0493i0qpsr;h373?6=,;3<6<2281!04:3i0(;=>:b9~yx{36=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=30Z<<<:3y'264=k2.=?<4l;|~y>o6P5100:wA<6b;3xRa5=:r.:oo4>4`9U575=:r.=??4l;%405?e4={%3`f?75l2\:>>4={%406?e<,?9:6n5r}|8m44b290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?h50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957`<^8886?u+62095>"1;80:7psr}:k275<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?=5Y13196~"1;;0:7)8<1;38yx{z3`;8=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;305>P6::09w)8<2;38 356281vqps4i011>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28997[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm32a94?3=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7j4$712>a=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7a=#>:;1h6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`07a<72<0;6=u+28592g=O:>o0e<44e3_;9?7a=#>:;1h6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81h6*9308g?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338g?!0493n0qpsr;h376?6=,;3<6<6X>2281!04:3n0(;=>:e9~yx{5<4290;w)<67;3`7>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c103?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4l?0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo=k8;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi?io50;794?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a7a1=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=kn6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8o850;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552h1/:>?5a:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm4c`94?0=83:p(?78:00;?M40m2.:8o4i;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b596>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f1d7290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c6a6?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6a7?6=980;6=u+28592g=O:>o0e<2281!04:3<0(;=>:79~yx{4={%3`f?75k2\:>>4={%406?0<,?9:6;5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996;5+62392>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+62092>"1;80=7psr}:k200<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:885Y13196~"1;;0=7)8<1;48yx{z3`;?:7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;48 3562?1vqps4i064>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28><7[?=3;0x 3552?1/:>?56:~yx=n9=21<7*=96826d=Q:031=vB=9c82Sb42;q/=nl515:8R4442;q/:><56:&574<13twvq6g>4883>!4>?3;9m6F=979U6{Wf0>7}#9jh1=974V000>7}#>:81:6*93085?x{zu2c:8l4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=k0Z<<<:3y'264=>2.=?<49;|~y>o6:m0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957b<^8886?u+6209g>"1;80h7psr}:k26`<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>h5Y13196~"1;;0h7)8<1;a8yx{z3`;9j7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31b>P6::09w)8<2;a8 3562j1vqps4i013>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289;7[?=3;0x 3552j1/:>?5c:~yx=n9:;1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51238R4442;q/:><51:&574<63twvq6g>3383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;;1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:m4;2954<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;48 3562?1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552?1/:>?56:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><56:&574<13twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<13-<8=784}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>784$712>3=zutw0e<:8:18'6<1=9;k0Z?76:0yO64203_;9?73=#>:;1:6sr}|9j51>=83.95:4>2`9U6{Wf0>7}#9jh1=964V000>7}#>:81:6*93085?x{zu2c:844?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=30Z<<<:3y'264=>2.=?<49;|~y>o6N51?1]>4751zN1=g<6s_n86?u+1b`951g<^8886?u+62092>"1;80=7psr}:k26a<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513f8R4442;q/:><5c:&5742d83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:l1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=f:T266<5s-<8>7m4$712>f=zutw0e<=?:18'6<1=9;k0Z?76:0yO64573_;9?7f=#>:;1o6sr}|9j567=83.95:4>2`9U6{Wf0>7}#9jh1=>?4V000>7}#>:81=6*93082?x{zu2c:??4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8277=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{i97>51083>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;48 3562?1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>784$712>3=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?73=#>:;1:6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81:6*93085?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93385?!0493<0qpsr;h372?6=,;3<6<2281!04:3<0(;=>:79~yx{<6=4+285957g<^;3262wvqp5f15:94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=20Z<<<:3y'264=>2.=?<49;|~y>o6<00;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`951?<^8886?u+62092>"1;80=7psr}:k20d<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl515c8R4442;q/:><5c:&5742e83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:m1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=e:T266<5s-<8>7m4$712>f=zutw0e<44a3_;9?7f=#>:;1o6sr}|9j566=83.95:4>2`9U6{Wf0>7}#9jh1=>>4V000>7}#>:81o6*9308`?x{zu2c:?<4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8274=Q9;91>v*93382?!0493;0qpsr;h306?6=,;3<6<6X>2281!04:3;0(;=>:09~yx{5<2290;w)<67;3`7>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;38 356281vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm4c594?0=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??48;%405?14={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8m422290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;f8 3562m1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=h36=49:183!4>?3<57:&574<03twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81;6*93084?x{zu2c:?:4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=?2.=?<48;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4k;%405?b5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6a=?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`5e=<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg0fn3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?h96=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn;o6:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo8na;295?6=8r.95:4=9d9K62c5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f3gc290?6=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:lk50;494?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;a8 3562j1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f3ge290?6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;l?:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?14={%3`f?75k2\:>>4={%406?b<,?9:6i5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn<68:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm19g94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`2=0<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a5<2=83<1<7>t$3;4>44?3A84c8e?l75i3:1(?78:00b?S4>13;p@?7m:0yU5g7=:r.:oo4>2`9U575=:r.=??4>;%405?74751zN1=g<6s_;i=7fe<^8886?u+62095>"1;80:7psr}:k``?6=,;3<6nj4V3;:>7}K:0h1=vX>b081!7dj3io7[?=3;0x 3552:1/:>?53:~yI4>i3>pZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49339~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49309~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?ed3-9nj78<2:'2f1=:2w]=no52zTg5?4|,?9;6nj4$2ge>3553t.=o:4j;|~?l4083:1(?78:353?S4>138p@?7m:0yU5g7=:r.:oo4=719U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229626<,:om6;==;|&5g2:1]=?=52z&5777}#>::1>;=4$2ge>3553t.=o:4k;|~?j7d<3:1(?78:0a7?>{e9121<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8226=4>:183!4>?382i6F=7d9l5f2=83.95:4>c598yg7?j3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg7?k3:187>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=>2.=?<49;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c3;`?6==3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552?1/:>?56:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{54;294~"51>0:o>5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c3;b?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62093>"1;80<7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd6190;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7j4$712>a=zutw0e<44d3_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=4?50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338g?!0493n0qpsr;h31g?6=,;3<6<2281!04:3n0(;=>:e9~yx{5<3290;w)<67;3`7>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:2j6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi?4>50;494?6|,;3<6<<7;I04a>"6495cb9U6{W3a5?4|,8ii6nm4V000>7}#>:81=6*93082?x{zu2chh7>5$3;4>fb<^;326?uC28`95~P6j809w)?lb;ag?S75;38p(;==:29'267=;2wvqA<6a;6xR7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;;1v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;81v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7ml;%1fb?04:2w/:n959:U5fg=:r\o=7fb<,:om6;==;|&5g27}#>::1>:>4$2ge>3553t.=o:4k;|~?l41;3:1(?78:340?S4>138p@?7m:0yU5g7=:r.:oo4=629U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229635<,:om6;==;|&5g2o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<6290;w)<67;0:a>N5?l1d=n:50;&1=2<6k=10qo=7e;2954<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+6209g>"1;80h7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0h7)8<1;a8yx{z3`;?97>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;a8 3562j1vqps4i065>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 3552j1/:>?5c:~yx=n9==1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51558R4442;q/:><5c:&5744983>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<11]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?;9:T266<5s-<8>7m4$712>f=zutw0e<:n:18'6<1=9;k0Z?76:0yO642f3_;9?7f=#>:;1o6sr}|9j57b=83.95:4>2`9U6{Wf0>7}#9jh1=?j4V000>7}#>:81o6*9308`?x{zu2c:>h4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826`=Q9;91>v*9338`?!0493i0qpsr;h31b?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:;0Z<<<:3y'264=92.=?<4>;|~y>o6;;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>339U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5?j3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?m:4?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:nd;292?6=8r.95:4>299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;;8yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c6b=?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`7ed<7280;6=u+285965$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<13-<8=784}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93385?!0493<0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{j47>53;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{ih7>52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9m<:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb5`e>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6`4?6=93:1o0c07pl;c083>6<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;48 3562?1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=hn6=4<:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj<826=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9>850;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c701?6=>3:1o0(<:m:g9j57g=83.95:4>2`9U6{W3a5?4|,8ii6<{zut1bon4?:%0:3?ed3_8257?tL3;a>4}Q9k;1>v*>cc8`g>P6::09w)8<2;38 356281vqps4ibf94?"51>0hh6X=9881I4>j3;pZ:3y'5fd=km1]=?=52z&577<43-<8=7=4}|O67}#>::1oi5+3dd92643t\9;<4<{Wf2>7}#>::1oi5+3dd9264;|&5g2<>3t\9;<4<{Wf2>7}#>::1oi5+3dd9264<4}%4`3?4{W3a5?4|,8ii6?9?;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;044>"4mo0=??5r$7a4>a=zut1b>;=50;&1=2<5>:1]>4752zN1=g<6s_;i=77043_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838=?6*c598yg35i3:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2:k0;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a17c=83?1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;f8 3562m1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2:o0;684?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552>1/:>?57:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?7a=#>:;1h6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81h6*9308g?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338g?!0493n0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><5d:&5744483>!4>?3;9m6F=979U6{Wf0>7}#9jh1=9;4V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`674<72>0;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;58 3562>1vqps4i061>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7j4$712>a=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?7a=#>:;1h6sr}|9j510=83.95:4>2`9U6{Wf0>7}#9jh1=984V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`677<72>0;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996i5+6239`>{zut1b=9<50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552m1/:>?5d:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><5d:&5744783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;<3;292?6=8r.95:4>c29K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4>;%405?74={%3`f?73:2\:>>4={%406?7<,?9:6<5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a24`=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1:<0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo8=0;297?6=8r.95:4=959K62c4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a277=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb700>5<693:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0=7)8<1;48yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;48 3562?1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552?1/:>?56:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><56:&574<13twvq6g>4783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>784$712>3=zutw0e<:7:18'6<1=9;k0Z?76:0yO642?3_;9?73=#>:;1:6sr}|9j51?=83.95:4>2`9K6<0<^;3262wvqp5f15c94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=k0Z<<<:3y'264=k2.=?<4l;|~y>o6:m0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2e9U575=:r.=??4>;%405?74={%3`f?75m2\:>>4={%406?7<,?9:6<5r}|8m44a290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>>50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9566<^8886?u+62095>"1;80:7psr}:k274<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?<5Y13196~"1;;0:7)8<1;38yx{z3`;8>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;306>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?8?6=4>1;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81:6*93085?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93385?!0493<0qpsr;h303?6=,;3<6<2281!04:3<0(;=>:79~yx{96=4+285957g<^;3262wvqp5f15794?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=>2.=?<49;|~y>o6P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??49;%405?04={%3`f?73?2\:>>4={%406?0<,?9:6;5r}|8m42?290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:7;W317?4|,?996;5+62392>{zut1b=9750;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951?<^8886?u+6209g>"1;80h7psr}:k20d<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8l5Y13196~"1;;0h7)8<1;a8yx{z3`;9h7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31`>P6::09w)8<2;38 356281vqps4i00f>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288n7[?=3;0x 355281/:>?51:~yx=n9;l1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513d8R4442;q/:><51:&574<63twvq6g>3183>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;91]=?=52z&577<63-<8=7?4}|~?l7493:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<1:T266<5s-<8>7?4$712>4=zutw0e<==:18'6<1=9;k0Z?76:0yO64553_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:?<50;194?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;<6:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?04={%3`f?75k2\:>>4={%406?0<,?9:6;5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;<9:1825?6=8r.95:49b:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?72=#>:;1;6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=?2.=?<48;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??48;%405?14={%3`f?73=2\:>>4={%406?1<,?9:6:5r}|8m421290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:9;W317?4|,?996:5+62393>{zut1b=9950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9511<^8886?u+62093>"1;80<7psr}:k20=<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:855Y13196~"1;;0<7)8<1;58yx{z3`;?57>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<01]=?=52z&577<03-<8=794}|~?l73i3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc820d=Q9;91>v*9338g?!0493n0qpsr;h31`?6=,;3<6<2281!04:3n0(;=>:e9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;l0Z<<<:3y'264=l2.=?<4k;|~y>o6;90;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>319U575=:r.=??4k;%405?b4={%3`f?7492\:>>4={%406?7<,?9:6<5r}|8m455290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<==;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1a5=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2lh0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3?0(;=>:49~yx{53;294~"51>09595G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm5e794?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn8j8:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?e4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8j7:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?e4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8j6:185>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><56:&574<13twvq6g>3683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81:6*93085?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338`?!0493i0qpsr;h371?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<3290;w)<67;3`7>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj<9o6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9>m50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c77e?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81m6*9308b?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`60<<72?0;6=u+285957><@;=n7)?;b;d8m44f290/>49513c8R7?>28qG>4l51zT2f4<5s-;hn7?=a:T266<5s-<8>7?4$712>4=zutw0enm50;&1=2{M0:f?7|^8h:6?u+1b`9gf=Q9;91>v*93382?!0493;0qpsr;hag>5<#:0=1oi5Y28;96~J51k0:w[?m1;0x 4ee2jn0Z<<<:3y'264=;2.=?<4<;|~H7?f2=q]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<2:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<1:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>7fe<,:om6;==;|&5g2<53t\:ol4={Wf2>7}#>::1oi5+3dd92644952628R7?>2;qG>4l51zT2f4<5s-;hn7<80:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318135=#;ll1:><4}%4`3?b>4={%406?b<,?9:6i5r}|N1=d<6s_82<75+3dd92644951b68?xd2;l0;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e=:l1<7?50;2x 7?02;3n7E<8e:m2g1<72-82;7?l4:9~f02729086=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f025290<6=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7j4$712>a=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3n0(;=>:e9~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8m422290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;f8 3562m1vqps4i065>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f02429026=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<2281!04:3n0(;=>:e9~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8m422290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;f8 3562m1vqps4i065>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>7j4$712>a=zutw0e<:8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3n0(;=>:e9~yx{36=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?7302\:>>4={%406?b<,?9:6i5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8:;:18:>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?14={%3`f?75k2\:>>4={%406?b<,?9:6i5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996i5+6239`>{zut1b=9<50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552m1/:>?5d:~yx=n9=?1<7*=96826d=O:0<0Z?76:0yO64223_;9?7a=#>:;1h6sr}|9j510=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:8;W317?4|,?996i5+6239`>{zut1b=9650;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>37[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm55794??=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7a=#>:;1h6sr}|9j514=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996i5+6239`>{zut1b=9850;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 3552m1/:>?5d:~yx=n9==1<7*=96826d=O:0<0Z?76:0yO64203_;9?7a=#>:;1h6sr}|9j51>=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e==<1<7750;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=l2.=?<4k;|~y>o6<;0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+6209`>"1;80o7psr}:k200<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><5d:&5744783>!4>?3;9m6F=979U6{Wf0>7}#9jh1=984V000>7}#>:81h6*9308g?x{zu2c:8:4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9==0Z<<<:3y'264=l2.=?<4k;|~y>o6<10;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`951><^8886?u+6209`>"1;80o7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th>8:4?:783>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81=6*93082?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*93382?!0493;0qpsr;h371?6=,;3<6<2281!04:3;0(;=>:09~yx{5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a644=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd59?0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3?0(;=>:49~yx{53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th95}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e:8:1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3<0(;=>:79~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:8;1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3<0(;=>:79~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?>i:180>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<>3;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><57:&574<03twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`151<72:0;6=u+28592g=O:>o0e<44e3_;9?7a=#>:;1h6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81;6*93084?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`150<72:0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a10b=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2=j0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo;:e;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi9;?50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9;<50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9;=50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<2281!04:3i0(;=>:b9~yx{5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93385?!0493<0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg31=3:187>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=>2.=?<49;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??49;%405?05$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c752?6=<3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0=7)8<1;48yx{z3`;8;7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;97;290?6=8r.95:49b:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?73=#>:;1:6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a136=8321<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?74=#>:;1=6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81=6*93082?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93382?!0493;0qpsr;h372?6=,;3<6<2281!04:3;0(;=>:09~yx{<6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e>:31<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<5}#:0=1=?64H35f?!73j3l0e<>4={%406?7<,?9:6<5r}|8mfe=83.95:4lc:T1=<<6sE82n7?tV0`2>7}#9jh1on5Y13196~"1;;0:7)8<1;38yx{z3`io6=4+2859ga=Q:031>vB=9c82S7e938p(<53:&574<43twv@?7n:5yU627=;r\o=7fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6nm4$2ge>3553t.=o:4=;|T2gd<5s_n:6?u+6229ga=#;ll1:><4}%4`3?c:0Z?76:3yO6>4={%406?b<,?9:6i5r}|N1=d<6s_82<74952718R7?>2;qG>4l51zT2f4<5s-;hn7<93:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318126=#;ll1:><4}%4`3?b07pl93`83>6<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm62`94?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn;=k:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?e4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;=j:184>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><56:&574<13twvq6g>3683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81:6*93085?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338`?!0493i0qpsr;h371?6=,;3<6<2281!04:3i0(;=>:b9~yx{=6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e>:l1<7950;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?0n5Y13196~"1;;0=7)8<1;48yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552j1/:>?5c:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><5c:&5744783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo8c29K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg2b83:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=o<6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn9k>:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:j2;295?6=8r.95:4=9d9K62c5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?i84?:283>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?i>4?:283>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8h650;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>49513:8L71b3-;?n7h4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vX>b081!7dj3;9m6X>2281!04:3;0(;=>:09~yx{P5100:wA<6b;3xR4d62;q/=nl5cb9U575=:r.=??4>;%405?74752zN1=g<6s_;i=7fb<^8886?u+62097>"1;8087psrL3;b>1}Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8>6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8=6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:ba8 6ca2?997p*9c681?xP6kh09w[j>:3y'266=km1/?hh56208y!0d?3o0qps4i353>5<#:0=1>:>4V3;:>7}K:0h1=vX>b081!7dj38<<6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=719'7``=>:80q)8l7;f8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5>:1/?hh56208y!0d?3n0qps4o0a7>5<#:0=1=n:4;|`7a<<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=oj6=4>:183!4>?382i6F=7d9l5f2=83.95:4>c598yg2bk3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg2bl3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg2bm3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?ik4?:283>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?j=4?:583>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:k272<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd3n80;684?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552?1/:>?56:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2c:8?4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;48 3562?1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>784$712>3=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9j513=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{eo5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><51:&574<63twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<63-<8=7?4}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>7?4$712>4=zutw0e<:8:18'6<1=9;k0Z?76:0yO64203_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi85>50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>49513:8L71b3-;?n7??;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b596>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{3>7>51;294~"51>095h5G26g8k4e3290/>4951b68?xd30=0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<2281!04:3i0(;=>:b9~yx{5<2290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0h7)8<1;a8yx{z3`;?>7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:76;291?6=8r.95:49b:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>o6<;0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?4>4?:583>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`726<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg21j3:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?380q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1==87>53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?:84?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e2281!04:3i0(;=>:b9~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e2281!04:3i0(;=>:b9~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e2281!04:3i0(;=>:b9~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=<=6=4;:183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;h303?6=,;3<6<2281!04:3;0(;=>:09~yx{5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a051=83<1<7>t$3;4>44?3A84c8e?l75i3:1(?78:00b?S4>13;p@?7m:0yU5g7=:r.:oo4>2`9U575=:r.=??4>;%405?74751zN1=g<6s_;i=7fe<^8886?u+62095>"1;80:7psr}:k``?6=,;3<6nj4V3;:>7}K:0h1=vX>b081!7dj3io7[?=3;0x 3552:1/:>?53:~yI4>i3>pZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49339~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49309~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?ed3-9nj78<2:'2f1=:2w]=no52zTg5?4|,?9;6nj4$2ge>3553t.=o:4j;|~?l4083:1(?78:353?S4>138p@?7m:0yU5g7=:r.:oo4=719U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229626<,:om6;==;|&5g2:1]=?=52z&5777}#>::1>;=4$2ge>3553t.=o:4k;|~?j7d<3:1(?78:0a7?>{e<991<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=:?6=4>:183!4>?382i6F=7d9l5f2=83.95:4>c598yg27=3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=>2.=?<49;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??49;%405?04={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg26=3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=;n6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338b?!0493k0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{:h7>56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo:>6;297?6=8r.95:4=959K62c4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a041=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb53:>5<3290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??49;%405?04={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9?n:185>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2c:8?4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??49;%405?04={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg26j3:1:7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=n9:=1<7*=96826d=O:0<0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9j514=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a04>=83>1<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8;m50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>49513:8L71b3-;?n7h4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vX>b081!7dj3;9m6X>2281!04:3;0(;=>:09~yx{P5100:wA<6b;3xR4d62;q/=nl5cb9U575=:r.=??4>;%405?74752zN1=g<6s_;i=7fb<^8886?u+62097>"1;8087psrL3;b>1}Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8>6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8=6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:ba8 6ca2?997p*9c681?xP6kh09w[j>:3y'266=km1/?hh56208y!0d?3o0qps4i353>5<#:0=1>:>4V3;:>7}K:0h1=vX>b081!7dj38<<6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=719'7``=>:80q)8l7;f8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5>:1/?hh56208y!0d?3n0qps4o0a7>5<#:0=1=n:4;|`72a<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a03c=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb54e>5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a064=8391<7>t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7o4$712>d=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f156290=6=4?{%0:3?7502B9;h5+15`9b>o6:h0;6)<67;31e>P5100:wA<6b;3xR4d62;q/=nl513c8R4442;q/:><51:&574<63twvq6glc;29 7?02ji0Z?76:0yO64=#>:;1=6sr}|9jga<72-82;7mk;W0:=?4|D;3i62281!04:390(;=>:29~yxJ51h0?w[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56208y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56238y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575o5?90;6)<67;044>P51009wA<6b;3xR4d62;q/=nl52628R4442;q/:><5d:&5747173-9nj78<2:'2f1=l2wvq6g=6283>!4>?38=?6X=9881I4>j3;pZ:3y'5fd=:?90Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?8<;%1fb?04:2w/:n95d:~y>i6k=0;6)<67;3`0>=zj=8j6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{9n7>51;294~"51>095h5G26g8k4e3290/>4951b68?xd3:m0;694?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<13-<8=784}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:=e;290?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{9j7>54;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=>2.=?<49;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg25k3:187>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:82;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<1290;w)<67;31<>N5?l1/=9l5f:k26d<72-82;7?=a:T1=<<6sE82n7?tV0`2>7}#9jh1=?o4V000>7}#>:81=6*93082?x{zu2cho7>5$3;4>fe<^;326!4>?3io7[<69;0xH7?e28q]=o?52z&2gg>4={%406?5<,?9:6>5r}|N1=d<3s_8<=7=tVe396~"1;90hh6*v*931826d=#;ll1:><4}%4`3??v*931826d=#;ll1:>?4}%4`3??v*9318`g>"4mo0=??5r$7a4>7=z^8ij6?uYd081!0483io7)=jf;406>{#>j=1i6sr}:k135<72-82;7<80:T1=<<5sE82n7?tV0`2>7}#9jh1>:>4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2c9:>4?:%0:3?41;2\9544={M0:f?7|^8h:6?u+1b`9635<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;<87)=jf;406>{#>j=1h6sr}:m2g1<72-82;7?l4:9~f11429086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg20<3:1=7>50z&1=2<51l1C>:k4o0a7>5<#:0=1=n:4;|`730<72:0;6=u+28592g=O:>o0e<44e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`73=<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg20n3:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?380q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1=<57>53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?;l4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e<>i1<7;50;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?en5Y13196~"1;;0h7)8<1;a8yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm46f94?3=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;h303?6=,;3<6<2281!04:3i0(;=>:b9~yx{96=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e<>h1<7=50;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?3h6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:l950;494?6|,;3<6<<7;I04a>"62`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb7;g>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c4:a?6=93:1o0c07pl9a183>6<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a2d5=83?1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:l:50;794?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a2d3=83?1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:4h50;594?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996<5+62395>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+62095>"1;80:7psr}:k200<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:885Y13196~"1;;0:7)8<1;38yx{z3`;?:7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=;m6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8?650;494?6|,;3<6<<7;I04a>"6495cb9U6{W3a5?4|,8ii6nm4V000>7}#>:81=6*93082?x{zu2chh7>5$3;4>fb<^;326?uC28`95~P6j809w)?lb;ag?S75;38p(;==:29'267=;2wvqA<6a;6xR7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;;1v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;81v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7ml;%1fb?04:2w/:n952:U5fg=:r\o=7fb<,:om6;==;|&5g27}#>::1>:>4$2ge>3553t.=o:4k;|~?l41;3:1(?78:340?S4>138p@?7m:0yU5g7=:r.:oo4=629U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229635<,:om6;==;|&5g2o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg2593:1=7>50z&1=2<51l1C>:k4o0a7>5<#:0=1=n:4;|`766<72:0;6=u+28592g=O:>o0e<44e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`761<72:0;6=u+28592g=O:>o0e<2281!04:3i0(;=>:b9~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9<::180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c612?6=<3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:=2;291?6=8r.95:4>c29K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4>;%405?74={%3`f?73:2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9=<:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm42f94?5=83:p(?78:3;7?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3k0(;=>:`9~yx{5<1290;w)<67;31<>N5?l1/=9l5f:k26d<72-82;7?=a:T1=<<6sE82n7?tV0`2>7}#9jh1=?o4V000>7}#>:81=6*93082?x{zu2cho7>5$3;4>fe<^;326!4>?3io7[<69;0xH7?e28q]=o?52z&2gg>4={%406?5<,?9:6>5r}|N1=d<3s_8<=7=tVe396~"1;90hh6*v*931826d=#;ll1:><4}%4`3??v*931826d=#;ll1:>?4}%4`3??v*9318`g>"4mo0=??5r$7a4>7=z^8ij6?uYd081!0483io7)=jf;406>{#>j=1i6sr}:k135<72-82;7<80:T1=<<5sE82n7?tV0`2>7}#9jh1>:>4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2c9:>4?:%0:3?41;2\9544={M0:f?7|^8h:6?u+1b`9635<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;<87)=jf;406>{#>j=1h6sr}:m2g1<72-82;7?l4:9~f15329086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg24=3:1=7>50z&1=2<51l1C>:k4o0a7>5<#:0=1=n:4;|`772<72=0;6=u+28592g=O:>o0e<44e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9K6<0<^;3262wvqp5f12594?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?70<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e4={%3`f?73:2\:>>4={%406?0<,?9:6;5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9=6:186>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?e4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996n5+6239g>{zut1b=9<50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm42c94?3=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3i0(;=>:b9~yx{96=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e<:<1<7;50;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd49=0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>?l:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=>b;292?6=8r.95:4>299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;08yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c121?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`053<7280;6=u+28596=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7f=#>:;1o6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81o6*9308`?x{zu2c:884?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=k2.=?<4l;|~y>o6P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??4l;%405?e4={%3`f?73?2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>?6:1825?6=8r.95:49b:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?72=#>:;1;6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=?2.=?<48;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??48;%405?14={%3`f?73=2\:>>4={%406?1<,?9:6:5r}|8m421290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:9;W317?4|,?996:5+62393>{zut1b=9950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9511<^8886?u+62093>"1;80<7psr}:k20=<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:855Y13196~"1;;0<7)8<1;58yx{z3`;?57>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<01]=?=52z&577<03-<8=794}|~?l73i3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc820d=Q9;91>v*9338g?!0493n0qpsr;h31`?6=,;3<6<2281!04:3n0(;=>:e9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;l0Z<<<:3y'264=l2.=?<4k;|~y>o6;90;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>319U575=:r.=??4>;%405?74={%3`f?7492\:>>4={%406?7<,?9:6<5r}|8m455290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<==;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a74b=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4:<0;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e;;>1<7850;2x 7?028837E<8e:&20g5$3;4>44f3_8257?tL3;a>4}Q9k;1>v*>cc826d=Q9;91>v*93382?!0493;0qpsr;ha`>5<#:0=1on5Y28;95~J51k0:w[?m1;0x 4ee2ji0Z<<<:3y'264=92.=?<4>;|~y>odl3:1(?78:bf8R7?>2;qG>4l51zT2f4<5s-;hn7mk;W317?4|,?996>5+62397>{zuE82m7:tV352>6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483;9m6*6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483;9m6*6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483ih7)=jf;406>{#>j=1>6sY1bc96~Pc938p(;=?:bf8 6ca2?997p*9c68f?x{z3`8<<7>5$3;4>7173_82574}Q9k;1>v*>cc8135=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:>:0(>ki:711?x"1k>0o7psr;h057?6=,;3<6?8<;W0:=?4|D;3i65Y13196~"1;;0o7)8<1;f8yx{K:0k1=vX=9181Sb62;q/:>>52718 6ca2?997p*9c68g?x{z3f;h87>5$3;4>4e332wi?o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn>?i:182>5<7s-82;7<6e:J13`=h9j>1<7*=9682g1=57;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e4={%3`f?73:2\:>>4={%406?e<,?9:6n5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996n5+6239g>{zut1b=9850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9510<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th8>?4?:683>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=n9:=1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5c:&5744383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*9338`?!0493i0qpsr;h372?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5583:1?7>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1<;0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;:j:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb760>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c470?6=93:1o0c07pl94783>6<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a21?=83>1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f32f290?6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;h303?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<1290;w)<67;3`7>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;38 356281vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 355281/:>?51:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996i5+6239`>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552m1/:>?5d:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><5d:&5744683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=994V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`527<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg01?3:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?380q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1=53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th=:94?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e>??1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e>>>1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<5}#:0=1=?64H35f?!73j3l0e<>4={%406?7<,?9:6<5r}|8mfe=83.95:4lc:T1=<<6sE82n7?tV0`2>7}#9jh1on5Y13196~"1;;0:7)8<1;38yx{z3`io6=4+2859ga=Q:031>vB=9c82S7e938p(<53:&574<43twv@?7n:5yU627=;r\o=7fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6nm4$2ge>3553t.=o:4=;|T2gd<5s_n:6?u+6229ga=#;ll1:><4}%4`3?c:0Z?76:3yO6>4={%406?b<,?9:6i5r}|N1=d<6s_82<74952718R7?>2;qG>4l51zT2f4<5s-;hn7<93:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318126=#;ll1:><4}%4`3?b07pl97483>6<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm66494?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn;98:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?e4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;6j:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm68494?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`5=g<72?0;6=u+285957><@;=n7)?;b;d8m44f290/>49513c8R7?>28qG>4l51zT2f4<5s-;hn7?=a:T266<5s-<8>7?4$712>4=zutw0enm50;&1=2{M0:f?7|^8h:6?u+1b`9gf=Q9;91>v*93382?!0493;0qpsr;hag>5<#:0=1oi5Y28;96~J51k0:w[?m1;0x 4ee2jn0Z<<<:3y'264=;2.=?<4<;|~H7?f2=q]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<2:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<1:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>7fe<,:om6;==;|&5g2<53t\:ol4={Wf2>7}#>::1oi5+3dd92644952628R7?>2;qG>4l51zT2f4<5s-;hn7<80:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318135=#;ll1:><4}%4`3?b>4={%406?b<,?9:6i5r}|N1=d<6s_82<75+3dd92644951b68?xd10o0;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;7?:182>5<7s-82;7<6e:J13`=h9j>1<7*=9682g1=7>53;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{53;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a2<2=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?3>6=4<:183!4>?3<5c:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`5=4<72<0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62095>"1;80:7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c4:3?6=?3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552m1/:>?5d:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5d:&5743683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81h6*9308g?x{zu2c:8?4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=l2.=?<4k;|~y>o6<<0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+6209`>"1;80o7psr}:k203<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1110;6:4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552m1/:>?5d:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?7a=#>:;1h6sr}|9j561=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996i5+6239`>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552m1/:>?5d:~yx=n9=<1<7*=96826d=O:0<0Z?76:0yO64213_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:4750;194?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;m>:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm6b494?0=83:p(?78:00;?M40m2.:8o4i;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b596>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f3e4290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c4`0?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2win94?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qol6:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rbc794?5=83:p(?78:3;7?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8ygd1290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c`4>5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93385?!0493<0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg73k3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8?<6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn<:k:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo?;e;295?6=8r.95:4=9d9K62c5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:9<4?:283>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:9?4?:283>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:9>4?:283>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:994?:283>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:984?:583>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552j1/:>?5c:~yx=n9:=1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd62281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=92.=?<4>;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4>;%405?74={%3`f?73=2\:>>4={%406?7<,?9:6<5r}|8m421290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:9;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a003=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd3=h0;6;4?:1y'6<1=9;20D?9j;%37f?`P6::09w)8<2;38 356281vqps4iba94?"51>0ho6X=9882I4>j3;pZ:3y'5fd=kj1]=?=52z&577<63-<8=7?4}|~?lec290/>495ce9U6{W3a5?4|,8ii6nj4V000>7}#>:81?6*93080?x{zD;3j69uY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 357288j7)=jf;406>{#>j=156sY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 357288j7)=jf;405>{#>j=156sY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 3572ji0(>ki:711?x"1k>097pX>c`81Sb62;q/:>>5ce9'7``=>:80q)8l7;g8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5?91/?hh56208y!0d?3n0qps4i340>5<#:0=1>;=4V3;:>7}K:0h1=vX>b081!7dj38=?6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=629'7``=>:80q)8l7;f8yx{5}#:0=1>4:4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi88950;394?6|,;3<6?7j;I04a>i6k=0;6)<67;3`0>=zj=?36=4<:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=?i6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8;<50;494?6|,;3<6<<7;I04a>"6495cb9U6{W3a5?4|,8ii6nm4V000>7}#>:81=6*93082?x{zu2chh7>5$3;4>fb<^;326?uC28`95~P6j809w)?lb;ag?S75;38p(;==:29'267=;2wvqA<6a;6xR7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;;1v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;81v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7ml;%1fb?04:2w/:n952:U5fg=:r\o=7fb<,:om6;==;|&5g27}#>::1>:>4$2ge>3553t.=o:4k;|~?l41;3:1(?78:340?S4>138p@?7m:0yU5g7=:r.:oo4=629U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229635<,:om6;==;|&5g2o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<6290;w)<67;0:a>N5?l1d=n:50;&1=2<6k=10qo::f;290?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{=<7>54;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg22m3:1?7>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd31k0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{j<7>56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo:6c;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi84k50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<44d3_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8n:50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6g5?6=>3:1o0(<:m:g9j57g=83.95:4>2`9U6{W3a5?4|,8ii6<{zut1bon4?:%0:3?ed3_8257?tL3;a>4}Q9k;1>v*>cc8`g>P6::09w)8<2;38 356281vqps4ibf94?"51>0hh6X=9881I4>j3;pZ:3y'5fd=km1]=?=52z&577<43-<8=7=4}|O67}#>::1oi5+3dd92643t\9;<4<{Wf2>7}#>::1oi5+3dd9264;|&5g2<>3t\9;<4<{Wf2>7}#>::1oi5+3dd9264<4}%4`3?4{W3a5?4|,8ii6?9?;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;044>"4mo0=??5r$7a4>a=zut1b>;=50;&1=2<5>:1]>4752zN1=g<6s_;i=77043_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838=?6*c598yg2d=3:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd3k?0;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a0f?=83;:6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81:6*93085?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=>2.=?<49;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??49;%405?04={%3`f?73:2\:>>4={%406?0<,?9:6;5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996;5+62392>{zut1b=9850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9510<^8886?u+62092>"1;80=7psr}:k202<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8:5Y13196~"1;;0=7)8<1;48yx{z3`;?47>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;37<>P6::09w)8<2;48 3562?1vqps4i06:>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;9:T266<5s-<8>784$712>3=zutw0e<:n:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3<0(;=>:79~yx{4={%3`f?75l2\:>>4={%406?e<,?9:6n5r}|8m44b290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?h50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957`<^8886?u+6209g>"1;80h7psr}:k275<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?=5Y13196~"1;;0:7)8<1;38yx{z3`;8=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;305>P6::09w)8<2;38 356281vqps4i011>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28997[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm4bc94?76290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=>2.=?<49;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62092>"1;80=7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0=7)8<1;48yx{z3`;?>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;48 3562?1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552?1/:>?56:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><56:&574<13twvq6g>4683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<>1]=?=52z&577<13-<8=784}|~?l7303:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;8:T266<5s-<8>784$712>3=zutw0e<:6:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3<0(;=>:79~yx{j6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73i2\:>>4={%406?0<,?9:6;5r}|8m44c290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31`>P6::09w)8<2;a8 3562j1vqps4i00f>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288n7[?=3;0x 3552j1/:>?5c:~yx=n9;l1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513d8R4442;q/:><5c:&5743183>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;91]=?=52z&577<63-<8=7?4}|~?l7493:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<1:T266<5s-<8>7?4$712>4=zutw0e<==:18'6<1=9;k0Z?76:0yO64553_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8nl50;32>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><56:&574<13twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<13-<8=784}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>784$712>3=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?73=#>:;1:6sr}|9j510=83.95:4>2`9U6{Wf0>7}#9jh1=984V000>7}#>:81:6*93085?x{zu2c:8:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8202=Q9;91>v*93385?!0493<0qpsr;h372281!04:3<0(;=>:79~yx{26=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?7312\:>>4={%406?0<,?9:6;5r}|8m42f290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;37e>P6::09w)8<2;a8 3562j1vqps4i00g>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288o7[?=3;0x 3552j1/:>?5c:~yx=n9;o1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513g8R4442;q/:><5c:&5742g83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:o1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<0:T266<5s-<8>7?4$712>4=zutw0e<=>:18'6<1=9;k0Z?76:0yO64563_;9?74=#>:;1=6sr}|9j564=83.95:4>2`9U6{Wf0>7}#9jh1=><4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`7g2<72<0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62095>"1;80:7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6``?6=<3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552m1/:>?5d:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5d:&5743683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`7g`<72=0;6=u+28592g=O:>o0e<2281!04:3n0(;=>:e9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=l2.=?<4k;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4951b18L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm62194?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`57=<72?0;6=u+285957><@;=n7)?;b;d8m44f290/>49513c8R7?>28qG>4l51zT2f4<5s-;hn7?=a:T266<5s-<8>7?4$712>4=zutw0enm50;&1=2{M0:f?7|^8h:6?u+1b`9gf=Q9;91>v*93382?!0493;0qpsr;hag>5<#:0=1oi5Y28;96~J51k0:w[?m1;0x 4ee2jn0Z<<<:3y'264=;2.=?<4<;|~H7?f2=q]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<2:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<1:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>7fe<,:om6;==;|&5g2<53t\:ol4={Wf2>7}#>::1oi5+3dd92644952628R7?>2;qG>4l51zT2f4<5s-;hn7<80:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318135=#;ll1:><4}%4`3?b>4={%406?b<,?9:6i5r}|N1=d<6s_82<75+3dd92644951b68?xd1;=0;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e>:?1<7?50;2x 7?02;3n7E<8e:m2g1<72-82;7?l4:9~f35129086=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c45`?6=>3:1o0(<:m:g9j57g=83.95:4>2`9U6{W3a5?4|,8ii6<{zut1bon4?:%0:3?ed3_8257?tL3;a>4}Q9k;1>v*>cc8`g>P6::09w)8<2;38 356281vqps4ibf94?"51>0hh6X=9881I4>j3;pZ:3y'5fd=km1]=?=52z&577<43-<8=7=4}|O67}#>::1oi5+3dd92643t\9;<4<{Wf2>7}#>::1oi5+3dd9264;|&5g2<>3t\9;<4<{Wf2>7}#>::1oi5+3dd9264<4}%4`3?4{W3a5?4|,8ii6?9?;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;044>"4mo0=??5r$7a4>a=zut1b>;=50;&1=2<5>:1]>4752zN1=g<6s_;i=77043_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838=?6*c598yg0113:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1>h0;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a23c=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1?:0;6;4?:1y'6<1=9;20D?9j;%37f?`P6::09w)8<2;38 356281vqps4iba94?"51>0ho6X=9882I4>j3;pZ:3y'5fd=kj1]=?=52z&577<63-<8=7?4}|~?lec290/>495ce9U6{W3a5?4|,8ii6nj4V000>7}#>:81?6*93080?x{zD;3j69uY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 357288j7)=jf;406>{#>j=156sY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 357288j7)=jf;405>{#>j=156sY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 3572ji0(>ki:711?x"1k>097pX>c`81Sb62;q/:>>5ce9'7``=>:80q)8l7;g8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5?91/?hh56208y!0d?3n0qps4i340>5<#:0=1>;=4V3;:>7}K:0h1=vX>b081!7dj38=?6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=629'7``=>:80q)8l7;f8yx{5}#:0=1>4:4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi::>50;394?6|,;3<6?7j;I04a>i6k=0;6)<67;3`0>=zj?=:6=4<:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj?=j6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:5?50;494?6|,;3<6<<7;I04a>"6495cb9U6{W3a5?4|,8ii6nm4V000>7}#>:81=6*93082?x{zu2chh7>5$3;4>fb<^;326?uC28`95~P6j809w)?lb;ag?S75;38p(;==:29'267=;2wvqA<6a;6xR7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;;1v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;81v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7ml;%1fb?04:2w/:n952:U5fg=:r\o=7fb<,:om6;==;|&5g27}#>::1>:>4$2ge>3553t.=o:4k;|~?l41;3:1(?78:340?S4>138p@?7m:0yU5g7=:r.:oo4=629U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229635<,:om6;==;|&5g2o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<6290;w)<67;0:a>N5?l1d=n:50;&1=2<6k=10qo88e;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo88f;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`53a<72:0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a2=4=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1010;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo873;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi:5850;594?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93385?!0493<0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??49;%405?07>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;a8 3562j1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552j1/:>?5c:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd10>0;6:4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<2281!04:3<0(;=>:79~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4l;%405?e4={%3`f?73>2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn;6::180>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo879;290?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5d:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81h6*9308g?x{zu2c:?:4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=l2.=?<4k;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?70<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?b<,?9:6i5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;f8 3562m1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=O:0<0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:5l50;194?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn<8k:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm16c94?5=83:p(?78:3;7?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3k0(;=>:`9~yx{5<1290;w)<67;31<>N5?l1/=9l5f:k26d<72-82;7?=a:T1=<<6sE82n7?tV0`2>7}#9jh1=?o4V000>7}#>:81=6*93082?x{zu2cho7>5$3;4>fe<^;326!4>?3io7[<69;0xH7?e28q]=o?52z&2gg>4={%406?5<,?9:6>5r}|N1=d<3s_8<=7=tVe396~"1;90hh6*v*931826d=#;ll1:><4}%4`3??v*931826d=#;ll1:>?4}%4`3??v*9318`g>"4mo0=??5r$7a4>7=z^8ij6?uYd081!0483io7)=jf;406>{#>j=1i6sr}:k135<72-82;7<80:T1=<<5sE82n7?tV0`2>7}#9jh1>:>4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2c9:>4?:%0:3?41;2\9544={M0:f?7|^8h:6?u+1b`9635<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;<87)=jf;406>{#>j=1h6sr}:m2g1<72-82;7?l4:9~f40b29086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th::k4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e9>;1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e9>81<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e9>91<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e9>>1<7:50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996;5+62392>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a523=83>1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm16494?2=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?73=#>:;1:6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=:950;694?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<44d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e9>:1<7650;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><51:&574<63twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<63-<8=7?4}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>7?4$712>4=zutw0e<:8:18'6<1=9;k0Z?76:0yO64203_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=4850;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?7a283>6<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552h1/:>?5a:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1`094?0=83:p(?78:00;?M40m2.:8o4i;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b596>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{51;294~"51>095h5G26g8k4e3290/>4951b68?xd61h0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd61k0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd61j0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd61m0;694?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81:6*93085?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`2=`<72=0;6=u+28592g=O:>o0e<44e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?79g83>1<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62092>"1;80=7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:m=4?:583>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:k272<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd6100;654?:1y'6<1=9j90D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=92.=?<4>;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4>;%405?74={%3`f?73=2\:>>4={%406?7<,?9:6<5r}|8m421290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:9;W317?4|,?996<5+62395>{zut1b=9950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9511<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th94<4?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<75;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<1290;w)<67;31<>N5?l1/=9l5f:k26d<72-82;7?=a:T1=<<6sE82n7?tV0`2>7}#9jh1=?o4V000>7}#>:81=6*93082?x{zu2cho7>5$3;4>fe<^;326!4>?3io7[<69;0xH7?e28q]=o?52z&2gg>4={%406?5<,?9:6>5r}|N1=d<3s_8<=7=tVe396~"1;90hh6*v*931826d=#;ll1:><4}%4`3??v*931826d=#;ll1:>?4}%4`3??v*9318`g>"4mo0=??5r$7a4>7=z^8ij6?uYd081!0483io7)=jf;406>{#>j=1i6sr}:k135<72-82;7<80:T1=<<5sE82n7?tV0`2>7}#9jh1>:>4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2c9:>4?:%0:3?41;2\9544={M0:f?7|^8h:6?u+1b`9635<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;<87)=jf;406>{#>j=1h6sr}:m2g1<72-82;7?l4:9~f7>529086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg4?;3:1=7>50z&1=2<51l1C>:k4o0a7>5<#:0=1=n:4;|`1<1<72:0;6=u+28592g=O:>o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`1<3<72<0;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;f8 3562m1vqps4i061>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7>0290>6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81;6*93084?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=?2.=?<48;|~y>o6;>0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+6209`>"1;80o7psr}:k207<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5010;684?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{4={%3`f?74?2\:>>4={%406?b<,?9:6i5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;226=4::183!4>?3<57:&574<03twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81;6*93084?x{zu2c:?:4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=l2.=?<4k;|~y>o6<;0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+6209`>"1;80o7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th94l4?:483>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3n0(;=>:e9~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?6m:185>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?l74?3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7?4$712>4=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?74=#>:;1=6sr}|9j513=83.95:4>2`9U6{Wf0>7}#9jh1=9;4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`701<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg2313:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?380q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1=?97>53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?8;4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e<==1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e=00;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn8o50;194?6|,;3<6?7;;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c7a>5<6290;w)<67;0:a>N5?l1d=n:50;&1=2<6k=10qo;k:184>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?en5Y13196~"1;;0h7)8<1;a8yx{z3`;8;7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338`?!0493i0qpsr;h371?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4l;%405?e4={%3`f?73>2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8k50;594?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*9338`?!0493i0qpsr;h372?6=,;3<6<2281!04:3i0(;=>:b9~yx{5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4l;%405?e5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&5773:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f36=83=1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;h376?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo8>:18;>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2c:8?4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=k2.=?<4l;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4l;%405?e5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<>1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;l:185>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?l74?3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7?4$712>4=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?74=#>:;1=6sr}|9j513=83.95:4>2`9U6{Wf0>7}#9jh1=9;4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`23g<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg7?>3:1:7>50z&1=2<6:11C>:k4$06a>c=n9;k1<7*=96826d=Q:031=vB=9c82S7e938p(!4>?3ih7[<69;3xH7?e28q]=o?52z&2gg>4={%406?7<,?9:6<5r}|8mfb=83.95:4ld:T1=<<5sE82n7?tV0`2>7}#9jh1oi5Y13196~"1;;087)8<1;18yx{K:0k18vX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:711?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=9;k0(>ki:712?x"1k>027pX=7080Sb62;q/:>>5ce9'7``=>:80q[j=:3y'266=kj1/?hh56208y!0d?380q[?la;0xRa7=:r.=?=4ld:&0ac<1;;1v(;m8:d9~yx=n:>:1<7*=968135=Q:031>vB=9c82S7e938p(09:>5Y28;96~J51k0:w[?m1;0x 4ee2;<87[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<93:&0ac<1;;1v(;m8:e9~yx=h9j>1<7*=9682g1=53;294~"51>09595G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm16f94?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn<9i:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn<6?:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?en5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c3;5?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c3;6?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd60:0;694?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<44d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e91>1<7:50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3i0(;=>:b9~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a52c=83=1<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?74=#>:;1=6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81=6*93082?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93382?!0493;0qpsr;h372?6=,;3<6<2281!04:3;0(;=>:09~yx{5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a5g6=83<1<7>t$3;4>44?3A84c8e?l75i3:1(?78:00b?S4>13;p@?7m:0yU5g7=:r.:oo4>2`9U575=:r.=??4>;%405?74751zN1=g<6s_;i=7fe<^8886?u+62095>"1;80:7psr}:k``?6=,;3<6nj4V3;:>7}K:0h1=vX>b081!7dj3io7[?=3;0x 3552:1/:>?53:~yI4>i3>pZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49339~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49309~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?ed3-9nj78<2:'2f1=:2w]=no52zTg5?4|,?9;6nj4$2ge>3553t.=o:4j;|~?l4083:1(?78:353?S4>138p@?7m:0yU5g7=:r.:oo4=719U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229626<,:om6;==;|&5g2:1]=?=52z&5777}#>::1>;=4$2ge>3553t.=o:4k;|~?j7d<3:1(?78:0a7?>{e9h?1<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8k=6=4>:183!4>?382i6F=7d9l5f2=83.95:4>c598yg7f03:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg7f13:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg7fi3:187>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c3bf?6=<3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo?nc;291?6=8r.95:49b:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996n5+6239g>{zut1b=9<50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1`f94?>=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;48 3562?1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>784$712>3=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3<0(;=>:79~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?e<,?9:6n5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996n5+6239g>{zut1b=9850;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 3552j1/:>?5c:~yx=n9==1<7*=96826d=O:0<0Z?76:0yO64203_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=lk50;:94?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<2281!04:3<0(;=>:79~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1b=9;50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+6209g>"1;80h7psr}:k203<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><5c:&5744683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=994V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`2e2<7210;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62095>"1;80:7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0:7)8<1;38yx{z3`;?97>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;38 356281vqps4i065>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 355281/:>?51:~yx=n9==1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51558R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd51o0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi>l<50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<44d3_;9?73=#>:;1:6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi?=650;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>49513:8L71b3-;?n7h4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vX>b081!7dj3;9m6X>2281!04:3;0(;=>:09~yx{P5100:wA<6b;3xR4d62;q/=nl5cb9U575=:r.=??4>;%405?74752zN1=g<6s_;i=7fb<^8886?u+62097>"1;8087psrL3;b>1}Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8>6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8=6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:ba8 6ca2?997p*9c681?xP6kh09w[j>:3y'266=km1/?hh56208y!0d?3o0qps4i353>5<#:0=1>:>4V3;:>7}K:0h1=vX>b081!7dj38<<6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=719'7``=>:80q)8l7;f8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5>:1/?hh56208y!0d?3n0qps4o0a7>5<#:0=1=n:4;|`04<<72:0;6=u+28596<2<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a75g=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb22a>5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93385?!0493<0qpsr;h31g?6=,;3<6<2281!04:3<0(;=>:79~yx{5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a7`4=83<1<7>t$3;4>44?3A84c8e?l75i3:1(?78:00b?S4>13;p@?7m:0yU5g7=:r.:oo4>2`9U575=:r.=??4>;%405?74751zN1=g<6s_;i=7fe<^8886?u+62095>"1;80:7psr}:k``?6=,;3<6nj4V3;:>7}K:0h1=vX>b081!7dj3io7[?=3;0x 3552:1/:>?53:~yI4>i3>pZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49339~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?75i2.8ik49309~ 3e0201vZ?9>:2yU`4<5s-<8<7mk;%1fb?04:2w]h?4={%404?ed3-9nj78<2:'2f1=:2w]=no52zTg5?4|,?9;6nj4$2ge>3553t.=o:4j;|~?l4083:1(?78:353?S4>138p@?7m:0yU5g7=:r.:oo4=719U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229626<,:om6;==;|&5g2:1]=?=52z&5777}#>::1>;=4$2ge>3553t.=o:4k;|~?j7d<3:1(?78:0a7?>{e;mo1<7=50;2x 7?02;3?7E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:nm6=4>:183!4>?382i6F=7d9l5f2=83.95:4>c598yg5b83:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=>2.=?<49;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??49;%405?04={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5a>3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj:li6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn>h8:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=i8;295?6=8r.95:4=9d9K62c5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?<54?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:>0;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0j7)8<1;c8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c627?6=>3:1o0(<:m:g9j57g=83.95:4>2`9U6{W3a5?4|,8ii6<{zut1bon4?:%0:3?ed3_8257?tL3;a>4}Q9k;1>v*>cc8`g>P6::09w)8<2;38 356281vqps4ibf94?"51>0hh6X=9881I4>j3;pZ:3y'5fd=km1]=?=52z&577<43-<8=7=4}|O67}#>::1oi5+3dd92643t\9;<4<{Wf2>7}#>::1oi5+3dd9264;|&5g2<>3t\9;<4<{Wf2>7}#>::1oi5+3dd9264<4}%4`3?4{W3a5?4|,8ii6?9?;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;044>"4mo0=??5r$7a4>a=zut1b>;=50;&1=2<5>:1]>4752zN1=g<6s_;i=77043_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838=?6*c598yg2713:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd38h0;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552j1/:>?5c:~yx=n9=81<7*=96826d=O:0<0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8=j50;594?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;a8 3562j1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7m4$712>f=zutw0e<:9:18'6<1=9;k0Z?76:0yO64213_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8=k50;594?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*9338`?!0493i0qpsr;h372?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<0290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:k272<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5c:&5744383>!4>?3;9m6F=979U6{Wf0>7}#9jh1=9<4V000>7}#>:81o6*9308`?x{zu2c:884?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=k2.=?<4l;|~y>o6P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg27j3:197>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<63-<8=7?4}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f176290>6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81h6*9308g?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338g?!0493n0qpsr;h303?6=,;3<6<2281!04:3n0(;=>:e9~yx{96=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e<:o1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<4?:783>5}#:0=1=?64H35f?!73j3l0e<>4={%406?7<,?9:6<5r}|8mfe=83.95:4lc:T1=<<6sE82n7?tV0`2>7}#9jh1on5Y13196~"1;;0:7)8<1;38yx{z3`io6=4+2859ga=Q:031>vB=9c82S7e938p(<53:&574<43twv@?7n:5yU627=;r\o=7fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6<fb<,:om6;==;|Tg6?4|,?9;6nm4$2ge>3553t.=o:4=;|T2gd<5s_n:6?u+6229ga=#;ll1:><4}%4`3?c:0Z?76:3yO6>4={%406?b<,?9:6i5r}|N1=d<6s_82<74952718R7?>2;qG>4l51zT2f4<5s-;hn7<93:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318126=#;ll1:><4}%4`3?b07pl;3g83>6<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm45294?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn9:>:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?04={%3`f?75k2\:>>4={%406?0<,?9:6;5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9:n:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm44694?0=83:p(?78:00;?M40m2.:8o4i;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b596>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f12d290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c67a?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c67b?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c664?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c665?6=<3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&5743683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`717<7210;6=u+28592g=O:>o0e<2281!04:3i0(;=>:b9~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4i061>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7m4$712>f=zutw0e<:::18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3i0(;=>:b9~yx{=6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73>2\:>>4={%406?e<,?9:6n5r}|8m420290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:8;W317?4|,?996n5+6239g>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a01b=83<1<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?74=#>:;1=6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81=6*93082?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{357>52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn96j:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb5:b>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6;f?6=93:1o0c07pl;8b83>6<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c6:0?6=>3:1o0(<:m:g9j57g=83.95:4>2`9U6{W3a5?4|,8ii6<{zut1bon4?:%0:3?ed3_8257?tL3;a>4}Q9k;1>v*>cc8`g>P6::09w)8<2;38 356281vqps4ibf94?"51>0hh6X=9881I4>j3;pZ:3y'5fd=km1]=?=52z&577<43-<8=7=4}|O67}#>::1oi5+3dd92643t\9;<4<{Wf2>7}#>::1oi5+3dd9264;|&5g2<>3t\9;<4<{Wf2>7}#>::1oi5+3dd9264<4}%4`3?4{W3a5?4|,8ii6?9?;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;044>"4mo0=??5r$7a4>a=zut1b>;=50;&1=2<5>:1]>4752zN1=g<6s_;i=77043_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838=?6*c598yg2>83:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd3180;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0=7)8<1;48yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<13-<8=784}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo8;:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm6883>3<729q/>49513:8L71b3-;?n7h4i00b>5<#:0=1=?o4V3;:>4}K:0h1=vX>b081!7dj3;9m6X>2281!04:3;0(;=>:09~yx{P5100:wA<6b;3xR4d62;q/=nl5cb9U575=:r.=??4>;%405?74752zN1=g<6s_;i=7fb<^8886?u+62097>"1;8087psrL3;b>1}Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8>6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:00b?!5bn3<8=6s+6b59=>{Q:>;1?vXk1;0x 3572jn0(>ki:711?xPc:38p(;=?:ba8 6ca2?997p*9c681?xP6kh09w[j>:3y'266=km1/?hh56208y!0d?3o0qps4i353>5<#:0=1>:>4V3;:>7}K:0h1=vX>b081!7dj38<<6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=719'7``=>:80q)8l7;f8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5>:1/?hh56208y!0d?3n0qps4o0a7>5<#:0=1=n:4;|`51?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`52?6=93:1o0c07pl97;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5c:&5742b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo?j5;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<1290;w)<67;31<>N5?l1/=9l5f:k26d<72-82;7?=a:T1=<<6sE82n7?tV0`2>7}#9jh1=?o4V000>7}#>:81=6*93082?x{zu2cho7>5$3;4>fe<^;326!4>?3io7[<69;0xH7?e28q]=o?52z&2gg>4={%406?5<,?9:6>5r}|N1=d<3s_8<=7=tVe396~"1;90hh6*v*931826d=#;ll1:><4}%4`3??v*931826d=#;ll1:>?4}%4`3??v*9318`g>"4mo0=??5r$7a4>7=z^8ij6?uYd081!0483io7)=jf;406>{#>j=1i6sr}:k135<72-82;7<80:T1=<<5sE82n7?tV0`2>7}#9jh1>:>4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2c9:>4?:%0:3?41;2\9544={M0:f?7|^8h:6?u+1b`9635<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;<87)=jf;406>{#>j=1h6sr}:m2g1<72-82;7?l4:9~f4c129086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:i:4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e9l31<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e9lk1<7850;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3<0(;=>:79~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996n5+6239g>{zut1b=9<50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552j1/:>?5c:~yx=n9=?1<7*=96826d=O:0<0Z?76:0yO64223_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=hl50;494?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<44d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1da94?0=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;a8 3562j1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3i0(;=>:b9~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?e<,?9:6n5r}|8m422290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8oo6=49:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;h376?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c3fa?6=>3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?7f=#>:;1o6sr}|9j561=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996n5+6239g>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1d:94?1=83:p(?78:0a0?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=92.=?<4>;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4>;%405?74={%3`f?73>2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?o::181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm2`c94?0=83:p(?78:00;?M40m2.:8o4i;h31e?6=,;3<6<l5Y13196~"1;;0:7)8<1;38yx{z3`ih6=4+2859gf=Q:031=vB=9c82S7e938p(<51:&574<63twvq6gld;29 7?02jn0Z?76:3yO66=#>:;1?6sr}M0:e?2|^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=??5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;31e>"4mo0=?<5r$7a4><=z^;=:6>uYd081!0483io7)=jf;406>{Ql;09w)8<0;a`?!5bn3<8>6s+6b596>{Q9jk1>vXk1;0x 3572jn0(>ki:711?x"1k>0n7psr;h044?6=,;3<6?9?;W0:=?4|D;3i6>52628 6ca2?997p*9c68g?x{z3`8=?7>5$3;4>7043_82574}Q9k;1>v*>cc8126=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:?90(>ki:711?x"1k>0o7psr;n3`0?6=,;3<6t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f7g0290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c0bN5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209g>"1;80h7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0h7)8<1;a8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c13a?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f674290=6=4?{%0:3?7502B9;h5+15`9b>o6:h0;6)<67;31e>P5100:wA<6b;3xR4d62;q/=nl513c8R4442;q/:><51:&574<63twvq6glc;29 7?02ji0Z?76:0yO64=#>:;1=6sr}|9jga<72-82;7mk;W0:=?4|D;3i62281!04:390(;=>:29~yxJ51h0?w[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56208y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56238y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575o5?90;6)<67;044>P51009wA<6b;3xR4d62;q/=nl52628R4442;q/:><5d:&5747173-9nj78<2:'2f1=l2wvq6g=6283>!4>?38=?6X=9881I4>j3;pZ:3y'5fd=:?90Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?8<;%1fb?04:2w/:n95d:~y>i6k=0;6)<67;3`0>=zj::m6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{51;294~"51>095h5G26g8k4e3290/>4951b68?xd4980;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd4n90;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0:>55G26g8 42e2o1b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo=i1;297?6=8r.95:4=959K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi?k=50;194?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93385?!0493<0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??49;%405?04={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg5ak3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj=::6=49:183!4>?3;946F=7d9'51d=n2c:>l4?:%0:3?75i2\9544>{M0:f?7|^8h:6?u+1b`957g<^8886?u+62095>"1;80:7psr}:k`g?6=,;3<6nm4V3;:>4}K:0h1=vX>b081!7dj3ih7[?=3;0x 355281/:>?51:~yx=nkm0;6)<67;ag?S4>138p@?7m:0yU5g7=:r.:oo4ld:T266<5s-<8>7=4$712>6=zutF95l4;{W045?5|^m;1>v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9264v*9318``>"4mo0=??5rVe096~"1;90:>l5+3dd9267v*9318``>"4mo0=??5rVe096~"1;90ho6*7}Ql809w)8<0;ag?!5bn3<8>6s+6b59a>{zu2c9;=4?:%0:3?4082\9544={M0:f?7|^8h:6?u+1b`9626<^8886?u+6209`>"1;80o7psrL3;b>4}Q:0:1>vXk1;0x 3572;=;7)=jf;406>{#>j=1h6sr}:k126<72-82;7<93:T1=<<5sE82n7?tV0`2>7}#9jh1>;=4V000>7}#>:81h6*9308g?x{zD;3j66s+6b59`>{zu2e:o94?:%0:3?7d<21vn>hk:180>5<7s-82;7<64:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo=ie;295?6=8r.95:4=9d9K62c5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th?584?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo:6a;292?6=8r.95:4>299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;08yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c6:2?6=;3:1o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`7=2<7280;6=u+285965$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7m4$712>f=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f1g629096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e5$3;4>44f3_8257?tL3;a>4}Q9k;1>v*>cc826d=Q9;91>v*93382?!0493;0qpsr;ha`>5<#:0=1on5Y28;95~J51k0:w[?m1;0x 4ee2ji0Z<<<:3y'264=92.=?<4>;|~y>odl3:1(?78:bf8R7?>2;qG>4l51zT2f4<5s-;hn7mk;W317?4|,?996>5+62397>{zuE82m7:tV352>6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483;9m6*6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483;9m6*6}Ql809w)8<0;ag?!5bn3<8>6sYd381!0483ih7)=jf;406>{#>j=1>6sY1bc96~Pc938p(;=?:bf8 6ca2?997p*9c68f?x{z3`8<<7>5$3;4>7173_82574}Q9k;1>v*>cc8135=Q9;91>v*9338g?!0493n0qpsC28c95~P51909w[j>:3y'266=:>:0(>ki:711?x"1k>0o7psr;h057?6=,;3<6?8<;W0:=?4|D;3i65Y13196~"1;;0o7)8<1;f8yx{K:0k1=vX=9181Sb62;q/:>>52718 6ca2?997p*9c68g?x{z3f;h87>5$3;4>4e332wi8l<50;194?6|,;3<6?7;;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn9o<:182>5<7s-82;7<6e:J13`=h9j>1<7*=9682g1=j87>53;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8k=:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb4ff>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c7gb?6=93:1o0c07pl:e183>6<729q/>4956c9K62c0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a21`=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1==0;6;4?:1y'6<1=9;20D?9j;%37f?`P6::09w)8<2;38 356281vqps4iba94?"51>0ho6X=9882I4>j3;pZ:3y'5fd=kj1]=?=52z&577<63-<8=7?4}|~?lec290/>495ce9U6{W3a5?4|,8ii6nj4V000>7}#>:81?6*93080?x{zD;3j69uY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 357288j7)=jf;406>{#>j=156sY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 357288j7)=jf;405>{#>j=156sY26397~Pc938p(;=?:bf8 6ca2?997pXk2;0x 3572ji0(>ki:711?x"1k>097pX>c`81Sb62;q/:>>5ce9'7``=>:80q)8l7;g8yx{P6::09w)8<2;f8 3562m1vqpB=9`82S4>838pZi?52z&575<5?91/?hh56208y!0d?3n0qps4i340>5<#:0=1>;=4V3;:>7}K:0h1=vX>b081!7dj38=?6X>2281!04:3n0(;=>:e9~yxJ51h0:w[<60;0xRa7=:r.=?=4=629'7``=>:80q)8l7;f8yx{5}#:0=1>4:4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:8?50;394?6|,;3<6?7j;I04a>i6k=0;6)<67;3`0>=zj??96=4<:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj??>6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:;?50;494?6|,;3<6<<7;I04a>"6495cb9U6{W3a5?4|,8ii6nm4V000>7}#>:81=6*93082?x{zu2chh7>5$3;4>fb<^;326?uC28`95~P6j809w)?lb;ag?S75;38p(;==:29'267=;2wvqA<6a;6xR7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;;1v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7?=a:&0ac<1;81v(;m8:89~R7162:q]h<4={%404?ec3-9nj78<2:U`7<5s-<8<7ml;%1fb?04:2w/:n952:U5fg=:r\o=7fb<,:om6;==;|&5g27}#>::1>:>4$2ge>3553t.=o:4k;|~?l41;3:1(?78:340?S4>138p@?7m:0yU5g7=:r.:oo4=629U575=:r.=??4k;%405?b4o51zT1=5<5s_n:6?u+6229635<,:om6;==;|&5g2o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg02?3:1=7>50z&1=2<51l1C>:k4o0a7>5<#:0=1=n:4;|`51<<72:0;6=u+28592g=O:>o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`51d<72:0;6=u+28592g=O:>o0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`51g<72=0;6=u+28592g=O:>o0e<2281!04:3i0(;=>:b9~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj??h6=4;:183!4>?3<5c:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2c:?:4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?70<729q/>4956c9K62c2wvqp5f13a94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552j1/:>?5c:~yx=n9=81<7*=96826d=O:0<0Z?76:0yO64253_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi:8k50;794?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>784$712>3=zutw0e<2281!04:3i0(;=>:b9~yx{4={%3`f?74?2\:>>4={%406?e<,?9:6n5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj??m6=4::183!4>?3o5Y13196~"1;;0=7)8<1;48yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338`?!0493i0qpsr;h376?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4l;%405?e4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg0203:147>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<63-<8=7?4}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7?4$712>4=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?74=#>:;1=6sr}|9j510=83.95:4>2`9U6{Wf0>7}#9jh1=984V000>7}#>:81=6*93082?x{zu2c:8:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8202=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><55:&574<23twvq6sm1g094?5=83:p(?78:3;7?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<6290;w)<67;0:a>N5?l1d=n:50;&1=2<6k=10qo?i5;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><56:&574<13twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`2b3<72:0;6=u+28592g=O:>o0e<44e3_;9?7f=#>:;1o6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81:6*93085?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`2b1<72:0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a5c>=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd6nl0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3?0(;=>:49~yx{53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:jl4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e9oi1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3<0(;=>:79~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4l;%405?e4={%3`f?75k2\:>>4={%406?0<,?9:6;5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<>7;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62091>"1;80>7psr}:a64>=8391<7>t$3;4>7?33A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f77>290:6=4?{%0:3?4>m2B9;h5`1b694?"51>0:o954}c02f?6=;3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62092>"1;80=7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5c:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd59j0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552j1/:>?5c:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><56:&574<13twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd59h0;6>4?:1y'6<1=9j90D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:8o1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<94?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<=9;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c014?6=93:1o0c07pl=2383>6<729q/>4956c9K62c2wvqp5f13a94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a675=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a677=8391<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f74229026=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4k;%405?b4={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996i5+6239`>{zut1b=9850;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 3552m1/:>?5d:~yx=n9==1<7*=96826d=O:0<0Z?76:0yO64203_;9?7a=#>:;1h6sr}|9j51>=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:;<1<7?>:183!4>?3o5Y13196~"1;;0<7)8<1;58yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552>1/:>?57:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><57:&574<03twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<03-<8=794}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>794$712>2=zutw0e<:8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{36=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?7302\:>>4={%406?1<,?9:6:5r}|8m42>290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;37=>P6::09w)8<2;f8 3562m1vqps4i06b>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>j7[?=3;0x 3552m1/:>?5d:~yx=n9;n1<7*=96826d=O:0<0Z?76:0yO644c3_;9?7a=#>:;1h6sr}|9j57c=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9;l0Z<<<:3y'264=92.=?<4>;|~y>o6;90;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>319U575=:r.=??4>;%405?74={%3`f?7492\:>>4={%406?7<,?9:6<5r}|8m455290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<==;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a671=83;:6=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?72=#>:;1;6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81;6*93084?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93384?!0493=0qpsr;h372?6=,;3<6<2281!04:3=0(;=>:69~yx{<6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73?2\:>>4={%406?1<,?9:6:5r}|8m42?290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;37<>P6::09w)8<2;f8 3562m1vqps4i06:>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>27[?=3;0x 3552m1/:>?5d:~yx=n9=k1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl515c8R4442;q/:><5d:&5742e83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?j4V000>7}#>:81h6*9308g?x{zu2c:>h4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;o0Z<<<:3y'264=l2.=?<4k;|~y>o6:o0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2g9U575=:r.=??4>;%405?74={%3`f?7482\:>>4={%406?7<,?9:6<5r}|8m456290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=>;W317?4|,?996<5+62395>{zut1b=><50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9564<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th9>54?:583>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`16d<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg4483:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;9>6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:8196*93086?x{zu2wi>?l50;194?6|,;3<6?7;;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?5<7s-82;7<6e:J13`=h9j>1<7*=9682g1=53;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81:6*93085?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=>2.=?<49;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4951b18L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm22394?46290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=?2.=?<48;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62093>"1;80<7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0<7)8<1;58yx{z3`;?>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;58 3562>1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552>1/:>?57:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><57:&574<03twvq6g>4683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<>1]=?=52z&577<03-<8=794}|~?l7303:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;8:T266<5s-<8>794$712>2=zutw0e<:6:18'6<1=9;k0Z?76:0yO642>3_;9?72=#>:;1;6sr}|9j51g=83.95:4>2`9U6{Wf0>7}#9jh1=9o4V000>7}#>:81;6*93084?x{zu2c:>i4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826a=Q9;91>v*93384?!0493=0qpsr;h31a?6=,;3<6<2281!04:3=0(;=>:69~yx{4={%3`f?75n2\:>>4={%406?1<,?9:6:5r}|8m457290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=?;W317?4|,?996:5+62393>{zut1b=>?50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9567<^8886?u+62093>"1;80<7psr}:k277<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:??5Y13196~"1;;0<7)8<1;58yx{z3`;8?7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;307>P6::09w)8<2;f8 3562m1vqps4i017>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289?7[?=3;0x 355281/:>?51:~yx=n9:?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51278R4442;q/:><51:&574<63twvq6g>3783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;?1]=?=52z&577<63-<8=7?4}|~?l7403:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<8:T266<5s-<8>7?4$712>4=zutw0e<=6:18'6<1=9;k0Z?76:0yO645>3_;9?74=#>:;1=6sr}|9j56g=83.95:4>2`9U6{Wf0>7}#9jh1=>o4V000>7}#>:81=6*93082?x{zu2c:?o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827g=Q9;91>v*93382?!0493;0qpsr;h30g?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:o0Z<<<:3y'264=92.=?<4>;|~y>o6;o0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3g9U575=:r.=??4>;%405?74={%3`f?7382\:>>4={%406?7<,?9:6<5r}|8m426290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:>;W317?4|,?996<5+62395>{zut1b=9=50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9515<^8886?u+62095>"1;80:7psr}:k201<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:895Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c006?6=:80;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996:5+62393>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+62093>"1;80<7psr}:k200<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:885Y13196~"1;;0<7)8<1;58yx{z3`;?:7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;58 3562>1vqps4i064>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28><7[?=3;0x 3552>1/:>?57:~yx=n9=21<7*=96826d=Q:031=vB=9c82Sb42;q/=nl515:8R4442;q/:><57:&574<03twvq6g>4883>!4>?3;9m6F=979U6{Wf0>7}#9jh1=974V000>7}#>:81;6*93084?x{zu2c:8l4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=k0Z<<<:3y'264=?2.=?<48;|~y>o6:m0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957b<^8886?u+62093>"1;80<7psr}:k26`<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513g8R4442;q/:><57:&574<03twvq6g>2g83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?h4V000>7}#>:81;6*93084?x{zu2c:?=4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8275=Q9;91>v*93384?!0493=0qpsr;h305?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:90Z<<<:3y'264=l2.=?<4k;|~y>o6;=0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>359U575=:r.=??4>;%405?74={%3`f?74=2\:>>4={%406?7<,?9:6<5r}|8m451290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=9;W317?4|,?996<5+62395>{zut1b=>650;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956><^8886?u+62095>"1;80:7psr}:k27<<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?45Y13196~"1;;0:7)8<1;38yx{z3`;8m7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30e>P6::09w)8<2;38 356281vqps4i01a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289i7[?=3;0x 355281/:>?51:~yx=n9:i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512a8R4442;q/:><51:&574<63twvq6g>3e83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;m1]=?=52z&577<63-<8=7?4}|~?l74m3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?7?4$712>4=zutw0e<=i:18'6<1=9;k0Z?76:0yO645a3_;9?74=#>:;1=6sr}|9j516=83.95:4>2`9U6{Wf0>7}#9jh1=9>4V000>7}#>:81=6*93082?x{zu2c:8<4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8204=Q9;91>v*93382?!0493;0qpsr;h377?6=,;3<6<2281!04:3;0(;=>:09~yx{?6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e::91<7<>:183!4>?3<57:&574<03twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81;6*93084?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*93384?!0493=0qpsr;h376?6=,;3<6<6X>2281!04:3=0(;=>:69~yx{>6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=<0Z<<<:3y'264=?2.=?<48;|~y>o6<>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>469U575=:r.=??48;%405?14={%3`f?7302\:>>4={%406?1<,?9:6:5r}|8m42>290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;37=>P6::09w)8<2;58 3562>1vqps4i06b>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;a:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{4={%3`f?75m2\:>>4={%406?1<,?9:6:5r}|8m44a290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31b>P6::09w)8<2;58 3562>1vqps4i013>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289;7[?=3;0x 3552>1/:>?57:~yx=n9:;1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51238R4442;q/:><57:&574<03twvq6g>3383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;;1]=?=52z&577<03-<8=794}|~?l74;3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<3:T266<5s-<8>7j4$712>a=zutw0e<=;:18'6<1=9;k0Z?76:0yO64533_;9?74=#>:;1=6sr}|9j563=83.95:4>2`9U6{Wf0>7}#9jh1=>;4V000>7}#>:81=6*93082?x{zu2c:?;4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8273=Q9;91>v*93382?!0493;0qpsr;h302281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:k0Z<<<:3y'264=92.=?<4>;|~y>o6;k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3c9U575=:r.=??4>;%405?74={%3`f?74k2\:>>4={%406?7<,?9:6<5r}|8m45c290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=k;W317?4|,?996<5+62395>{zut1b=>k50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956c<^8886?u+62095>"1;80:7psr}:k27c<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?k5Y13196~"1;;0:7)8<1;38yx{z3`;?<7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;374>P6::09w)8<2;38 356281vqps4i062>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>:7[?=3;0x 355281/:>?51:~yx=n9=91<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51518R4442;q/:><51:&574<63twvq6g>4583>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<=1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<<4;290?6=8r.95:4>c29K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg44>3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;9j6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>9?50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4:;%405?36<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm22:94?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn?=6:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?=m:18:>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?14={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;58 3562>1vqps4i061>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>794$712>2=zutw0e<:::18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3n0(;=>:e9~yx{=6=4+285957g<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:8;W317?4|,?996i5+6239`>{zut1b=9650;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>37[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm22a94??=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552>1/:>?57:~yx=n9:=1<7*=96826d=O:0<0Z?76:0yO64503_;9?72=#>:;1;6sr}|9j514=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=l2.=?<4k;|~y>o6P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??4k;%405?b5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<11]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<<57:&574<03twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<03-<8=794}|~?l74?3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*93384?!0493=0qpsr;h376?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??48;%405?15$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;f8 3562m1vqps4i064>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>7j4$712>a=zutw0e<:7:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3n0(;=>:e9~yx{5<>290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<2281!04:3=0(;=>:69~yx{4={%3`f?74?2\:>>4={%406?1<,?9:6:5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552m1/:>?5d:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><5d:&5744683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=994V000>7}#>:81h6*9308g?x{zu2c:854?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=20Z<<<:3y'264=l2.=?<4k;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?7<<729q/>4956c9K62c0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552>1/:>?57:~yx=n9=81<7*=96826d=O:0<0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9j513=83.95:4>2`9U6{Wf0>7}#9jh1=9;4V000>7}#>:81h6*9308g?x{zu2c:8;4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=<0Z<<<:3y'264=l2.=?<4k;|~y>o6<>0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9511<^8886?u+6209`>"1;80o7psr}:k20=<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl515:8R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5<90;6;4?:1y'6<1=9j90D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=92.=?<4>;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4>;%405?74={%3`f?73=2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?:=:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm25:94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`10a<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?3<,?9:685r}|8yg43;3:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5<=0;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;><6=4<:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;48 3562?1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;>>6=4<:183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52083>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?72=#>:;1;6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81;6*93084?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93384?!0493=0qpsr;h372?6=,;3<6<2281!04:3=0(;=>:69~yx{<6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=20Z<<<:3y'264=?2.=?<48;|~y>o6<00;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>489U575=:r.=??48;%405?14={%3`f?73i2\:>>4={%406?1<,?9:6:5r}|8m44c290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?k50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957c<^8886?u+62093>"1;80<7psr}:k26c<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513d8R4442;q/:><57:&574<03twvq6g>3183>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;91]=?=52z&577<03-<8=794}|~?l7493:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<1:T266<5s-<8>794$712>2=zutw0e<==:18'6<1=9;k0Z?76:0yO64553_;9?72=#>:;1;6sr}|9j565=83.95:4>2`9U6{Wf0>7}#9jh1=>=4V000>7}#>:81h6*9308g?x{zu2c:?94?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8271=Q9;91>v*93382?!0493;0qpsr;h301?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:20Z<<<:3y'264=92.=?<4>;|~y>o6;00;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>389U575=:r.=??4>;%405?74={%3`f?74i2\:>>4={%406?7<,?9:6<5r}|8m45e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=m;W317?4|,?996<5+62395>{zut1b=>m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956e<^8886?u+62095>"1;80:7psr}:k27a<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?i5Y13196~"1;;0:7)8<1;38yx{z3`;8i7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30a>P6::09w)8<2;38 356281vqps4i01e>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289m7[?=3;0x 355281/:>?51:~yx=n9=:1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51528R4442;q/:><51:&574<63twvq6g>4083>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<81]=?=52z&577<63-<8=7?4}|~?l73;3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;3:T266<5s-<8>7?4$712>4=zutw0e<:;:18'6<1=9;k0Z?76:0yO64233_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>9o50;02>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62093>"1;80<7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><57:&574<03twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<03-<8=794}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>794$712>2=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?72=#>:;1;6sr}|9j510=83.95:4>2`9U6{Wf0>7}#9jh1=984V000>7}#>:81;6*93084?x{zu2c:8:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8202=Q9;91>v*93384?!0493=0qpsr;h372281!04:3=0(;=>:69~yx{26=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?7312\:>>4={%406?1<,?9:6:5r}|8m42f290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;37e>P6::09w)8<2;58 3562>1vqps4i00g>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=d:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{4={%3`f?75n2\:>>4={%406?1<,?9:6:5r}|8m457290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=?;W317?4|,?996:5+62393>{zut1b=>?50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9567<^8886?u+62093>"1;80<7psr}:k277<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:??5Y13196~"1;;0<7)8<1;58yx{z3`;8?7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;307>P6::09w)8<2;f8 3562m1vqps4i017>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289?7[?=3;0x 355281/:>?51:~yx=n9:?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51278R4442;q/:><51:&574<63twvq6g>3783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;?1]=?=52z&577<63-<8=7?4}|~?l7403:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<8:T266<5s-<8>7?4$712>4=zutw0e<=6:18'6<1=9;k0Z?76:0yO645>3_;9?74=#>:;1=6sr}|9j56g=83.95:4>2`9U6{Wf0>7}#9jh1=>o4V000>7}#>:81=6*93082?x{zu2c:?o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827g=Q9;91>v*93382?!0493;0qpsr;h30g?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:o0Z<<<:3y'264=92.=?<4>;|~y>o6;o0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3g9U575=:r.=??4>;%405?74={%3`f?7382\:>>4={%406?7<,?9:6<5r}|8m426290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:>;W317?4|,?996<5+62395>{zut1b=9=50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9515<^8886?u+62095>"1;80:7psr}:k201<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:895Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c07f?6=:80;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996:5+62393>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+62093>"1;80<7psr}:k200<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:885Y13196~"1;;0<7)8<1;58yx{z3`;?:7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;58 3562>1vqps4i064>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28><7[?=3;0x 3552>1/:>?57:~yx=n9=21<7*=96826d=Q:031=vB=9c82Sb42;q/=nl515:8R4442;q/:><57:&574<03twvq6g>4883>!4>?3;9m6F=979U6{Wf0>7}#9jh1=974V000>7}#>:81;6*93084?x{zu2c:8l4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=k0Z<<<:3y'264=?2.=?<48;|~y>o6:m0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957b<^8886?u+62093>"1;80<7psr}:k26`<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513g8R4442;q/:><57:&574<03twvq6g>2g83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?h4V000>7}#>:81;6*93084?x{zu2c:?=4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8275=Q9;91>v*93384?!0493=0qpsr;h305?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:90Z<<<:3y'264=l2.=?<4k;|~y>o6;=0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>359U575=:r.=??4>;%405?74={%3`f?74=2\:>>4={%406?7<,?9:6<5r}|8m451290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=9;W317?4|,?996<5+62395>{zut1b=>650;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956><^8886?u+62095>"1;80:7psr}:k27<<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?45Y13196~"1;;0:7)8<1;38yx{z3`;8m7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30e>P6::09w)8<2;38 356281vqps4i01a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289i7[?=3;0x 355281/:>?51:~yx=n9:i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512a8R4442;q/:><51:&574<63twvq6g>3e83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;m1]=?=52z&577<63-<8=7?4}|~?l74m3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?7?4$712>4=zutw0e<=i:18'6<1=9;k0Z?76:0yO645a3_;9?74=#>:;1=6sr}|9j516=83.95:4>2`9U6{Wf0>7}#9jh1=9>4V000>7}#>:81=6*93082?x{zu2c:8<4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8204=Q9;91>v*93382?!0493;0qpsr;h377?6=,;3<6<2281!04:3;0(;=>:09~yx{?6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e:=i1<7:50;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm25g94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`111<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?3<,?9:685r}|8yg43n3:1?7>50z&1=2<51=1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5=90;6<4?:1y'6<1=:0o0D?9j;n3`0?6=,;3<6t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?0<,?9:6;5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;?86=4<:183!4>?3o5Y13196~"1;;0h7)8<1;a8yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;48 3562?1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj;?:6=4<:183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{97>52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?;m:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><55:&574<23twvq6sm24494?5=83:p(?78:3;7?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<6290;w)<67;0:a>N5?l1d=n:50;&1=2<6k=10qo<:9;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><56:&574<13twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo<:a;297?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><56:&574<13twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81o6*9308`?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`11=<72:0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a60e=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd5>;0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3?0(;=>:49~yx{h7>53;294~"51>09595G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th99h4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e:?:1<7=50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3<0(;=>:79~yx{4={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?8>:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?04={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?;i:180>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;i3;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1c?=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<23-<8=7;4}|~?xd2n=0;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8h::182>5<7s-82;7<6e:J13`=h9j>1<7*=9682g1=53;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3262wvqp5f13a94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1c>=83?1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?b<,?9:6i5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;f8 3562m1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7j4$712>a=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi8k950;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;78 3562<1vqps4}c6eo0e<44e3_;9?74=#>:;1=6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`7b<<7280;6=u+285965$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338`?!0493i0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52;294~"51>0im6F=7d9j57g=83.95:4>2`98k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8;6:185>5<7s-82;7?=8:J13`=#9=h1j6g>2`83>!4>?3;9m6X=9882I4>j3;pZ:3y'5fd=9;k0Z<<<:3y'264=92.=?<4>;|~y>odk3:1(?78:ba8R7?>28qG>4l51zT2f4<5s-;hn7ml;W317?4|,?996<5+62395>{zut1boi4?:%0:3?ec3_82574}Q9k;1>v*>cc8``>P6::09w)8<2;18 3562:1vqpB=9`87S40939pZi?52z&5752`9'7``=>:80q)8l7;;8yS40939pZi?52z&5752`9'7``=>:;0q)8l7;;8yS40939pZi?52z&575!4>?38<<6X=9881I4>j3;pZ:3y'5fd=:>:0Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?9?;%1fb?04:2w/:n95d:~y>o5>:0;6)<67;057>P51009wA<6b;3xR4d62;q/=nl52718R4442;q/:><5d:&5747043-9nj78<2:'2f1=l2wvq6a>c583>!4>?3;h865rb46f>5<4290;w)<67;0:0>N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62095>"1;80:7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c77b?6=93:1o0c07pl:5083>6<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a101=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a106=8321<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?74=#>:;1=6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81=6*93082?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93382?!0493;0qpsr;h372?6=,;3<6<2281!04:3;0(;=>:09~yx{<6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e=?l1<7<50;2x 7?02kk0D?9j;h31e?6=,;3<6<;>4?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;89;292?6=8r.95:4>299K62c<,8>i6k5f13c94?"51>0:>l5Y28;95~J51k0:w[?m1;0x 4ee288j7[?=3;0x 355281/:>?51:~yx=nkj0;6)<67;a`?S4>13;p@?7m:0yU5g7=:r.:oo4lc:T266<5s-<8>7?4$712>4=zutw0enj50;&1=2v*93380?!049390qpsC28c90~P5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?997p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>513c8 6ca2?9:7p*9c68:?xP5?808w[j>:3y'266=km1/?hh56208ySb52;q/:>>5cb9'7``=>:80q)8l7;08yS7di38pZi?52z&57509;=5Y28;96~J51k0:w[?m1;0x 4ee2;=;7[?=3;0x 3552m1/:>?5d:~yI4>i3;pZ?7?:3yU`4<5s-<8<7<80:&0ac<1;;1v(;m8:e9~yx=n:?91<7*=968126=Q:031>vB=9c82S7e938p(0:o954}c744?6=;3:1o0e<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e=>;1<7?50;2x 7?02;3n7E<8e:m2g1<72-82;7?l4:9~f01529086=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81o6*9308`?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=k2.=?<4l;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?71<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?b<,?9:6i5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+6209`>"1;80o7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th>;84?:583>5}#:0=1:o5G26g8m44e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552m1/:>?5d:~yx=n9:=1<7*=96826d=O:0<0Z?76:0yO64503_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9:850;494?6|,;3<6;l4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7j4$712>a=zutw0e<2281!04:3n0(;=>:e9~yx{4={%3`f?74?2\:>>4={%406?b<,?9:6i5r}|8m425290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f010290?6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn8oi:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm5c494?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`6fg<72?0;6=u+285957><@;=n7)?;b;d8m44f290/>49513c8R7?>28qG>4l51zT2f4<5s-;hn7?=a:T266<5s-<8>7?4$712>4=zutw0enm50;&1=2{M0:f?7|^8h:6?u+1b`9gf=Q9;91>v*93382?!0493;0qpsr;hag>5<#:0=1oi5Y28;96~J51k0:w[?m1;0x 4ee2jn0Z<<<:3y'264=;2.=?<4<;|~H7?f2=q]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<2:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>744f3-9nj78<1:'2f1=12w]>:?53zTg5?4|,?9;6nj4$2ge>3553t\o>7fe<,:om6;==;|&5g2<53t\:ol4={Wf2>7}#>::1oi5+3dd92644952628R7?>2;qG>4l51zT2f4<5s-;hn7<80:T266<5s-<8>7j4$712>a=zutF95l4>{W0:4?4|^m;1>v*9318135=#;ll1:><4}%4`3?b>4={%406?b<,?9:6i5r}|N1=d<6s_82<75+3dd92644951b68?xd2j90;6>4?:1y'6<1=:0>0D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e=k;1<7?50;2x 7?02;3n7E<8e:m2g1<72-82;7?l4:9~f0d429086=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81:6*93085?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=>2.=?<49;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4956c9K62c2wvqp5f13a94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1g3=8391<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?e<,?9:6n5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1g4=83>1<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9o950;494?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??48;%405?17>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm5c:94?0=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<2281!04:3n0(;=>:e9~yx{96=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=l2.=?<4k;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?76<729q/>4951b18L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1c494?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`2ff<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg7e?3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8h36=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi=ok50;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4:;%405?3b883>6<729q/>4952868L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1cc94?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn5<7s-82;7<6e:J13`=h9j>1<7*=9682g1=53;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a5gb=8321<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7j4$712>a=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9j513=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:9;W317?4|,?996i5+6239`>{zut1b=9950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28><7[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm1gd94?4=83:p(?78:cc8L71b3`;9m7>5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`140<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg47j3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 3552<1/:>?55:~yx=zj;:;6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{51;294~"51>095h5G26g8k4e3290/>4951b68?xd58:0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd58=0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552?1/:>?56:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi>=<50;194?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn?>9:186>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62093>"1;80<7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><57:&574<03twvq6g>3683>!4>?3;9m6F=979U6{Wf0>7}#9jh1=>94V000>7}#>:81h6*9308g?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338g?!0493n0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{55;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81;6*93084?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=l2.=?<4k;|~y>o6;>0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+6209`>"1;80o7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0o7)8<1;f8yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c033:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552>1/:>?57:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?72=#>:;1;6sr}|9j561=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=l2.=?<4k;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4k;%405?b4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg4713:1:7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552>1/:>?57:~yx=n9:=1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5d:&5744383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f76f290>6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a0cc=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd1i3:1>7>50z&1=20:>l54o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj<:>6=49:183!4>?3;946F=7d9'51d=991b=?o50;&1=2<6:h1]>4751zN1=g<6s_;i=744f3_;9?74=#>:;1=6sr}|9jgf<72-82;7ml;W0:=?7|D;3i62281!04:3;0(;=>:09~yx{P51009wA<6b;3xR4d62;q/=nl5ce9U575=:r.=??4<;%405?54o54zT134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3553t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1=?o4$2ge>3563t.=o:46;|T134<4s_n:6?u+6229ga=#;ll1:><4}Wf1>7}#>::1on5+3dd9264v*9318``>"4mo0=??5r$7a4>`=zut1b>:>50;&1=2<5?91]>4752zN1=g<6s_;i=77173_;9?7a=#>:;1h6sr}M0:e?7|^;3;6?uYd081!04838<<6*{W3a5?4|,8ii6?8<;W317?4|,?996i5+6239`>{zuE82m7?tV3;3>7}Ql809w)8<0;057>"4mo0=??5r$7a4>a=zut1d=n:50;&1=2<6k=10qo:if;297?6=8r.95:4=959K62c4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a156=83;1<7>t$3;4>7?b3A8c583>!4>?3;h865rb421>5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<2281!04:3i0(;=>:b9~yx{5<4290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=k2.=?<4l;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209g>"1;80h7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th><<4?:283>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?75<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a170=83<1<7>t$3;4>44?3A84c824>o6:h0;6)<67;31e>P5100:wA<6b;3xR4d62;q/=nl513c8R4442;q/:><51:&574<63twvq6glc;29 7?02ji0Z?76:0yO64=#>:;1=6sr}|9jga<72-82;7mk;W0:=?4|D;3i62281!04:390(;=>:29~yxJ51h0?w[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56208y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575<6:h1/?hh56238y!0d?330q[<81;1xRa7=:r.=?=4ld:&0ac<1;;1vZi<52z&575o5?90;6)<67;044>P51009wA<6b;3xR4d62;q/=nl52628R4442;q/:><5d:&5747173-9nj78<2:'2f1=l2wvq6g=6283>!4>?38=?6X=9881I4>j3;pZ:3y'5fd=:?90Z<<<:3y'264=l2.=?<4k;|~H7?f28q]>4>52zTg5?4|,?9;6?8<;%1fb?04:2w/:n95d:~y>i6k=0;6)<67;3`0>=zj<;i6=4<:183!4>?38286F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?74<729q/>49528g8L71b3f;h87>5$3;4>4e332wi913;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??48;%405?17>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f047290=6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81;6*93084?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=?2.=?<48;|~y>o6;>0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62093>"1;80<7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0o7)8<1;f8yx{z3`;?97>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;=1;292?6=8r.95:49b:J13`=n9;h1<7*=96826d=O:0<0Z?76:0yO644e3_;9?72=#>:;1;6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996:5+62393>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+6209`>"1;80o7psr}:k200<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2:;0;6;4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=l2.=?<4k;|~y>o6<<0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+6209`>"1;80o7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th>>>4?:683>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{96=4+285957g<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996i5+6239`>{zut1b=9850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9510<^8886?u+6209`>"1;80o7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th>>94?:783>5}#:0=1=n=4H35f?l75j3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7?4$712>4=zutw0e<44d3_;9?74=#>:;1=6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81=6*93082?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*93382?!0493;0qpsr;h371?6=,;3<6<2281!04:3;0(;=>:09~yx{5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1=4=8381<7>t$3;4>gg<@;=n7d?=a;29 7?0288j76a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2010;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3?0(;=>:49~yx{53;294~"51>09595G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;38 356281vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm56g94?7=83:p(?78:3;f?M40m2e:o94?:%0:3?7d<21vn86?:180>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn86>:186>5<7s-82;78m;I04a>o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?04={%3`f?75k2\:>>4={%406?0<,?9:6;5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;48 3562?1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552j1/:>?5c:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm56d94?5=83:p(?78:0a0?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5<3290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=l2.=?<4k;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+6209`>"1;80o7psr}:k272<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd20=0;684?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996i5+6239`>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1=3=83?1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;f8 3562m1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=O:0<0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi95850;594?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??48;%405?17>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7j4$712>a=zutw0e<:9:18'6<1=9;k0Z?76:0yO64213_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi95950;794?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996<5+62395>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th>4o4?:383>5}#:0=1nl5G26g8m44f290/>49513c8?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;61;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62091>"1;80>7psr}:a1=e=8391<7>t$3;4>7?33A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{51;294~"51>095h5G26g8k4e3290/>4951b68?xd20o0;6>4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7m4$712>f=zutw0e<44d3_;9?7f=#>:;1o6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi94>50;794?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*9338`?!0493i0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4l;%405?e7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;a8 3562j1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj<2n6=4<:183!4>?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{7>56;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81;6*93084?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<2281!04:3=0(;=>:69~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?1<,?9:6:5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996i5+6239`>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1<5=83<1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62093>"1;80<7psr}:k207<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><57:&574<03twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo;64;297?6=8r.95:4>c29K62c0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c7`6?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?70=#>:;196sr}|9~f0da29086=4?{%0:3?4><2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th>o=4?:083>5}#:0=1>4k4H35f?j7d<3:1(?78:0a7?>{e=j;1<7:50;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??49;%405?04={%3`f?75k2\:>>4={%406?e<,?9:6n5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;48 3562?1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9n750;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;78 3562<1vqps4}c7`2?6=;3:1o0e<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e=j=1<7?50;2x 7?02;3n7E<8e:m2g1<72-82;7?l4:9~f0e?29086=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f0ef29086=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81h6*9308g?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=l2.=?<4k;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?71<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f0ed290?6=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338g?!0493n0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4k;%405?b4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg3dl3:1:7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552>1/:>?57:~yx=n9:=1<7*=96826d=O:0<0Z?76:0yO64503_;9?72=#>:;1;6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81h6*9308g?x{zu2c:884?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=l2.=?<4k;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?73<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><5d:&5744483>!4>?3;9m6F=979U6{Wf0>7}#9jh1=9;4V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`6gc<72?0;6=u+28595f5<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?7<,?9:6<5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62095>"1;80:7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0:7)8<1;38yx{z3`;?97>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj6=4=:183!4>?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi9h750;094?6|,;3<6oo4H35f?l75i3:1(?78:00b?>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?77<729q/>495b`9K62c5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;78 3562<1vqps4}c7f2?6=;3:1o0e<2281!04:3;0(;=>:09~yx{0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e=l=1<7?50;2x 7?02;3n7E<8e:m2g1<72-82;7?l4:9~f0c?29086=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f0cf2909=7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552>1/:>?57:~yx=n9:=1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><57:&574<03twvq6g>4383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<;1]=?=52z&577<03-<8=794}|~?l73=3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>794$712>2=zutw0e<:9:18'6<1=9;k0Z?76:0yO64213_;9?72=#>:;1;6sr}|9j511=83.95:4>2`9U6{Wf0>7}#9jh1=994V000>7}#>:81;6*93084?x{zu2c:854?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc820==Q9;91>v*93384?!0493=0qpsr;h37=?6=,;3<6<2281!04:3=0(;=>:69~yx{j6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9;n0Z<<<:3y'264=?2.=?<48;|~y>o6:l0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2d9U575=:r.=??48;%405?1k5Y13196~"1;;0<7)8<1;58yx{z3`;8<7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;304>P6::09w)8<2;58 3562>1vqps4i012>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289:7[?=3;0x 3552>1/:>?57:~yx=n9:81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51208R4442;q/:><57:&574<03twvq6g>3283>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;:1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<4:T266<5s-<8>7?4$712>4=zutw0e<=::18'6<1=9;k0Z?76:0yO64523_;9?74=#>:;1=6sr}|9j560=83.95:4>2`9U6{Wf0>7}#9jh1=>84V000>7}#>:81=6*93082?x{zu2c:?54?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827==Q9;91>v*93382?!0493;0qpsr;h30=?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:h0Z<<<:3y'264=92.=?<4>;|~y>o6;j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3b9U575=:r.=??4>;%405?74={%3`f?74l2\:>>4={%406?7<,?9:6<5r}|8m45b290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=j;W317?4|,?996<5+62395>{zut1b=>h50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956`<^8886?u+62095>"1;80:7psr}:k205<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8=5Y13196~"1;;0:7)8<1;38yx{z3`;?=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;375>P6::09w)8<2;38 356281vqps4i060>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>87[?=3;0x 355281/:>?51:~yx=n9=>1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51568R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd2mk0;6??50;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?1n5Y13196~"1;;0<7)8<1;58yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;58 3562>1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552>1/:>?57:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><57:&574<03twvq6g>4783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>794$712>2=zutw0e<:7:18'6<1=9;k0Z?76:0yO642?3_;9?72=#>:;1;6sr}|9j51?=83.95:4>2`9U6{Wf0>7}#9jh1=974V000>7}#>:81;6*93084?x{zu2c:8l4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc820d=Q9;91>v*93384?!0493=0qpsr;h31`?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>>50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9566<^8886?u+62093>"1;80<7psr}:k274<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?<5Y13196~"1;;0<7)8<1;58yx{z3`;8>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;306>P6::09w)8<2;58 3562>1vqps4i010>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28987[?=3;0x 3552m1/:>?5d:~yx=n9:>1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51268R4442;q/:><51:&574<63twvq6g>3483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;<1]=?=52z&577<63-<8=7?4}|~?l74>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<6:T266<5s-<8>7?4$712>4=zutw0e<=7:18'6<1=9;k0Z?76:0yO645?3_;9?74=#>:;1=6sr}|9j56?=83.95:4>2`9U6{Wf0>7}#9jh1=>74V000>7}#>:81=6*93082?x{zu2c:?l4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827d=Q9;91>v*93382?!0493;0qpsr;h30f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:n0Z<<<:3y'264=92.=?<4>;|~y>o6;l0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3d9U575=:r.=??4>;%405?74={%3`f?74n2\:>>4={%406?7<,?9:6<5r}|8m427290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:?;W317?4|,?996<5+62395>{zut1b=9?50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9517<^8886?u+62095>"1;80:7psr}:k206<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8>5Y13196~"1;;0:7)8<1;38yx{z3`;?87>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;370>P6::09w)8<2;38 356281vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9561<^8886?u+62093>"1;80<7psr}:k207<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8?5Y13196~"1;;0<7)8<1;58yx{z3`;?97>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;58 3562>1vqps4i065>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 3552>1/:>?57:~yx=n9==1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51558R4442;q/:><57:&574<03twvq6g>4983>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<11]=?=52z&577<03-<8=794}|~?l7313:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc820<=Q9;91>v*93384?!0493=0qpsr;h37e?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>4`9U575=:r.=??48;%405?1i5Y13196~"1;;0<7)8<1;58yx{z3`;9i7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:l1]=?=52z&577<03-<8=794}|~?l75n3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826c=Q9;91>v*93384?!0493=0qpsr;h304?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>319U575=:r.=??48;%405?14={%3`f?7492\:>>4={%406?1<,?9:6:5r}|8m455290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<==;W317?4|,?996:5+62393>{zut1b=>=50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9565<^8886?u+62093>"1;80<7psr}:k271<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?95Y13196~"1;;0:7)8<1;38yx{z3`;897>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;301>P6::09w)8<2;38 356281vqps4i015>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289=7[?=3;0x 355281/:>?51:~yx=n9:21<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512:8R4442;q/:><51:&574<63twvq6g>3883>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;01]=?=52z&577<63-<8=7?4}|~?l74i3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?7?4$712>4=zutw0e<=m:18'6<1=9;k0Z?76:0yO645e3_;9?74=#>:;1=6sr}|9j56e=83.95:4>2`9U6{Wf0>7}#9jh1=>m4V000>7}#>:81=6*93082?x{zu2c:?i4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827a=Q9;91>v*93382?!0493;0qpsr;h30a?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=:0Z<<<:3y'264=92.=?<4>;|~y>o6<80;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>409U575=:r.=??4>;%405?74={%3`f?73;2\:>>4={%406?7<,?9:6<5r}|8m423290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:;;W317?4|,?996<5+62395>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a1`b=838:6=4?{%0:3?0e3A82c83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?l4V000>7}#>:81;6*93084?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=?2.=?<48;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??48;%405?14={%3`f?73:2\:>>4={%406?1<,?9:6:5r}|8m422290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996:5+62393>{zut1b=9850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9510<^8886?u+62093>"1;80<7psr}:k202<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8:5Y13196~"1;;0<7)8<1;58yx{z3`;?47>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;37<>P6::09w)8<2;58 3562>1vqps4i06:>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;9:T266<5s-<8>794$712>2=zutw0e<:n:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{4={%3`f?75l2\:>>4={%406?1<,?9:6:5r}|8m44b290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31a>P6::09w)8<2;58 3562>1vqps4i00e>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=f:T266<5s-<8>794$712>2=zutw0e<=?:18'6<1=9;k0Z?76:0yO64573_;9?72=#>:;1;6sr}|9j567=83.95:4>2`9U6{Wf0>7}#9jh1=>?4V000>7}#>:81;6*93084?x{zu2c:??4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8277=Q9;91>v*93384?!0493=0qpsr;h307?6=,;3<6<2281!04:3n0(;=>:e9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:?0Z<<<:3y'264=92.=?<4>;|~y>o6;?0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>379U575=:r.=??4>;%405?74={%3`f?7402\:>>4={%406?7<,?9:6<5r}|8m45>290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=6;W317?4|,?996<5+62395>{zut1b=>o50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956g<^8886?u+62095>"1;80:7psr}:k27g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?o5Y13196~"1;;0:7)8<1;38yx{z3`;8o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30g>P6::09w)8<2;38 356281vqps4i01g>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289o7[?=3;0x 355281/:>?51:~yx=n9:o1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512g8R4442;q/:><51:&574<63twvq6g>3g83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;o1]=?=52z&577<63-<8=7?4}|~?l7383:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;0:T266<5s-<8>7?4$712>4=zutw0e<:>:18'6<1=9;k0Z?76:0yO64263_;9?74=#>:;1=6sr}|9j515=83.95:4>2`9U6{Wf0>7}#9jh1=9=4V000>7}#>:81=6*93082?x{zu2c:894?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8201=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{52083>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?72=#>:;1;6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81;6*93084?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93384?!0493=0qpsr;h372?6=,;3<6<2281!04:3=0(;=>:69~yx{<6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=20Z<<<:3y'264=?2.=?<48;|~y>o6<00;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`951?<^8886?u+62093>"1;80<7psr}:k20d<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl515c8R4442;q/:><57:&574<03twvq6g>2e83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?j4V000>7}#>:81;6*93084?x{zu2c:>h4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;o0Z<<<:3y'264=?2.=?<48;|~y>o6:o0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957`<^8886?u+62093>"1;80<7psr}:k275<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?=5Y13196~"1;;0<7)8<1;58yx{z3`;8=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;305>P6::09w)8<2;58 3562>1vqps4i011>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28997[?=3;0x 3552>1/:>?57:~yx=n9:91<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51218R4442;q/:><5d:&5743583>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;=1]=?=52z&577<63-<8=7?4}|~?l74=3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<5:T266<5s-<8>7?4$712>4=zutw0e<=9:18'6<1=9;k0Z?76:0yO64513_;9?74=#>:;1=6sr}|9j56>=83.95:4>2`9U6{Wf0>7}#9jh1=>64V000>7}#>:81=6*93082?x{zu2c:?44?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827<=Q9;91>v*93382?!0493;0qpsr;h30e?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:i0Z<<<:3y'264=92.=?<4>;|~y>o6;m0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3e9U575=:r.=??4>;%405?74={%3`f?74m2\:>>4={%406?7<,?9:6<5r}|8m45a290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=i;W317?4|,?996<5+62395>{zut1b=9>50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9516<^8886?u+62095>"1;80:7psr}:k204<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8<5Y13196~"1;;0:7)8<1;38yx{z3`;??7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;377>P6::09w)8<2;38 356281vqps4i067>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>?7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm5dd94?0=83:p(?78:0a0?M40m2c:>o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=92.=?<4>;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yge529096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{ek?0;6?4?:1y'6<1=jh1C>:k4i00b>5<#:0=1=?o4;n3`0?6=,;3<62281!04:3?0(;=>:49~yx{?38286F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{:183!4>?382i6F=7d9l5f2=83.95:4>c598yge2290:6=4?{%0:3?7d:2B9;h5`1b694?"51>0:o954}cf5>5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a`2<7210;6=u+28592g=O:>o0e<44e3_;9?72=#>:;1;6sr}|9j57e=83.95:4>2`9U6{Wf0>7}#9jh1=?m4V000>7}#>:81;6*93084?x{zu2c:?:4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=l2.=?<4k;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4k;%405?b4={%3`f?73=2\:>>4={%406?b<,?9:6i5r}|8m421290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;f8 3562m1vqps4i064>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~fa>=8321<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7[<69;3xH7?e28q]h>4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552m1/:>?5d:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><5d:&5744483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&5773:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8203=Q9;91>v*9338g?!0493n0qpsr;h373?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>469U575=:r.=??4k;%405?b4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8ygb>29036=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338g?!0493n0qpsr;h303?6=,;3<6<2281!04:3n0(;=>:e9~yx{96=4+285957g<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996i5+6239`>{zut1b=9850;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>=7[?=3;0x 3552m1/:>?5d:~yx=n9==1<7*=96826d=O:0<0Z?76:0yO64203_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wihl4?:0394?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??48;%405?14={%3`f?74?2\:>>4={%406?1<,?9:6:5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996:5+62393>{zut1b=9;50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+62093>"1;80<7psr}:k203<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8;5Y13196~"1;;0<7)8<1;58yx{z3`;?;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;373>P6::09w)8<2;58 3562>1vqps4i06;>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>37[?=3;0x 3552>1/:>?57:~yx=n9=31<7*=96826d=O:0<0Z?76:0yO642>3_;9?72=#>:;1;6sr}|9j51g=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?k50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957c<^8886?u+6209`>"1;80o7psr}:k26c<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>k5Y13196~"1;;0:7)8<1;38yx{z3`;8<7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;304>P6::09w)8<2;38 356281vqps4i012>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289:7[?=3;0x 355281/:>?51:~yx=n9:81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51208R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xdcj3:1=<4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=?2.=?<48;|~y>o6<<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??48;%405?14={%3`f?73>2\:>>4={%406?1<,?9:6:5r}|8m420290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:8;W317?4|,?996:5+62393>{zut1b=9650;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951><^8886?u+62093>"1;80<7psr}:k20<<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl515;8R4442;q/:><57:&574<03twvq6g>4`83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=9o4V000>7}#>:81h6*9308g?x{zu2c:>i4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826a=Q9;91>v*9338g?!0493n0qpsr;h31a?6=,;3<6<2281!04:3n0(;=>:e9~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9::0Z<<<:3y'264=92.=?<4>;|~y>o6;80;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>309U575=:r.=??4>;%405?74={%3`f?74:2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vnim50;494?6|,;3<6o6:k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??4>;%405?74={%3`f?75k2\:>>4={%406?7<,?9:6<5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996<5+62395>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+62095>"1;80:7psr}:k200<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:885Y13196~"1;;0:7)8<1;38yx{z3f;h87>5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}cfg>5<5290;w)<67;`b?M40m2c:>l4?:%0:3?75i21d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a``<72?0;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;58 3562>1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552m1/:>?5d:~yx=n9=?1<7*=96826d=O:0<0Z?76:0yO64223_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wihk4?:783>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{96=4+285957g<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996i5+6239`>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:aa5<72?0;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;58 3562>1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552m1/:>?5d:~yx=n9=?1<7*=96826d=O:0<0Z?76:0yO64223_;9?7a=#>:;1h6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wii<4?:783>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>794$712>2=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?72=#>:;1;6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81h6*9308g?x{zu2c:884?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=l2.=?<4k;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?744e3_;9?72=#>:;1;6sr}|9j57e=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996:5+62393>{zut1b=9<50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9514<^8886?u+6209`>"1;80o7psr}:k200<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><5d:&5744783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qok<:185>5<7s-82;7?l3:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><51:&574<63twvq6g>2b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<63-<8=7?4}|~?l74?3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7?4$712>4=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?74=#>:;1=6sr}|9j513=83.95:4>2`9U6{Wf0>7}#9jh1=9;4V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`f0?6=:3:1N5?l1b=?o50;&1=2<6:h10c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f`3=83>1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0o7)8<1;f8yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338g?!0493n0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{?3o5Y13196~"1;;0<7)8<1;58yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>7j4$712>a=zutw0e<:=:18'6<1=9;k0D?79;W0:=?7|D;3i66X>2281!04:3n0(;=>:e9~yx{5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??48;%405?14={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8m422290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;f8 3562m1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zjl21<7850;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?1n5Y13196~"1;;0<7)8<1;58yx{z3`;8;7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<03-<8=794}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7j4$712>a=zutw0e<:::18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3n0(;=>:e9~yx{5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??48;%405?14={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8m422290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;f8 3562m1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zjlk1<7850;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><51:&574<63twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qokm:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6smeb83>3<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>794$712>2=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9j513=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{emm0;6;4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{4={%3`f?74?2\:>>4={%406?1<,?9:6:5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996i5+6239`>{zut1b=9;50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6smed83>3<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>794$712>2=zutw0e<:=:18'6<1=9;k0Z?76:0yO64253_;9?7a=#>:;1h6sr}|9j513=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{emo0;6;4?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9=80Z<<<:3y'264=l2.=?<4k;|~y>o6<<0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+6209`>"1;80o7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3thm<7>57;294~"51>0=n6F=7d9j57d=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>950;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552>1/:>?57:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><5d:&5744483>!4>?3;9m6F=979U6{Wf0>7}#9jh1=9;4V000>7}#>:81h6*9308g?x{zu2c:8;4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8203=Q9;91>v*9338g?!0493n0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{?3;h?6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81=6*93082?x{zu2c:>n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93382?!0493;0qpsr;h303?6=,;3<6<2281!04:3;0(;=>:09~yx{96=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?75$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338g?!0493n0qpsr;h303?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4k;%405?b4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg`3290>6=4?{%0:3?0e3A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*9338g?!0493n0qpsr;h303?6=,;3<6<2281!04:3n0(;=>:e9~yx{96=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vnk;50;494?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??48;%405?17>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~fc0=83<1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0<7)8<1;58yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<03-<8=794}|~?l74?3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*93384?!0493=0qpsr;h376?6=,;3<6<6X>2281!04:3n0(;=>:e9~yx{>6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73=2\:>>4={%406?b<,?9:6i5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vnk950;494?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??48;%405?17>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;f8 3562m1vqps4i066>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;5:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~fc>=83<1<7>t$3;4>4e43A82c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<63-<8=7?4}|~?l75k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7?4$712>4=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?74=#>:;1=6sr}|9j514=83.95:4>2`9U6{Wf0>7}#9jh1=9<4V000>7}#>:81=6*93082?x{zu2c:884?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8200=Q9;91>v*93382?!0493;0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{?3hj7E<8e:k26d<72-82;7?=a:9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wijl4?:283>5}#:0=1:o5G26g8m44e290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;f8 3562m1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~fcd=83?1<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0<7)8<1;58yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?<7:T266<5s-<8>794$712>2=zutw0e<:=:18'6<1=9;k0D?79;W0:=?7|D;3i66X>2281!04:3=0(;=>:69~yx{o4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93382?!0493;0qpsr;h31g?6=,;3<6<2281!04:3;0(;=>:09~yx{5$3;4>44f32e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`ea?6=;3:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552m1/:>?5d:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xdan3:187>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552m1/:>?5d:~yx=n9:=1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51258R4442;q/:><5d:&574c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd6890;694?:1y'6<1=>k1C>:k4i00a>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=b:T266<5s-<8>7j4$712>a=zutw0e<44d3_;9?7a=#>:;1h6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81h6*9308g?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`244<72<0;6=u+28592g=O:>o0e<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?1<,?9:6:5r}|8m450290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;f8 3562m1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm11094?3=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7j4$712>a=zutw0e<=8:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3n0(;=>:e9~yx{96=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e9991<7850;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><51:&574<63twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo??4;296?6=8r.95:4ma:J13`=n9;k1<7*=96826d=5<593:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552>1/:>?57:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?72=#>:;1;6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81;6*93084?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*93384?!0493=0qpsr;h371?6=,;3<6<2281!04:3=0(;=>:69~yx{=6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9==0Z<<<:3y'264=?2.=?<48;|~y>o6<10;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>499U575=:r.=??48;%405?14={%3`f?7312\:>>4={%406?1<,?9:6:5r}|8m42f290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:n;W317?4|,?996:5+62393>{zut1b=?j50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957b<^8886?u+62093>"1;80<7psr}:k26`<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>h5Y13196~"1;;0<7)8<1;58yx{z3`;9j7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:o1]=?=52z&577<03-<8=794}|~?l7483:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8275=Q9;91>v*93384?!0493=0qpsr;h305?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:90Z<<<:3y'264=?2.=?<48;|~y>o6;=0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>359U575=:r.=??4>;%405?74={%3`f?74=2\:>>4={%406?7<,?9:6<5r}|8m451290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=9;W317?4|,?996<5+62395>{zut1b=>650;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956><^8886?u+62095>"1;80:7psr}:k27<<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?45Y13196~"1;;0:7)8<1;38yx{z3`;8m7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30e>P6::09w)8<2;38 356281vqps4i01a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289i7[?=3;0x 355281/:>?51:~yx=n9:i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512a8R4442;q/:><51:&574<63twvq6g>3e83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;m1]=?=52z&577<63-<8=7?4}|~?l74m3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?7?4$712>4=zutw0e<=i:18'6<1=9;k0Z?76:0yO645a3_;9?74=#>:;1=6sr}|9j516=83.95:4>2`9U6{Wf0>7}#9jh1=9>4V000>7}#>:81=6*93082?x{zu2c:8<4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8204=Q9;91>v*93382?!0493;0qpsr;h377?6=,;3<6<2281!04:3;0(;=>:09~yx{?6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e99<1<7<>:183!4>?3<57:&574<03twvq6g>2b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81;6*93084?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*93384?!0493=0qpsr;h376?6=,;3<6<6X>2281!04:3=0(;=>:69~yx{>6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=<0Z<<<:3y'264=?2.=?<48;|~y>o6<>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>469U575=:r.=??48;%405?14={%3`f?7302\:>>4={%406?1<,?9:6:5r}|8m42>290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:6;W317?4|,?996:5+62393>{zut1b=9o50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951g<^8886?u+62093>"1;80<7psr}:k26a<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>i5Y13196~"1;;0<7)8<1;58yx{z3`;9i7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31a>P6::09w)8<2;58 3562>1vqps4i00e>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=f:T266<5s-<8>794$712>2=zutw0e<=?:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:80Z<<<:3y'264=?2.=?<48;|~y>o6;:0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>329U575=:r.=??48;%405?14={%3`f?74<2\:>>4={%406?7<,?9:6<5r}|8m452290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=:;W317?4|,?996<5+62395>{zut1b=>850;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9560<^8886?u+62095>"1;80:7psr}:k27=<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?55Y13196~"1;;0:7)8<1;38yx{z3`;857>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30=>P6::09w)8<2;38 356281vqps4i01b>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289j7[?=3;0x 355281/:>?51:~yx=n9:h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512`8R4442;q/:><51:&574<63twvq6g>3b83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;j1]=?=52z&577<63-<8=7?4}|~?l74l3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?7?4$712>4=zutw0e<=j:18'6<1=9;k0Z?76:0yO645b3_;9?74=#>:;1=6sr}|9j56`=83.95:4>2`9U6{Wf0>7}#9jh1=>h4V000>7}#>:81=6*93082?x{zu2c:8=4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8205=Q9;91>v*93382?!0493;0qpsr;h375?6=,;3<6<2281!04:3;0(;=>:09~yx{86=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=>0Z<<<:3y'264=92.=?<4>;|~y>i6k=0;6)<67;3`0>P5100:wA<6b;3xRa5=:r.:oo4>c59U575=:r.=??4>;%405?70683>77=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:k1]=?=52z&577<03-<8=794}|~?l75k3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826f=Q9;91>v*93384?!0493=0qpsr;h303?6=,;3<6<2281!04:3=0(;=>:69~yx{96=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=?0Z<<<:3y'264=?2.=?<48;|~y>o6P5100:wA<6b;3xRa5=:r.:oo4>479U575=:r.=??48;%405?14={%3`f?73?2\:>>4={%406?1<,?9:6:5r}|8m42?290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:7;W317?4|,?996:5+62393>{zut1b=9750;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`951?<^8886?u+62093>"1;80<7psr}:k20d<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8l5Y13196~"1;;0<7)8<1;58yx{z3`;9h7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31`>P6::09w)8<2;58 3562>1vqps4i00f>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288n7[?=3;0x 3552>1/:>?57:~yx=n9;l1<7*=96826d=O:0<0Z?76:0yO644a3_;9?72=#>:;1;6sr}|9j566=83.95:4>2`9U6{Wf0>7}#9jh1=>>4V000>7}#>:81;6*93084?x{zu2c:?<4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8274=Q9;91>v*93384?!0493=0qpsr;h306?6=,;3<6<6X>2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:>0Z<<<:3y'264=92.=?<4>;|~y>o6;<0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>349U575=:r.=??4>;%405?74={%3`f?74>2\:>>4={%406?7<,?9:6<5r}|8m45?290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=7;W317?4|,?996<5+62395>{zut1b=>750;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956?<^8886?u+62095>"1;80:7psr}:k27d<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?l5Y13196~"1;;0:7)8<1;38yx{z3`;8n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30f>P6::09w)8<2;38 356281vqps4i01`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289h7[?=3;0x 355281/:>?51:~yx=n9:n1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512f8R4442;q/:><51:&574<63twvq6g>3d83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;l1]=?=52z&577<63-<8=7?4}|~?l74n3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?7?4$712>4=zutw0e<:?:18'6<1=9;k0Z?76:0yO64273_;9?74=#>:;1=6sr}|9j517=83.95:4>2`9U6{Wf0>7}#9jh1=9?4V000>7}#>:81=6*93082?x{zu2c:8>4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8206=Q9;91>v*93382?!0493;0qpsr;h370?6=,;3<6<2281!04:3;0(;=>:09~yx{5<593:1N5?l1b=?l50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 3552>1/:>?57:~yx=n9;i1<7*=96826d=O:0<0Z?76:0yO644d3_;9?72=#>:;1;6sr}|9j561=83.95:4>2`9U6{Wf0>7}#9jh1=>94V000>7}#>:81;6*93084?x{zu2c:8?4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*93384?!0493=0qpsr;h371?6=,;3<6<2281!04:3=0(;=>:69~yx{=6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9==0Z<<<:3y'264=?2.=?<48;|~y>o6<10;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>499U575=:r.=??48;%405?15$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6>2\9544>{M0:f?7|^m91>v*>cc826a=Q9;91>v*93384?!0493=0qpsr;h31a?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2d9U575=:r.=??48;%405?1k5Y13196~"1;;0<7)8<1;58yx{z3`;8<7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;91]=?=52z&577<03-<8=794}|~?l7493:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<1:T266<5s-<8>794$712>2=zutw0e<==:18'6<1=9;k0Z?76:0yO64553_;9?72=#>:;1;6sr}|9j565=83.95:4>2`9U6{Wf0>7}#9jh1=>=4V000>7}#>:81;6*93084?x{zu2c:?94?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8271=Q9;91>v*93382?!0493;0qpsr;h301?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:20Z<<<:3y'264=92.=?<4>;|~y>o6;00;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>389U575=:r.=??4>;%405?74={%3`f?74i2\:>>4={%406?7<,?9:6<5r}|8m45e290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=m;W317?4|,?996<5+62395>{zut1b=>m50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956e<^8886?u+62095>"1;80:7psr}:k27a<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?i5Y13196~"1;;0:7)8<1;38yx{z3`;8i7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30a>P6::09w)8<2;38 356281vqps4i01e>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289m7[?=3;0x 355281/:>?51:~yx=n9=:1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51528R4442;q/:><51:&574<63twvq6g>4083>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<81]=?=52z&577<63-<8=7?4}|~?l73;3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;3:T266<5s-<8>7?4$712>4=zutw0e<:;:18'6<1=9;k0Z?76:0yO64233_;9?74=#>:;1=6sr}|9l5f2=83.95:4>c59U6{Wf0>7}#9jh1=n:4V000>7}#>:81=6*93082?x{zu2wi==750;02>5<7s-82;78m;I04a>o6:k0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+62093>"1;80<7psr}:k26f<72-82;7?=a:J1=3=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><57:&574<03twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<03-<8=794}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>794$712>2=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?72=#>:;1;6sr}|9j510=83.95:4>2`9U6{Wf0>7}#9jh1=984V000>7}#>:81;6*93084?x{zu2c:8:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8202=Q9;91>v*93384?!0493=0qpsr;h372281!04:3=0(;=>:69~yx{26=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?7312\:>>4={%406?1<,?9:6:5r}|8m42f290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;37e>P6::09w)8<2;58 3562>1vqps4i00g>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=d:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{4={%3`f?75n2\:>>4={%406?1<,?9:6:5r}|8m457290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;304>P6::09w)8<2;58 3562>1vqps4i012>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289:7[?=3;0x 3552>1/:>?57:~yx=n9:81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51208R4442;q/:><57:&574<03twvq6g>3283>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;:1]=?=52z&577<03-<8=794}|~?l74<3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<4:T266<5s-<8>7?4$712>4=zutw0e<=::18'6<1=9;k0Z?76:0yO64523_;9?74=#>:;1=6sr}|9j560=83.95:4>2`9U6{Wf0>7}#9jh1=>84V000>7}#>:81=6*93082?x{zu2c:?54?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827==Q9;91>v*93382?!0493;0qpsr;h30=?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:h0Z<<<:3y'264=92.=?<4>;|~y>o6;j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3b9U575=:r.=??4>;%405?74={%3`f?74l2\:>>4={%406?7<,?9:6<5r}|8m45b290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=j;W317?4|,?996<5+62395>{zut1b=>h50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956`<^8886?u+62095>"1;80:7psr}:k205<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8=5Y13196~"1;;0:7)8<1;38yx{z3`;?=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;375>P6::09w)8<2;38 356281vqps4i060>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>87[?=3;0x 355281/:>?51:~yx=n9=>1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51568R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd68h0;6;4?:1y'6<1=9j90D?9j;h31f?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:=0Z<<<:3y'264=92.=?<4>;|~y>o6<;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4>;%405?74={%3`f?73=2\:>>4={%406?7<,?9:6<5r}|8k4e3290/>4951b68R7?>28qG>4l51zTg7?4|,8ii6{zut1vn<>m:181>5<7s-82;7ln;I04a>o6:h0;6)<67;31e>=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm11a94?5=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;`8 3562k1vqps4i00`>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552k1/:>?5b:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm11f94?46290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=?2.=?<48;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62093>"1;80<7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0<7)8<1;58yx{z3`;?>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;58 3562>1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552>1/:>?57:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><57:&574<03twvq6g>4683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<>1]=?=52z&577<03-<8=794}|~?l7303:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;8:T266<5s-<8>794$712>2=zutw0e<:6:18'6<1=9;k0Z?76:0yO642>3_;9?72=#>:;1;6sr}|9j51g=83.95:4>2`9U6{Wf0>7}#9jh1=9o4V000>7}#>:81;6*93084?x{zu2c:>i4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc826a=Q9;91>v*93384?!0493=0qpsr;h31a?6=,;3<6<2281!04:3=0(;=>:69~yx{4={%3`f?75n2\:>>4={%406?1<,?9:6:5r}|8m457290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;304>P6::09w)8<2;58 3562>1vqps4i012>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289:7[?=3;0x 3552>1/:>?57:~yx=n9:81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51208R4442;q/:><57:&574<03twvq6g>3283>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;:1]=?=52z&577<03-<8=794}|~?l74<3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<4:T266<5s-<8>7?4$712>4=zutw0e<=::18'6<1=9;k0Z?76:0yO64523_;9?74=#>:;1=6sr}|9j560=83.95:4>2`9U6{Wf0>7}#9jh1=>84V000>7}#>:81=6*93082?x{zu2c:?54?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827==Q9;91>v*93382?!0493;0qpsr;h30=?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:h0Z<<<:3y'264=92.=?<4>;|~y>o6;j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3b9U575=:r.=??4>;%405?74={%3`f?74l2\:>>4={%406?7<,?9:6<5r}|8m45b290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=j;W317?4|,?996<5+62395>{zut1b=>h50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956`<^8886?u+62095>"1;80:7psr}:k205<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8=5Y13196~"1;;0:7)8<1;38yx{z3`;?=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;375>P6::09w)8<2;38 356281vqps4i060>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>87[?=3;0x 355281/:>?51:~yx=n9=>1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51568R4442;q/:><51:&574<63twvq6a>c583>!4>?3;h86X=9882I4>j3;pZi=52z&2gg<6k=1]=?=52z&577<63-<8=7?4}|~?xd68l0;6??50;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?1n5Y13196~"1;;0<7)8<1;58yx{z3`;8;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;303>P6::09w)8<2;58 3562>1vqps4i061>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552>1/:>?57:~yx=n9=?1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51578R4442;q/:><57:&574<03twvq6g>4783>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<613;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>794$712>2=zutw0e<:7:18'6<1=9;k0Z?76:0yO642?3_;9?72=#>:;1;6sr}|9j51?=83.95:4>2`9U6{Wf0>7}#9jh1=974V000>7}#>:81;6*93084?x{zu2c:8l4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc820d=Q9;91>v*93384?!0493=0qpsr;h31`?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=>>50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee289;7[?=3;0x 3552>1/:>?57:~yx=n9:;1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51238R4442;q/:><57:&574<03twvq6g>3383>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;;1]=?=52z&577<03-<8=794}|~?l74;3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<3:T266<5s-<8>794$712>2=zutw0e<=;:18'6<1=9;k0Z?76:0yO64533_;9?74=#>:;1=6sr}|9j563=83.95:4>2`9U6{Wf0>7}#9jh1=>;4V000>7}#>:81=6*93082?x{zu2c:?;4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8273=Q9;91>v*93382?!0493;0qpsr;h302281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:k0Z<<<:3y'264=92.=?<4>;|~y>o6;k0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3c9U575=:r.=??4>;%405?74={%3`f?74k2\:>>4={%406?7<,?9:6<5r}|8m45c290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=k;W317?4|,?996<5+62395>{zut1b=>k50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`956c<^8886?u+62095>"1;80:7psr}:k27c<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?k5Y13196~"1;;0:7)8<1;38yx{z3`;?<7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;374>P6::09w)8<2;38 356281vqps4i062>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>:7[?=3;0x 355281/:>?51:~yx=n9=91<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51518R4442;q/:><51:&574<63twvq6g>4583>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<=1]=?=52z&577<63-<8=7?4}|~?j7d<3:1(?78:0a7?S4>13;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo??f;2964<729q/>4956c9K62c4={%3`f?75j2\:>>4={%406?1<,?9:6:5r}|8m44d290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;58 3562>1vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 3552>1/:>?57:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><57:&574<03twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<03-<8=794}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>794$712>2=zutw0e<:8:18'6<1=9;k0Z?76:0yO64203_;9?72=#>:;1;6sr}|9j51>=83.95:4>2`9U6{Wf0>7}#9jh1=964V000>7}#>:81;6*93084?x{zu2c:844?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc820<=Q9;91>v*93384?!0493=0qpsr;h37e?6=,;3<6<2281!04:3=0(;=>:69~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;o0Z<<<:3y'264=?2.=?<48;|~y>o6:o0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957`<^8886?u+62093>"1;80<7psr}:k275<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?=5Y13196~"1;;0<7)8<1;58yx{z3`;8=7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;305>P6::09w)8<2;58 3562>1vqps4i011>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28997[?=3;0x 3552>1/:>?57:~yx=n9:91<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51218R4442;q/:><5d:&5743583>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;=1]=?=52z&577<63-<8=7?4}|~?l74=3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<5:T266<5s-<8>7?4$712>4=zutw0e<=9:18'6<1=9;k0Z?76:0yO64513_;9?74=#>:;1=6sr}|9j56>=83.95:4>2`9U6{Wf0>7}#9jh1=>64V000>7}#>:81=6*93082?x{zu2c:?44?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827<=Q9;91>v*93382?!0493;0qpsr;h30e?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:i0Z<<<:3y'264=92.=?<4>;|~y>o6;m0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>3e9U575=:r.=??4>;%405?74={%3`f?74m2\:>>4={%406?7<,?9:6<5r}|8m45a290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=i;W317?4|,?996<5+62395>{zut1b=9>50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9516<^8886?u+62095>"1;80:7psr}:k204<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8<5Y13196~"1;;0:7)8<1;38yx{z3`;??7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;377>P6::09w)8<2;38 356281vqps4i067>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>?7[?=3;0x 355281/:>?51:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm10294?46290;w)<67;4a?M40m2c:>o4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=?2.=?<48;|~y>o6:j0;6)<67;31e>N51?1]>4751zN1=g<6s_n86?u+1b`957e<^8886?u+62093>"1;80<7psr}:k272<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?:5Y13196~"1;;0<7)8<1;58yx{z3`;?>7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;376>P6::09w)8<2;58 3562>1vqps4i066>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>>7[?=3;0x 3552>1/:>?57:~yx=n9=<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51548R4442;q/:><57:&574<03twvq6g>4683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<>1]=?=52z&577<03-<8=794}|~?l7303:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;8:T266<5s-<8>794$712>2=zutw0e<:6:18'6<1=9;k0D?79;W0:=?7|D;3i62281!04:3=0(;=>:69~yx{j6=4+285957g<@;3=7[<69;3xH7?e28q]h>4={%3`f?73i2\:>>4={%406?1<,?9:6:5r}|8m44c290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;31`>P6::09w)8<2;58 3562>1vqps4i00f>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=e:T266<5s-<8>794$712>2=zutw0e<2281!04:3=0(;=>:69~yx{4={%3`f?7482\:>>4={%406?1<,?9:6:5r}|8m456290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=>;W317?4|,?996:5+62393>{zut1b=><50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9564<^8886?u+62093>"1;80<7psr}:k276<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?>5Y13196~"1;;0<7)8<1;58yx{z3`;887>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;300>P6::09w)8<2;38 356281vqps4i016>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289>7[?=3;0x 355281/:>?51:~yx=n9:<1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51248R4442;q/:><51:&574<63twvq6g>3983>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;11]=?=52z&577<63-<8=7?4}|~?l7413:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?<9:T266<5s-<8>7?4$712>4=zutw0e<=n:18'6<1=9;k0Z?76:0yO645f3_;9?74=#>:;1=6sr}|9j56d=83.95:4>2`9U6{Wf0>7}#9jh1=>l4V000>7}#>:81=6*93082?x{zu2c:?n4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827f=Q9;91>v*93382?!0493;0qpsr;h30`?6=,;3<6<2281!04:3;0(;=>:09~yx{0:>l5Y28;95~J51k0:w[j<:3y'5fd=9:l0Z<<<:3y'264=92.=?<4>;|~y>o6<90;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>419U575=:r.=??4>;%405?74={%3`f?7392\:>>4={%406?7<,?9:6<5r}|8m424290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:<;W317?4|,?996<5+62395>{zut1b=9:50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9512<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3th:=<4?:3394?6|,;3<6;l4H35f?l75j3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc826g=Q9;91>v*93384?!0493=0qpsr;h31g?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??48;%405?14={%3`f?74?2\:>>4={%406?1<,?9:6:5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996:5+62393>{zut1b=9;50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+62093>"1;80<7psr}:k203<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:8;5Y13196~"1;;0<7)8<1;58yx{z3`;?;7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;373>P6::09w)8<2;58 3562>1vqps4i06;>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28>37[?=3;0x 3552>1/:>?57:~yx=n9=31<7*=96826d=O:0<0Z?76:0yO642>3_;9?72=#>:;1;6sr}|9j51g=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?k50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288n7[?=3;0x 3552>1/:>?57:~yx=n9;l1<7*=96826d=O:0<0Z?76:0yO644a3_;9?72=#>:;1;6sr}|9j566=83.95:4>2`9K6<0<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9:;0Z<<<:3y'264=?2.=?<48;|~y>o6;;0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>339U575=:r.=??48;%405?14={%3`f?74;2\:>>4={%406?1<,?9:6:5r}|8m453290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=;;W317?4|,?996<5+62395>{zut1b=>;50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9563<^8886?u+62095>"1;80:7psr}:k273<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:?;5Y13196~"1;;0:7)8<1;38yx{z3`;847>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;30<>P6::09w)8<2;38 356281vqps4i01:>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee28927[?=3;0x 355281/:>?51:~yx=n9:k1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl512c8R4442;q/:><51:&574<63twvq6g>3c83>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;k1]=?=52z&577<63-<8=7?4}|~?l74k3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?7?4$712>4=zutw0e<=k:18'6<1=9;k0Z?76:0yO645c3_;9?74=#>:;1=6sr}|9j56c=83.95:4>2`9U6{Wf0>7}#9jh1=>k4V000>7}#>:81=6*93082?x{zu2c:?k4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc827c=Q9;91>v*93382?!0493;0qpsr;h374?6=,;3<6<2281!04:3;0(;=>:09~yx{:6=4+285957g<^;3260:>l5Y28;95~J51k0:w[j<:3y'5fd=9=90Z<<<:3y'264=92.=?<4>;|~y>o6<=0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>459U575=:r.=??4>;%405?74={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg76:3:1;7>50z&1=2<6k:1C>:k4i00a>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee288i7[?=3;0x 355281/:>?51:~yx=n9;i1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513a8R4442;q/:><51:&574<63twvq6g>3683>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577<63-<8=7?4}|~?l73:3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;2:T266<5s-<8>7?4$712>4=zutw0e<:::18'6<1=9;k0Z?76:0yO64223_;9?74=#>:;1=6sr}|9j510=83.95:4>2`9U6{Wf0>7}#9jh1=984V000>7}#>:81=6*93082?x{zu2e:o94?:%0:3?7d<2\9544>{M0:f?7|^m91>v*>cc82g1=Q9;91>v*93382?!0493;0qpsr;|`256<72;0;6=u+2859fd=O:>o0e<4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg76<3:1?7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=j2.=?<4m;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4m;%405?d4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg76=3:187>50z&1=2<1j2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=l2.=?<4k;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4k;%405?b5$3;4>4e33_8257?tL3;a>4}Ql:09w)?lb;3`0>P6::09w)8<2;38 356281vqps4}c322?6=<3:1N5?l1b=?l50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`957d<^8886?u+6209`>"1;80o7psr}:k26f<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>n5Y13196~"1;;0o7)8<1;f8yx{z3`;8;7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&57713;p@?7m:0yU`6<5s-;hn7?l4:T266<5s-<8>7?4$712>4=zutw0qo?>7;290?6=8r.95:49b:J13`=n9;h1<7*=96826d=Q:031=vB=9c82Sb42;q/=nl513`8R4442;q/:><5d:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81h6*9308g?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338g?!0493n0qpsr;n3`0?6=,;3<62281!04:3;0(;=>:09~yx{56;294~"51>0=n6F=7d9j57d=83.95:4>2`9U6{Wf0>7}#9jh1=?l4V000>7}#>:81h6*9308g?x{zu2c:>n4?:%0:3?75i2B95;5Y28;95~J51k0:w[j<:3y'5fd=9;i0Z<<<:3y'264=l2.=?<4k;|~y>o6;>0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>369U575=:r.=??4k;%405?b4={%3`f?73:2\:>>4={%406?b<,?9:6i5r}|8m422290/>49513c8L7?13_8257?tL3;a>4}Ql:09w)?lb;371>P6::09w)8<2;f8 3562m1vqps4o0a7>5<#:0=1=n:4V3;:>4}K:0h1=vXk3;0x 4ee28i?7[?=3;0x 355281/:>?51:~yx=zj8;26=49:183!4>?3<5d:&5742b83>!4>?3;9m6F=979U6{Wf0>7}#9jh1=?m4V000>7}#>:81h6*9308g?x{zu2c:?:4?:%0:3?75i2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*9338g?!0493n0qpsr;h376?6=,;3<6<6X>2281!04:3n0(;=>:e9~yx{>6=4+285957g<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e98k1<7950;2x 7?028i87E<8e:k26g<72-82;7?=a:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0:7)8<1;38yx{z3`;9o7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31g>P6::09w)8<2;38 356281vqps4i014>5<#:0=1=?o4V3;:>4}K:0h1=vXk3;0x 4ee289<7[?=3;0x 355281/:>?51:~yx=n9=81<7*=96826d=Q:031=vB=9c82Sb42;q/=nl51508R4442;q/:><51:&574<63twvq6g>4483>!4>?3;9m6X=9882I4>j3;pZi=52z&2gg<6<<1]=?=52z&577<63-<8=7?4}|~?l73>3:1(?78:00b?S4>13;p@?7m:0yU`6<5s-;hn7?;6:T266<5s-<8>7?4$712>4=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f47e29096=4?{%0:3?df3A82`83>!4>?3;9m65`1b694?"51>0:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e98i1<7;50;2x 7?02?h0D?9j;h31f?6=,;3<6<2281!04:3=0(;=>:69~yx{4={%3`f?75k2\:>>4={%406?b<,?9:6i5r}|8m450290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<=8;W317?4|,?996i5+6239`>{zut1b=9<50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee28>97[?=3;0x 3552m1/:>?5d:~yx=h9j>1<7*=9682g1=Q:031=vB=9c82Sb42;q/=nl51b68R4442;q/:><51:&574<63twvq6sm10f94?3=83:p(?78:7`8L71b3`;9n7>5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;31f>P6::09w)8<2;58 3562>1vqps4i00`>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?=c:T266<5s-<8>7j4$712>a=zutw0e<=8:18'6<1=9;k0Z?76:0yO64503_;9?7a=#>:;1h6sr}|9j514=83.95:4>2`9K6<0<^;3260:o95Y28;95~J51k0:w[j<:3y'5fd=9j>0Z<<<:3y'264=92.=?<4>;|~y>{e98o1<7850;2x 7?02?h0D?9j;h31f?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>2c9U575=:r.=??48;%405?1n5Y13196~"1;;0<7)8<1;58yx{z3`;8;7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6;>1]=?=52z&577>2\9544>{M0:f?7|^m91>v*>cc8207=Q9;91>v*9338g?!0493n0qpsr;h371?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>449U575=:r.=??4k;%405?b4={%3`f?7d<2\:>>4={%406?7<,?9:6<5r}|8yg76n3:1:7>50z&1=2<1j2B9;h5f13`94?"51>0:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<{zut1b=?m50;&1=2<6:h1C>484V3;:>4}K:0h1=vXk3;0x 4ee288h7[?=3;0x 3552>1/:>?57:~yx=n9:=1<7*=96826d=O:0<0Z?76:0yO64503_;9?7a=#>:;1h6sr}|9j514=83.95:4>2`9K6<0<^;3260:>l5G2848R7?>28qG>4l51zTg7?4|,8ii6<::;W317?4|,?996i5+6239`>{zut1d=n:50;&1=2<6k=1]>4751zN1=g<6s_n86?u+1b`95f2<^8886?u+62095>"1;80:7psr}:a576=8321<7>t$3;4>3d<@;=n7d?=b;29 7?0288j7E<66:T1=<<6sE82n7?tVe196~"6kk0:>o5Y13196~"1;;0<7)8<1;58yx{z3`;9o7>5$3;4>44f3A82:6X=9882I4>j3;pZi=52z&2gg<6:j1]=?=52z&577<03-<8=794}|~?l74?3:1(?78:00b?M4>>2\9544>{M0:f?7|^m91>v*>cc8272=Q9;91>v*93384?!0493=0qpsr;h376?6=,;3<6<P5100:wA<6b;3xRa5=:r.:oo4>439U575=:r.=??4k;%405?b5$3;4>44f3_8257?tL3;a>4}Ql:09w)?lb;372>P6::09w)8<2;f8 3562m1vqps4i064>5<#:0=1=?o4H3;5?S4>13;p@?7m:0yU`6<5s-;hn7?;7:T266<5s-<8>7j4$712>a=zutw0c0Z?76:0yO64e33_;9?74=#>:;1=6sr}|9~f446290=6=4?{%0:3?7d;2B9;h5f13`94?"51>0:>l5Y28;95~J51k0:w[j<:3y'5fd=9;h0Z<<<:3y'264=92.=?<4>;|~y>o6:j0;6)<67;31e>P5100:wA<6b;3xRa5=:r.:oo4>2b9U575=:r.=??4>;%405?74={%3`f?74?2\:>>4={%406?7<,?9:6<5r}|8m425290/>49513c8R7?>28qG>4l51zTg7?4|,8ii6<:=;W317?4|,?996<5+62395>{zut1b=9;50;&1=2<6:h1]>4751zN1=g<6s_n86?u+1b`9513<^8886?u+62095>"1;80:7psr}:m2g1<72-82;7?l4:T1=<<6sE82n7?tVe196~"6kk0:o95Y13196~"1;;0:7)8<1;38yx{z3ty3m7>53z?;f?7d=2T3m63na;31e>{tih0;6;9t=`c95f2<5:h86<2c9>2ge=9;h01;lk:00a?82c13;9n63;d`826g=:;l21=?l4=2g:>44e349;>7?=b:?7f6<6:k168o:513`891d2288i70:m7;31f>;3j10:>o5253g957d<5<9;6<??4>2c9>275=9;h01;<;:00a?805>3;9n63:d8826g=:==91=?l4=467>44e34?=?7?=b:?57`<6:k16:>h513`891`5288i708n3;31f>;4900:>o5265a957d<5=i26<2c9>2=0=9;h01;68:00a?87fl3;9n63>ad826g=:9lk1=?l4=77g>44e3488=7?=b:?177<6:k16>>=513`8972>288i70<;a;31f>;5o525c5957d<52c9>65?=9;h018?i:00a?83583;9n63:20826g=:=;81=?l4=400>44e34?3=7?=b:?6<1<6:k16958513`890ee288i70;ld;31f>;2kl0:>o525dc957d<5ii4>2c9>1`c=9;h01io513`89ad=9;h01ik513`89a`=9;h01h>513`89`7=9;h01h<513`89`1=9;h01h6513`89`?=9;h01hm513`89`b=9;h01hk513`89``=9;h01k>513`89c3=9;h01k8513`89c1=9;h01kl513`89466288i70??5;31f>;68?0:>o52115957d<58:36<2c9>55c=9;h01<>i:00a?87683;9n63>10826g=:98i1=?l4=03f>44e34;:j7?=b:?265<6:k1v5950;1x9=>=9j?0R594=`;957g4>2b9>7g2=9;i01>l::00`?80ek3;9o639be826f=:44e349n47?=c:?0a<<6:j16?=>513`89666288i70=?2;31g>;3j:0:>n524c6957e<5=h>6<2b9>17`=9;h018=?:00`?83493;9o63:33826f=:>;91=?m4=707>44d34<9:7?=c:?6`<<6:j1699;513`89021288i70;95;31f>;2>?0:>o52575957d<5?9n6<2b9>2d2=9;h01>?6:00`?803k3;9o63;c8826f=:44d34<3:7?=c:?5<2<6:j16>58513`897>0288i70<78;31f>;5000:>o5229c957d<58oi6<84>2c9>667=9;i01?==:00`?844;3;9o63=3c826g=:::i1=?l4=31g>44e3488i7?=b:?17c<6:k16>97513a8972f288h70<;b;31g>;2j>0:>n525c:957e<58ho6<2b9>65?=9;i018?i:00`?83583;9o63:20826f=:=;81=?m4=4:7>44d34?2>7?=b:?6=6<6:k169nl513a890ec288h70;le;31g>;2mh0:>n525d`957e<5ih4>2b9>`2<6:k16h54>2c9>`<<6:k16hl4>2b9>`g<6:j16hh4>2b9>`c<6:j16i=4>2b9>a4<6:j16i:4>2b9>a=<6:j16i44>2b9>af<6:j16ii4>2b9>a`<6:j16ik4>2b9>b0<6:j16j;4>2b9>b2<6:j16jo4>2b9>557=9;i01<>::00`?877>3;9o63>06826f=:9921=?m4=02:>44d34;;h7?=c:?24`<6:j16==h513a89477288h70?>1;31g>;69m0:>o5210g957e<58;m6<<3<6k<1U4852a9826d=z{h21<7=k{4e3349i?7?<7:?0f1<6;>16?o;5125893dd289<708md;303>;3lk0:>n523d:9561<5:o26<=8;<134?75k278369>755=9;h019l<:014?82e<3;8;63;b48272=:>;91=>94=707>45034<9:7?<7:?620<6:j16?<75125891e>289<70:la;303>;3kk0:?:52234957d<5;8<6<369>665=9:=01?=m:00`?844k3;9o63=488272=::=k1=>94=36a>450348>57?=b:?65c<6;>169?=513a890>1288h70;62;31g>;2mh0:?:525d`9561<5ih4>369>`2<6:j16hl4>369>`g<6;>16hh4>369>a7<6:j16in4>369>b5<6:j16jo4>369>553=9:=01<>9:014?877?3;8;63>098272=:9931=>94=02g>45034;;i7?<7:?24c<6;>16=<>512589476289<7p}73;297~;?<3;h96P73:?b3?75i2wxm:4?:2gx9d1=9j>01>l<:061?85e<3;?>63ki1=9<4=7`g>42534>oo7?=c:?0a=<6<;16?h751508966528>970=?3;31g>;3j:0:8?524c69514<5=h>6<:=;<4a4?75j27=>>4>439>272=9=801;<9:061?84683;9n63:67826f=:;831=9<4=5a:>42534>hm7?;2:?7gg<6<;16>58513a89741288h70<=7;31g>;5;80:8?522209514<5;986<:=;<00`?75k279?h4>2b9>61?=9=801?:n:061?843j3;?>63:218272=:=;91=>94=4:5>45034?2?7?=c:?6ad<6<;169hl5150890cd28>970;jd;376>;2ml0:8?52d9826f=:lh0:8?52dc8207=:lo0:?:52e38272=:mm0:?:52f18272=:nk0:8?521179514<58:=6<:=;<333?73:27:<54>439>55?=9=801<>k:061?877m3;?>63>0g8207=:98:1=9<4=032>4253ty53z?;4?7d=2T{ti<0;6>?t=`795f2<5:h86<::;<1a0?73=278n84>449>2ge=9=?01;lk:066?857:3;?963<028272=:42234>i97?;5:?566<6<<16:?:51578934128>>70<>1;31f>;4900:88524b;9513<5=ij6<::;<6`f?73=2794:4>2b9>670=9:=01?<8:014?84493;?963=338200=:::91=9;4=36:>422348?m7?;5:?10g<6<<16>=:513`89046289<70;ja;371>;2mk0:88525da9513<5449>550=9=?01<>8:066?87703;?963>088200=:99n1=9;4=02f>42234;;j7?;5:?255<6<<16=c59>7g5=9=<01>l;:065?85e=3;?:639bb8203=:>kn1=984=221>421349;?7?;2:?7f6<6=70:m7;303>;1::0:8;526369510<5?8=6<:9;<12=?73>27?o44>479>0fg=9=<019mm:065?84?03;9o63=278207=::;=1=9<4=312>4213488>7?;6:?176<69751548972f28>=70<;b;372>;5=h0:>o525dc9510<527>ii4>479>1`c=9=<01io515489ad=9=<01h9512589c3=9:=01<>::065?877>3;?:63>068203=:9921=984=02:>42134;;h7?;6:?24`<6=70?>1;372>{t?k0;6>u27b82g0=Y?k16m>4>2`9~wd5=839;w0o<:0a7?85e;3;?;634203416?==5157891d428><70:m4;373>;3j<0:8:524c:9561<5?886<:8;<410?73?27=>;4>469>74?=9==019m6:064?82di3;?;63;cc8202=::131=?m4=0d`>44e3489:7?;5:?162<6<<16>>?51558975528><70<<3;373>;5<00:8:5225c9511<5;>i6<:8;<7fe?73?27>io4>469>1`e=9==018kk:064?83bm3;?;63ka;373>;cj3;?;63j8;303>;a>3;8;63>048202=:99<1=994=024>42034;;47?;7:?24<<6<>16==j51558946b28><70??f;373>;6990:8:5210395114e23W=270o=:00b?xuf:3:1?=u2a382g1=:;k91=964=2`7>42?349i97?;8:?5ff<6<116:oj515:8966528>370=?3;372>;3j:0:85524c6951><5=h>6<:7;<417?73027=>94>499>270=9=2019h=:014?85613;?463;c8820==:42?3483m7?=c:?167<6:k16>?851548974028>=70<<1;37<>;5;;0:8552221951><5;>26<:7;<07e?7302798o4>499>1`g=9=2018km:06;?83bk3;?463:ee820==:=lo1=964=ec951><5mh1=964=d;9561<5o=1=>94=026>42?34;;:7?;8:?242<6<116==6515:8946>28>370??d;37<>;68l0:855211d951><58;;6<:7;<325?7302wxo:4?:4y>g=<6k<1Uo:52c8826d=:kh0:>l52cc826d=z{j31<7;t=b;95f2<5=:>6<2c9>6d4=9;i0q~mn:1818ef28i?708>a;ag?xudj3:15v3lb;3`0>;6=m0hh63>6`8``>;39m0hh63;308``>;3:10hh63;3b8``>;3<:0hh63;958``>{tjj0;69u2be82g0=Yjj16nh4>2`9>fc<6:h1vok50;dx9gc=9j>01967:bf8910e2jn019>8:bf891162jn01998:bf8911a2jn01<;8:bf8913f2jn0198=:bf8912>2jn01;=5ce9>045=km1688:5ce9>0=c=km1voh50;7x9g`=9j>018?9:bf890ge2jn01;>l:bf8901>2jn0q~9::18081128i>7S9:;44f3tyj<7>51`y>e5<6k=16?o=515;896d328>270=m5;37=>;5;80:8452220951?<5;986<:6;<07=?7312798l4>489>61d=9=301?;=:00a?83bi3;?563:ec820<=:=li1=974=4gg>42>34?ni7?;9:?240<6<016==8515;8946028>270??8;37=>;6800:845211f951?<58:n6<:6;<33b?73127:==4>489>547=9=30q~9>:18081528i>7S9>;<;f>44f3ty2i7>51`y>=`<6k=16?o=515c896d328>j70=m5;37e>;59:0:>o52223951g<5;996<:n;<007?73i279844>4`9>61g=9=k01?:m:06b?83bi3;?m63:ec820d=:=li1=9o4=4gg>42f34?ni7?;a:?240<6j70??8;37e>;6800:8l5211f951g<58:n6<:n;<33b?73i27:==4>4`9>547=9=k0q~8i:18081728i>7S8i;<;g>44f3ty2h7>51`y>=a<6k=16?o=513f896d3288o70=m5;31`>;5:l0:>o52223957b<5;996<2e9>61g=9;n01?:m:00g?83bi3;9h63:ec826a=:=li1=?j4=4gg>44c34?ni7?=d:?240<6:m16==8513f89460288o70??8;31`>;6800:>i5211f957b<58:n6<2e9>547=9;n0q~8k:18080b28i>7S8k;<;`>44f3ty2o7>51`y>=f<6k=16?o=513g896d3288n70=m5;31a>;59k0:>o52223957c<5;996<2d9>61g=9;o01?:m:00f?83bi3;9i63:ec826`=:=li1=?k4=4gg>44b34?ni7?=e:?240<6:l16==8513g89460288n70??8;31a>;6800:>h5211f957c<58:n6<2d9>547=9;o0q~?=4;290~;6:<0:o85Q13689441288j70?=7;31e>{t9;<1<7;={<312?7d<278n54ld:?12`4ld:?67054ld:?60<:44ld:?50494ld:?50`o=7mk;<40fb<58l;6nj4=3cb>fb<5:;86nj4=522>fb<5=3j6nj4=5c5>fb<5fb<5fb<5<8=6nj4}r313?6=:4>c59>7c3=km16:8:5ce9>10?=km1v;27`=9;k0q~8=f;297a}:>;l1=n:4=2`0>44a349i87?=f:?0f0<6:o16:om515;893dc28>270=jb;31f>;4880:>n523119511<5=h86<:6;<6a0?73127?n84>489>270=9=301>?6:06:?82d13;?563;c`820<=:44e34;jh7?=c:?2e`<6:j16>?;513a8974128><70<=7;373>;5;80:>k52220957`<5;986<369>66b=9:=01?=j:014?844n3;9o63=48826c=::=k1=?h4=36a>44a34?m:7?=b:?6f6<6:k169o=513a89045289<70;71;31g>;21;0:?:525819561<5io4>2g9>1`e=9;l018kk:00e?83bm3;9j63ka;37=>;cj3;?563j1;303>;bn3;8;63>04826c=:99<1=?h4=024>44a34;;47?=f:?24<<6:o16==j513d8946b288m70??f;31b>;6990:>k52103957`53z?642<6k<1U9=84=42;>44f3ty><54?:56x906?28i?70=m3;304>;4j=0:?=523c79566<5?hh6<:n;<6g2b9>0ad=9:=019jl:014?85b?3;9n63=1=?l4=21`>44e34>i?7?;a:?7f1<6c288i70?7f;31f>;2;80:?:52631951?<5?826<h44>369>114=9;h018:<:00`?833=3;9o63:65826g=:>:o1=>94=5d2>44e344`9>0fg=9=k01;69:014?87fl3;8;6344e3489:7?;8:?17g<6<;16>>j51508975a289<70;i6;31g>;2j=0:>o525c59561<58ho6<369>1=7=9:=0186::00a?83>:3;?>63:928207=:=ji1=?l4=4ag>45034?no7?<0:?ge?73i27n:7?=b:?e0?75j27:2c9>553=9::01<>9:013?87703;8<63>088275=:99n1=>>4=02f>45734;:<7?<0:?254<6;916=?>51258yv142908w09;:0a6?[14343m6<c59>7g5=9:;01>l;:012?85e=3;8=63=308275=:::81=>>4=310>457348?:7?=b:?10<<6;916>9o51228972e289;70;ja;304>;2mk0:?=525da9567<5309>550=9:;01<>8:013?87703;8=63>088274=:99n1=>?4=02f>45634;;j7?<0:?255<6;816=c59>7g5=9:801>l;:011?85e=3;8>63=308274=:::81=>?4=310>456348?57?<1:?10d<6;816>9l512389707288i70;ja;305>;2mk0:?<525da9564<5;<7fa?74927:<84>339>550=9:801<>8:012?87703;8>63>088277=:99n1=><4=02f>45534;;j7?<1:?255<6;;16=7?l4:?0f6<6;:16?o;5121894`2288i70<<2;306>;5339>55>=9:901<>k:010?877n3;8>63>118276=z{021<7=t=8;95f3:0a7?85e<3;8?63=308277=:::91=><4=36:>455348?n7?<2:?124<6:k169hl5120890cd289870;je;306>;68?0:?>5211;9565<58:n6<=<;<325?74;2wx9>950;1x905?28i>7S;<7:?67<<6:h1v8=6:18083413;h863>5c826g=:92`9>1ae=9j?0R8jm;<7gf?7d<2wx=n>50;6x94e728i?70?94;31f>;6>=0:>n523d5956153z?62g<6k<1U9;o4=44`>44f3ty>:n4?:2y>13e=9j>01<8::00a?871=3;9o6s|58;94?5|5<3j6;21k0:>l5rs4;a>5<1s4?2n7?l4:?7eg<6:k168ll513a89413289<70?86;303>;2k80:?:5rs4db>5<4s4?mn7?l5:\6bd=:=oi1=?o4}r7eg?6=>r7>jn4>c59>7`d=9;i019m>:00a?82d93;9o63>9e8272=:90l1=>94}rf7>5<4s4n>6449>07b=9:=01944e349;n7?=c:?704<6:k1689?513a8yv3>>3:1?v3:9682g0=Y=0<01877:00b?xu2110;6>u258:95f2<5:o;6<7S8=a:?56f<6:h1v;5<4s42963;h863>f7826f=z{0<1<7=t=8595f3513c8yvd72908w0l?:0a7?87al3;9o63=22826f=z{1i1<7=t=9f95f36}:0o0:o85Q8d9>ef<6:h1vlm50;0x9de=9j>01?83:1?v361;3`1>X>827jh7?=a:pea<72;q6mi4>c59>642=9;i0q~7=:1808?428i>7S7=;44f3tyji7>52z?ba?7d<2798:4>2b9~w<2=839p14;51b78Z<2<5hl1=?o4}rce>5<5s4km67S8?e:?54`<6k=1v;?=:181807m3;9m6391382g1=z{?;:6=4={<43a?b734<:=7?l4:p15?=839p18>n:0a6?[37127><44>c59~w06c2909w0;?9;31e>;28m0:o95rs42`>5<5s4?;57j?;<73g?7d<2wx94m50;1x90?c28i>7S;6c:?6=f<6k=1v8o?:18183>k3;9m63:a182g1=z{<3m6=4={<7:g?b734?2j7?l4:p1cb=839p18hj:0a6?[3al27>ji4>c59~w3662909w0;id;31e>;1880:o95rs723>5<5s4?mh7j?;<434?7d<2wx9?950;1x904?28i>7S;=7:?662<6k=1v8<6:181835?3;9m63:2882g1=z{?;o6=4<{<42a?7d=2T==i5260f95f252z?55a<6:h16::0a7?xu2l:0;6?u25e3957g<5l51b78Z05f34?8m7?l4:p16b=838p18=n:00b?834l3;h86s|1e594?5|58n36;6l>0:o95rs32`>5<5s4;o;7?=a:?14f<6k=1v8;n:180832j3;h96P:5`9>10g=9j>0q~;:d;296~;2=h0:>l5254f95f253z?2ga<6k<1U=nm4=0a`>4e33ty:j<4?:3y>5fe=9;k01:0a7?xu6kl0;6>u21bd95f3{t9o21<744f34;m47?l4:p5a5=839p14>c59~w7702909w0?k3;31e>;59>0:o95rs0f6>5<4s4;o:7?l5:\2`0=:9m?1=n:4}r02a?6=:r7:h84>2`9>64c=9j>0q~?k9;297~;6lh0:o85Q1e;894b>28i?7p}=2`83>7}:9m31=?o4=30b>4e33ty:ho4?:2y>5ae=9j?0R>850;0x94be288j70<<6;3`0>{t9mn1<7=t=0ff>4e23W;oh63>de82g1=z{;>96=4={<3g`?75i2798?4>c59~w4ba2908w0?j0;3`1>X6lo16=ih51b68yv43m3:1>v3>dg826d=::=o1=n:4}r3f5?6=;r7:i?4>c49]5`7<58o:6e283>6}:9l>1=n;4^0g0?87b;3;h86s|24a94?4|58o86<7S;i1:?6b4<6k=1v8h<:18183a93;9m63:f282g1=z{=l>6=4<{<6e2?7d=2T?j8524g795f2m;7>52z?7b0<6:h168k951b68yv33j3:1?v3:4b82g0=Y==h018:m:0a7?xu2o6;2im0:o95rs4ce>5<5s4?jh7?=a:?6ec<6k=1vb782g1=z{8h<6=4={<7gf?b734;i;7?l4:p5a7=839p1c59~w4`a2909w0?k1;31e>;6no0:o95rs5d`>5<4s4>mh7?l5:\7bf=:2`9>0cc=9j>0q~;>8;297~;2900:o85Q50:8907?28i?7p}:1`83>7}:=821=?o4=43b>4e33ty>;l4?:2y>12d=9j?0R89n;<74e?7d<2wx9:m50;0x901f288j70;8c;3`0>{t=131<7=t=4:b>4e23W?3563:8882g1=z{<2i6=4={<7;=?75i27>4o4>c59~w0dd2908w0;md;3`1>X2jj169om51b68yv3em3:1>v3:bb826d=:=ko1=n:4}r7`7?6=;r7>o94>c49]1f5<56}:=l>1=n;4^4g0?83b;3;h86s|5d794?4|5g4<6k<1Uo=52c182g1=z{j81<72`9>246=9;k01;?l:00`?806i3;h86s|60294?2|5?;;62c9>530=9;h0q~8>3;296~;1980:>l5260195f252z?556<6:h16:v391b826g=:>8>1=n:4}r42g?6=:r7==n4>c59>24g=9;k0q~?=9;29``}:9;31=n:4=73b>71734<:m7<93:?653<5?9169<85271890ge2;=;70;nb;057>;18j09;=5261a9635<5:h36?9?;<1a63c=:?901;m?:353?80d838=?63<2b8135=:;;i1>;=4=5ff>71734>oi7<93:?21c<6:j16=8j52628940d288h70?9a;044>;5n>09;=522g59635<5:hm6?9?;<1ab?41;278ii4=719>7`b=:?901?l;:353?84e<38=?63=c08135=::j;1>;=4=3f:>717348o57<93:?1a=<5?916>h65271896532;=;70=<4;057>;4<109;=5235:9635<5:?;6?9?;<164?41;278954=719>70>=:?901>8?:353?851838=?63<698135=:;?21>;=4=253>717349<<7<93:?03=<5?916?:65271896>72;=;70=70;057>;40109;=5239:9635<5:3o6?9?;<1:`?41;278ml4=719>7dg=:?901>mn:353?85di38=?63;=4=35a>717348nm5271896612;=;70=?6;057>;4<909;=523529635<5:nh6?9?;<1gg?41;27?no4=719>0gd=:?901;l=:353?80e:38=?63>958135=:90>1>;=4=2;3>7173492<7<93:?7ea<5?9168lj5271891e42;=;70:l3;057>;2;<09;=525279635<5?836?8<;<77=?40827>844=629>13?=:>:01886:340?803938<<639408126=::>4=5g4>70434>m87<80:?7b1<5>:168565262891>?2;<870:9b;044>;3>k09:>524159626<5=:<6?8<;<62`?40827?=i4=629>027=:>:0199>:340?824938<<63;308126=:<>=1>:>4=554>70434>:16:l95262893g02;<870:=8;044>;3:109:>5242a9626<5=9h6?8<;<12f?408278=o4=629>772=:>:01><;:340?803m38<<6394d8126=:>?=1>:>4=744>70434<<57<80:?53<<5>:16:4l5262893?e2;<8708l6;044>;1k?09:>52b88135=:j009:>521459626<58?<6?8<;<66e?40827?9l4=629>034=:>:0198=:340?82f838<<63;a18126=::>4=5f2>70434<847<80:?57=<5>:16:;j52628930c2;<870883;044>;1?:09:>526939626<5?2:6?8<;<4;`?40827=4i4=629>52?=:>:01<96:340?87f:38<<63>a38126=::1n1>:>4=3:g>70434>?57<80:?70<<5>:16:>4=719>26<5>:16=585262894>12;<870?m0;044>;6j909:>522`69626<5;k?6?8<;<13`?4082787`4=:>:01>k=:340?85aj38<<63:>4=530>70434>??7<80:?706<5>:1688:5262891332;<870:7e;044>;30l09:>524869626<5=3?6?8<;<4:>71734<26?8<;<3e4?40827:j=4=629>6dg=:>:01?on:340?856;38<<63<128126=:;o?1>:>4=2d6>70434>;=7<80:?744<5>:1684o5262891?f2;<870:n6;044>;3i?09:>525d09626<5237=:>:01;8>:340?832138<<63:588126=:=>31>:>4=45:>70434?in7<80:?6fg<5>:169=;5262890622;<870;=6;044>;2:?09:>5rs3:3>5<5;=q6>5>51b68937f2ji018?9:ba890ge2ji01;>l:ba896d?2ji01>l<:017?85e;3;896364=2`0>45>349i?7?;4j:0:?k523c19516<5:h86<:>;<1a7?73;278n>4>459>7g2=9:>01>l;:016?85e<3;8:631=>74=2`7>45f349i87?;4j=0:8=523c69517<5:h?6<:<;<1a0?73<278n84>359>7g3=9:?01>l::015?85e=3;8463o4=2`6>45e349i97?;4j<0:8<523c79515<5:h>6<:;;<4`4?ed34;1jm0:?=526cf9567<5?ho6<==;<6ga?ed34;>h7ml;<35e?ed348m;7ml;<0e4?73i279j=4>2e9>6c6=9;o01?h?:00e?84a83;8<63=f18274=::o:1=><4=3d0>42f348m?7?=d:?1b6<6:l16>k=513d897`4289;70;5n:0:??522g6951g<5;l?6<2g9>6c2=9::01?h;:012?84a<3;8>63;5j=0ho63=c08`g>;5l00ho63=e98`g>;4;;0:>i52320957c<5:996<309>764=9:801>69:06b?85?>3;9h63<87826`=:;1<1=?h4=2:5>4573493:7?<1:?0<3<6;;16?4j5cb9>7dg=kj16>:l5cb9>6fe=kj16?=85cb9>6cc=9;n01?hj:00f?84am3;9j63=fd8275=::oo1=>?4=3df>455349;?7?;a:?046<6:m16?==513g89664288m70=?3;304>;48:0:?<523119564<5:9j6<319>76g=9:;01>=n:011?82ej3ih70:m3;305>;3j:0:??524c69567<5=h?6<==;<6a1?74927?n84>339>2g4=kj16=4:5cb9>7=c=9;l01>6j:013?85?m3;8=63<8d8277=:=:?1on5263:9gf=:>;91=?j4=700>44b34<9?7?=f:?566<6;916:?=5123893442899708=4;31`>;1:=0:>h52636957`<5?8?6<=?;<410?74927=>94>339>270=9:;01;<9:011?83313ih70;99;a`?80393ih70:j7;a`?82a<3ih70:78;a`?821j3ih70:?7;a`?826l3ih70:81;a`?82493ih70:87;a`?820n3ih708n7;a`?82503ih70:9;304>;4900:?<5230;9564<5:8?6nm4=76f>fe<5?<<6nm4=75:>fe<5?3i6nm4=7a5>fe<5k31on521459gf=:<>4=5a:>45634>h57?<2:?7gd<6;9168no5123891ef289970:lb;304>;3kk0:?<524b`9564<5?936nm4=74g>fe<5?=86nm4=7:2>fe<5?2o6nm4=05:>fe<58k96nm4=3:g>fe<5=>26nm4=719gf=:91<1on521c29gf=::h>1on5231f9gf=:;l81on523g`9gf=:<891on524519gf=:<<>1on5249g9gf=:<0>1on52688`g>;6n90ho63=a`8`g>;49:0ho63;3880ho63;9`8`g>;3i?0ho63:e38`g>;1==0ho639608`g>;5:?0:>k522349566<5;8=6<=>;<012?74:279>:4>2g9>671=9::01?<8:012?845?3;8>63=308271=:::;1=>;4=312>4513488=7?<8:?174<6;016>>?512c89756289i70<<1;30g>;5;80:?i52223956c<5;9:6<=i;<005?738279?<4>409>667=9=901?=>:067?844:3;8863=338270=:::81=>84=311>45?3488>7?<9:?177<6;h16>><512`89755289h70<<2;30`>;5;;0:?h52220956`<5;996<:?;<006?739279??4>429>664=9=>01?=<:017?844;3;8963=328273=:::91=>64=310>45>3488?7?>=512a89754289o70<<3;30a>;5;:0:?k522219516<5;986<:>;<007?73;279?>4>459>61?=9:>01?:6:016?84313;8:63=48827==::=31=>74=36:>45f348?57?97512f8972>289n70<;9;30b>;5<00:8=5225;9517<5;>26<:<;<07=?73<2798l4>359>61g=9:?01?:n:015?843i3;8463=4`827<=::=k1=>o4=36b>45e348?m7?9o512g8972f289m70<;a;374>;5j6<:;;<07f?74<2798o4>349>61d=9:<01?:m:01;?843j3;8563=4c827d=::=h1=>l4=36a>45d348?n7?9l512d8972e28>;70<;b;375>;55225`9512<5fe<5fe<5<8=6nm4=4gb>45334?nm7?<5:?6ad<6;?169ho512:890cf289270;ja;30e>;2mh0:?o525dc956e<5il4>3g9>1`g=9=:018kn:062?83bi3;??63:e`8201=:=lh1=>:4=4ga>45234?nn7?<6:?6ag<6;1169hl512;890ce289j70;jb;30f>;2mk0:?n525d`956b<5io4>419>1`d=9=;018km:060?83bj3;?863:eb8271=:=li1=>;4=4g`>45134?no7?<8:?6af<6;0169hm512c890cd289i70;jc;30g>;2mj0:?i525da956c<5in4>409>1`e=9=9018kl:067?83bl3;8863:ee8270=:=ln1=>84=4gg>45?34?nh7?<9:?6aa<6;h169hj512`890cc289h70;jd;30`>;2mm0:?h525df956`<5ii4>429>1`b=9=>018kj:017?83bm3;8963:ed8273=:=lo1=>64=4gf>45>34?ni7?;2ml0:?k525dg9516<5;<7fa?73;27>ih4>459>`d<6:o16hl4>319>`d<6;816hl4>339>`g<6:o16ho4>319>`g<6;816ho4>339>553=9:>01<>::016?877=3;8:63>04827==:99?1=>74=026>45f34;;97?;68<0:8=521179517<58:>6<:<;<331?73<27:<;4>359>550=9:?01<>9:015?877>3;8463>07827<=:99<1=>o4=025>45e34;;:7?;68?0:8<521149515<58:=6<:;;<333?74<27:<:4>349>551=9:<01<>8:01;?877?3;8563>06827d=:99=1=>l4=024>45d34;;;7?;70??7;375>;68>0:8>521159512<58:36<=;;<33379>55>=9:201<>7:01:?87703;8m63>09827g=:9921=>m4=02;>45c34;;47?:70??8;377>;6810:895211;9562<58:26<=:;<33=?74>27:<44>399>55?=9:301<>6:01b?87713;8n63>08827f=:9931=>j4=02:>45b34;;57?28>870??9;370>;68m0:?95211f9563<58:o6<=9;<33`?74027:389>55b=9:k01<>k:01a?877l3;8o63>0e827a=:99n1=>k4=02g>45a34;;h7?;0:?24a<6<816==j51518946c28>?70??e;300>;68l0:?85211g9560<58:n6<=7;<33a?74127:

3`9>55c=9:h01<>j:01`?877m3;8h63>0d827`=:99o1=>h4=02f>42734;;i7?;1:?24`<6<:16==k51568946a289?70??f;301>;68o0:?;5211d956><58:m6<=6;<33b?74i27:3c9>55`=9:i01<>i:01g?877n3;8i63>0g827c=:99l1=9>4=02e>42634;;j7?;3:?24c<6<=16=<>512689477289>70?>0;302>;6990:?552102956?<58;;6<=n;<324?74j27:==4>3b9>546=9:n01118205=:98:1=9?4=033>42434;:<7?;4:?254<6;=16=1;30<>;6980:?452103956g<58;:6<=m;<325?74k27:=<4>3e9>547=9:o01:01e?87693;?<63>108204=:98;1=9=4=032>4233ty==84?:3y>242=9;h01;?::0a7?xu19?0;6?u2606957e<5?;=6;39<0:o95240;957d<5=;j6<i4>2c9>07c=9;h019<<:00a?825<3;9n63;27826g=:<:21=?l4=51:>44e34>8m7?=b:p07?=83hp1;?8:014?80603;8;63>678272=:<8k1=?m4=53a>44d34>957?l4:?76c<6:k168?8513a8915?288h70:<9;31g>;3;h0:>n5rs53e>5b;303>;3:m0:>n5243g957e<5=;m694>2b9>06>=9:=019=6:014?824i3;8;6s|42194??|5?;<6<::;<42449>04g=9=8019?m:061?825>3;8;63;3282g1=:<:=1=?l4=51b>4253ty==:4?:3y>241=9j>01;?9:00a?xu6h62b9>120=9;h0q~:7f;296~;1910:8:5249d95f252z?55=<6k=16:<8513a8yvd4290:8v391c826g=:>8h1=?m4=434>44e34?:;7?=c:?6ef<6:k169lm513a8936c288i708?d;31g>;30<0:>o52494957d<5==h6<2c9>0fb=9;h018j513`8912a288i70;84;31f>;e;3;h863:8g826g=:=0:1=?l4}r721?6=;r7>2`9>15d=9;k018?9:0a7?xu28k0;68u251`95f2<58?n6<2c9>53d=9;i0q~;?e;296~;28j0:>l5251g95f252z?64`<6:h169<951b68yv37n3:1>v3:17826d=:=9l1=n:4}r724?6=:r7>2c9>146=9j>0q~;>1;296~;28o0:>n5250395f2=?7>516y>144=9;h018?<:00a?836<3;9n63:a7826g=:>9=1=?l4=540>4e334>=47?=b:?72<<6:k16=8:513`8913a288i70:90;31f>;2l3;9o63:e;31f>;2n3;9n6390;31f>;193;9n63;0e826g=:<9o1=?l4=52e>44e34>>>7?=b:?637<6:k169:;513`89011288h7p};0383>c}:=881=?m4=04;>44e34>397?=c:?7<3<6:j168;6513a8916528i?70:8c;31g>;3?m0:>n52146957e<5=?m6<2c9>05b=9;i019?>:00a?82283;9n6s|47a94?73s4?:>7?<7:?656<6:j169<:513a890g0288i708?8;31f>;3>>0:>o52475957e<5=2b9>1a<6;>169h4>2b9>1c<6:j16:=4>2b9>24<6:j168=j51258916b288h70:?f;31g>;3=;0:>n52560957e<>7>512y>144=9=8018?<:014?836<3;8;63:a9826g=:>931=?l4=54e>44e34>=j7?=c:?737<6k=16=8?513`89436288h70;k:061?83b289<70;i:014?807289<708>:014?827l3;?>63;0d8272=:<9l1=>94=571>4503ty?;54?:01x907528>>70;>3;376>;29=0:8?525`;957d<5?:j6<2b9>02>=9j>01<;=:00a?872:3;9o63:d;371>;2m3;?>63:f;376>;183;?>6391;376>;38m0:885241g9514<5=:m6<:=;<666?73:2wx9<<50;0x907528i?70;>1;31f>{t<1:1<7?:{<727?73=27::54>2b9>0=6=9j>0196;:00a?820k3;8;63;7e8272=:92b9>01`=9;i019;?:00`?82293;9n63;538200=:=>>1=?m4=455>4503ty>=>4?:3y>145=9j>018?>:00`?xu3<=0;6lu25069513<58<36<=8;<6;1?74?27?;n4>439>503=9:=019:;:0a7?80628>=70:>1;303>;3=80:>n52582957e357>5az?651<69708>:064?827k3;8;63;0g8200=:<<;1=>94=5::>4e334?<87?<7:?6=5<6;>1v8?;:181836<3;h863:108272=z{5h4>2`9>1dd=9j>0q~;6e;296~;21l0:o9525`;956152z?6=c<6:h169l?51b68yv3fk3:1>v3:a0826d=:=hi1=n:4}r7b6?6=:r7>mo4>2`9>1d4=9j>0q~;n3;296~;2i;0:>o525`195f252z?6e7<6:j169l:51b68yv2683:1?v3:a4826g=:=h?1=?m4=533>4e33ty>m84?:3y>1d3=9j>018o;:00a?xu6>m0;6lu25`4957e<5m44>2b9>53b=9j>01<9;:00a?870=3;9n63>77826g=:<8;1=9<4=g1957d52z?6e3<6k=169l:513a8yv3f?3:1>v3:a682g1=:=h>1=>94}r7bm54>c59>1d2=9=80q~;n9;296~;2i00:o9525`6951353z?544<6:h169kh513c8936d28i?7p}:fg83>7}:=ol1=n:4=72b>4503ty=256=9;k01;>=:0a7?xu18m0;6?u2610957g<5?:o67}:>991=?l4=727>4e33ty=<84?:3y>255=9;i01;>::0a7?xu6>>0;6>u2614957d<5?:=6<{t90<1<7ot=724>44d34<;47?=c:?54<<6:j16:=o513a8940?28>970?66;3`0>;61m0:>o5218g957d<583m6<44e3ty=<:4?:3y>251=9j>01;>::00`?xu1810;6?u261:95f2<5?:>6<=8;|q54<<72;q6:=751b68936228>97p}90`83>7}:>9k1=n:4=726>4223ty8n:4?:3y>7dd=9;k01>l7:0a7?xu4ik0;6?;t=2ca>4e3349i<7?=b:?1ac<6:k16>k>513`897`5288i70;5n=0:>o522`d957d<5;h;6<2c9>6gd=9;h01?ll:00a?84el3;9n63=bd826g=::kl1=?l4=3f1>44e348o?7?=b:?1`1<6:k16>i;513`897b0288i70;5m80:>o522d0957d<5;o?6<2c9>620=9;i01?m8:00a?84d03;9n63=c8826g=::jk1=?l4=3df>44e34?m47?=b:?250<6:k16=<8513`89470288i7p}7}:;k;1=?o4=2`5>4e33ty8n<4?:2y>7g7=9j>01>o=:00a?85f:3;9o6s|3`a94?4|5:h36<{t;ho1<744d349ji7?l4:p545=839p1>oi:00a?85fn3;9o63>1282g1=z{:km6=4={<1bb?7d<278mh4>2c9~w6?6290hw0=m0;31g>;4180:o952385957d<5:336<2c9>7d2=9;h013;9o63>16826f=:9821=?l4=03:>44e3ty8<54?:37x96d7289<70=m2;31f>;5>00:>o5227c957d<5;<>6<2c9>7gg=9;h01>44e349=?7?=b:?02g<6:k16?:=513`8961e288i70=73;31f>;41>0:>n5238`957d<5:k>6<2c9>7f4=9;h01>j=:00a?85dk3;9n63<36826g=:;m=1=?l4=2:a>44e349:;7?=b:?064<6:k16??<513`8966?28i?70=>1;31f>;4980:>n5210:957e<58;26<51b6896gb288h7p}<2783>44|5:h96<2c9>710=9;h01>:9:00`?853m3;9n63<57826g=:;44e349=i7?=b:?033<6:k16?:k513`896>1288i70=68;31g>;41k0:>n523`:957e<5:9j6<;4<;0:o95235g957e<5:?=6<2b9>73c=9;i01>99:00`?850m3;9o63<87826f=:;h21=>94=21b>44d3493i7?=c:p7a0=83;:w0=m2;376>;5>h0:>n5227`957d<5:ho6<369>7d0=9;h01>o7:061?85d03;9o6344d3499=7?=c:?067<6:j16=<;51258947?289<70?>9;303>{t;9o1<7<<{<1a6?73=279:l4>369>63e=9;h01?8::00`?855?3;9o6344d349??7?=c:?00g<6:j16?8=513a8963e288h70=93;31g>;4>k0:>n52361957e<5:=i6<2b9>7o8:00a?85f03;?963450349ho7?=c:?072<6:j16?i9513a896>e288h70=>7;303>;4:80:?:523309561<5::n6439>54?=9=80q~=m2;296~;4j;0:o9523c4957d52z?0f6<6k=16?o8513a8yv5e<3:1>v394}r1a1?6=:r78n84>c59>7g0=9=80q~<9d;297~;5>=0:>l5227d957e<5;;:51b68970f28>9708m8;31f>;1j00:>o523ec9514<5?kh6<2c9>2f2=9;h01;m;:00`?xu5>?0;6?u227d957d<5;<=6;h51b68970b288j7p}=6483>7}::?o1on5227795f252z?123<6:k16>;951b68yv4103:1>v3=67826f=::?21=n:4}r1a=?6=ir79:44>2b9>63d=9;i01?8l:00`?85e13;h86344e349o<7?=b:?0`6<6:k16?io513`8yv5d93:15v3=688272=::?h1=>94=34`>450349h=7?l4:?0g3<6:j16?n9513a896b6288i70=k3;31g>;4lh0:>n5rs2aa>5;m5150896ee28i?70=k0;31g>;4l80:>n523e19561<5:nj6<=8;|q12<<72;q6>;751b68970?288i7p}=6`83>7}::?k1=n:4=34;>44d3ty9:o4?:3y>63d=9j>01?87:014?xu5>j0;6?u227a95f2<5;<36<:=;|q5fc<72;q6:o=513c893e728i?7p}9b283>7>|5?h86>h4>2b9>17`=9;i018=?:014?833:3;9o639a3826g=:>h91=?m4=7c7>44d34;11=0:>o52687957d<5?3<6<2b9>5`d=9;i0144d34<>j7?=c:?613<6:k16>=8512589760288h70;lb;303>;2kj0:>n52fd826g=:no0:>o52112957d<58::6<=8;<336?75k27:=n4>2b9>54b=9;i01;60k0:>n5rs7`7>5<5s4c59~w3?12908w08m7;31f>;1j>0:>n5268495f252z?5f2<6k=16:o8513`8yv0d93:1:v39b9826f=:>k31=>94=7c`>44d34h21=n:4=7cg>450341699:513a89022289<70;;6;31g>;1i:0:?:526`69561<5?>26<369>20c=9:=01kh513a8yv0e03:1>v39b982g1=:>k<1=?m4}r4a=?6=:r7=n44>c59>2g0=9:=0q~:k2;2960}:>kh1=?l4=5f1>4e334>o47?=c:?7`<<6;>168io513a891be28>970:kc;376>;3j:0:>i524c6957b<5=h>6<:n;<3;`?75k27:5=4>2c9>270=9=k019h?:00a?85613;?m63;c8826a=:42f34;<;7?=b:?2e5<6:k16=5:513`894gc28>970?ne;303>;5:<0:?:52234951?<5;8<6<:7;<00f?73=279?n4>439>66b=9=?01?=j:061?844n3;?>63>be8272=:l>0:?:52d98272=:l00:>n52d`826a=:lk0:8l5rs0:4>5<62b9>2ge=9;n01;lk:06b?85783;8;63<008272=:;991=964=0:4>4e334;3o7?=c:?216:?=515c8934328>270;93;31g>;2><0:?:525749561<5<<<6<369>6=>=9:=01?66:014?84?i3;8;6s|3g294?5es4;4m00:885226:957d<5:9o6<369>5=`=9;i018==:014?805<3;?m63927826a=:==81=>94=467>45034??:7?<7:?621<6:j16:>h5125891`6288h708n5;303>;4900:>i5265a9561<5k=1=?m4=5aa>44c34<3;7?<7:?2e`<6<;16?k>51b68933a289<70<=5;376>;5:>0:84522239565<5;996<=<;<007?74;279?n4>449>66c=9=?01?:6:010?843i3;8?63=4c8276=:=k>1=?m4=4`;>450348;;7?<7:?14<<6;>1695;513a890ed289<70;le;303>;2mh0:?>525d`9565<5369>551=9:901<>i:010?xu3i80;6>u26c`9514<582o6<:=;<6b5?7d<2wx:ol50;0x93de28i?708me;31f>{t>h0;69kt=7``>44b34>70:kc;371>;48:0:84524c1957c<5=h?6<439>0g>=9=801;<9:00f?82a83;9o63;f08272=:44b34>h57?=e:?7gd<6:l168nl513g89413288h70?85;31g>;6??0:>n52165957e<583o6<2b9>5d6=9;i01<6<:00`?87?<3;9o63>ab826g=:9hn1=9;4=0cf>422348997?;5:?163<6?9515c8975e28>=70<;5;m0:8;5222g9510<5;9m6<::;<3a`?73:27=m7?l4:?646<6:k169970;=1;376>;2:;0:8?525319514<5<286<484>369>1=0=9=801i9515789a>=9=?01i7515089ag=9;o01il513g89ac=9=801ih515089`6=9=801h?515089`4=9=801h;513a89`0=9:=01h9515089`>=9=801h7515089`e=9=801hj515089`c=9=801hh515089c6=9=801k=513a89c2=9:=01k;515089c0=9=801k951508yv0ek3:1>v39bb82g1=:>ko1=?m4}r4a`?6=:r7=ni4>c59>2gc=9:=0q~==b;297~;4:?0:>l5233f957e<5:8h67}:;;n1=n:4=20`>44f3ty8>:4?:3y>77e=kj16??951b68yv5513:1>v3<29826g=:;;31=n:4}r11e?6=:r78>54>2b9>77g=9j>0q~:kd;297~;3l;0:>l524ed957e<5=nn67}:44f3ty?h94?:3y>0a5=9;h019j;:0a7?xu3l<0;6?u24e1957e<5=n>6{t4e334>o97?=b:p`3<72:q68i9513`891b0288h70j9:0a7?xu3l>0;6?u24e595f2<5=n>6<75|5=n26<::;<6ge?73=27?ho4>479>0ae=9=<019l<:00e?805>3;9j63;e182g1=:44e34>nj7?=b:?05<<6:o168n7513d89416288i70?81;31g>;61h0:>o5218c957e<582;6<2c9>5de=9;i0144c3489;7?=d:?17g<6<>16>>m51558975c28><70<;5;o0:8;521cf9513<5m=1=984=e:9510<5m31=9;4}r6f=70:kb;373>;3lj0:8:524c6957`<5=h>6<449>0`2=9;h019k;:00`?82b03;h863;ed826f=:94=5d2>42534>m>7?;5:?7gd<6:o168nl513d89410289<70?n0;303>;6090:>n52190957d<58286<=8;<3;0?74?27:ml4>2b9>5dd=9;h01ad8202=:>>0:>o5266826f=:<021=?m4=306>4203489:7?=e:?162<6:l16>>l515:8975d28>370<;5;l0:855222d9511<58ho6<:9;<72b?73=27>>=4>449>177=9=?018<=:066?835;3;?963:82826f=:=1>1=9<4=4:6>42534?3:7?;5:?g3?73?27o47?;7:?g=?73>27oi7?;5:?gb?73=27n<7?;5:?f5?73=27n>7?;5:?f2?73:27n;7?;5:?f28i?70:k5;376>{t4e334>o97?;5:p0ad=838p19jm:0a7?82c=3;?:6s|4ea94?4|5=nh6{t9<21<7;t=07;>4e334;2=7?=b:?72<<6:j1688h512589012288h7p}>5d83>7}:94e33ty:9k4?:3y>50`=9j>01<;k:340?xu6=00;6?u214f957g<58?265c83>7}:9<31=?m4=07a>4e33ty::44?:3y>536=9;k01<8n:0a7?xu6>90;6;u217295f2<5=<26<=8;<654?74?27?m94>2c9>0d2=9;i0189::014?xu6>10;6?u2175957g<58<366b83>7}:9?i1=n:4=04b>7043ty::<4?:3y>53g=9;k01<8>:0a7?xu6>;0;6?u2173957d<58<966583>7}:9?>1=n:4=040>44e3ty::84?:3y>533=9j>01<8<:00`?xu6>?0;6?u217495f2<58<86<=8;|q1b3<72:q6>ho513c897`?288h70{t:lk1<78t=3gb>4e3348m?7?;9:?1b1<6<016>h:513a8971>28>970{t:o?1<744f348m97?l4:p6c7=839p1?h>:0a7?84al3;9n63=fe826f=z{;oi6=4={<0ec59~w7`?2909w0;5n>0:>l5rs3g`>5<5s48nn7?=b:?1af<6k=1v?kk:18184bj3;9o63=ee82g1=z{;o86=4<{<0fa?75j279ih4>2b9>6`5=9j>0q~512y>6``=9;i01?h?:00`?84a;3;9o63=f5826f=::hh1=n:4=3ce>44d348io7?=c:?1fa<6:j16>i=513a897b3288h70;5m90:>n522d3957e<5;o96<2b9>6f?=9;i01?mn:00`?84am3;9o6s|2c494?76s48nj7?<7:?1b5<6;>16>k=5125897`3289<70;5jk0:>n522e19561<5;n?6<=8;<0g1?74?279i=4>369>6`7=9:=01?k=:014?84b=3;8;63=c98272=::j31=>94=3ab>450348mi7?<7:p6fc=83ip1?ki:061?84a83;?>63=f28207=::o>1=9<4=3af>4e3348o>7?=c:?1a5<6<;16>h?5150897c528>970;5?00:>o522gg951459z?1ac<6<<16>k>5157897`428>>70;5l>0:>n522e`95f2<5;o>6<::;<04=?75k279jh4>449~w7e4290nw0;5n90:8;522g19510<5;l?6<:9;<0g7?73:279h94>439>6a3=9=801?k?:066?84b93;?963=e38200=::l?1=984=3a0>4e3348h;7?=c:?1b`<6:182<~;5mo0:8:522g29511<5;l86<:8;<0e0?73?279n=4>2b9>6g7=9;i01?l=:00`?84ek3;8;63=be8272=::ko1=?m4=3`e>44d348o?7?;5:?1`1<6<<16>i;5157897c728>=70;5m;0:8;522d79511<5;i36<:=;<0`=?73:279ol4>439>6cc=9==01?6>:0a7?83a03;9o6s|2`794?`|5;om6<:7;<0e4?731279j94>499>6g7=9:=01?l=:061?84en3;8;63=d58203=::m?1=994=3g2>420348n>7?;8:?1g<<6<<16>no5154897`b28>270;2n10:8?5rs3ge>5<5s48nj7?l4:?1aa<6:j1v?7i:1825~;5n90:85522g1951><5;h;6<=8;<0a6?74?279nh4>369>6a5=9=<01?j::065?84b83;?;63=e38202=::l?1=964=3a;>422348hm7?;5:?1b`<6<116>4h51b6897g?288i70;2n10:?:5rs3d3>5<5s48m<7?l4:?1aa<6;>1v?h6:18084a:3;9o63=788272=::o31=n:4}r0e6?6=:r79j?4>c59>6c3=9;h0q~52z?1b1<6k=16>k;51258yv5em3:1?v34e33ty8no4?:3y>7f6=9;h01>lm:0a7?xu4k90;6?u23b295f2<5:hm6<7gg=9j>0q~=mc;296~;4jk0:>o523ca95f252z?0fg<6:j16?oj51b68yv5bk3:1?v34e33ty8i>4?:0`x96c428i?70=j7;376>;4m10:8;523d;9510<5<9:6<:=;<706?73:27>h44>439>26c=9=801;=i:061?803k3;?>639878207=:>1=1=9<4=306>42?3488j7?;8:?2fa<6<>169?=5154890>628>970;76;372>;21;0:88525819513<5m31=994=d09510<5o:1=984=gc957d<5ok1=?m4=03f>42534;9<7?;2:p7`d=838p1>kn:00b?85bj3;h86s|3dc94?5|5:oj6o54>2b9~w6c32909w0=je;31f>;4m=0:o95rs2gf>5<5s49ni7?l4:?0aa<6:h1v>k::18185b<3;9n63c59~w6c02909w0=j7;3`0>;4m?0:>o5rs2g;>5<5s49n47?l4:?0a3<6:j1v>k6:18185b13;h863bz?0ag<6;>16:o>513a89777288h70<>1;31g>;59:0:>n52206957d<5?9262c9>5ce=9;i0144e3489i7?=c:?16c<6:k16>98513a89720288i70<:2;31g>;5=:0:>o5224;957e<5;?j6<2b9>652=9;i018m>:00`?xu5j:0;6>u22``957g<5;h>6<lm50;0x97d2288i70{t:k?1<74e3348i87?=a:p6db=838p1?ol:00a?84fl3;h86s|2`g94?4|5;kh6<lh50;0x97ga28i?70{t:k:1<74e3348ji7?=c:p6g7=838p1?l>:0a7?84fm3;8;6s|2c094?4|5;h96n>50;1x97d1288j70;5k80:o95rs3`4>5<5s48h>7?=b:?1f2<6k=1v?m=:18184d:3;h863=c0826d=z{;h36=4={<0a3?75j279n54>c59~w7d>2909w0;5j00:o95rs4d4>5<4s48im7?=b:?1fd<6:j169k951b68yv4ei3:1>v3=b`82g1=::k31=?l4}r0af?6=:r79no4>c59>6g?=9;i0q~52z?1fa<6k=16>o751508yv4em3:1>v3=bd82g1=::k31=9;4}r0ab?6=:r79nk4>c59>6g?=9=<0q~l522ec957e<5;n26i8513c897b028i?7p}=d783>6}::m<1=n:4=3fe>44e348oj7?=c:p6f`=838p1?jn:00a?84dn3;h86s|2ec94?4|5;nj6i>50;0x97ea288i70{t:m;1<744d348o=7?l4:p6a4=838p1?j=:0a7?84c93;9n6s|2e194?4|5;n86i:50;0x97b328i?70{t:m?1<74e3348o=7?;2:p6`1=839p1?jm:00b?84b13;9o63=e982g1=z{;o=6=4={<0f7?75i279i;4>c59~w7bd2909w0;5lj0:o95rs3g:>5<5s48n57?l4:?1a=<6:h1v?jk:18184ck3;9n63=de82g1=z{;nn6=4={<0gg?75k279hh4>c59~w7ba2909w0;5ll0:>o5rs3g3>5<5s48n<7?l4:?1``<6:j1v?k>:18184b93;h863=dd8272=z{;o96=4={<0f6?7d<279hh4>439~w7c32909w0;5m?0:>o5rs3g6>5<5s48n97?l4:?1a3<6:j1v>=<:180855m3;9m63<34826f=:;:>1=n:4}r11a?6=;r78>h4>c59>76g=9;n01>6j:00g?xu4;90;6?u2327957d<5:9;6;51b689653288j7p}<2g83>7}:;:>1on5233d95f252z?075<6:k16?>?51b68yv54:3:1>v3<31826f=:;:81=n:4}r17e?6=jr78??4>369>71g=9j>01>;9:014?852m3;8;63<678272=:;?o1=>94=255>45034916?>o5125896>b289<7p}<5383>d}:;:81=9<4=271>4e3349>i7?;2:?023<6<;16?;k51508961128>970=8e;376>;40?0:8?5232c9514<5:2n6<:=;|q01d<720q6?><51578963f28i?70=96;371>;4>l0:88523649513<5:=n6<::;<1;2?73=278?l4>449>7=c=9=?0q~=92;29<~;4;;0:8;5237095f2<5:278;h4>479>7=0=9=<01>=n:065?85?m3;?:6s|37c94?1|5:996<:8;<15e?7d<278;;4>469>72c=9==01>69:064?854i3;?;63<8d8202=z{:=96=49{<106?730278;?4>c59>72c=9=201>69:06;?854i3;?463<8d820==z{:=j6=4:{<106?731278;l4>c59>7=0=9=301>=n:06:?85?m3;?56s|39094?2|5:996<:n;<1;6?7d<278?l4>4`9>7=c=9=k0q~=;7;297~;4<;0:>l5235;957e<5:>367}:;=31=n:4=26;>44f3ty88>4?:3y>71>=kj16?9=51b68yv53=3:1>v3<45826g=:;=?1=n:4}r172?6=:r78894>2b9>710=9j>0q~=;f;297~;4l52343957e<5:?;67}:;<;1=n:4=273>44f3ty88o4?:3y>706=kj16?9l51b68yv53l3:1>v3<4b826g=:;=n1=n:4}r17a?6=:r788n4>2b9>71c=9j>0q~=:7;297~;4=;0:>l5234;957e<5:?367}:;<31=n:4=27;>44f3ty89>4?:3y>70>=kj16?8=51b68yv52=3:1>v3<55826g=:;2b9>700=9j>0q~=:f;297~;4=h0:>l52373957e<5:<;67}:;?;1=n:4=243>44f3ty89o4?:3y>736=kj16?8l51b68yv52l3:1>v3<5b826g=:;2b9>70c=9j>0q~=97;297~;4>;0:>l5237;957e<5:<367}:;?31=n:4=24;>44f3ty8:>4?:3y>73>=kj16?;=51b68yv51=3:1>v3<65826g=:;??1=n:4}r152?6=:r78:94>2b9>730=9j>0q~=9f;297~;4>h0:>l52363957e<5:=;67}:;>;1=n:4=253>44f3ty8:o4?:3y>726=kj16?;l51b68yv51l3:1>v3<6b826g=:;?n1=n:4}r15a?6=:r78:n4>2b9>73c=9j>0q~=87;297~;4?;0:>l5236;957e<5:=367}:;>31=n:4=25;>44f3ty8;>4?:3y>72>=kj16?:=51b68yv50=3:1>v3<75826g=:;>?1=n:4}r142?6=:r78;94>2b9>720=9j>0q~=8f;297~;4?h0:>l52393957e<5:2;67}:;1;1=n:4=2:3>44f3ty8;o4?:3y>7=6=kj16?:l51b68yv50l3:1>v3<7b826g=:;>n1=n:4}r14a?6=:r78;n4>2b9>72c=9j>0q~=77;297~;40;0:>l5239;957e<5:236328i?7p}<8883>7}:;131=n:4=2:;>44f3ty84>4?:3y>7=>=kj16?5=51b68yv5?=3:1>v3<85826g=:;1?1=n:4}r1;2?6=:r78494>2b9>7=0=9j>0q~=6c;296~;4180:>l5238f95f27>52z?0=a<6:h16?4<51b68yv5>;3:1>v3<93826g=:;091=n:4}r1:0?6=:r785?4>2b9>7<2=9j>0q~??4;297~;41<0:>o52387957e<58:?66}:;0<1=?l4=2;5>44d3488<7?l4:p7<0=838p1>79:0a7?85><3;9o6s|38594?4|5:3<6{t;031<74e3349287?;5:p77n:0a7?85><3;?:6s|38g94??|5:3i6<::;<1:a?7d<278m94>2b9>7d3=9;i01>o9:00`?85f?3;9o63>168272=:9821=9;4=03:>4223ty85o4?:3y>701>7;:064?xu4i00;6?u238g957g<5:kj67}:;0l1=?l4=2c3>4e33ty8m<4?:3y>7<`=9;i01>o>:0a7?xu4i;0;6?u23`095f2<5:k:6<{t;h91<74e3349j=7?=c:p7d2=838p1>o;:0a7?85f93;8;6s|3`794?4|5:k>6{t;h=1<74e3349j=7?;6:p7d>=838p1>o7:0a7?85f93;?;6s|3b;94?4|5:i:6<{t;j81<7fe<5:i967}:;j91=?m4=2a6>4e33ty8o;4?:3y>7f0=9j>01>m::00a?xu4k>0;6?u23b595f2<5:i>6<7}:;jh1=?o4=2f6>4e33ty8oi4?:3y>7a3=9;k01>mk:0a7?xu4kj0;6?u23e79gf=:;ji1=n:4}r1`a?6=:r78oi4>2c9>7fc=9j>0q~=lf;296~;4km0:>n523bd95f252z?0`5<6k=16?nh513`8yv5c93:1>v3c59>7f`=9:=0q~=k3;296~;4l:0:o9523bd951452z?137<6:h16>:l51b68yv40:3:1mv3=7382g1=::>=1=?m4=35;>44d349;<7?;2:?044<6<;16>585150897>028>970<78;376>;5000:8?5229c951452z?13g<6:h16>:=51b68yv40<3:1>v3=72826g=::>>1=n:4}r041?6=:r79;>4>2b9>623=9j>0q~<86;296~;5??0:o952267957d52z?132<6k=16>:;513a8yv4003:1>v3=7982g1=::>?1=>94}r04=?6=:r79;44>c59>623=9=80q~l522bf957e<5;ih6nj513`897e328i?7p}=ce83>7}::jn1=n:4=3a`>44f3ty9o84?:3y>6f2=9;h01?m::0a7?xu5k?0;6?u22b6957e<5;i=6n951b6897e1288i7p}=c983>7}::j21=n:4=3a5>44d3ty9o44?:3y>6f?=9j>01?m9:014?xu5kh0;6?u22bc95f2<5;i=6<:=;|q040<72:q6>k7513c89660288h70=?6;3`0>{t;9>1<744f349;87?l4:p6c`=839p1?hi:0a7?83193;9n63:60826f=z{;lj6=4={<133?75j279jl4>c59~w6602909w0=?7;3`0>;48?0:>l5rs3da>5<5s48mm7?=b:?1bg<6k=1v?hl:18184ai3;9o63=fb82g1=z{;lo6=4={<0e`?7d<279jn4>2c9~w7`b2909w0;5nj0:>n5rs223>5<5s49;<7?l4:?041<6:k1v>>>:18185793;h863<05826f=z{::96=4={<136?7d<278<94>369~w6642909w0=?3;3`0>;48=0:8?5rs21e>5<4s498:7?=a:?004<6:j16?9>51b68yv54>3:1>v3<3782g1=:;1o1=?k4}r10a?6=:r78?o4>2`9>76c=9j>0q~=7}:;=;1=n:4=263>44f3ty8?:4?:3y>716=kj16?>951b68yv5413:1>v3<39826g=:;:31=n:4}r10e?6=:r78?54>2b9>76g=9j>0q~l;:1825~;4;j0:>n5232f957e<5<8n6<=8;<71b?74?27>8>4>439>112=9=8018:::061?833>3;?>6394`826f=:>0>1=?m4=c695f2<5:l26<n84>2c9>556=9;i01c59>7c`=9;h01>hi:00`?xu4nj0;6>u232a9514<5:9o6<:=;<1eg?7d<2wx?>m50;0x965d28i?70={t;:n1<74e33498i7?=c:p7ad=838p1>j9:00b?85ck3;h86s|3e:94?4|5:nh6<j8:0a7?xu4l00;6?u23e:957d<5:n266}:44d34>in7?l4:p0dc=83=p19oj:0a7?82e;3;8<63;b58275=:>4=705>45734;jo7?;2:?6<6<6;>1v9l6:18182e>3;9m63;b882g1=z{=h=6=4<{<6a2?7d<27?in4>2c9>0`e=9;i0q~:nf;296~;3jj0:>o524`d95f2io7>52z?7ff<6k=168ol513c8yv2e83:1>v3;ag826g=:2b9>0g7=9j>0q~8=5;297~;3j;0:>o524c0957e<5?8>67}:44d3ty?n94?:3y>0g2=9j>019l>:014?xu3j<0;6?u24c795f2<5=h:6<:=;|q7f2<72;q68o951b6891d>288i7p};b983>7}:44d3ty=n<4?:3y>2d>=9;k01;l=:0a7?xu1j90;6?u26`d957g<5?h;6{t>h31<744f34{t>hn1<74e334;2<;0:8?525519513<5<>?6<::;<771?73=27>8;4>449>2d6=9;h01;o?:00`?803>3;9n63947826f=:>?81=n:4=7;4>45034<247?<7:?2ad<6;>16=hl5125894cd288h70?jd;31g>;6ml0:>o52543957d<50=1=9<4=7;;>42534<<=7?=b:?534<6:j16:8l513a8933d288i708:d;376>;1=l0:8?5264d9514<5439>54c=9=?01|5?kn6<:=;<451?75j27=:84>2b9>2<1=9=?01;77:066?80103;h863:53826g=:=<81=?m4}r45a?6=0r7=mh4>449>2<1=9=<01;77:065?801j3;9n6396c826f=:>?o1=n:4=470>44e34?>?7?=c:p2dc=838p1;oj:0a7?80fj3;8;6s|18194?5|582<6<c59~w4?52909w0?7e;31e>;61;0:o95rs0:f>5<4s4;3i7?l4:?66f<6:k169?m513a8yv7?03:1>v3>94826g=:9121=n:4}r3:1?6=:r7:584>c59>5<2=9;k0q~?79;296~;6010:>o5219;95f252z?2<=<6:j16=5o51b68yv7?j3:1>v3>8c82g1=:91k1=?l4}r3;g?6=:r7:4n4>c59>5=g=9;i0q~?7d;296~;60m0:o95219c956152z?23:1?v3>91826f=:90;1=?m4=415>4e33ty:5=4?:3y>5<6=9j>01<7=:00`?xu6180;6?u218395f2<58396<=8;|q01}:;1k1=n:4=234>4253499=7?;2:?067<6<;1v>6l:18185>83;9m63<8b82g1=z{:2i6=4={<1:4?ed3493n7?l4:p7=b=838p1>6l:00a?85?l3;h86s|39g94?4|5:2h6<{t4e334;<97?<7:?e7?74?2wx8l750;0x91gc288j70:n9;3`0>{tfe<5=k367}:4e33ty?o94?:6y>0d>=9;h019o7:00`?82em3;9n63;bd826f=:1=n:4=421>44e34?;>7?=c:p0f4=838p19lk:00b?82d;3;h86s|4cf94?5|5=ho64e33ty?o=4?:3y>0g`=9;h019m?:0a7?xu3k80;6?u24cd957e<5=i:6{t=:91<744f34?8?7?l4:p17b=839p18>l4>c59~w04e2909w0;=a;31f>;2:k0:o95rs40`>5<5s4?9m7?=c:?66f<6k=1v;7l:182b~;2:l0:8?5253d9514<5<9;6<:=;<705?73=27>??4>449>26c=9=?01;=i:066?80>k3;h8639a28207=:>h>1=9<4=7c6>4253416:4;513a893>128>>70877;371>;6mj0:?:521dg957e<5??i6<=8;<46g?75k27>n:4>439>1g>=9=801?>9:061?847?3;?>63=098207=::931=9<4=4ab>44e34lm6<=8;<334?74?27:<<4>439>554=9=80q~;=e;296~;2:l0:o952521957d52z?66c<6k=169>=513a8yv03:3:1=>u25229513<5<9:6<:9;<706?73>27>h44>449>26c=9=<01;=i:065?803:3;h86394b8203=:>1<1=984=7:4>42134;nh7?<7:?6f2<6<<169o651578976?28>>70;2km0:8?525bg9514<58;m6<::;<314?73>2wx9>>50;0x905728i?70;<3;303>{t=:;1<74e334?8?7?;2:p164=838p18==:0a7?834;3;?96s|63594?4|5?;m6<{t>;:1<744f34<9<7?l4:p27?=838p1;<7:353?80513;h86s|63394?4|5?8;6<{t>;91<74e334<9>7?=b:p272=838p1;<;:0a7?805:3;9o6s|5ec94?4|5{t=m?1<744e34?o97?l4:p1a0=838p18j;:00`?83c>3;h86s|65d94?5|5c59~w0b02909w0;k7;3`0>;2l?0:>o5rs776>5<4s4?o47?=b:?6`=<6:j16:8;51b68yv3c03:1>v3:d982g1=:=m<1=?m4}r7g=?6=:r7>h44>c59>1a0=9:=0q~;;8;290~;2;m0:>l5252a957g<5<>j6<m50;6x905d28i?70:le;31f>;1000:>o5269c957d52z?604<6:h1699951b68yv3393:1?v3:4082g1=:9l31=?l4=0g:>44d3ty>?h4?:3y>11g=9;h018=j:0a7?xu226<k513`8905a28i?7p}:4183>7}:=:o1=?m4=463>4e33ty=454?:2y>116=9;h018:?:00`?80?03;h86s|66c94?c|5<>96<::;<777?73>27>894>479>113=9=<018:9:065?800?3;9n6397`82g1=:>>l1=?l4=0gb>42534;nn7?;2:?2af<6<;16=hj5150894cb289<70;:7;31f>{t>181<7?>{<776?73>27>8>4>469>112=9==018:::064?833>3;?;6393e826g=:>:n1=?m4=75f>44e34<>70?jc;371>;6mm0:88521dg9514<5??96<{t>>>1<7ht=460>42?34??87?;8:?600<6<116998515:893g5288h708;8;31g>;1ol4>2b9>1fb=9=?018mj:066?8`b288h70?=0;373>{t==91<74e334??;7?=c:p112=838p18:;:0a7?833?3;8;6s|55794?4|5<>>6{t:8<1<744f348::7?l4:p643=838p1??=:00b?846=3;h86s|20094?5|5;;962b9~w76c2909w0<>6;31e>;58m0:o95rs32f>5<5s48;h7?=b:?14`<6k=1v?>i:181847l3;9o63=0g82g1=z{;;;6=4={<024?7d<2792c9~w7762909w0<>1;3`0>;58o0:>n5rs330>5<5s48:?7?l4:?150<6:k1v??;:181846<3;h863=14826f=z{<<36=4<{<76`?75i27>9n4>2`9>13?=9j>0q~;:c;297~;2=j0:o952624957d<5?9=6<7}:=4e33ty>:=4?:3y>10c=9;i0188?:0a7?xu2>80;6?u257395f2<5<<;6<{t=?81<74e334?=<7?=c:p135=838p188<:0a7?83183;8;6s|57694?4|5<{t=?<1<74e334?=<7?;6:p5`3=838p1888:014?87b=3;h86s|57594?4|5<<<650;0x935>288j708;1;3`0>{t>:k1<744f34<8m7?l4:p26d=838p1;=n:00a?804j3;h86s|62a94?4|5?9j6<j50;0x935c28i?708{t>:o1<74e334<8o7?=c:p26`=838p1;=i:0a7?804k3;8;6s|4d494?4|5=o;6<{t44e34>n>7?l4:p0`5=838p19k>:00`?82b;3;h86s|4d694?4|5=o?6;31k0:o952193957e<58ki6<7}:4e33ty?i44?:3y>0c2=9;k019k6:0a7?xu3mh0;6?u24d;957d<5=oj67}:44e3tyoh7>53z?7aa<6:k168hj513a89ab=9j>0q~:jd;296~;3mm0:o9524d`957eni7>52z?7a`<6k=168hl51258yv2bn3:1>v3;eg82g1=:c59>0`d=9=?0q~:i1;296~;3n80:o9524d`9510m>7>52z?7b7<6k=168hl51558yv2??3:1>v3;81826d=:<121=n:4}r6;5?6=:r7?454>2`9>0=7=9j>0q~:72;296~;3080:>o5249095f23?7>52z?7<4<6:j1685=51b68yv22=3:14v3;85826f=:<1?1=9<4=5:5>42534>>97?l4:?6a?73>27?8h4>2c9>01c=9;i01899:061?xu30=0;6?u249695f2<5=286<4288h7p};8783>7}:<1<1=n:4=5:0>4503ty?:l4?:3y>035=9;k0198m:0a7?xu3>=0;6?u247`957g<5=7}:1=?m4=545>4e33ty?::4?:3y>031=9j>01989:00a?xu3>10;6?u247:95f2<5=<=6<7}:<981=?o4=524>4e33ty?<>4?:3y>051=9;k019><:0a7?xu38=0;6?u2411957d<5=:?66}:<8?1=?o4=53f>44d34>:h7?l4:p040=838p19?j:00a?826>3;h86s|40g94?4|5=;n67;3`0>{t<821<744d34>:47?l4:p06c=832p19?6:014?826j3;?963;2d8272=:<;l1=>94=506>44d34>8;7?<7:?77<<6<;168>k51b68yv2613:1>v3;1882g1=:<821=?l4}r62e?6=:r7?=l4>c59>04>=9;i0q~:>b;296~;39k0:o95240:9561<<7>52z?72f<6:h168:?51b68yv21l3:1>v3;70826d=:2c9>03c=9j>0q~:9f;296~;3>m0:>n5247d95f28<7>53z?76<<6:h168><513a8915628i?7p};2`83>7}:<:81=?l4=50b>4e33ty???4?:3y>064=9j>019=>:00b?xu3:k0;6?u243c957d<5=8i67}:<;n1=n:4=50`>44e3ty?>h4?:3y>07c=9j>0197}:<>=1=?o4=550>4e33ty?;94?:3y>025=9;h0199;:0a7?xu3?<0;6?u2461957e<5==>67}:<>l1=?o4=55:>4e33ty?;l4?:3y>02?=9;h0199n:0a7?xu3?k0;6?u246;957e<5==i67}:<>n1=n:4=55a>44d3ty=m;4?:3y>27}:>0n1=?m4=7;e>4e33ty=m=4?:3y>2d6=9j>01;7i:00a?xu1i80;6?u26`395f2<5?3m6<7}:>h91=n:4=7;e>4253ty=m94?:3y>2d2=9j>01;7i:066?xu1i<0;6?u26`795f2<5?3m6<:9;|q762<72;q687}:<;21=?o4=503>4e33ty?><4?:3y>076=9;h019<>:0a7?xu3:;0;6?u2432957e<5=8967}:<;>1=n:4=501>44d3ty?>84?:3y>073=9j>019<=:014?xu3:?0;6?u243495f2<5=896<:=;|q77g<72:q68>=513c8915c288h70:{t<:>1<744e34>887?l4:p06b=838p19=k:0a7?824k3;9m6s|42794?4|5=9?6<850;0x9153288h70:<6;3`0>{t<:=1<74e334>8:7?=b:p06>=838p19=7:0a7?824>3;9o6s|42;94?4|5=926o50;0x915f28i?70:<6;376>{t;8k1<7=t=237>44f349:o7?=c:?05g<6k=1v>?;:18:856<3;h863<168200=:;;;1=9;4=201>42234>hh7?=c:?7g`<6:j16:57513a893>f288h70;j0;31f>{t;831<744f349:57?l4:p74>=839p1>?7:0a7?82d03;9n63;c9826f=z{:;>6=4={<12g?75j278=84>c59~w67d2909w0=>c;3`0>;49k0:>l5rs235>5<5s49:97?=b:?053<6k=1v>?8:181856=3;9o63<1682g1=z{:;o6=46{<123?73>278=i4>c59>777=9=<01><=:065?82dl3;8;63;cd8272=:>131=>94=7:b>45034?n<7?=c:p7ab=839p1>?8:064?80?i3;?>6384>2b9>772=9j>0q~=>e;296~;4:<0:>o5230g95f252z?060<6k=16??:513c8yv56n3:1>v3<1d826g=:;8l1=n:4}r114?6=:r78=h4>2b9>776=9j>0q~==1;296~;4:80:o952332957d7>52z?067<6k=16??>513a8yv03l3:1>v3943826d=:>=o1=n:4}r47g?6=:r7=8o4>2`9>21e=9j>0q~8;b;297~;17}:>=91=?l4=767>4e33ty=884?:3y>215=9;i01;:::0a7?xu1>6<{t>==1<74e334=838p1;:7:0a7?803=3;8;6s|65;94?4|5?>26{t>?<1<744f34<=;7?l4:p235=838p1;88:00b?801;3;h86s|67694?4|5?<86<{t>>21<744f34<<57?l4:p223=838p1;96:00b?800=3;h86s|66494?4|5?=>6<{t>:91<7;t=754>44d34<8?7?l4:?53c<6:j16989513a890d2288h7p}99`83>7}:>1o1=?o4=7;a>4e33ty=544?:3y>2<0=9;k01;76:0a7?xu10o0;6?u268`957g<5?2m67}:>1l1=?m4=7;2>4e33ty>o44?:2y>2<4=9;h01;7=:00`?83d13;h86s|68094?4|5?396{t>0>1<74e334<2=7?<7:p2<3=838p1;7::0a7?80>93;?>6s|68594?4|5?3<6{t>j?1<744f34{tj10;6?u2b5826d=:j00:o95rsc794?4|5k31=?o4=c795f244e34h=6{t9=n1<744f34;?h7?l4:p51c=838p1<:k:00a?873m3;h86s|15d94?4|58>o6<50;0x943728i?70?;f;31f>{t9<;1<74e334;?j7?=c:p504=838p1<;=:0a7?873n3;8;6s|56194?5|58?86<;>4>c59~w4342909w0?:3;3`0>;65<5s4;>87?l4:?20c<6<<1v<;::181872=3;h863>4g8203=z{=?26=4={<661?75i27?9l4>c59~w1312909w0::a;31e>;3=?0:o95rs574>5<5s4>>:7?=b:?712<6k=1v9;7:181822>3;9o63;5982g1=z{=?i6=49{<662b9>00d=9j>018h51548913528>=70;86;371>{t44f34>=>7?l4:p00e=838p198=:00b?822k3;h86s|44f94?4|5=?h6<{t<4e334>>i7?=b:p036=838p198?:0a7?822m3;9o6s|48d94?4|5=3i6<{t<0n1<744e34>2h7?l4:p0m3;h86s|4e294?4|5=i?6<{t4e334?:h7?=b:?65a<6:j1v9m::18182c93;9m63;c482g1=z{=i=6=4={<6`1?75j27?o;4>c59~w1e02909w0:l5;31g>;3k>0:o95rs5a;>5<5s4>h47?l4:?7g2<6:k1v9m6:18182d13;h863;c6826f=z{=ij6=4={<6`e?7d<27?o:4>369~w1ee2909w0:lb;3`0>;3k>0:8?5rs5ag>5<5s4>hh7?l4:?7gc<6:k1v9mj:18182dm3;h863;cg826f=z{?9<6=4={<407?75i27=?54>c59~w3532909w08<8;31e>;1;=0:o95rs716>5<5s4<887?=b:?570<6k=1v;=9:181804<3;9o6393782g1=z{?c59~w30>2909w089d;31e>;1>00:o95rs74b>5<5s4<=57?=b:?52d<6k=1v;8m:18180113;9o6396c82g1=z{?=96=4={<45a?75i27=;>4>c59~w30a2909w0883;31e>;1>o0:o95rs753>5<5s4<=j7?=b:?535<6k=1v;9>:181801n3;9o6397082g1=z{?2;6=4={<44e?75i27=4<4>c59~w31e2909w0871;31e>;1?k0:o95rs75`>5<5s4<2c9~w31a2909w088f;3`0>;1?m0:>n5rs7:`>5<5s4<3>7?=a:?54>c59~w3>32909w0873;31f>;10=0:o95rs7:6>5<5s4<3?7?=c:?5<0<6k=1v;69:18180?>3;h863984826g=z{?2<6=4={<4;3?7d<27=484>2b9~w3>>2909w0879;3`0>;10k0:>o5rs7:b>5<5s4<3m7?l4:?57`826f=:9>31=n:4}r35a?6=:r7:;l4>2c9>53c=9j>0q~?8a;296~;6?h0:o95216;957g52z?22`<6:k16=;h51b68yv7083:1>v3>6d826f=:9>:1=n:4}r345?6=:r7:;<4>c59>526=9;h0q~;>e;297~;6?;0:>o52160957e<5<;n6o52161957e<5o81=n:4}r347?6=:r7:;>4>c59>526=9:=0q~?84;296~;6?=0:o952162951452z?230<6k=16=:>51578yv70>3:1>v3>7782g1=:9>:1=984}r343?6=:r7:;:4>c59>526=9==0q~?n1;297~;61?0:>l521`1957e<58k96a283>7}:9h91=n:4=0c1>44f3ty:554?:3y>5<1=9;h01<77:0a7?xu6100;6?u2185957e<58326288i7p}j4;297~;61k0:>o5218`957e<5l>1=n:4}r3:f?6=:r7:5o4>c59>5k3;9n63>9b826f=:mk0:o95rs0;`>5<5s4;2o7?l4:?2=<<6;>1v<7k:18187>l3;h863>988207=z{83n6=4={<3:a?7d<27:544>449~w4?a2909w0?6f;3`0>;6100:8;5rs0c3>5<5s4;j<7?l4:?2=<<6<>1v?6l:18184?93;9m63=8e82g1=z{;2i6=4={<0;1?75i2794o4>c59~w7>52909w0<7d;31e>;50;0:o95rs3:0>5<5s483>7?=b:?1<6<6k=1v?6;:18184?:3;9o63=8582g1=z{;2=6=4={<0;2?7d<2794o4>2c9~w7>02909w0<77;3`0>;50k0:>n5rs3:;>5<5s48347?l4:?11v?66:18184?13;h863=8c8207=z{;2j6=4={<0;e?7d<2794o4>449~w12?2909w0:;4;31e>;3<00:o95rs566>5<5s4>?57?=a:?700<6k=1v9:9:181823=3;9n63;4782g1=z{=><6=4={<671?75k27?8:4>c59~w41e290?w0:;7;31f>;3<>0:>n5216`95f2<58296<57?=a:?57?7d<2wx944?:2y>1<<6k=16:=4>479>0cg=9;h0q~;n:181804288j70;n:0a7?xu2j3:1>v3:a;31f>;2j3;h86s|5b83>7}:=h0:>n525b82g1=z{5<5s4?n644d3ty>j7>52z?6b?7d<27>o7?<7:p25<72;q6:=4>c59>1f<6<;1v;?50;0x937=9j>018m51578yv7?=3:1>v3>7c826d=:91<1=n:4}r34g?6=:r7:4;4>2`9>52e=9j>0q~?8d;296~;6?j0:>o5216f95f252z?23f<6:j16=:k51b68yv3?:3:1?v3>7g826g=:9>l1=?m4=4:1>4e33ty:;k4?:3y>52`=9j>01<9j:00a?xu6090;6?u219295f2<58=n6<8383>7}:9181=n:4=05f>4253ty:4>4?:3y>5=5=9j>01<9j:066?xu60=0;6?u219695f2<58=n6<:9;|q2ec<72;q6=l:513c894d728i?7p}>a583>0}:9h>1=n:4=0cb>45034;jn7?<7:?7v3>b1826d=:9h?1=n:4}r3b2?6=:r7:m84>2c9>5d0=9j>0q~?n7;296~;6i<0:>n521`595f253z?2e=<6:k16=l6513a8975f28i?7p}>a983>7}:9h21=n:4=0c4>44e3ty9>94?:2y>5d?=9;h01{t9hh1<74e334;j;7?;2:p5de=838p12wx=lk50;0x94gb28i?70?n7;373>{t:h91<744f348j87?l4:p6d6=838p1?o;:00b?84f83;h86s|2`394?4|5;k;6<l<50;0x97g7288h70{t;9i1<744f349;h7?l4:p75?=838p1>>k:00b?85713;h86s|31c94?4|5::26<288h70=?b;3`0>{t;l;1<744f349n>7?l4:p7ac=838p1>k=:00b?85cm3;h86s|3ed94?4|5:nn6<50;0x96bb288h70=j0;3`0>{t;ok1<744f349mn7?l4:p7c1=838p1>hm:00b?85a?3;h86s|3g:94?4|5:l<6<{t<881<7=t=52;>44f34>:87?=c:?756<6k=1v9>7:18582703;h863;0b8207=:<9n1=984=52f>42134>;j7?;6:?6:18182683;9m63;1082g1=z{=:26=4={<620?75j27?<44>c59~w1732909w0:>4;3`0>;39:0:>l5rs52b>5<5s4>;57?=b:?74d<6k=1v9>m:18182713;9o63;0c82g1=z{=:h6=4={<63g?7d<27?2c9~w16c2909w0:?d;3`0>;38k0:>n5rs52f>5<5s4>;i7?l4:?74g<6;>1v9>i:181827n3;h863;0c8207=z{=>96=4={<60a?75i27?8>4>c59~w15a2909w0:;3;31e>;3;o0:o95rs563>5<5s4>8j7?=b:?705<6k=1v9:>:181824n3;9o63;4082g1=z{=?86=4={<67e?75i27?994>c59~w12f2908w0:;a;3`0>;3=;0:8:525829514?n7>52z?711<6:h1689l51b68yv23k3:1>v3;4c826g=:<=i1=n:4}r67`?6=:r7?8o4>2b9>01b=9j>0q~:;e;296~;3?j7>52z?70c<6k=1689j513a8yv2283:1>v3;5182g1=:<=n1=>94}r665?6=:r7?9<4>c59>01b=9=80q~::2;296~;3=;0:o95245f95133h7>52z?7<<<6:h1685k51b68yv2?i3:1>v3;8d826d=:<1k1=n:4}r6;f?6=:r7?4l4>2c9>0=d=9j>0q~:7c;296~;30h0:>n5249a95f22?7>52z?783:1>v3;95826d=:<0:1=n:4}r6:5?6=:r7?5=4>2c9>0<7=9j>0q~:62;296~;3190:>n5248095f244f34<26{t9ll1<744f34;m<7?l4:p5`0=838p13;h86s|1d594?4|58o=6<{t9l31<74e334;n47?=b:p5`g=838p1{t9ln1<74e334;n47?;5:p5`c=838p16<l850;0x97gf288j70{t:h=1<744e348j;7?l4:p6d>=838p1?o9:00`?84f03;h86s|30094?4|5::n6<{t;8:1<744e349:<7?l4:p747=838p1>>i:00`?85693;h86s|3g694?4|5:l;6<{t;o81<744e349m>7?l4:p7c5=838p1>h>:00`?85a;3;h86s|41294?4|5:lh6<{t;oo1<744e349mi7?l4:p7c`=838p1>hk:00`?85an3;h86s|48;94?4|5=3>6<{t<0<1<744f34>2:7?l4:p0<1=838p1979:00a?82>?3;h86s|48:94?4|5=3=6<{t44f34>j>7?l4:p0d5=838p19o=:00a?82f;3;h86s|4`694?4|5=k96<{t=mn1<7=t=4fg>4e334;ij7?=b:?2fc<6:j1v8jj:18183b:3;9m63:dd82g1=z{hk4>c59~w0c72909w0;ke;31g>;2m90:o95rs770>5<5s4c59~w3352909w08:0;31g>;1=;0:o95rs743>5<5s4<>97?=a:?524<6k=1v;;9:18180193;9m6395782g1=z{??<6=4={<462?75j27=9:4>c59~w33?2909w08:6;31g>;1=10:o95rs77:>5<5s4<>57?l4:?51=<6:k1vc59>20>=9;i0q~8:b;296~;1=k0:o95264:9561o7>52z?51f<6k=16:8651508yv02l3:1>v395e82g1=:><21=9;4}r46a?6=:r7=9h4>c59>20>=9=<0q~8:f;296~;1=o0:o95264:951152z?2b4<6:h16=k951b68yv7a:3:1>v3>f6826d=:9o81=n:4}r3e7?6=:r7:j?4>2c9>5c5=9j>0q~?i4;296~;6n;0:>n521g695f252z?2b0<6k=16=k:513`8yv7a>3:1>v3>f782g1=:9o>1=?m4}r3ea?6=:r7:j54>2`9>5cc=9j>0q~?i9;296~;6nl0:>l521g;95f252z?2b<<6:k16=ko51b68yv7aj3:1>v3>f8826f=:9oh1=n:4}r3eg?6=:r7:jn4>c59>5cd=9;h0q~?id;296~;6nm0:o9521g`957e52z?152<6:h16>v3=1e826d=::821=n:4}r02=?6=:r79=54>2c9>64?=9j>0q~<>a;296~;5910:>n5220c95f252z?15g<6k=16>v3=1b82g1=::8k1=?m4}r01=?6=:r79=h4>2`9>67?=9j>0q~<=8;296~;5:=0:>l5223:95f252z?16<<6:h16>v3=1g826g=::;:1=n:4}r015?6=:r79=k4>2b9>677=9j>0q~<=2;296~;5:;0:o952233957d52z?166<6k=16>??513a8yv45=3:1>v3=2482g1=::;21=?l4}r012?6=:r79>;4>c59>67>=9;i0q~<=7;296~;5:>0:o95223:956152z?16d<6:h16>>;51b68yv44<3:1>v3=31826d=:::>1=n:4}r01f?6=:r79?84>2`9>67d=9j>0q~<=c;296~;5:k0:>o5223a95f252z?16g<6:j16>?j51b68yv45m3:1>v3=2d82g1=::;n1=?l4}r01b?6=:r79>k4>c59>67b=9;i0q~<<1;296~;5;80:o952226957d7>52z?177<6k=16>>:513a8yv44;3:1>v3=3282g1=:::>1=>94}r075?6=:r79?;4>2`9>617=9j>0q~<;0;296~;5;h0:>l5225295f252z?104<6:h16>>951b68yv4403:1>v3=36826g=:::21=n:4}r00=?6=:r79?:4>2b9>66?=9j>0q~<52z?17f<6k=16>9>513a8yv44l3:1>v3=3e82g1=::=:1=>94}r00a?6=:r79?h4>c59>616=9=80q~<52z?107<6:h16>9j51b68yv43k3:1>v3=49826d=::=i1=n:4}r07c59>55e=9;h01<>l:00`?xu5<:0;6?u225f957g<5;>869=513`8972328i?7p}=4483>7}::=91=?m4=366>4e33ty98;4?:3y>610=9j>01?:::00a?xu5<>0;6?u225595f2<5;>>6<9751b68972d288i7p}=4`83>7}::=k1=n:4=36`>44d3ty98o4?:3y>61d=9j>01?:l:014?xu5==0;6?u225g957g<5;??68:513c8972a28i?7p}=5183>7}::=l1=?l4=373>4e33ty99<4?:3y>61`=9;i01?;>:0a7?xu5=;0;6?u224095f2<5;?:6<8=51b689736288h7p}=5c83>7}::4e33ty99;4?:3y>60d=9;k01?;9:0a7?xu5=>0;6?u2244957d<5;?<688513a8973?28i?7p}=5883>7}::<31=n:4=37;>44e3ty99l4?:3y>60g=9j>01?;7:00`?xu5>;0;6?u224a957g<5;<96;<513c8973c28i?7p}=5d83>7}::4e33ty99k4?:3y>60b=9;i01?;i:0a7?xu5>90;6?u227295f2<5;?m6<;?51b68973a288h7p}:f883>7}:=o91=?o4=4d:>4e33ty>j54?:3y>1c1=9;k018h7:0a7?xu2n=0;6?u25g;957g<57}:=o>1=?m4=4d5>4e33ty?jo4?:3y>0c1=9;k019hm:0a7?xu3n10;6?u24g`957g<5=l3628i?7p};f`83>7}:4e33ty>954?:3y>11b=9;k018;6:0a7?xu2n67}:==o1=?m4=473>4e33ty>9<4?:3y>107=9j>018;?:00a?xu2=;0;6?u254095f2<5o52546957e<5on1=n:4}r760?6=:r7>994>c59>106=9=80q~;m6;297~;2=<0:>o52547957e<5>7p}:5783>7}:=<<1=n:4=473>4213ty>9:4?:3y>101=9j>018;?:064?xu2?10;6?u257d957g<5<=267}:=>31=?o4=453>4e33ty>;<4?:3y>126=9;h0189>:0a7?xu2?;0;6?u2562957e<5<=967}:=>?1=n:4=454>44d3ty>;;4?:3y>120=9j>01898:014?xu2jh0;6?u25`d957g<528i?7p}:b183>7}:=kh1=?o4=4`3>4e33ty>n<4?:3y>1g6=9;h018l>:0a7?xu2j;0;6?u25c2957e<57}:=k>1=n:4=4`1>44d3ty>n84?:3y>1g3=9j>018l=:014?xu2j>0;6?u25c595f2<5288h7p}>bd83>7}:9k<1=?o4=0`f>4e33ty:ni4?:3y>5ge=9;k01b883>7}:9ko1=?o4=0`:>4e33ty:nl4?:3y>5g?=9;h017}::9?1=?o4=32b>4e33ty9<=4?:3y>65d=9;k01?>?:0a7?xu5880;6?u2212957d<5;::6=>513a8976528i?7p}=0283>7}::991=n:4=321>44e3ty9<94?:3y>652=9j>01?>=:00`?xu58?0;6?u221495f2<5;:j6<=951b68976f288h7p}=0983>7}::921=n:4=32b>4503ty9<44?:3y>65?=9j>01?>n:061?xu28=0;6>u24gg957g<5?k1=?o4=426>4e33ty?jk4?:3y>153=9;k019hi:0a7?xu2890;6?u24gd957d<5<:;67}:=981=n:4=422>44e3ty><>4?:3y>155=9j>018>>:00`?xu2:<0;6>u250c957g<5k91=?o4=405>4e33ty>>94?:3y>14c=9;k018<;:0a7?xu29k0;6?u2534957g<5<;i67}:=8h1=?m4=43g>4e33ty>=k4?:3y>14`=9j>018<;:00a?xu2:90;6?u253295f2<5<8?6<7}:=;81=n:4=407>4253ty>>>4?:3y>175=9j>018<;:066?xu2010;6?u256a957g<5<236028i?7p}:7e83>7}:=121=?o4=45g>4e33ty>;h4?:3y>12b=9;h0189j:0a7?xu2?o0;6?u256f957e<5<=m6513`890>7288h70;61;3`0>{t=1:1<74e334?:0a7?830n3;9o6s|59194?4|5<286328i?70;77;31g>{t=1?1<74e334?3;7?<7:p1=0=838p1869:0a7?83??3;?>6s|58794?4|5<2i6<{t=1i1<744f34?3o7?l4:p1=b=838p186l:00a?83?l3;h86s|59g94?4|5<2h6<a28i?70;7e;31f>{t=0:1<74e334?3i7?=c:p1<4=838p187=:0a7?83><3;9n6s|58194?4|5<386{t=kl1<744f34?ij7?l4:p1f6=838p18li:00a?83d83;h86s|5b394?4|550;0x90e2288j70;k0;3`0>{t=jl1<744f34?hj7?l4:p1f0=838p18j?:00b?83d>3;h86s|5b594?4|5{t=jk1<74e334?hj7?=b:p1fd=838p18mm:0a7?83dn3;9o6s|5ba94?4|5{t=jo1<74e334?hj7?;5:p1c6=838p18k::00b?83a83;h86s|5dd94?4|5{t=l=1<744e34?n;7?l4:p1`>=838p18k9:00`?83b03;h86s|f883>6}:=l21=?l4=4g;>44d34l267}:=lh1=n:4=4ge>44d3ty>in4?:3y>1`e=9j>018ki:014?xu2mm0;6?u25df95f2<5>7p}l6;296~;d:3;9m63l6;3`0>{tk:0;6?u2c7826d=:k:0:o95rsb694?4|5j91=?l4=b695f26=4={44d34i>6`2<6k=16hn4>2c9~wa>=838p1i651b689ae=9;i0q~j6:1818b>28i?70jl:014?xuci3:1>v3ka;3`0>;ck3;?>6s|dc83>7}:lk0:o952db8200=z{l91<75<5s4nn644e3tyoj7>52z?gb?7d<27n?7?=c:pa5<72;q6i=4>c59>a6<6;>1vh?50;0x9`7=9j>01h=51508yvc52909w0k=:0a7?8c428>>7p}ja;296~;b<3;9m63ja;3`0>{tm<0;6?u2e482g1=:mh0:>o5rsd494?4|5l<1=n:4=dc957e4e334oj6<=8;|qfa<<6k=16il4>449~wc7=838p1hl513c89c7=9j>0q~kl:1818cd28i?70h>:00a?xubl3:1>v3jd;3`0>;a93;9o6s|ed83>7}:ml0:o952f08272=z{ll1<75<5s4l;64223tym47>52z?e6?75i27m47?l4:pb6<72;q6j>4>c59>b=<6:k1vk:50;0x9c2=9j>01k6513a8yv`22909w0h::0a7?8`?289<7p}i6;296~;a>3;h863i8;376>{tn>0;6?u2f682g1=:n10:885rsga94?4|5o31=?o4=ga95f24e334lh6<<:0a7?xuam3:1>v3ie;3`0>;68:0:>o5rsgd94?4|5ol1=n:4=020>44d3ty:<=4?:3y>556=9j>01<><:014?xu6880;6?u211395f2<58:86<:=;|q247<72;q6==<51b68946428>>7p}>0`83>7}:99>1=?o4=02b>4e33ty:<84?:3y>553=9j>01<>n:00a?xu68?0;6?u211495f2<58:j6<0983>7}:9921=n:4=02b>4253ty:<44?:3y>55?=9j>01<>n:066?xu69;0;6?u211`957g<58;964;31g>{t99i1<74e334;:>7?=b:p55b=838p1<>k:0a7?876:3;9o6s|11g94?4|58:n62;376>{t98:1<74e334;:>7?;5:p547=838p1:0a7?876:3;?:6s|10c94?4|58;86<a;31f>{t98?1<74e334;:m7?=c:p540=838p1a;371>{t9831<74e334;:m7?;6:p577=838p1{t98o1<74e334;9=7?<7:p54`=838p16s|13294?4|588;6{|l4032=83;pqc9;6483>4}zf>>=:7>51zm3100290:wp`847:94?7|ug=?:44?:0y~j221i3:1=vsa754a>5<6std<8;m50;3xyk13>m0;6:1<7?t}o5734<728qvb::82;295~{i?==86=4>{|l4022=83;pqc9;7483>4}zf>><:7>51zm3110290:wp`846:94?7|ug=?;44?:0y~j220i3:1=vsa755a>5<6std<8:m50;3xyk13?m0;6{|l40=2=83;pqc9;8483>4}zf>>3:7>51zm31>0290:wp`849:94?7|ug=?444?:0y~j22?i3:1=vsa75:a>5<6std<85m50;3xyk130m0;6{|l40<2=83;pqc9;9483>4}zf>>2:7>51zm31?0290:wp`848:94?7|ug=?544?:0y~j22>i3:1=vsa75;a>5<6std<84m50;3xyk131m0;6{|l40d2=83;pqc9;a483>4}zf>>j:7>51zm31g0290:wp`84`:94?7|ug=?m44?:0y~j22fi3:1=vsa75ca>5<6std<8lm50;3xyk13im0;6{|l40g2=83;pqc9;b483>4}zf>>i:7>51zm31d0290:wp`84c:94?7|ug=?n44?:0y~j22ei3:1=vsa75`a>5<6std<8om50;3xyk13jm0;6{|l40f2=83;pqc9;c483>4}zf>>h:7>51zm31e0290:wp`84b:94?7|ug=?o44?:0y~j22di3:1=vsa75aa>5<6std<8nm50;3xyk13km0;6{|l40a2=83;pqc9;d483>4}zf>>o:7>51zm31b0290:wp`84e:94?7|ug=?h44?:0y~j22ci3:1=vsa75fa>5<6std<8im50;3xyk13lm0;6{|l40`2=83;pqc9;e483>4}zf>>n:7>51zm31c0290:wp`84d:94?7|ug=?i44?:0y~j22bi3:1=vsa75ga>5<6std<8hm50;3xyk13mm0;6{|l40c2=83;pqc9;f483>4}zf>>m:7>51zm31`0290:wp`84g:94?7|ug=?j44?:0y~j22ai3:1=vsa75da>5<6std<8km50;3xyk13nm0;6{|l4152=83;pqc9:0483>4}zf>?;:7>51zm3060290:wp`851:94?7|ug=><44?:0y~j237i3:1=vsa742a>5<6std<9=m50;3xyk128m0;6i:182xh0=8:1<7?t}o5654<728qvb:;>2;295~{i?<;86=4>{|l4142=83;pqc9:1483>4}zf>?::7>51zm3070290:wp`850:94?7|ug=>=44?:0y~j236i3:1=vsa743a>5<6std<9{|l4172=83;pqc9:2483>4}zf>?9:7>51zm3040290:wp`853:94?7|ug=>>44?:0y~j235i3:1=vsa740a>5<6std<9?m50;3xyk12:m0;6{|l4162=83;pqc9:3483>4}zf>?8:7>51zm3050290:wp`852:94?7|ug=>?44?:0y~j234i3:1=vsa741a>5<6std<9>m50;3xyk12;m0;686=4>{|l4112=83;pqc9:4483>4}zf>??:7>51zm3020290:wp`855:94?7|ug=>844?:0y~j233i3:1=vsa746a>5<6std<99m50;3xyk12{|l4102=83;pqc9:5483>4}zf>?>:7>51zm3030290:wp`854:94?7|ug=>944?:0y~j232i3:1=vsa747a>5<6std<98m50;3xyk12=m0;6{|l4132=83;pqc9:6483>4}zf>?=:7>51zm3000290:wp`857:94?7|ug=>:44?:0y~j231i3:1=vsa744a>5<6std<9;m50;3xyk12>m0;6:1<7?t}o5634<728qvb:;82;295~{i?<=86=4>{|l4122=83;pqc9:7483>4}zf>?<:7>51zm3010290:wp`856:94?7|ug=>;44?:0y~j230i3:1=vsa745a>5<6std<9:m50;3xyk12?m0;6{|l41=2=83;pqc9:8483>4}zf>?3:7>51zm30>0290:wp`859:94?7|ug=>444?:0y~j23?i3:1=vsa74:a>5<6std<95m50;3xyk120m0;6{|l41<2=83;pqc9:9483>4}zf>?2:7>51zm30?0290:wp`858:94?7|ug=>544?:0y~j23>i3:1=vsa74;a>5<6std<94m50;3xyk121m0;6{|l41d2=83;pqc9:a483>4}zf>?j:7>51zm30g0290:wp`85`:94?7|ug=>m44?:0y~j23fi3:1=vsa74ca>5<6std<9lm50;3xyk12im0;6{|l41g2=83;pqc9:b483>4}zf>?i:7>51zm30d0290:wp`85c:94?7|ug=>n44?:0y~j23ei3:1=vsa74`a>5<6std<9om50;3xyk12jm0;6{|l41f2=83;pqc9:c483>4}zf>?h:7>51zm30e0290:wp`85b:94?7|ug=>o44?:0y~j23di3:1=vsa74aa>5<6std<9nm50;3xyk12km0;6{|l41a2=83;pqc9:d483>4}zf>?o:7>51zm30b0290:wp`85e:94?7|ug=>h44?:0y~j23ci3:1=vsa74fa>5<6std<9im50;3xyk12lm0;6{|l41`2=83;pqc9:e483>4}zf>?n:7>51zm30c0290:wp`85d:94?7|ug=>i44?:0y~j23bi3:1=vsa74ga>5<6std<9hm50;3xyk12mm0;6{|l41c2=83;pqc9:f483>4}zf>?m:7>51zm30`0290:wp`85g:94?7|ug=>j44?:0y~j23ai3:1=vsa74da>5<6std<9km50;3xyk12nm0;69:1<7?t}o5544<728qvb:8?2;295~{i??:86=4>{|l4252=83;pqc990483>4}zf><;:7>51zm3360290:wp`861:94?7|ug==<44?:0y~j207i3:1=vsa772a>5<6std<:=m50;3xyk118m0;6i:182xh0>8:1<7?t}o5554<728qvb:8>2;295~{i??;86=4>{|l4242=83;pqc991483>4}zf><::7>51zm3370290:wp`860:94?7|ug===44?:0y~j206i3:1=vsa773a>5<6std<:;:1<7?t}o5564<728qvb:8=2;295~{i??886=4>{|l4272=83;pqc992483>4}zf><9:7>51zm3340290:wp`863:94?7|ug==>44?:0y~j205i3:1=vsa770a>5<6std<:?m50;3xyk11:m0;6::1<7?t}o5574<728qvb:8<2;295~{i??986=4>{|l4262=83;pqc993483>4}zf><8:7>51zm3350290:wp`862:94?7|ug==?44?:0y~j204i3:1=vsa771a>5<6std<:>m50;3xyk11;m0;6=:1<7?t}o5504<728qvb:8;2;295~{i??>86=4>{|l4212=83;pqc994483>4}zf>51zm3320290:wp`865:94?7|ug==844?:0y~j203i3:1=vsa776a>5<6std<:9m50;3xyk11<:1<7?t}o5514<728qvb:8:2;295~{i???86=4>{|l4202=83;pqc995483>4}zf><>:7>51zm3330290:wp`864:94?7|ug==944?:0y~j202i3:1=vsa777a>5<6std<:8m50;3xyk11=m0;6?:1<7?t}o5524<728qvb:892;295~{i??<86=4>{|l4232=83;pqc996483>4}zf><=:7>51zm3300290:wp`867:94?7|ug==:44?:0y~j201i3:1=vsa774a>5<6std<:;m50;3xyk11>m0;6>:1<7?t}o5534<728qvb:882;295~{i??=86=4>{|l4222=83;pqc997483>4}zf><<:7>51zm3310290:wp`866:94?7|ug==;44?:0y~j200i3:1=vsa775a>5<6std<::m50;3xyk11?m0;61:1<7?t}o55<4<728qvb:872;295~{i??286=4>{|l42=2=83;pqc998483>4}zf><3:7>51zm33>0290:wp`869:94?7|ug==444?:0y~j20?i3:1=vsa77:a>5<6std<:5m50;3xyk110m0;60:1<7?t}o55=4<728qvb:862;295~{i??386=4>{|l42<2=83;pqc999483>4}zf><2:7>51zm33?0290:wp`868:94?7|ug==544?:0y~j20>i3:1=vsa77;a>5<6std<:4m50;3xyk111m0;6h:1<7?t}o55e4<728qvb:8n2;295~{i??k86=4>{|l42d2=83;pqc99a483>4}zf>51zm33g0290:wp`86`:94?7|ug==m44?:0y~j20fi3:1=vsa77ca>5<6std<:lm50;3xyk11im0;6k:1<7?t}o55f4<728qvb:8m2;295~{i??h86=4>{|l42g2=83;pqc99b483>4}zf>51zm33d0290:wp`86c:94?7|ug==n44?:0y~j20ei3:1=vsa77`a>5<6std<:om50;3xyk11jm0;6j:1<7?t}o55g4<728qvb:8l2;295~{i??i86=4>{|l42f2=83;pqc99c483>4}zf>51zm33e0290:wp`86b:94?7|ug==o44?:0y~j20di3:1=vsa77aa>5<6std<:nm50;3xyk11km0;6m:1<7?t}o55`4<728qvb:8k2;295~{i??n86=4>{|l42a2=83;pqc99d483>4}zf>51zm33b0290:wp`86e:94?7|ug==h44?:0y~j20ci3:1=vsa77fa>5<6std<:im50;3xyk11lm0;6l:1<7?t}o55a4<728qvb:8j2;295~{i??o86=4>{|l42`2=83;pqc99e483>4}zf>51zm33c0290:wp`86d:94?7|ug==i44?:0y~j20bi3:1=vsa77ga>5<6std<:hm50;3xyk11mm0;6o:1<7?t}o55b4<728qvb:8i2;295~{i??l86=4>{|l42c2=83;pqc99f483>4}zf>51zm33`0290:wp`86g:94?7|ug==j44?:0y~j20ai3:1=vsa77da>5<6std<:km50;3xyk11nm0;6:86=4>{|l4352=83;pqc980483>4}zf>=;:7>51zm3260290:wp`871:94?7|ug=<<44?:0y~j217i3:1=vsa762a>5<6std<;=m50;3xyk108m0;6i:182xh0?8:1<7?t}o5454<728qvb:9>2;295~{i?>;86=4>{|l4342=83;pqc981483>4}zf>=::7>51zm3270290:wp`870:94?7|ug=<=44?:0y~j216i3:1=vsa763a>5<6std<;886=4>{|l4372=83;pqc982483>4}zf>=9:7>51zm3240290:wp`873:94?7|ug=<>44?:0y~j215i3:1=vsa760a>5<6std<;?m50;3xyk10:m0;6986=4>{|l4362=83;pqc983483>4}zf>=8:7>51zm3250290:wp`872:94?7|ug=5<6std<;>m50;3xyk10;m0;6>86=4>{|l4312=83;pqc984483>4}zf>=?:7>51zm3220290:wp`875:94?7|ug=<844?:0y~j213i3:1=vsa766a>5<6std<;9m50;3xyk10?86=4>{|l4302=83;pqc985483>4}zf>=>:7>51zm3230290:wp`874:94?7|ug=<944?:0y~j212i3:1=vsa767a>5<6std<;8m50;3xyk10=m0;6<86=4>{|l4332=83;pqc986483>4}zf>==:7>51zm3200290:wp`877:94?7|ug=<:44?:0y~j211i3:1=vsa764a>5<6std<;;m50;3xyk10>m0;6:1<7?t}o5434<728qvb:982;295~{i?>=86=4>{|l4322=83;pqc987483>4}zf>=<:7>51zm3210290:wp`876:94?7|ug=<;44?:0y~j210i3:1=vsa765a>5<6std<;:m50;3xyk10?m0;6286=4>{|l43=2=83;pqc988483>4}zf>=3:7>51zm32>0290:wp`879:94?7|ug=<444?:0y~j21?i3:1=vsa76:a>5<6std<;5m50;3xyk100m0;6386=4>{|l43<2=83;pqc989483>4}zf>=2:7>51zm32?0290:wp`878:94?7|ug=<544?:0y~j21>i3:1=vsa76;a>5<6std<;4m50;3xyk101m0;6k86=4>{|l43d2=83;pqc98a483>4}zf>=j:7>51zm32g0290:wp`87`:94?7|ug=5<6std<;lm50;3xyk10im0;6h86=4>{|l43g2=83;pqc98b483>4}zf>=i:7>51zm32d0290:wp`87c:94?7|ug=5<6std<;om50;3xyk10jm0;6i86=4>{|l43f2=83;pqc98c483>4}zf>=h:7>51zm32e0290:wp`87b:94?7|ug=5<6std<;nm50;3xyk10km0;6n86=4>{|l43a2=83;pqc98d483>4}zf>=o:7>51zm32b0290:wp`87e:94?7|ug=5<6std<;im50;3xyk10lm0;6o86=4>{|l43`2=83;pqc98e483>4}zf>=n:7>51zm32c0290:wp`87d:94?7|ug=5<6std<;hm50;3xyk10mm0;6l86=4>{|l43c2=83;pqc98f483>4}zf>=m:7>51zm32`0290:wp`87g:94?7|ug=5<6std<;km50;3xyk10nm0;6{|l4<52=83;pqc970483>4}zf>2;:7>51zm3=60290:wp`881:94?7|ug=3<44?:0y~j2>7i3:1=vsa792a>5<6std<4=m50;3xyk1?8m0;6i:182xh008:1<7?t}o5;54<728qvb:6>2;295~{i?1;86=4>{|l4<42=83;pqc971483>4}zf>2::7>51zm3=70290:wp`880:94?7|ug=3=44?:0y~j2>6i3:1=vsa793a>5<6std<4{|l4<72=83;pqc972483>4}zf>29:7>51zm3=40290:wp`883:94?7|ug=3>44?:0y~j2>5i3:1=vsa790a>5<6std<4?m50;3xyk1?:m0;6{|l4<62=83;pqc973483>4}zf>28:7>51zm3=50290:wp`882:94?7|ug=3?44?:0y~j2>4i3:1=vsa791a>5<6std<4>m50;3xyk1?;m0;686=4>{|l4<12=83;pqc974483>4}zf>2?:7>51zm3=20290:wp`885:94?7|ug=3844?:0y~j2>3i3:1=vsa796a>5<6std<49m50;3xyk1?{|l4<02=83;pqc975483>4}zf>2>:7>51zm3=30290:wp`884:94?7|ug=3944?:0y~j2>2i3:1=vsa797a>5<6std<48m50;3xyk1?=m0;6{|l4<32=83;pqc976483>4}zf>2=:7>51zm3=00290:wp`887:94?7|ug=3:44?:0y~j2>1i3:1=vsa794a>5<6std<4;m50;3xyk1?>m0;6:1<7?t}o5;34<728qvb:682;295~{i?1=86=4>{|l4<22=83;pqc977483>4}zf>2<:7>51zm3=10290:wp`886:94?7|ug=3;44?:0y~j2>0i3:1=vsa795a>5<6std<4:m50;3xyk1??m0;6{|l4<=2=83;pqc978483>4}zf>23:7>51zm3=>0290:wp`889:94?7|ug=3444?:0y~j2>?i3:1=vsa79:a>5<6std<45m50;3xyk1?0m0;6{|l4<<2=83;pqc979483>4}zf>22:7>51zm3=?0290:wp`888:94?7|ug=3544?:0y~j2>>i3:1=vsa79;a>5<6std<44m50;3xyk1?1m0;6{|l44}zf>2j:7>51zm3=g0290:wp`88`:94?7|ug=3m44?:0y~j2>fi3:1=vsa79ca>5<6std<4lm50;3xyk1?im0;6{|l44}zf>2i:7>51zm3=d0290:wp`88c:94?7|ug=3n44?:0y~j2>ei3:1=vsa79`a>5<6std<4om50;3xyk1?jm0;6{|l44}zf>2h:7>51zm3=e0290:wp`88b:94?7|ug=3o44?:0y~j2>di3:1=vsa79aa>5<6std<4nm50;3xyk1?km0;6{|l44}zf>2o:7>51zm3=b0290:wp`88e:94?7|ug=3h44?:0y~j2>ci3:1=vsa79fa>5<6std<4im50;3xyk1?lm0;6{|l4<`2=83;pqc97e483>4}zf>2n:7>51zm3=c0290:wp`88d:94?7|ug=3i44?:0y~j2>bi3:1=vsa79ga>5<6std<4hm50;3xyk1?mm0;6{|l44}zf>2m:7>51zm3=`0290:wp`88g:94?7|ug=3j44?:0y~j2>ai3:1=vsa79da>5<6std<4km50;3xyk1?nm0;6{|l4=52=83;pqc960483>4}zf>3;:7>51zm3<60290:wp`891:94?7|ug=2<44?:0y~j2?7i3:1=vsa782a>5<6std<5=m50;3xyk1>8m0;6i:182xh018:1<7?t}o5:54<728qvb:7>2;295~{i?0;86=4>{|l4=42=83;pqc961483>4}zf>3::7>51zm3<70290:wp`890:94?7|ug=2=44?:0y~j2?6i3:1=vsa783a>5<6std<59m0;6{|l4=72=83;pqc962483>4}zf>39:7>51zm3<40290:wp`893:94?7|ug=2>44?:0y~j2?5i3:1=vsa780a>5<6std<5?m50;3xyk1>:m0;6{|l4=62=83;pqc963483>4}zf>38:7>51zm3<50290:wp`892:94?7|ug=2?44?:0y~j2?4i3:1=vsa781a>5<6std<5>m50;3xyk1>;m0;686=4>{|l4=12=83;pqc964483>4}zf>3?:7>51zm3<20290:wp`895:94?7|ug=2844?:0y~j2?3i3:1=vsa786a>5<6std<59m50;3xyk1>{|l4=02=83;pqc965483>4}zf>3>:7>51zm3<30290:wp`894:94?7|ug=2944?:0y~j2?2i3:1=vsa787a>5<6std<58m50;3xyk1>=m0;6{|l4=32=83;pqc966483>4}zf>3=:7>51zm3<00290:wp`897:94?7|ug=2:44?:0y~j2?1i3:1=vsa784a>5<6std<5;m50;3xyk1>>m0;6:1<7?t}o5:34<728qvb:782;295~{i?0=86=4>{|l4=22=83;pqc967483>4}zf>3<:7>51zm3<10290:wp`896:94?7|ug=2;44?:0y~j2?0i3:1=vsa785a>5<6std<5:m50;3xyk1>?m0;6{|l4==2=83;pqc968483>4}zf>33:7>51zm3<>0290:wp`899:94?7|ug=2444?:0y~j2??i3:1=vsa78:a>5<6std<55m50;3xyk1>0m0;6{|l4=<2=83;pqc969483>4}zf>32:7>51zm3i3:1=vsa78;a>5<6std<54m50;3xyk1>1m0;6{|l4=d2=83;pqc96a483>4}zf>3j:7>51zm35<6std<5lm50;3xyk1>im0;6{|l4=g2=83;pqc96b483>4}zf>3i:7>51zm35<6std<5om50;3xyx{zHIIp;k?7:gdb542bktJKNv>r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngc b/cpld/XC95144XL/WarpSE.ngc index 68b59c9..6d1d75c 100644 --- a/cpld/XC95144XL/WarpSE.ngc +++ b/cpld/XC95144XL/WarpSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5d04=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2<<43;KMTPR=JHI_~1=51?d87?OIX\^1_Z2<:0<27>5=G\^[YY4MABVq86<66<1J0<>15:C?54823H6:>3;4A=30:0=F48>596O314<6?D:6>7?0M1?8>49B84>9=2K7=40;;@>2:0=F4;:5;6O32082:0=F4;;596O323<4?D:5;32596O322<7?D:56=1J0>0;;@>7:1=F4<4?7L29>59B82833H63295N<8<7?DJB8<1J@H>B5:COA5Ud3HFNGKM9Ufyu>?0133`>GKM9Ufyu>?010g?DJB8Vg~t=>?02f8EIC7Wds<=>?4e9BH@6Xe|r;<=>:d:COA5Yj}q:;<=8k;@NF4Zkrp9:;<:j4AMG3[hs89:;4i5NLD2\ip~789:286OCE0a8EIC6WVey<=>>d:COA4YXg{:;<GXNZH7=?06;@]EWG:6;730MRH\B=37:<=FWOYI0<;19:C\BVD;9?427LQISC>23;?89B[CUE483546OPFR@?5;?89B[CUE4;;556OPFR@?678e3HUM_O2=3;3==>GXNZH7>>07;@]EWG:5611JSK]M<2<;?DYA[K6?255N_GQA808?3HUM_O29>99B[CUE4>437LQISC>;:==FWOYI040k;@]EWGY68VCIYKj4A^DPFZ76W@H^Ji5N_GQA[44XAK_Mh6OPFR@\56YNJ\Lo7LQISC]20ZOE]On0MRH\B^36[LDRNm1JSK]M_04\MGSAl2KTJ^LP16]JFP@c3HUM_OQ>8^KAQCbm7LaztNG\ip~789:>j6O`uuMF[hs89:;:95MABV6?GGD\8?0NLM[299AEFRu48437OOLTs>1:d=EIJ^y0>4>>99AEFRu4:4<7OOLTs]33>DFK]xT=:5MABVq[7>E58DOE:6M=5LGMf>E5=DOESBLZF29@JW@H^J95L8Lp4?F>Jz5:5;6M7Ms>2:3=D0DxT<;5L8Lp\57=DM:1H@F=4CMP0?FHS12IDA@G[TDF4?FTBI]OO>6M\6:Aoadt6n2Igil|>_^cm`5678h1H~hjftr>3:d=Dzlnbx~2>>`9@v`bn|z692l5Lrdfjpv:46h1H~hjftr>7:d=Dzlnbx~2:>`9@v`bn|z6=245LrdfjpvY79;1H~hjftr]3[Zgil9:;<<=4CsggmquX8VUjbi>?01327>EummcR>P_`lg4567:8;0Okkiuq\4ZYhz9:;<<<4CsggmquX8VUd~=>?0031?Ftbl`~xS=QPos234546:2Iyiig{s^2\[jt789:8=?5LrdfjpvY7WVey<=>?4008Gwcca}yT?189@v`bn|zU9=?5LrdfjpvY5WVkeh=>?0018Gwcca}yT>RQnne23457>3Jxnhdz|_232?Ftbl`~xS>QPos2345753Jxnhdz|_2]\kw6789;:>6M}eekwwZ5XWfx;<=>=9:Aqaaos{V>:>6M}eekwwZ2XWhdo<=>?129@v`bn|zU?SRoad12344?5LrdfjpvY1WVkeh=>?0015?Ftbl`~xS@okdrwa[Zgclzi<=>?_LzlvZTb{|f0<0PMymq[Wct}e~846M}eekwwZKflmy~nRQndeqvf5678VGscQ]erwop979WDrd~RQwos2345543Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<0<\[}iu89:;?;5LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:56VGscQ]erwop6>?329@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}692RQwos2345513Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<2<\I}iuW[oxyaz<8:Aqaaos{VGjhi}zb^]b`aurj9:;?379@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}6?2RCwos]Qavsk|:20Okkiuq\Idbc{|hTSljkst`3456XEqeyS_k|umv?0;YJpfxTSua}012376=Dzlnbx~QBaefpqgYXimnxyo>?01]N|jtXZly~`y2;>^]{kw67899=7N|jdhvp[HgclziSRokdrwa4567WDrd~R\jstnw808XEqeyS_k|umv0<>EummcRCndeqvfZYflmy~n=>?0^O{kwYUmzgx1;1_LzlvZYg{:;<==<;Bpf`lrtWDkoh~{m_^cg`vse89:;S@v`r^Pfwpjs4<4TSua}012373=Dzlnbx~QBaefpqgYXimnxyo>?01]N|jtXZly~`y29>^O{kwYUmzgx>64CsggmquXEhnoxlP_`fgwpd789:TAua}_Sgpqir;>7UFtb|P_ymq4567;:1H~hjftr]Neabt}kUTmij|uc2345YJpfxT^h}zlu>5:ZYg{:;<=<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012261=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw6788;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?1307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4566;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<=?;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234435<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;=;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012161=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678;;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?2307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4565;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<=<;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234735<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;>;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012061=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678:;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?3307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4564;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<==;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234635<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;?;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012761=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678=;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?4307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4563;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<=:;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234135<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;8;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012661=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678<;9m6M}eekwwZKflmy~nRQndeqvf5678Vey<=>:_`lg4567:k1H~hjftr]Neabt}kUTmij|uc2345Yhz9:;9Road123444e3Jxnhdz|_Lcg`vseWVkoh~{m0123[jt789?Tmcj?01216d=Dzlnbx~QBaefpqgYXimnxyo>?01]lv567=Vkeh=>?13`8Gwcca}yTAljkst`\[dbc{|h;<=>Pos2340Yffm:;<o5LrdfjpvYJimnxyoQPaefpqg6789Ud~=>?5^cm`567:88i7N|jdhvp[HgclziSRokdrwa4567Wfx;<=;Paof345459?1H~hjftr]\eabt}k:;<=2>>048Gwcca}yTSljkst`3456;:7;=7N|jdhvp[Zgclzi<=>?<2<22>EummcRQndeqvf56785>5=;5LrdfjpvYXimnxyo>?01>6:400:Aqaaos{Vkeh=>?2033?Ftbl`~xSl`k012164669Geqg;97<0Hb{{ODf8@jssGLUd~=>?0d9GkprHMVey<=>?1d9GkprHMVey<=>?2d9GkprHMVey<=>?3d9GkprHMVey<=>?4d9GkprHMVey<=>?5d9GkprHMVey<=>?609F1>CAief=7HHnlm35?@@fde8>7H]30?78AV:66<1N_1<15:GP86833LYTh5JS^2\[dhc89:;?h5JS^2\[dhc89:;8h5JS^2\[dhc89:;9h5JS^2\[dhc89:;:95JS^3g?@UX9VUjbi>?01g8AVY6WVkeh=>?00g8AVY6WVkeh=>?0368AVY5l2OXS?QPaof3456b3LYT>RQnne23457b3LYT>RQnne2345433LYT?i5JS^1\[dhc89:;i6K\_2]\ekb789::i6K\_2]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3:?@UXEhnoSRokd1234ZYffm:;<=?n;DQ\IdbcWVkoh=>?0^]bja6789;:56K\_Lcg`ZYflm:;<=QPaof34576i2OXS@okd^]b`a6789UTmcj?0132`>CTWXU:Sb|?012f?@UXYV;Tc>?013e?@UXYV;Tc>?0133b>CTWXU:Sb|?01225`=B[V[T=Ra}01236`=B[V[T=Ra}01237`=B[V[T=Ra}01230`=B[V[T=Ra}01231`=B[V[T=Ra}01232`=B[V[T=Ra}01233`=B[V[T=Ra}0123<`=B[V[T=Ra}0123=`=B[VUjhi>?01>2:`=B[VUjhi>?01>1:`=B[VUjhi>?01>0:3=BW@H^J?5Jr49Fv969=2Oy0<0;;Dp\41=BzV;?7KMCK89EGIMXAK_M>6HK3:DGG1=ALJO87KJ_3:DPF4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O2:2C=>6G84:KBGV3>3g9JKDESWds<=>?15d8MJGD\Vg~t=>?007e?LIFK]Ufyu>?0135b>OHIJ^Taxv?01223`=NGHI_S`{w01236`=NGHI_S`{w01237`=NGHI_S`{w01230`=NGHI_S`{w01231`=NGHI_S`{w01232`=NGHI_S`{w01233`=NGHI_S`{w0123<`=NGHI_S`{w0123=3=NGHI_~;5FOCGQV`=NGKOY^R``t1235c=NGKOY^R``t1235420EBM\5:KLGV713@EH_<>:;HM@W73OHKZ?>7DALS778MJET?<1BCN]75:KLGV?13@EOCCKk;HMGKKCXg{:;<=k4INFLJ@Yhz9:;<k4INFLJ@Yhz9:;<9k4INFLJ@Yhz9:;<8k4INFLJ@Yhz9:;<;k4INFLJ@Yhz9:;<:k4INFLJ@Yhz9:;<564INFLJ@oi?2CDHB@Jr59JKI6d3@EGOHD9Ufyu>?0133a>OHD9Ufyu>?0132a>OHD9Ufyu>?0131a>OHD9Ufyu>?0130a>OHD9Ufyu>?0137a>OHD9Ufyu>?0136`>OHD9Ufyu>?010g?LIK8Vg~t=>?02f8MJJ7Wds<=>?4e9JKI6Xe|r;<=>:d:KLH5Yj}q:;<=8k;HMO4Zkrp9:;<:j4INN3[hs89:;4i5FOM2\ip~789:286G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<>0:KLVATBYVkeh=>?0033?LIUL[OZSl`k0123646?013254=NG[NYI\Qbuy234575981BC_J]EP]nq}6789;8=<5FOSFQATYj}q:;<=?;119JKWBUMXUfyu>?01024>OHZMXN]Rczx12346773@EYH_K^_lw{4567<8:0EB\KRDS\ip~789:>==5FOSFQATYj}q:;<=8>0:KLVATBYVg~t=>?0633?LIUL[OZS`{w0123<4602CD^I\JQs48MJTBY{=0EB\JQs34?LIUMXx9;6G@RDSq70=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899n7DA]W0]bja6789>n7DA]W0]bja6789?n7DA]W0]bja6789<<7DA]e`fzb>OHZlkouRQ`r123446??;HMQadb~WVey<=>?4028MJTbimsTSb|?01260>OH[9i0EB]?_`lg4567l2CD_=Qnne23457c3@EX?00g8MJU7Wds<=>?11f8MJU7Wds<=>?2e9JKV6Xe|r;<=><>4INQ\BVKXNOn9!D`h4INQ\BVKXNOn8!D`>0:KLWZ@TEVLMh>#Fn033?LITWOYFSKHk3,Km646:<6G@S^DPIZ@Al:'Bb8??;HMP[CUJWOLo? Ga6b9JKVYA[DUMJi:l;HMP[CUJWOLo9n5FOR]EWHYANm$Ce==5FOR]EWHYANm<&Ec?>0:KLWZ@TEVLMh;#Fn333?LITWOYFSKHk6,Km7464INQ\BVKXNOnOH[VLXARHId6/Jj1773@EXSK]B_GDg3(Oi==1BCX>l;HMV4Zkrp9:;h6G@U1]nq}67898o7DAZ0^ov|5678:n0EB[?_lw{4567Pmtz34562l2CDY=Qbuy23450c3@E^OH]8i0EB[>_omw4566l2CDY>1:KLSWTBYVg~t=>?00325>OH_[XN]Rczx123444692CD[_\JQ^ov|567889:=6G@WSPFUZkrp9:;<<:>1:KLSWTBYVg~t=>?00724>OH_[XN]Rczx12347773@E\^_K^_lw{4567;8:0EBY]RDS\ip~789:?==5FOVPQATYj}q:;<=;>0:KLSWTBYVg~t=>?0733?LIPZ[OZS`{w01233467D][C0`8MVYA[DUMJi?j;HQ\BVKXNOn:!D`i;HQ\BVKXNOn:!D`>f:KP[CUJWOLo= Ga2c9JWZ@TEVLMh?k4IR]EWHYANm8&Ech4IR]EWHYANm8&Ec?i;HQ\BVKXNOn9!D`=f:KP[CUJWOLo> Ga3g9JWZ@TEVLMh?#Fn5d8MVYA[DUMJi<"Io7a?LUXNZGTCxzJWEVRD^?Q>9:NWmhcu494j7AZfmdp?558f3E^bah|310<:?IRnelx7=374LUknaw:5601GXdcjr=1==>JSadoy0906;MVji`t;=730@Ygbes>5:<=K\`gn~1919:NWmhcu41427AZfmdp?=;>?0537?IRnelx_ORmbp^gr4567=8>0@YgbesV@[fkwWl{;<=>98:NWmhcuW920@Ygbes]2=>JSadoyS<>6;MVji`tX9820@Ygbes]1<>JSadoyS>64LUknawY302F_e`k}_4:8HQojm{U=46B[ilgq[2>?0^O{kwYUmzgx1??>368HQojm{UFmijP_`fg4567WDrd~R\jstnw8479::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=0=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;;7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1:1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?1;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz37?00?IRnelxTAljk_^cg`5678VGscQ]erwop9>9::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~753<9;MVji`tXEhnoSRokd1234ZTb{|fS=Qaou234576l2F_e`k}_Lcg`ZYflm:;<=QPaof34566m2F_e`k}_Lcg`ZYflm:;<=QPaof345669m1GXdcjr^Ob`aYXimn;<=>P_`lg45669l1GXdcjr^Ob`aYXimn;<=>P_`lg456698n0@Ygbes]NeabXWhno<=>?_^cm`567:8o0@Ygbes]NeabXWhno<=>?_^cm`567:8;o7AZfmdp\IdbcWVkoh=>?0^]bja678:;n7AZfmdp\IdbcWVkoh=>?0^]bja678:;:h6B[ilgq[HgclVUjhi>?01]\ekb789>:i6B[ilgq[HgclVUjhi>?01]\ekb789>:=i5CThofvZKflmUTmij?012\[dhc89:>=h5CThofvZKflmUTmij?012\[dhc89:>=d:NWmhcuWDkohRQnde2345YXign;<=6>e:NWmhcuWDkohRQnde2345YXign;<=6>1e9OPlkbzVGjhiQPaef3456XWhdo<=>61d9OPlkbzVGjhiQPaef3456XWhdo<=>61008HQojm{UTmij?012?4;743E^bah|P_`fg456748:5=>5CThofvZYflm:;<=2>1?31?IRnelxTSljk01238486:2F_e`k}_^cg`5678585=?5CThofvZYflm:;<=2<>008HQojm{UTmij?012?0;753E^bah|P_`fg45674<4:>6B[ilgq[Zgcl9:;<181139OPlkbzVUjhi>?01>4:44f:NWmhcuWge<=>>2g9OPlkbzVddx=>?12d8HQojm{Uecy>?0066?Iifl8o0@bok1^]bja678980B==4N134?KCS_FX@=6A;;N@VB0=HJ\LN?6A]229LV65<7Bxjrmcz<>Iqm{fju?0537?TiTZlkouRmbp^gr4567=o1Zc^\jae{\kw6789;;7\a\Rdcg}Ziu89:;=<>4QnQQadb~Wfx;<=>=119RkVTbimsTc>?0116?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46?1YM1=1179QE959:?1YM1=1379QE959<<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]8;SCNGV6_02XJAN]?X0:8VDKD[9R946\NMBQ3\601:PBIWcflpUfyu>?01025>TFE[ojhtQbuy23455692XJA_kndx]nq}6789>:=6\NMSgb`|Yj}q:;<=;>1:PBIWcflpUfyu>?01425>TFE[ojhtQbuy2345123[KXIAj4R@QFHZkrp9:;h5]ARGO[hs89:;?h5]ARGO[hs89:;885]ARGM`>TF[LDTaxv?012f?WGTMGUfyu>?013f?WGTMGUfyu>?010f?WGTMGUfyu>?011f?WGTMGUfyu>?016f?WGTMGUfyu>?0176?WGTzo20^L]}f^L3=>TF[{lTB=?:;SCPvwb0^MAQCb_lw{45679m1YMR?Pmtz34565l2XJSk;SC\6Zkrp9:;<i5]A^0\ip~789:8h6\N_3]nq}6789>27_OP3^MAQC?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>THEJY=7_ABCR35?WIJKZ8<7_ABCR6[<>THEJY?T<64RNO@W1^502XDAN];X2:8VJKD[=R?46\\0umQac?TT8}eYik=6;SQ3pjTbn=30^^>{oSge1<=U[9~d^hh99:PP4qiUmo=27_]?tnPfb=?TTWOYFSKHk5,Km6c=U[VLXARHId4/Jj6dTTWOYFSKHk6,Km6c=U[VLXARHId7/Jj6dTTWOYFSKHk7,Km6c=U[VLXARHId6/Jj6d*Ag?m7_]PFRO\BCb?%@d=j6\\_GQN[C@c0$Ce;k5]S^DPIZ@Al1'Bb5h4RR]EWHYANm2&Ec7:;Sgb`|084Rdcg}10?f:PfbWcvWhdo<=>?1g9QacTbyVkeh=>?03d8V``UmxUjbi>?011g?WcaZl{Tc>?01g8V``UmxUd~=>?00g8V``UmxUd~=>?03g8V``UmxUd~=>?02g8V``UmxUd~=>?05g8V``UmxUd~=>?04g8V``UmxUd~=>?07g8V``UmxUd~=>?0648V``Rzno0^hhZrf]nq}6789l0^hhZrf]nq}6789;n7_kiUse\jjr789;m7_kiUse\jjr789;:h6\jfTpd[jt789;n7_kiUse\kw6788;m7_kiUse\kw6788;;j6\jfTpd[jt789;:=k5]egWqcZiu89::=?h4RddVvbYhz9:;=<=i;SgeQwaXg{:;<2YDY_MJ9:QWmhcu49437^Zfmdp\446<[]cfiQ?_^mq4567991XXdcjr^2\[jt789;:=6][ilgq[5YXg{:;<1:QWmhcuW9UTc>?00025>USadoySUbf}UTc>?015g?Vci|VUd~=>?09c8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y545\neQokrCI981Xbi]covGM[Ziu89:;=?5\neQokrCIWVey<=>?1038WkbTdf}NBRQ`r123544<[gnX`byJN^]lv56798;97^`kSmmtAKYXg{:;<<<>2:Qm`VjhLDTSb|?01300>RU]Lh0X^QISL]EBa7b3]YTJ^CPFGf2)Lha3]YTJ^CPFGf2)Lh6n2^XSK]B_GDg5(Oi:k1__RH\M^DE`7c<\ZUM_@QIFe0.Mk`<\ZUM_@QIFe0.Mk7682^XSK]B_GDg6(Oi99l0X^QISL]EBa4*Ag8m7Y]PFRO\BCb5%@d8j6Z\_GQN[C@c:$Ce8k5[S^DPIZ@Al;'Bb8h4TR]EWHYANm8&Ec8i;UQ\BVKXNOn9!D`8f:VP[CUJWOLo> Ga8g9WWZ@TEVLMh?#Fn8`8PVYA[DUDyy>l;UQ\BVKXG|~;=n5[S^DPIZIr|98?7YW_E99Wmhcu49437Ygbes>2:==Sadoy0?07;Uknaw:46>1_e`k}TB31?Qojm{^HSnc_ds34566;2^bah|[C^antZcv89:;=<=4ThofvQEXkdzTi|>?01027>Rnelx_ORmbp^gr4567;890XdcjrUA\ghvXmx:;<=:>3:Vji`tSKVif|Rk~012312=SadoyS=??;UknawY7WVkeh=>?0038PlkbzV:TSl`k0123547<\`gn~R>P_`lg4567:8;0Xdcjr^2\[dhc89:;?:5[ilgq[4773]cfiQ>_^cm`56788;0Xdcjr^3\[dhc89:;=?269WmhcuW;;;7Ygbes]1[Zgil9:;<?1038PlkbzV8TSl`k012362=SadoyS>??;UknawY4WVkeh=>?0038PlkbzV9TSl`k0123547<\`gn~R=P_`lg4567:;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<=;UknawYJimnTSljk0123[H~hzVXnxb{<3<16>RnelxTAljk_^cg`5678VGscQ]erwop9599j1_e`k}_Lcg`ZYflm:;<=QPaof34566l2^bah|PM`fg[Zgcl9:;3?>;UknawYXimn;<=>33?f8PlkbzVkeh=>?0d9WmhcuWhdo<=>?1b9VW@TX^@YBNAK<;WA@=>PNM^U_U]K;;WRBvf=QXHxTbbz?013g?SVFzVddx=>?10g8RlbUIDIX[_8;k0g8RlbUIDIX[_8;k3g8RlbUIDIX[_8;k2g8RlbUIDIX[_8;k5g8RlbUIDIX[_8;k4g8RlbUIDIX[_8;k7g8RlbUIDIX[_8;k6g8RlbUIDIX[_8;k908SVg<_ZUjbi>?01`8SVYffm:;<=?9;VcqtVC6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj3=_g5:5=>5Wsu18gkr53jy87k}m4:klfh2;oCGkprKM9Ud~=>?0332?kGCg|~GI=Q`r12346?5aAR58jDUXNZHh7cO\_GQA[LDRN>1eM^QFOCf8jDUXAFHTCO[IE`9mEVYNGKe~x;5aARmvp<=iIZe~xR@?a:lBWjssWG::i6`NSnww[kis89::j6`NSnww[kis89::=<>4n@QlqqYig}:;<>2g9mEVir|Vddx=>?12d8jDUh}}Uecy>?006e?kGTg|~Tbbz?0136b>hF[fSca{01222c=iIZe~xR``t12352`a3gKXcxzPnnv3457>?2dJcxz@E99mEjssGL;h7cO`uuMF[JDRN<1eNH\]9:lAAWTXNZHn7cLJRS]EWGYHJ\L:=6`MESP\BVDXWfx;<=>>2:lAAWTXNZHTSb|?012254=iJLXYSK]M_^mq45669;1eNH\]_GQA[Ziu89::=<<4nCGQVZ@TJVUd~=>?1331?kDBZ[UM_OQPos23445>3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFH<7cL]_HMAg>hEZVCDNRAMUGd8jGTXAFHTaxv?01224>hEZVCDNRczx12344773gHYSDAM_lw{4567:8:0bO\PIN@\ip~789:8==5aBS]JKGYj}q:;<=:>0:lAVZOHJVg~t=>?0433?kDUW@EIS`{w0123246hDIZUfyu>?016g?kEF[Vg~t=>?04f8jFGTWds<=>?6e9mGDUXe|r;<=>8d:l@EVYj}q:;<=6k;oABWZkrp9:;<484nEVBGNg?f:lGPDELWhdo<=>?1g9m@QGDCVkeh=>?03d8jARFKBUjbi>?011f?kBSIJATbbz?013e?kBSIJATbbz?01322>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI4nEmvpJCXign;<=?>119m@jssGLUjbi>?00024>hCg|~DIRoad123563l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1236a=iDMYTmcj?0102`>hKLZUjbi>?030g?kJC[Vkeh=>?22f8jIBTWhdo<=>=4e9mHAUXign;<=<:d:lO@VYffm:;:j4nMFP[dhc89:94i5aLEQ\ekb78982o6`CDR]mkq6788n0bAJ\_omw45669=1e@ZK:;oNTA4ehK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?329mK@>1:lLr`tkipUTmcj?01226>hH~lxgmtQPaof34566991eC{k}l`{\[jt789::=6`@vdpoe|YXg{:;<=?>1:lLr`tkipUTc>?01025>hH~lxgmtQPos23455692dDzh|cax]\kw6789>:=6`@vdpoe|YXg{:;<=;8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J55aRdd@hnT682dYikMckS]mkq6788;:7c\jfBnhVZhh|9:;=<:4nTFP<>hRLZUM_Oj4nTFP[CUEW@H^J55aUEQ\MJDb3g_O_RG@B^MAQCCe3g_O_RG@Bnwwg>hRLZUjbi>?00f8jPBTWhdo<=>>1e9mQAUXign;<=?=d:lV@VYffm:;<<=k;oWGWZgil9:;=9j4nTFP[dhc89::9i5aUEQ\ekb789;=h6`ZDR]bja6788=o7c[KS^cm`56791n0bXJ\_`lg45661j1eYI]Pnnv3457c3g_O_R``t123542?0e9mQRCXign;<=>>d:lVS@Yffm:;<=:4nWOB<>hQEHUBCOk4nWOB[LIEWFH^JHl4nWOB[LIEg|~o7cXBA^]bja6789o0b[CN_^cm`56788i0b[CN_^mq4567l2d]ALQPos23457c3g\FMRQ`r12347bhQEHUTc>?017g?kPJIVUd~=>?07f8jSKFWVey<=>?7e9mRHGXWfx;<=>7c:lUIDYffm:;<=j4nWOB[dhc89:;=i5aVLC\ekb789:9h6`YM@]bja67899o7cXBA^cm`5678=n0b[CN_`lg4567==1eZ]O7;oTSEZ@TJm1eZ]OPFR@\KGSA02d]\LQFOCf8jSVFW@EISDLZFb9mRUGXign;<=>k;oTSEZgil9:;<n:5O@y636?@=:3;p_4;541a96i>>7531g0=~h3800:7c:?a;48 16?2=:87p]64;63g?4>j3;88o<<9;13a6b:0yP=0<38j095o4>35`17<<48l927{Z<8883>4<62:7?e289?n?=6:22f7<=#;o91>>o4$3;`>1`53k>;>7>54c860?gasA9m=6*2:h?7&:na;29?l>42900c9;::188m17d2900c9k9:188m1d>2900c9l=:188k=2=831d8l<50;9j06d=831d84k50;9l01>=831d8>k50;9j546=831b=9o50;9j001=831d85;n626?6=3f>:47>5;h643?6=3`>8h7>5;n6bb?6=3f>>j7>5;n64=?6=3f>o=7>5;n657?6=3f>=o7>5;h6fb?6=3f>8?7>5;n0bb?6=,;3n6?oj;o0:`?6<3f8jh7>5$3;f>7gb3g82h7?4;n0ag?6=,;3n6?oj;o0:`?4<3f8in7>5$3;f>7gb3g82h7=4;n0ae?6=,;3n6?oj;o0:`?2<3f8i57>5$3;f>7gb3g82h7;4;n0a5$3;f>7gb3g82h794;n0a6?6=,;3n6?oj;o0:`?><3f8i=7>5$3;f>7gb3g82h774;n0a4?6=,;3n6?oj;o0:`?g<3f8jo7>5$3;f>7gb3g82h7l4;h6g>5<#:0o18n5a28f94>=nl3;07d:n:18'64j52:9j0<<72-82i7:l;o0:`?5<3`><6=4+28g90f=i:0n1865f4783>!4>m3>h7c<6d;78?l22290/>4k54b9m621b894?:%0:a?2d3g82h794;h60>5<#:0o18n5a28f9<>=n<;0;6)<6e;6`?k4>l3307d:>:18'64j5a:9j05<72-82i7:l;o0:`?d<3`9m6=4+28g90f=i:0n1o65f3d83>!4>m3>h7c<6d;f8?l32290/>4k54b9m65<#:0o18n5a28f955=h51m0:=65f5083>!4>m3>h7c<6d;31?>o283:1(?7j:5a8j7?c28907d:i:18'64j51598m1c=83.95h4;c:l1=a<6=21b854?:%0:a?2d3g82h7?9;:k0=0<72-82i7=64:l1=a<732c85>4?:%0:a?5><2d95i4>;:k0=7<72-82i7=64:l1=a<532h?<;4?:083>5}#;j21=8<4H526?M5a92e:9<4?::a051=83;1<7>t$2a;>6`53A>;96Fj:182`?552=h>;%1`jm7>5;n34g?6=3`>ni7>5;n0:5;n117?6=3f;>o7>5;h3:>5<#:0o1=55a28f94>=n9>0;6)<6e;3;?k4>l3;07d?9:18'64j52:9j51<72-82i7?7;o0:`?5<3`;96=4+28g95==i:0n1865f1083>!4>m3;37c<6d;78?l77290/>4k5199m621bj7>5$3;f>4>!4>m3;37c<6d;:8?lb=83.95h4>8:l1=a<>32ch6=4+28g95==i:0n1m65fb;29 7?b2820b?7k:c98md<72-82i7?7;o0:`?e<3`31<7*=9d82<>h51m0o76g=1;29 7?b2820b?7k:d98m76=83.95h4>8:l1=a5}#;j21=8<4H526?M5a92e:9<4?::a0<3=83?1<7>t$2a;>42b3A>;96F5;h;1>5<5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`060<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi>n>50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?m>:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo:67;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4:>0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;;21<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:826=4=:183!5d03;=<6F;049K7c75<55;294~"4k10896F;049K7c75<5<N38<1C?k?4i077>5<6=44i075>5<5<5<5<55;294~"4k10896F;049K7c75<5<N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th8jl4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?kl50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>hl:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f6`c29086=4?{%1`;96Fhj:187>5<7s-9h47=;;I631>N4n81b=8:50;9j503=831b=8850;9l6<4=831vn976:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f1?f29096=4?{%1`j3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd31j0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;9e83>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;h;1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm3`794?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n97E:?5:J0b4=n9<>1<75f14794?=n9<<1<75f14594?=h:081<75rb2c4>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`0e<<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a7dg=8381<7>t$2a;>4073A>;96F4<50;9~f6ge29086=4?{%1`;96Fol:186>5<7s-9h47=:;I631>N4n81b=8:50;9j503=831b=8850;9j501=831d>4<50;9~f6g529086=4?{%1`;96Fo<:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg5f<3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?8d;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd6?o0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e91:1<7;50;2x 6e?2:?0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66g>5683>>i51;0;66sm19394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9181<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj8286=4<:183!5d038296F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k108?6F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<54;294~"4k1095;5G4178L6`63`;>87>5;h361?6=3`;>:7>5;n0:6?6=3ty26=4<{_;896g128??70=n7;367>{ti3:1?vPn;<1b2?72=278m54>529~wg<72:qUn635<5sWo01>o>:077?xua290?wSh4=07g>433349m;7?:4:?2<5<6==1v<>50;6xZ46<58?o6<;:;<1e3?72=27:4=4>549~w47=83>pR431349m;7?:6:?2<5<6=?1v<<50;6xZ44<58?o6<;8;<1e3?72?27:4=4>569~w42=8343434;>h7?:8:?0b=<6==16=:j5147894>628?>7p}>6;292~X6>278>54>529>50b=9<301>h7:076?870l3;>863>808211=z{8=1<78t^05897e728?870==a;360>;6=m0:9l523gc9505<582>6<;;;|q2=?6=>rT:563=c08216=:;;31=8=4=07g>43e349mn7?:3:?23`<6=<1v?>50;0xZ76<5:k>6<;;;|q15?6=:rT9=63?1<7:t^67891?028?>70:69;360>;31h0:9>5rs8394?4|V0;0197::928yv72k3:1>vP>5b9>50b=:080q~?8c;296~X6?j16=:k52808yv70l3:1>v3>7e81=7=:9191=8:4}r34b?6=:r7:;k4=939>5=5=952z?2<4<51;16=5:51468yv7?:3:1>v3>8381=7=:91>1=8;4}r3;7?6=:r7:4>4=939>5=3=952z?2<0<51;16=:k51468yv7?>3:1>v3>8781=7=:9>o1=884}r3b4752808yv4em3:1>vP=bd9>6f5=:080q~n<5280897e428??7p}=c183>7}::j:1>4<4=3a1>4333ty9o<4?:3y>6f7=:0801?m=:076?xu4::0;6?uQ331896422;397p}<2583>7}:;;>1>4<4=206>4323ty8>;4?:5y]770<5:8>6<;;;<11e?4>:27?544>549~w6402909w0==7;0:6>;4:h0:985rs20;>5<5s49947<62:?06d<6=?1v><6:1818551382>63<2`8212=z{:k;6=4={_1b4>;4i=095?5rs2c2>5<5s49j=7<62:?0e7<6==1v>o=:18185f:382>63:278m94>549~w6g22909w0=n5;0:6>;4ik0:985rs2c5>5<5s49j:7<62:?0e6<6==1v>o8:18185f?382>63:278mn4>549~w6g>2909w0=n9;0:6>;4ij0:9;5rs2cb>5<5s49jm7<62:?0ef<6=>1v>om:18185fj382>63:278m>4>549~w6`02909w0=i7;0:6>;4nl0:985rs2d;>5<5s49m47<62:?0ba<6==1v>h6:18185a1382>63:278jn4>549~w6`e2909w0=ib;0:6>;4nl0:9;5rs2d`>5<5s49mo7<62:?0ba<6=<1v>hk:18185al382>636=4:{<6:1?4f8279544>529>772=9<901?m<:076?870n3;>?6s|48494?4|5=3>6<>=;<6:3?4>:2wx84650;0x91?220:0197k:3;1?xu3100;6?u248;96<4<5=3o6<;;;|q7=d<72;q684o5280891?d28??7p};9c83>7}:<0h1>4<4=5;`>4323ty?5n4?:3y>0uQ4`c891?028??70:6b;367>{t?63>838216=zuk>m=7>5368a5?b5sA9m=6*2:h?7d7>:188m1332900c9mn:188m17e2900c9l=:188k1g52900e?li:188k12?2900e:;50;9l0=c=831b?;<50;9j020=831b8hk50;9j0g>=831b>ok50;9l0d`=831b??=50;9l6f2=831d85650;9l6d`=83.95h4=ad9m6lj50;&1=`<5il1e>4j51:9l6ge=83.95h4=ad9m6ol50;&1=`<5il1e>4j53:9l6gg=83.95h4=ad9m6o750;&1=`<5il1e>4j55:9l6g>=83.95h4=ad9m621d>o950;&1=`<5il1e>4j57:9l6g4=83.95h4=ad9m6o?50;&1=`<5il1e>4j59:9l6g6=83.95h4=ad9m6lm50;&1=`<5il1e>4j5b:9j3g<72-82i79n;o0:`?6<3`=26=4+28g93d=i:0n1=65f7983>!4>m3=j7c<6d;08?l71290/>4k5149m65<#:0o1=85a28f96>=n980;6)<6e;36?k4>l3907d??:18'64j54:9jb?6=,;3n6<;4n3;g>0=l3<07dj50;&1=`<6=2d95i48;:k`>5<#:0o1=85a28f9<>=nj3:1(?7j:078j7?c2010el4?:%0:a?723g82h7o4;h;94?"51l0:96`=9e8a?>o593:1(?7j:078j7?c2j10e?>50;&1=`<6=2d95i4k;:k2b?6=,;3n6<;4n3;g>`=h51m0m76g>d;29 7?b28?0b?7k:028?l7d290/>4k5149m62:9j5d<72-82i7?:;o0:`?7432c:?7>5$3;f>43h>;n365?6=3th8:>4?:483>5}#;j21=9k4H526?M5a92c2<7>5;h;1>5<5<4290;w)=l8;37g>N38<1C?k?4i9294?=n9981<75`2`294?=zj=2n6=4<:183!5d03;?o6F;049K7c75<4290;w)=l8;37g>N38<1C?k?4i9294?=n9981<75`2`294?=zj8:86=4<:183!5d03;?o6F;049K7c75<2290;w)=l8;37a>N38<1C?k?4i8294?=n1;0;66g70;29?l77:3:17b4?:1y'7f>=9=i0D9>:;I1e5>"6=o0;7d6?:188m4652900c?o?:188yg4cl3:1?7>50z&0g=<650;9j554=831d>l>50;9~f7b429086=4?{%1`t$2a;>42d3A>;96F5;h336?6=3f8j<7>5;|`70=<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th8?:4?:283>5}#;j21=9m4H526?M5a92.:9k4?;h:3>5<5<53;294~"4k10:8n5G4178L6`63-;>j7>4i9294?=n9981<75`2`294?=zj:926=4<:183!5d03;?o6F;049K7c7<,8?m6=5f8183>>o68;0;66a=a183>>{e;:k1<7=50;2x 6e?28>h7E:?5:J0b4=#94?:1y'7f>=9=i0D9>:;I1e5>"6=o0;7d6?:188m4652900c?o?:188yg53:3:1?7>50z&0g=<650;9j554=831d>l>50;9~f62029086=4?{%1`t$2a;>42d3A>;96F5;h336?6=3f8j<7>5;|`7f5<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a0fd=8381<7>t$2a;>4073A>;96F4<50;9~f1>>29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd4>h0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;?h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm11794?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb5`4>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`023<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a731=8391<7>t$2a;>7?23A>;96F=l:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7bd29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl=d483>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm2e494?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb3f4>5<4290;w)=l8;10?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb3f:>5<3290;w)=l8;0:2>N38<1C?k?4i077>5<6=44i075>5<h>;h360?6=3`;>97>5;n0:6?6=3th9o:4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>n650;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?m6:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7ef29086=4?{%1`;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg4dk3:187>50z&0g=<51?1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17b<62;29?xd34?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm45a94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e<=n1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=>n6=4<:183!5d038296F;049K7c75<h>;h367?6=3f82>7>5;|`715<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi88?50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a004=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi88=50;794?6|,:i36?78;I631>N4n81b=8:50;9j503=831b=8850;9j501=831d>4<50;9~f1ec29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd3ko0;694?:1y'7f>=;=1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17b<62;29?xd30k0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<1i1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=2o6=4;:183!5d039?7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75`28094?=zj;o<6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb3g;>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`1b1<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th9j84?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>k850;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a6c1=8391<7>t$2a;>7?23A>;96F>>:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f66529096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=?4;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd48>0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl<0983>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm31;94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;9k1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb22g>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<53;294~"4k108?6F;049K7c75<h>;h360?6=3`;>97>5;n0:6?6=3th8=>4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`051<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a743=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi?<850;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~f67>29086=4?{%1`;96F?n:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo=>b;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg56k3:1?7>50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl<1g83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4:90;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;;;1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm33094?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb3da>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`1aa<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th9ih4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>hh50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a6c6=8391<7>t$2a;>7?23A>;96F5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7d329096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;<:1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:?:6=4=:183!5d03;=<6F;049K7c75<>7>52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th89>4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?8:50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a703=83>1<7>t$2a;>7?13A>;96F4<50;9~f63129086=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qo=:7;290?6=8r.8o54<4:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo=;f;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4<00;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl<4`83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd44?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm35694?3=83:p(>m7:278L1623A9m=6g>5583>>o6=<0;66g>5783>>o6=>0;66a=9383>>{e;=?1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:>=6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb21e>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`033<72=0;6=u+3b:971=O<9?0D>h>;h360?6=3`;>97>5;h362?6=3f82>7>5;|`02a<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th8:h4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?;h50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>9?:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo=81;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl<7383>0<729q/?n65349K053<@:l:7d?:4;29?l72=3:17d?:6;29?l72?3:17b<62;29?xd4?:0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm33a94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb20e>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`074<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th8??4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?>=50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>=;:187>5<7s-9h47<66:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo=<5;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg54>3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo==d;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg55m3:1?7>50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;a283>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm4`694?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb5c6>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`7e2<72=0;6=u+3b:96<0<@=:>7E=i1:k211<722c:984?::k213<722e95?4?::a0d>=83>1<7>t$2a;>62<@=:>7E=i1:k211<722c:984?::k213<722e95?4?::a0<7=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi84<50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn97<:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo:64;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl<7`83>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm39294?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb2:2>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`0<6<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a7=2=8381<7>t$2a;>4073A>;96F4<50;9~f6>229096=4?{%1`3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd40>0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm36`94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;>i1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm36f94?2=83:p(>m7:268L1623A9m=6g>5583>>o6=<0;66g>5783>>i51;0;66sm36g94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;>l1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb3ae>5<2290;w)=l8;16?M27=2B8j<5f14694?=n95<53;294~"4k108?6F;049K7c75<h>;h360?6=3`;>97>5;n0:6?6=3th9hk4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`1a5<72=0;6=u+3b:971=O<9?0D>h>;h360?6=3`;>97>5;h362?6=3f82>7>5;|`1a4<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi>h<50;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~f7c429086=4?{%1`;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yv?=838pR4522g49502755=9<>0q~l50;0xZg=:;931=8:4}ra94?4|Vj16?=h51468yvb=838pRi523079502777=9<>0q~h50;0xZc=::oi1=8:4}r33>5<5sW;;70{t980;6?uQ109>74d=9<>0q~?=:181[75348i97?:4:p56<72;qU=>522d5950396s|1783>7}Y9?16?<751478yv7f2909wS?n;<0e0?72=2wx=o4?:3y]5g=:;9;1=8;4}r3`>5<5sW;h70=?7;361>{t9m0;6?uQ1e9>75b=928??7p}85;29<~X0=2789<4>529>712=9<>01>863;928216=::jl1=8:4=3g3>4333ty<47>53z\4<>;4;;0:9>5248395033z\:5>;4>:03<63=c58;4>;30l03<63;b48;4>;68:03<63<758;4>;5km03<63=de8;4>;5l:03<63<368;4>;4;103<63<388;4>;4;h03<63<3e8;4>;4<;03<63<468;4>;4559>01e=9<>01>=i:070?82>93;>86s|11694?4|58:86<>=;<331?4>:2wx>5h50;1x916128?:70=93;;1?850<3397p}=ab83>7}Y:hi01?kn:3;1?xu5im0;6?uQ2`f897`72;397p}=b183>7}Y:k:01?h8:3;1?xu5j80;6?uQ2c3897`c2;397p}=b383>6}Y:k80R?oi;<0a2?4>:2wx>o=50;0x97d42;3970{t:k>1<77?5348i97?:5:p6g3=838p1?l::3;1?84e>3;>96s|2c594?4|V;h<70=?4;0:6>{t:k21<76s|2c;94?4|V;h270=>0;0:6>{t:kk1<7382>6s|2c`94?4|V;hi70=>c;0:6>{t:ki1<76s|2cg94?0|V;hn70=:0;367>;4<=0:9;524`19505<5;im6<;9;<0f4?72=2wx>oh50;1xZ7da3499o7?:3:?076<6=:1v?m;:181[4d<279o94=a19~w7e22909w0;5kj095?5rs3a5>5<5s48h:7<62:?1gf<6=<1v?m8:18184d?382>63=c88210=z{;i36=4={<0`:279ol4>549~w7e>2909w0;5kk0:985rs3ab>5<5s48hm7<62:?1gf<6=?1v?mm:18184dj382>63=cb8211=z{;io6=4>9z?1ga<5i9168nh5147891>c28?>70;5m10:9>522g69502<5;l>6<;<;<135?72<278529>751=9<>01>>7:070?857l3;>863<0d8216=:;891=8:4=237>434349:57?:4:?05d<6=:16?;5nk0:9>522df9502<5;on6<;<;<0a7?72<279n94>529~w7eb2909w0;5l;095?5rs3ae>5<5s48hj7<62:?1`4<6==1v?j?:18184c8382>63=d08210=z{;n:6=4={<0g5?4>:279h?4>549~w7b4290;4=;0:9>523569501<5:9;6<;<;<6b0?72;279ok4>569>6`6=9<<0q~52z?1`0<51;16>i951478yv4c>3:1>v3=d781=7=::m21=8;4}r0g3?6=:r79h:4=939>6a?=9<>0q~52z?1`d<5i9168l851418yv4cj3:1?v3=d`8;4>;3<103<63=db81=7=z{;no6=4={<0g`?4f827?m84>529~w7bb2909w0;5m=095?5rs3fe>5<5s48oj7<62:?1a4<6=<1v?k?:18184b8382>63=e38210=z{;o:6=4={<0f5?4>:279i94>559~w7c52909w0;5m:0:985rs3g0>5<5s48n?7<62:?1a1<6=<1v?k9:18184b?382>63=e`8211=z{;o<6=4={<0f:279i44>549~w7c?2909w0;5mh0:985rs3g`>5<5s48nh7<62:?1b5<6==1v?kk:18184bm382>63=eg8210=z{;on6=4={<0fb?4>:279j=4>549~w7`42909w0;5n>0:995rs3d7>5<5s48m97<62:?1b3<6=<1v?h::18184a>382>63=f68210=z{;l26=4={<0ee?4>:279ji4>559~w7`f2909w0;5nj0:985rs3da>5<5s48mo7<62:?1ba<6=<1v>>?:1818579382>63<058211=z{:::6=4={<136?4>:278<>4>549~w6652909w0=?3;0:6>;48=0:985rs225>5<5s49;;7<62:?04d<6==1v>>8:1818570382>63<088210=z{::36=4={<13=?4>:278549~w66d2909w0=?d;0:6>;4990:995rs22g>5<5s49;i7<62:?04c<6=<1v>>j:181857n382>63<118210=z{:;96=4={<127?4>:278=;4>559~w6742909w0=>4;0:6>;49<0:985rs237>5<5s49:97<62:?053<6=<1v>?7:1818561382>63<1b8211=z{:;26=4={<12e?4>:278=o4>549~w67f2909w0=>b;0:6>;49j0:985rs23f>5<5s49:j7<62:?067<6==1v>?i:1818558382>63<208210=z{:8;6=4={<115?4>:278>?4>549~w6442909wS==3:?7f5<6=:1v>3;>?63=c98216=:<=n1=8=4=270>434349?57?:4:?000<6=:16??k5280897b728?870{t;;i1<77?5349887?:5:p77b=838p1>96s|33d94?4|5:8m6?7=;<100?72<2wx?>>50;0x96572;3970=<4;362>{t;:;1<77?53499h7?:4:p764=838p1>==:3;1?854=3;>86s|32194?4|5:986?7=;<101?72=2wx?>:50;0x96532;3970=<6;361>{t;:?1<77?53499h7?:5:p760=838p1>=9:3;1?855m3;>86s|32594?1|5:9<6?o?;<0g=?72=279oo4>559>006=9<>01>;9:077?85093;>?63<858216=z{:936=4:{<103?77:278?54=a19>01d=9<>01>9?:070?85?;3;>?6s|32;94?3|5:936<>=;<10=?4f827?8o4>549>73`=9<901>6>:070?xu4;h0;6:u232c96d6<5;n<6<;;;<0`=?72<27?8h4>559>702=9<>01>:n:076?84cn3;>96s|32`94?4|5:9j6<>=;<10g?4>:2wx?>j50;7x965c2;k;70=;5k?0:995245a9503<5:>;6<;;;|q07`<72;q6?>j5110896262;397p}<3g83>7}:;:l1>4<4=263>4323ty88=4?:3y>716=:0801>:>:076?xu4<;0;68u22ec9554<5:>96?o?;<0`2?72=2788<4>559>6a4=9<>0q~=;3;296~;4<;0:52z?001<51;16?9851468yv53=3:1>v3<4481=7=:;=<1=8;4}r173?6=>r78?44>039>711=:h:019:j:076?851m3;>?63<838216=::l;1=8:4}r17039>71d=:080q~=;9;296~;4<0095?5235`950252z?00d<51;16?9l51478yv53k3:15v3<4b81e5=::m21=8:4=3ab>43334>>=7?:4:?012<6=<16?9751478962328?>70;5m:0:995rs26g>5<5s49?o7??2:?00c<51;1v>:j:181853m382>63<558210=z{:?;6=4={<164?4>:278984>549~w6362909w0=:1;0:6>;4=<0:995rs271>5<5s49>>7<62:?010<6=?1v>;<:181852;382>63<568213=z{:??6=4={<160?4>:2789;4>549~w6322909w0=:5;0:6>;4=>0:995rs275>5<5s49>:7<62:?00c<6==1v>;8:181852?382>63<4g8210=z{:<96=48{_156>;4>h0:9>524c59505<5:==6<;9;<15`?72=2784=4>529>7=0=9<90q~=93;297~;4>:09m=523649502<5:9:6<;:;|q021<72:q6?;=51108960e2;3970=97;360>{t;??1<7<6<5:<<6?7=;|q023<72;q6?;852808960028?>7p}<6983>7}:;?31>4<4=24a>4333ty8:44?:3y>73g=:0801>8m:076?xu4>j0;6?u23749505<5:=86?7=;|q02a<72;q6?;j52808961428??7p}<6d83>7}:;?o1>4<4=251>4333ty8:k4?:3y>73`=:0801>9=:076?xu4?90;6?u236296<4<5:=96<;9;|q034<72;q6?:?52808961528?<7p}<7383>7}:;>81>4<4=250>4323ty8;94?:9y>722=:h:01?j::070?84d?3;>?63;4g8216=:;=o1=8=4=26b>4333498:7?:4:?1`c<6==1v>9::181850<3;;>63<7781=7=z{:=<6=4={<140??7349<47<62:p72?=838p1>97:070?850n382>6s|36c94?4|5:=j6?7=;<1;3?72<2wx?:l50;0x961e2;3970=8d;362>{t;>i1<77?53499k:3;1?850m3;>86s|36g94?4|5:=n6?7=;<14b?72<2wx?5>50;0x96>72;3970=77;361>{t;1;1<77?53496=:3;1?850j3;>86s|39194?4|5:286?7=;<14f?72=2wx?5:50;0x96>32;3970=8e;361>{t;1?1<77?534969:3;1?850k3;>96s|39594?4|5:2<6?7=;<14`?72=2wx8i?7?:5:?7e2<6=<1v9:7:181[23027?854=a19~w12f2909w0:;8;336>;3=:095?5rs56a>5<5s4>?n7<62:?716<6==1v9:l:181823k382>63;518210=z{=>o6=4={<67`?4>:27?9<4>549~w12b2909w0:;e;0:6>;3=;0:995rs56e>5<5s4>?j7<62:?717<6=<1v9;?:1818228382>63;528210=z{=?:6=4={<665?4>:27?9>4>579~w1352909w0::2;0:6>;3=:0:9:5rs577>5<5sW>>863>048216=z{===6=4={_642>;30j0:9>5rs5:;>5<5sW>3463;8881=7=z{=2j6=4={<6;=?72;27?4i4=939~w1>e2909w0:7b;0:6>;30m0:995rs5:`>5<5s4>3o7<62:?7;31=095?5rs5;2>5<5s4>2=7<62:?7=1<6=<1v97=:18182>:382>63;958211=z{=386=4={<6:7?4>:27?594>579~w1g52909wS:n2:?7e=<51;1v9o<:18182f;382>63;a68211=z{=k?6=4={<6b0?4>:27?m:4>579~w1g22909w0:n5;0:6>;3i10:995rs5c5>5<5s4>j:7<62:?7e=<6=?1v9o8:18182f?382>63;a98210=z{=km6=4={_6bb>;3j9095?5rs5`1>5<5sW>i>63;b281=7=z{=h>6=49{<6a1?4f8278:44>529>720=98k:077?850i3;>?63<848216=z{=h=6=4={<6a1?77:27?n:4=939~w1d?2909wS:m8:?7g`<6=:1v9mn:181[2di27?oo4=939~w1ed2909w0:lb;367>;3ko095?5rs5ag>5<5s4>hh7<62:?7gc<6==1v9mj:18182dm382>63;cg8213=z{=on6=4:{_6fa>;3j:0:99524bf9505<5=2i6<;<;<6:6?72;2wvn9?>:1822?>721ipD>h>;%1`5;n3bf?6=3`><:7>5;h34g?6=3`>ni7>5;h6a5;h0:5;n657?6=3f8;=7>5;n01>5<m7:071?M27=2B8j<5`14394?=zj8ih6=4::183!5d03;?i6F;049K7c7>o?83:17d??2;29?j4f83:17pl<9783>0<729q/?n6515g8L1623A9m=6*>5g83?l?72900e4<50;9j<5<722c:5}#;j21=9k4H526?M5a92c2<7>5;h;1>5<m7:06`?M27=2B8j<5f8183>>o68;0;66a=a183>>{e:1n1<7=50;2x 6e?28>h7E:?5:J0b4=n090;66g>0383>>i5i90;66sm42194?3=83:p(>m7:06f?M27=2B8j<5f9183>>o>:3:17d6?:188m4652900c?o?:188yg4529086=4?{%1`>:180>5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f4?429086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f4ge29086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f775290>6=4?{%1`7>5;n0b4?6=3th:m94?:483>5}#;j21=9k4H526?M5a92c2<7>5;h;1>5<5<2290;w)=l8;37a>N38<1C?k?4i8294?=n1;0;66g70;29?l77:3:17b4?:1y'7f>=9=i0D9>:;I1e5>"6=o0;7d6?:188m4652900c?o?:188yg5e=3:1?7>50z&0g=<650;9j554=831d>l>50;9~f6d>29086=4?{%1`t$2a;>4073A>;96F4<50;9~f10329096=4?{%1`3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd59=0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;;0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm42494?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb2a5>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<=;7>53;294~"4k108?6F;049K7c75<h>;h367?6=3f82>7>5;|`72<<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a03g=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi8;l50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a5db=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=lk50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f6de29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4jo0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;j:1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:i:6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb2a1>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`0f2<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th8n54?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a06>=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi8>750;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn9=n:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg7dm3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?lf;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>d183>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1e394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9m81<7:50;2x 6e?2;3=7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75`28094?=zj8h:6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb0`4>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`2f<<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th:nl4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`2fg<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a5ge=8381<7>t$2a;>4073A>;96F4<50;9~f4dc29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl>b383>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd6j:0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl>b583>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9k?1<7:50;2x 6e?2:>0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e9k<1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb33;>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th9><4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>?<50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?<<:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo<=4;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl=2483>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm23494?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb33b>5<3290;w)=l8;17?M27=2B8j<5f14694?=n95<4290;w)=l8;10?M27=2B8j<5f14694?=n95<N38<1C?k?4i077>5<6=44i075>5<h>;h360?6=3`;>97>5;n0:6?6=3th:o;4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`2g2<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi=n650;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?la;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg7dj3:187>50z&0g=<4<2B?<85G3g38m4332900e<;::188m4312900c?7=:188yg7>=3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?6c;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>9e83>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm18g94?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n95<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:m<4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi=l<50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a5d5=8381<7>t$2a;>4073A>;96F4<50;9~f4?1290?6=4?{%1`;96F4<50;9~f4?0290?6=4?{%1`;96F4<50;9~f4??29086=4?{%1`;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg7>i3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?6b;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl=0283>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd58h0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e:9h1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj;:h6=4<:183!5d038296F;049K7c75<h>;h367?6=3f82>7>5;|`14`<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a65`=8381<7>t$2a;>4073A>;96F4<50;9~f77729086=4?{%1`;96F:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl=0983>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd5800;694?:1y'7f>=:0<0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e;021<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:326=4=:183!5d03;=<6F;049K7c75<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th85o4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?4m50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a7t$2a;>7?23A>;96F7j:187>5<7s-9h47=;;I631>N4n81b=8:50;9j503=831b=8850;9l6<4=831vn>7i:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yv452909wS<=;<01>7g73ty8<7>5fz\04>;4838j<63>a`8216=:;kn1=8:4=0ae>43434;i57?:4:?2fg<6=:16>?<51418977>28??70?l8;367>;6i80:9>521`09503<5;:m6<;<;<024?72=2785l4>529~w67=838p1>>5919>77<51;1v:;50;cxZ23<58ih6<>=;<1:2?77:27??;4>529>5db=9lj:076?82413;>?63>d18216=:9j<1=8:4=2;a>4343ty2=7>512y]=4=:9ji14=523849<5=:;903<6367;:3?84?l32;70:<3;:3?84521:01?>>:92894?421:01??9:92894ge21:01<7=:928977521:01l6:928yv?02909w078:3c3?85528??7p}68;296~;>?3;;>63;6?<0:5<2s4;<97f;367>{t9><1<796s|16a94?3|V8=h70:97;360>;6im0:99523cg9502<5:3n6<;:;|q2=4<72;qU=4?4=0;1>4653ty:5?4?:3y>5<4=:h:01;61?0:985rs0;7>5<5s4;2?7??2:?2=g<51;1v<7::18187>=382>63>9c8210=z{83=6=4={<3:2?4>:27:544>559~w4?02909w0?67;0:6>;6100:985rs0;;>5<5s4;247<62:?2=g<6=?1v<76:18187>1382>63>9`8211=z{83j6=4={<3:e?4>:27:5o4>559~w4?d2909w0?6c;0:6>;61?0:995rs0;g>5<5s4;2h7<62:?2=3<6=?1v<7j:18187>m382>63>968210=z{83m6=4={<3:b?4>:27:5:4>559~w4g72909w0?n0;0:6>;61>0:9;5rs0c2>5<5s4;j=7<62:?2=d<6=<1v63>998211=z{8k86=4={<3b7?4>:27:554>549~w4g32909w0?n4;0b4>;6i;0:995rs0c6>5<5s4;j87??2:?2e3<51;1v559>5f0=97k:077?xu6i00;6?uQ1`;894gf2;397p}>ac83>6}Y9hh0186s|1`a94?1|58km6?7=;<3ae?72<279=l4>549>5?63=0b8210=z{8ko6=4={<3b`?4>:27:mk4>559~w4gb2909w0?ne;0:6>;6io0:985rs0`3>5<5s4;jn7??2:?2f3<51;1v:18187e9382>63>b28211=z{8h96=4={<3a6?4>:27:n94>549~w4d42909w0?m3;0:6>;6j?0:995rs0`7>5<5s4;i87<62:?2f0<6=<1v63>b78210=z{8h<6=4={<3a3?4>:27:nh4>559~w4d?2909w0?m8;0:6>;6jl0:985rs0`:>5<5s4;i57<62:?2f1<6==1v63>b38211=z{8hi6=4={<3af?4>:27:n?4>549~w4dd2909w0?mc;0:6>;6j<0:995rs0`g>5<5s4;ih7<62:?2f0<6=?1v63>b28210=z{8i?6=4<{<3`0?4f827:n44>549>677=9<90q~?l5;296~;6k?095?521b`950352z?2g2<51;16=no51468yv7d?3:1>v3>c981=7=:9jk1=8;4}r3`5fd=9<<0q~?l9;296~;6kh095?521b`950252z\2gf=:9ji1>l>4}r3``?6=:r7:on460:?2`7<51;1v63>d38210=z{8im6=4={<3`b?4>:27:h<4>549~w4b72909w0?k0;0:6>;6l;0:9;5rs0f2>5<5s4;o=7<62:?2`7<6==1v?>>:187[479279<<4=a19>655=9<>01?>;:076?xu58;0;6?u22139554<5;:26?7=;|q146<72;q6>==52808976>28?>7p}=0583>7}::9>1>4<4=324>4333ty9<84?:3y>653=:0801?>8:076?xu58?0;6?u221496<4<5;:26<;9;|q142<72;q6>=952808976?28??7p}=0983>7}::921>4<4=32:>4333ty965g=:0801?>;:077?xu58k0;6?u221`96<4<5;:?6<;9;|q14f<72;q6>=m52808976228?>7p}=0e83>7}::9n1>4<4=326>4333ty965c=:0801?>::075?xu58o0;6?u221d96<4<5;:36<;:;|q155<72;q6><>52808976128??7p}=1083>7}::8;1>4<4=325>4323ty9=?4?:3y>644=:h:01???:077?xu59:0;6?u22009554<5;;?6?7=;|q153<72:qU><84=335>7g7348:47?:4:p641=838p1??9:021?846m382>6s|20:94?4|5;;36?7=;<02f?72<2wx><750;0x977>2;3970<>c;360>{t:8k1<77?5348:o7?:5:p64d=838p1??m:3;1?846m3;>86s|20a94?4|5;;h6?7=;<02`?72=2wx>e;361>{t:8l1<77?53489:7?:4:p676=838p1?3;>96s|23394?4|5;8:6?7=;<02=?72=2wx>?<50;0x97452;3970<>a;360>{t:;91<77?5348:m7?:6:p672=838p1?<;:3;1?846l3;>86s|23794?4|5;8>6?7=;<02`?72>2wx>?850;0x97412;3970<>b;361>{t:1n1<7;t=229554<5;2o6?o?;<026??734;j877?;<11>4323ty94h4?:2y>6=b=99801=}:<9<1=8?4=0a`><4<5:3=64<4=229=7=:<:915?522009=7=:9h>15?521b69=7=z{;336=4={_0:<>;3>00:9>5rs2;5>5<1s492:7;6k00:9>5rs2;4>5<5s492:77?;<1:b?4>:2wx?4650;0x96??2;3970=6c;360>{t;031<77?53492o7?:5:p77n:3;1?85>m3;>:6s|38`94?4|5:3i6?7=;<1:b?72=2wx?4m50;0x96?d2;3970=6d;361>{t;0n1<77?53492i7?:4:p77j:3;1?85>n3;>86s|3c794?77s49i975141896d028??70?m8;367>;6jj0:9>522329505<5;8?6<;<;<3`3?72=27:584>549>5d6=9<901963=0d8216=::8;1=8=4=2;:>4343ty8n;4?:3y>7g3=99801>l7:3;1?xu4j>0;6?u23c596<4<5:h36<;:;|q0f<<728:p1?<5110896d>2;k;70=l7;360>;4jm0:98523b39502<5:h36<;;;<3a5?72=27:ni4>529>64>=9863>9b8216=:90o1=8:4=32a>434348;o7?:4:?0==<6=:1v>ln:18185e13;;>63:278o<4>549~w6dc2909w0=md;0:6>;4k:0:995rs2`f>5<5s49ii7<62:?0g7<6==1v>li:18185en382>63:278o94>549~w6e62909w0=l1;0:6>;4jj0:995rs2a1>5<5s49h>7<62:?0g6<6=<1v>m<:18185d;382>63:278nn4>549~w6e22909w0=l6;0:6>;4k>0:985rs53a>5<5sW>:n63;698216=z{=986=4={_607>;3;:09m=5rs516>5<5s4>8?7??2:?773<51;1v9=8:181824;33;70:{t<:21<77?534>8m7?:4:p06?=838p19=6:3;1?824i3;>96s|47194?4|V=<870:94;0:6>{t43434>=n7<62:p031=838p1988:3;1?821j3;>86s|47:94?4|5=<36?7=;<65e?72<2wx8;750;0x910>2;3970:9a;361>{t7?534>=n7?:5:p020=839pR999;<3b2?72;27:5k4>529~w1d?2908wS:m8:?151<6=:16>=j51418yv2bm3:19vP;ed9>5f2=99801988:076?87ei3;>963=228216=zuk>;j7>51082f?42sA9m=6*6d33f>n97>5;h3b>87>5;h3b=?6=3`>:n7>5;n56>5<j6=44o2de>5<5<5<5<h1<7*=9d84e>h51m0;76a89;29 7?b2>k0b?7k:098k2>=83.95h48a:l1=a<532h53;294~"4k10:8n5G4178L6`63`2;6=44i021>5<5<4290;w)=l8;37g>N38<1C?k?4i9294?=n9981<75`2`294?=zj>i1<7=50;2x 6e?28>h7E:?5:J0b4=n090;66g>0383>>i5i90;66sm44694?5=83:p(>m7:06`?M27=2B8j<5f8183>>o68;0;66a=a183>>{e;ol1<7=50;2x 6e?28>h7E:?5:J0b4=n090;66g>0383>>i5i90;66sm3883>6<729q/?n6515a8L1623A9m=6*>5g83?l>72900e<>=:188k7g72900qo:j5;290?6=8r.8o54>4g9K053<@:l:7d6?:188m4652900e?7i:188k7g72900qo99:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo98:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg5c29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd3mm0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;h0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<:;I1e5>o6==0;66g>5483>>i51;0;66sm44`94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb57`>5<4290;w)=l8;10?M27=2B8j<5f14694?=n91<75f14794?=n9<<1<75`28094?=zj=::6=4::183!5d039>7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75f14594?=h:081<75rb27:>5<4290;w)=l8;10?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb27a>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<h7>53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`01`<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th89k4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a736=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi?;?50;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~w6?=838p1>752`28921=9<>0q~=m:18185>21:01>o52808yv5d2909w0=6:021?85c2;397p}85;292~X0=27:27?9l4>559>0`d=9>:077?xu0>3:1>v386;0:6>;0?3;>96s|7b83>1}Y?116;i4>039>3f<5i9168=?51478yv1?290?wS96;<5f>46534=o6?o?;<635?72>2wx;44?:2y]3g=:?l09m=52413950158z\20d=:?l03<638d;:3?81d21:019;;:92896`a21:019k::92896g=9<90q~?:c;297~X6=j1688l5141891ce28?=7p}>a983>6}Y9h201>;m:077?852k3;>?6s|1`;94?4|V8k270=:b;361>{t9ji1<786s|2`394?5|V;k:70=:9;360>;4=l0:995rs3`f>5<5sW8ii63<5`8210=z{;i?6=4={_0`0>;4=h0:995rs200>5<5sW99?63<588210=z{:?36=4<{<66e?72=27?io4>559>737=:080q~=:9;296~;4=0095?5234f9503m7>52z?01d<51;16?;>51468yv52j3:1>v3<5c81=7=:;70c=9i7>52z?01`<51;16?8h51478yv52n3:1>v3<5g81=7=:;?:1=8;4}r154?6=:r78:=4=939>737=9v34<4}r62f?6=<1=8=4=2f9505>87>52z\711=:<<>1>l>4}r66=?6=:r7?9h4>529>00e=:080q~::a;296~;3=h095?5244a9502>n7>52z?71g<51;1688m51478yv22l3:1>v3;558247=:<4<4}r6f1?6=:rT?i8524d796d6nm7>52z?7aa<6=:168hl52808yv2bk3:1>v3;e48247=:4<4}|`755<728<1n44l5zJ0b4=#;j21=:74$31:>6d33f;<:7>5;h6f1?6=3f>9=7>5;h660?6=3f;=>7>5;h324?6=3`>jm7>5;n3;3?6=3`2=6=44i0ca>5<>i3j10;66g=1483>>o59?0;66g;3383>>i3=o0;66a;6b83>>i5:3:17b:k0;29?g27>3:1=7>50z&0g=<6=;1C8=;4H2d2?j7293:17pl;d183>0<729q/?n6515g8L1623A9m=6g60;29?l?52900e5>50;9j554=831d>l>50;9~f44f29086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f44529086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f74=8391<7>t$2a;>42d3A>;96FN4n81b4=4?::k247<722e9m=4?::a5a5=8391<7>t$2a;>42d3A>;96F?950;194?6|,:i36<:l;I631>N4n81b4=4?::k247<722e9m=4?::a5g`=8391<7>t$2a;>42d3A>;96F5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`7f=<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th?;;4?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`764<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th?9k4?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`200<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th8j94?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`233<72=0;6=u+3b:951b<@=:>7E=i1:k:6?6=3`2;6=44i021>5<h>;h;1>5<5<4290;w)=l8;37g>N38<1C?k?4$07e>5=n090;66g>0383>>i5i90;66sm1d194?5=83:p(>m7:06`?M27=2B8j<5+14d94>o?83:17d??2;29?j4f83:17pl>e583>6<729q/?n6515a8L1623A9m=6*>5g83?l>72900e<>=:188k7g72900qo?j9;297?6=8r.8o54>4b9K053<@:l:7)?:f;28m=6=831b==<50;9l6d6=831vn:180>5<7s-9h47?;c:J740=O;o;0(<;i:19j<5<722c:N4n81/=8h50:k;4?6=3`;;>7>5;n0b4?6=3th:j>4?:283>5}#;j21=9m4H526?M5a92.:9k4?;h:3>5<5<53;294~"4k10:8n5G4178L6`63-;>j7?4i9294?=n9981<75`2`294?=zj89;6=4<:080M5a92.8o54=3c9j550=831b==950;9l7=>=831i8=l50;194?6|,:i369>;;I631>N4n81b=8:50;9j503=831d>4<50;9~w4612909wS??6:?74g<6=<1v<>8:181[77?27?559~w6>?2909wS=78:?74g<51;1vqo?=f;297?7=;rB8j<5+3b:966d5<5<4290;w)=l8;630>N38<1C?k?4i077>5<6=44o3;1>5<52z\243=:<9h1=8;4}r333?6=:rT:<:5241`950252z\0<==:<9h1>4<4}|`26`<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{e5<7>52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:o>4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`727<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi?k850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn<97:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo:77;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl;c883>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm4e794?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb00`>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:>44?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`261<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a573=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=N4n81b=8=50;9l6<4=831vn<==:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f45329086=4?{%1`;96F5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?k5;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>d783>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1e594?2=83:p(>m7:268L1623A9m=6g>5583>>o6=<0;66g>5783>>i51;0;66sm1ga94?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n95<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`2bc<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th9<=4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a5c3=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=k850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?i8;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg7a13:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?jb;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>eb83>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1df94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9lo1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb0ge>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<h>;h360?6=3`;>97>5;n0:6?6=3th:i:4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi=h650;694?6|,:i36>:4H526?M5a92c:994?::k210<722c:9;4?::m1=7<722wi8h>50;794?6|,:i36>;4H526?M5a92c:994?::k210<722c:9;4?::k212<722e95?4?::a0`7=8381<7>t$2a;>4073A>;96F4<50;9~f1c529096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo:j4;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg77i3:197>50z&0g=<51>1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17d?:7;29?j4>:3:17pl>0c83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd68j0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e99n1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm11g94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e99l1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb55g>5<4290;w)=l8;0:1>N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th?;k4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`7<5<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a0=7=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi85<50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a0=5=8391<7>t$2a;>7?23A>;96F5<7s-9h47<66:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo:75;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg2en3:1?7>50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;c183>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm4b394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e1<75f14794?=h:081<75rb5a7>5<4290;w)=l8;10?M27=2B8j<5f14694?=n96=4<:183!5d038296F;049K7c75<h>;h360?6=3`;>97>5;h362?6=3f82>7>5;|`7g2<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th?h:4?:483>5}#;j21?85G4178L6`63`;>87>5;h361?6=3`;>:7>5;h363?6=3f82>7>5;|`7`=<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a0a?=8381<7>t$2a;>4073A>;96F4<50;9~f1bf29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd3lj0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;de83>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e9131<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj82j6=4=:183!5d03;=<6F;049K7c75<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:4n4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi=5j50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn<6j:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?7f;292?6=8r.8o54<6:J740=O;o;0e<;;:188m4322900e<;9:188m4302900e<;7:188k7?52900qo?60;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl>d883>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1e`94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb0f`>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`2``<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a5a`=8381<7>t$2a;>4073A>;96F4<50;9~f4c729096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?j2;292900e<;n:188k7?52900qo?ka;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl=6;291?6=8r.8o54=969K053<@:l:7d?:4;29?l72=3:17d?:6;29?l72?3:17b<62;29?xd503:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo<6:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7c=83?1<7>t$2a;>63<@=:>7E=i1:k211<722c:984?::k213<722c:9:4?::m1=7<722wi>k4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a62<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi=;:50;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~f40c29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd6>o0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl>7183>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm16394?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb051>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`231<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a533=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=;850;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a531=83<1<7>t$2a;>60<@=:>7E=i1:k211<722c:984?::k213<722c:9:4?::k21=<722e95?4?::a53>=8391<7>t$2a;>7?23A>;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg71i3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?9b;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd6>j0;694?:1y'7f>=:0<0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e<;?1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb504>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`76<<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a07g=8381<7>t$2a;>4073A>;96F4<50;9~f14e29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;2e83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd3:l0;694?:1y'7f>=;=1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17b<62;29?xd3:?0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;7183>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd3?80;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm46094?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9:<1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj8926=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb01b>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`27f<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a56b=8381<7>t$2a;>4073A>;96F4<50;9~f45b29086=4?{%1`;96F5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f42729086=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qo?<7;290?6=8r.8o54<4:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo?<8;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd69j0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e98n1<7:50;2x 6e?2;3=7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75`28094?=zj8;n6=4=:183!5d03;=<6F;049K7c75<53;294~"4k108?6F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|q16?6=;rT9>63=2;0b4>;5m3;>86s|2483>7}::;0:5<5s4836?7=;<0g>4333ty957>52z?1=?4>:279h7?:5:p6d<72;q6>l4=939>6`<6=<1v?l50;0x97d=:0801?k51448yv4d2909w063=f;360>{t:l0;6?u22d81=7=::o0:985rs3d94?4|5;l1>4<4=359503963>fe8216=:9o?1=8;4=3:9502<58;68103<63>e28;4>;6m=03<63>e88;4>;6n803<63>f38;4>;6n:03<63>f`8;4>;3::0:9>5rs9494?2|V1<019j?:9289=d=99801;6l<0:9>521ga9503<58l<6<;<;<3fa?72<27:i:4>529>55e=9<90196?:070?82d:3;>?63>698211=:<;?1=8;4}r3355b=9<>0199>:077?xu6800;6?u211:9554<58:m6?7=;|q24d<72;q6==o52808946c28?>7p}>0c83>7}:99h1>4<4=02f>4333ty:55e=:0801<>j:076?xu68m0;6?u211f96<4<58:m6<;;;|q24`<72;q6==k52808946a28?>7p}>1183>1}Y98:01<:::021?874>3;>?63>1b8216=z{8;?6=4n{<32h4>079>564=9863;d98216=:9131=8=4=01:>43334;8m7?:3:?25`<6=:1v32;70?=2;:3?876i382>6s|10`94?4|58;36<>=;<315?4>:2wx=f;361>{t98n1<77?534;9<7?:4:p54c=838p196s|10d94?4|58;m6?7=;<315?72<2wx=?>50;0x94472;3970?=1;361>{t98?1<76t=001>7g734;9i7??7:?277<6==168h?5141891be28?870?7b;367>;6;m0:9>5210f950252z?267<68;16=?;52808yv75<3:1>v3>2581=7=:9;?1=8;4}r322?6=1r7:>;4=a19>57`=99=01<=;:077?82b83;>;63;d68210=:43434;8o7?:3:?25a<6=<1v<<8:181875>3;;>63>2881=7=z{8836=4={<31:27:>44>549~w4702903w0?=a;0b4>;6;90:<:524d09505<5=nj6<;<;<3;b?72=27:?44>549>56d=9<9017p}>3083>6}:9;l1==84=011>7?534;887?:5:p565=838p1<=?:025?874<382>6s|12794?2|588h6<;<;<3194>529>56>=:080q~?<6;296~;6;?095?5212g950352z?272<51;16=>651478yv7413:1>v3>3881=7=:9=:1=8:4}r30e?6=:r7:?l4=939>56`=9<>0q~?52z?27f<51;16=>951468yv74l3:1>v3>3e81=7=:9:=1=884}r30a?6=:r7:?h4=939>56>=9<>0q~?52z?205<51;16=>951478yv7393:1>v3>2d80<==:9;?1=8:4}r376?6=:r7:>k4<899>57?=9<>0q~?;3;296~;6;908455213f950253z?200<5i916=>k51468947a28??7p}>6383>0}Y9?801<8=:3c3?82b83;>963;d68213=:9?=1=8;4}r357?6=:r7::?4>039>53e=:080q~?94;296~;6>=095?5217a950352z?220<51;16=;651478yv71>3:1>v3>6781=7=:9?31=8:4}r353?6=:r7:::4=939>53?=91095?5217c950352z?22<<51;16=;m51448yv71i3:1>v3>6`81=7=:9?h1=8;4}r35f?6=:r7::o4=939>53e=9<>0q~?9d;296~;6>m095?52177950252z?22`<51;16=;;51478yv71n3:1>v3>6g81=7=:9?<1=8:4}r344?6=:r7:;=4=939>530=97>52z?237<51;16=;951448yv70;3:1>v3>7281=7=:9?=1=894}r340?6=:r7:;94=939>531=9<20q~?86;291~X6??16=:852`2894ce28?870?j6;360>;6>m0:9>5rs054>5<5s4;<:7??2:?23=<51;1v<68:186[7??27:4:4=a19>5`e=9<901?6s|19:94?4|582<6<>=;<3:4?4>:2wx=5750;0x94>>2;3970?7f;360>{t91k1<77?534;3j7?:6:p5=d=838p1<6m:3;1?87?n3;>;6s|19a94?4|582h6?7=;<3;b?7202wx=5j50;0x94>c2;3970?60;360>{t91o1<77?534;2<7?:6:p5=`=838p1<6i:3;1?87>83;>96s|1`594?4|V8k<70:75;360>{t9hh1<76s|1cd94?2|58hm6?o?;<3`6?72;27?;k4>559>0f7=9<>0q~?l0;29<~;6k:095?521e49505<58lh6<;;;<3e2?72;27957?:3:?235<6=:168?;51468911728??7p}>c083>7}:9j;1>4<4=0a0>4333ty:o?4?:3y>5f4=:0801u21e196d6<58o96<;;;<644?72=2wx=i:50;0x94b02;3970?k9;367>{t9m?1<77?534;o;7?:4:p5a0=838p1:6s|1e:94?4|58n86<>=;<3ge?4>:2wx=i750;0x94b>2;3970?j1;361>{t9mh1<77?534;n>7?:5:p5ae=838p1:6s|1ef94?4|58no6?7=;<3f6?72?2wx=ik50;0x94bb2;3970?j2;36=>{t9ml1<77?534;n>7?:8:p5`6=838p1m6s|1d394?4|58o:6?7=;<3ge?72<2wx=h<50;0x94c52;3970?ka;362>{t9l91<7;t=0g0>7g7348;<7?:4:?2`d<6=<16>o4>529>522=9<90q~?j4;291~;6m:0:43434;6s|1d494?4|58o=6?7=;<3f{t9l31<7mt=0g:>7g734>=>7?:4:?2ac<6==16=h651478946f28?<70:73;360>;3k<0:99521ed9505<5;<1=8:4=051>43434;=m7?:4:?76g<6=:1v63>f181=7=z{8oi6=4={<3ff?4>:27:ii4>559~w4cd2909w0?jc;0:6>;6mm0:985rs0gg>5<5s4;nh7<62:?2a`<6=<1v63>eg8210=z{8om6=4={<3fb?4>:27:j=4>549~w4`6290jw0?i1;0b4>;3>;0:98521g29502<58:j6<;9;<64`?72<27?nk4>559>5ab=9<901?851478940e28??70:=a;367>{t9o81<77t=0d2>46534;m>770?kc;367>;5>3;>;63>658211=:<;21=8=4}r3e7?6=1r7:j?4>039>5c5=:h:01<>n:077?82?93;>863;c28211=:9mh1=8=4=349500<58{t9o?1<77?534;m57?:4:p5c0=838p186s|1g594?4|58l<6?7=;<3e{t9ok1<7jt=0db>7g734;mj7?:4:?2b0<6==16==l51468911a28?>70:l1;361>;6m90:9>521d39502<5;21=8;4=3a9505<58:4>529~w4`e2909w0?ia;336>;589095?5rs0d`>5<5s4;mo7<62:?2b`<6==1v63>fd8210=z{8ln6=4={<3ea?4>:27:jk4>549~w4`a2909w0?if;0:6>;5890:985rs336>5<5sW8:963;c68211=z{;;=6=4={_022>;5:>0:5<4s489;7v3;078214=:5<4s49m87v34<4}r615?6=<5243396d6<58==64<4=0:4><49>7>55z?76463;2781=7=z{=8>6=4={<611?4>:27?>n4>559~w1402909w0:=7;0:6>;3:j0:985rs50;>5<5s4>947<62:?76`<6==1v9<6:1818251382>63;2e8211=z{=8j6=4={<61e?4>:27?>i4>549~w14e2909w0:=b;0:6>;3:?0:985rs50`>5<5s4>9o7<62:?76`<6=<1v963;2d8213=z{=8n6=4={<61a?4>:27?>;4>559~w1552909wS:<2:?23=<6=:1v9;;:181[22<27:4i4>529~w13a2909wS::f:?71c<5i91v98>:181822n3;;>63;6381=7=z{=;3>m095?5rs54e>5<5s4>=h7?:3:?737<51;1v99?:1818208382>63;708210=z{==:6=4={<645?4>:27?;?4>549~w1112908wS:86:?733<5i9168:k51418yv20k3:1>v3;868216=:<1?1>4<4}r64`?6=:r7?;i4=939>0=2=952z?73c<51;1685<51468yv2?83:1>v3;8181=7=:<181=8;4}r6;5?6=:r7?4<4=939>0=5=93?7>52z?7<6<51;1685:51468yv2?<3:1>v3;8581=7=:<1?1=8;4}r6;2?6=:r7?;;4>039>0=1=:080q~:na;296~X3ih16=5k51418yv2e03:1?vP;b99>0g>=:h:019m?:070?xu3jl0;6?u24b;9505<5=i<6?7=;|q7fc<72;q68oh5280891e128?>7p};c183>7}:4<4=5a0>4323ty?o<4?:3y>0f7=:08019m;:077?xu3k;0;6?u24b096<4<5=i?6<;:;|q7g6<72;q68n=5280891e228?>7p};c583>7}:1>4<4=5a5>4313ty?o84?:3y>0f3=:08019m9:077?xu3k?0;6?u24b496<4<5=i<6<;:;|q7g=<72;q68o65110891e>2;397p};d183>6}Y?6s|4e694?4|5=n;6<>=;<6g1?4>:2wx8i850;0x91b720:019jj:3;1?xu3l>0;6?u24e596<4<5=no6<;;;|q7`=<72;q68i65280891bd28??7p};d883>7}:4<4=5f`>4323ty?hl4?:3y>0ag=:08019jj:077?xu3lk0;6?u24e`96<4<5=nn6<;9;|q7`f<72;q68im5280891bc28?>7p};de83>7}:4<4=5ff>4323ty?hk4?:3y>0a3=9<9019k;:3;1?xu3m90;6?u24d296<4<5=o?6<;;;|q7a4<72;q68h?5280891c428??7p};e383>7}:4<4=5g0>4323ty?i>4?:3y>0`5=:08019k;:076?xu3m<0;6?uQ4d7896`128?87psm41f94?e=k;0h5vF=1:1/>>753c68m<7=831b?l>50;9j30<722e??h4?::k255<722c?;>4?::k;2?6=3f9=>7>5;n6ba?6=3f5<5<6290;w)=l8;366>N38<1C?k?4o072>5<>o?83:17d??2;29?j4f83:17plm8;291?6=8r.8o54>4d9K053<@:l:7d7?:188m<4=831b4=4?::k247<722e9m=4?::af0<72<0;6=u+3b:951c<@=:>7E=i1:k:4?6=3`396=44i9294?=n9981<75`2`294?=zjho1<7;50;2x 6e?28>n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qoom:186>5<7s-9h47?;e:J740=O;o;0e4>50;9j=7<722c3<7>5;h336?6=3f8j<7>5;|`b4?6==3:1h>;h;3>5<>o68;0;66a=a183>>{e;1h1<7;50;2x 6e?28>n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qo=j1;291?6=8r.8o54>4d9K053<@:l:7)?:f;28m<6=831b5?4?::k;4?6=3`;;>7>5;n0b4?6=3th8hi4?:483>5}#;j21=9k4H526?M5a92.:9k4?;h;3>5<>o68;0;66a=a183>>{e;m31<7;50;2x 6e?28>n7E:?5:J0b4=#950;9j554=831d>l>50;9~f6b3290>6=4?{%1`5<h>;h;3>5<>o68;0;66a=a183>>{ej80;684?:1y'7f>=9=o0D9>:;I1e5>o>83:17d7=:188m=6=831b==<50;9l6d6=831vn<:6:180>5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f42?29086=4?{%1`N4n81b4=4?::k247<722e9m=4?::a<`<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th??h4?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`7e`<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th=h7>53;294~"4k10:8n5G4178L6`63`2;6=44i021>5<h>;h:3>5<5<<97>53;294~"4k10:8n5G4178L6`63`2;6=44i021>5<h>;h;3>5<>o68;0;66a=a183>>{e:<;1<7;50;2x 6e?28>n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qo<:4;291?6=8r.8o54>4d9K053<@:l:7d7?:188m<4=831b4=4?::k247<722e9m=4?::a603=83?1<7>t$2a;>42b3A>;96F7>5;h:3>5<5<:7>55;294~"4k10:8h5G4178L6`63`3;6=44i8094?=n090;66g>0383>>i5i90;66sm24594?3=83:p(>m7:06f?M27=2B8j<5f9183>>o>:3:17d6?:188m4652900c?o?:188yg4203:197>50z&0g=<68750;794?6|,:i36<:j;I631>N4n81b5=4?::k:6?6=3`2;6=44i021>5<h>;h;3>5<>o68;0;66a=a183>>{e:n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qo<:2;291?6=8r.8o54>4d9K053<@:l:7d7?:188m<4=831b4=4?::k247<722e9m=4?::a605=83?1<7>t$2a;>42b3A>;96F7>5;h:3>5<5<55;294~"4k10:8h5G4178L6`63`3;6=44i8094?=n090;66g>0383>>i5i90;66sm2`394?3=83:p(>m7:06f?M27=2B8j<5f9183>>o>:3:17d6?:188m4652900c?o?:188yg45i3:1?7>50z&0g=<650;9j554=831d>l>50;9~f74b29086=4?{%1`uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{ejl0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twio?4?:282>6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psmc083>6<62:qC?k?4$2a;>75e3`;;:7>5;h333?6=3f9347>5;c63f?6=;3:1h>;h360?6=3`;>97>5;n0:6?6=3ty:<;4?:3y]550<5=:i6<;:;|q242<72;qU==94=52a>4333ty8454?:3y]7=><5=:i6?7=;|ag0<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{ek=0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twio54?:282>6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psmc683>6<62:qC?k?4$2a;>75e3`;;:7>5;h333?6=3f9347>5;c63f?6=;3:1h>;h360?6=3`;>97>5;n0:6?6=3ty:<;4?:3y]550<5=:i6<;:;|q242<72;qU==94=52a>4333ty8454?:3y]7=><5=:i6?7=;|agg<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{ekh0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twioh4?:282>6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psmce83>6<62:qC?k?4$2a;>75e3`;;:7>5;h333?6=3f9347>5;c63f?6=;3:1h>;h360?6=3`;>97>5;n0:6?6=3ty:<;4?:3y]550<5=:i6<;:;|q242<72;qU==94=52a>4333ty8454?:3y]7=><5=:i6?7=;|a7`1=8391=7=tH2d2?!5d0388n6g>0783>>o68>0;66a<8983>>d38k0;6>4?:1y'7f>=<9>0D9>:;I1e5>o6==0;66g>5483>>i51;0;66s|11494?4|V8:=70:?b;361>{t99=1<786s|39:94?4|V:2370:?b;0:6>{zj:o=6=4<:080M5a92.8o54=3c9j550=831b==950;9l7=>=831i8=l50;194?6|,:i369>;;I631>N4n81b=8:50;9j503=831d>4<50;9~w4612909wS??6:?74g<6=<1v<>8:181[77?27?559~w6>?2909wS=78:?74g<51;1vqo=j5;297?7=;rB8j<5+3b:966d5<5<4290;w)=l8;630>N38<1C?k?4i077>5<6=44o3;1>5<52z\243=:<9h1=8;4}r333?6=:rT:<:5241`950252z\0<==:<9h1>4<4}|`11a<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{e:5}#;j218=:4H526?M5a92c:994?::k210<722e95?4?::p550=838pR<>9;<63f?72=2wx==950;0xZ46034>;n7?:4:p7=>=838pR>67;<63f?4>:2wvn?89:180>4<4sA9m=6*m7:527?M27=2B8j<5f14694?=n9;38k0:985rs024>5<5sW;;;63;0c8211=z{:236=4={_1;<>;38k095?5r}c051?6=;3;1?vF=::h0e<>9:188m4602900c>67:188f16e29086=4?{%1`vP>069>05d=9<>0q~=78;296~X401168=l52808yxd5>=0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twi>;=50;195?5|@:l:7)=l8;00f>o68?0;66g>0683>>i4010;66l;0c83>6<729q/?n654168L1623A9m=6g>5583>>o6=<0;66a=9383>>{t99<1<796s|11594?4|V8:<70:?b;360>{t;121<76srb341>5<42808wE=i1:&0g=<5;k1b==850;9j551=831d?5650;9a05d=8391<7>t$2a;>1633A>;96F9:181[77>27?549~w4602909wS??7:?74g<6==1v>67:181[5?027?>l4i025>5<5<;86F;049K7c75<52z\242=:<9h1=8:4}r1;6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psm24d94?5=939pD>h>;%1`7E=i1:k211<722c:984?::m1=7<722wx==850;0xZ46134>;n7?:5:p551=838pR<>8;<63f?72<2wx?5650;0xZ6>?34>;n7<62:~f73b29086<4<{I1e5>"4k109?o5f11494?=n99=1<75`39:94?=e<9h1<7=50;2x 6e?2=:?7E:?5:J0b4=n9<>1<75f14794?=h:081<75rs025>5<5sW;;:63;0c8210=z{8:<6=4={_333>;38k0:995rs2:;>5<5sW93463;0c81=7=zuk236=4=:183!5d03;=<6F;049K7c75<1<75f14794?=h:081<75rb031>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k108?6F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<5<5<1<75f14794?=h:081<75rb3c:>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`1eg<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi==?50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>oi:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg5?m3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd40o0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm27;94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:?h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm27f94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:?l1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm26394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:>91<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm26794?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:>=1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm26;94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:>h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm27594?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb2g0>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th8i=4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`0`g<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a7ae=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi?i850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>j8:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo=k8;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg5b13:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=jb;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188ygca29096=4?{%1`;96F=4H526?M5a92c:994?::k210<722e95?4?::ab1<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::ab0<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3thih7>53;294~"4k108?6F;049K7c75<5<4290;w)=l8;10?M27=2B8j<5f14694?=n9:;I1e5>o6==0;66g>5483>>i51;0;66smb183>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xdfl3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qoo=:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qoo<:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~fg5=8381<7>t$2a;>4073A>;96F4<50;9~fg2=8391<7>t$2a;>7?23A>;96F5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo<<7;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg4513:187>50z&0g=<4<2B?<85G3g38m4332900e<;::188m4312900c?7=:188yg5dn3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=k0;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm3e094?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;m91<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm25c94??=83:p(>m7:2:8L1623A9m=6g>5583>>o6=<0;66g>5783>>o6=>0;66g>5983>>o6=00;66g>5`83>>o6=k0;66a=9383>>{e:=h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm25a94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb36g>5<4290;w)=l8;10?M27=2B8j<5f14694?=n9n6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb36e>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th9m84?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>l850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?o8:186>5<7s-9h47=:;I631>N4n81b=8:50;9j503=831b=8850;9j501=831d>4<50;9~f`b=83>1<7>t$2a;>7?13A>;96F4<50;9~f75729096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd5;;0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl=3283>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd5;=0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm23a94?2=83:p(>m7:268L1623A9m=6g>5583>>o6=<0;66g>5783>>i51;0;66sm23f94?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb3:b>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k108?6F;049K7c75<5<5290;w)=l8;354>N38<1C?k?4i070>5<5<5290;w)=l8;354>N38<1C?k?4i070>5<5<4290;w)=l8;10?M27=2B8j<5f14694?=n981<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm7283>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e?=0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;4083>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd3<;0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<=91<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=>?6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb566>5<4290;w)=l8;0:1>N38<1C?k?4i077>5<6=44o3;1>5<?:7>53;294~"4k108?6F;049K7c75<h>;h360?6=3`;>97>5;n0:6?6=3th8n<4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a7g4=8381<7>t$2a;>4073A>;96F4<50;9~f6d429086=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qoo::180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~fd0=8381<7>t$2a;>4073A>;96F4<50;9~fd1=8381<7>t$2a;>4073A>;96F4<50;9~fd>=8381<7>t$2a;>4073A>;96F4<50;9~fd?=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wiml4?:583>5}#;j21>484H526?M5a92c:994?::k210<722c:9;4?::m1=7<722wih<4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wih?4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wih>4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a`1<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3tho97>53;294~"4k108?6F;049K7c75<5<4290;w)=l8;0:1>N38<1C?k?4i077>5<6=44o3;1>5<5<5<5<5<4290;w)=l8;10?M27=2B8j<5f14694?=n9:;I1e5>o6==0;66g>5483>>i51;0;66smde83>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{elo0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{em90;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{em80;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sme383>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xdb;3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qok;:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188ygc129096=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qok6:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f`g=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wiio4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::p2a<72:qU:i526e81e5=:?80:995rs7g94?4|5?n1==<4=6696<47?534=:6<;:;|q44?6=:r7<<7<62:?46?72=2wx;<4?:3y>34<51;16;>4>559~w24=838p1:<52808925=9lo51418yv>12909wS69;<:f>4653ty3;7>54z?;=?4>:27mh7?:3:?b1?72<27j:7?:3:p<=<72;q6454=939><<<6=<1v5m50;1x9=`=998015k52`289=>=9<90q~6k:1818>a2;k;7066:077?xu>93:1>:uQ909>fg=6<5ho14=52ac8;4>;f832;70=7b;:3?85b932;70=kd;:3?85c132;70=k4;:3?85fl32;70l>:928942>21:01<:7:9289=`=09164h470:?77`7348?476?;<641?>7348><76?;<065?>7348>876?;<061?>7348>:76?;<063?>7348>476?;<06=?>7348>m76?;<06f?>7348>>76?;<067?>7349hh76?;<0b5?>73489m76?;<01a?>73ty257>54z?b4?4f827ii7??7:?b6?72;27o97?:4:pe4<72;q6m=4>039>e6<51;1vl<50;0x9d4=:0801l=51478yvg32903w0o?:8289gd=1916n5460:?a1??734h:64>4=`g9=5=:ik02<63na;0:6>{ti<0;6?u2a481=7=:ih0:985rs`494?4|5h<1>4<4=`;95027?534k26<;:;|qb2wxm44?:3y>e<<51;16ml4>559~wp1ll52`289g`=99<01i=514689a2=9<>0q~ol:1818ge28:970ok:3;1?xu>j3:1?v3ne;0b4>;d:3;;:63kc;360>{tio0;6?u2ad8247=:j9095?5rs8a94?2|5k;1>l>4=b79550<5;k86<;<;4333tyi>7>52z?a5?77:27i87<62:pf6<72;q6n>4=939>f1<6=<1v4j50;6x9g3=:h:01n65114897g328?870kn:077?xue>3:1>v3m5;336>;e?382>6s|9d83>0}:j109m=52cc8243=:n90:9952f38211=::h?1=8=4}r`:>5<5s4h36<>=;<`b>7?53ty2j7>53z?af?4f827hi7??6:?1e3<6=:1vom50;0x9gd=99801oj52808yve72909w0li:2:;?8db28:=7p}l3;296~;d:393463l1;332>{tk?0;6?u2c480<==:k=0:<;5rsb;94?4|5j21?564=b595506>?34ij6<>9;|q`b?6=:r7hi7=78:?``?77>2wxh=4?:5y>g4<68>16h;4=939>`d<6==16ho4>559~wa7=838p1i?528089a5=9v3k3;0:6>;c=3;>96s|d583>7}:l=095?52d78210=z{m?1<75<3s4i?6<>8;7?534o:6<;;;4333tyo47>52z?g:27om7?:5:p`<<72;q6h44=939>`g<6=<1vio50;0x9ag=:0801im51478yvbe2909w0jm:3;1?8bc28?>7p}kc;296~;ck382>63kd;360>{tll0;69u2c68242=:m=095?52e98211=:m00:995rsed94?4|5ml1>4<4=d395037?534o96<;:;|qf5?6=:r7n=7<62:?f7?72=2wxi?4?:3y>a7<51;16i94>549~w`5=838p1h=528089`2=9<>0q~k::1878ef28:<70h=:076?8`228??70km:3;1?xub>3:1>v3j6;0:6>;b03;>96s|e683>7}:m>095?52e88210=z{l21<75<5s4o26?7=;4323tynm7>52z?fe?4>:27nn7?:4:paf<72;q6oi4>069>aa<51;1vhk50;0x9c6=:0801hj51448yvca2909w0ki:3;1?8`728?>7p}i1;296~;a:382>63jd;360>{tn:0;6?u2f481=7=:mm0:985rsg694?4|5o>1>4<4=g795036>?34ko6<;:;|qe3?6=:r7h=7=78:?a4?72=2wxj54?:3y>g1<40116n94>559~wc?=838p1n9539:89g1=9v3ld;1;<>;el3;>96s|fb83>f}:no095?521139505<5ll1=8=4=g69505<5m;1=8=4=e09505<5m21=8=4=e;9505<5ml1=8=4=d29505<5l<1=8=4=d595057?534lm6<;;;|qea?6=:r7mi7<62:?eb?72=2wx==>50;5x9g`=99=01n<511589f3=99=01n6511589fd=99=01nk5115894662;397p}>1183>7}Y98:01<:7:021?xu6980;64u23bf9=5=:;m>15=523d39=5=:;mn15=523e;9=5=:9891>4<4=2d3>432348957?:4:?1<<<6=<1v63>128210=z{8>=6=4<{<37=?77:27:854=a19>544=9<90q~?;7;296~;6<009m=52101950254z?16<<51;16>>?51418975528??70<=c;360>{t:;k1<79t=30b>7g73488;7?:4:?175<6=:16>?j51468922=9<>019:>:077?823:3;>?6s|23`94?4|5;8j6<>=;<01`?4>:2wx>?m50;0x974d2;3970<=d;361>{t:;o1<79t=30f>7g73488:7?:3:?176<6==16>?m5144893`=9<901:<51468912128??7p}=2g83>7}::;o1==<4=317>7?53ty9?=4?:3y>666=:0801?==:076?xu5;80;6?u222396<4<5;986<;:;|q177<72;q6>><52808975328??7p}=3283>7}:::91>4<4=317>4323ty9?84?:3y>660=:0801?=8:076?xu5;10;6?u24`g9554<5;9<6?7=;|q10=<72;q6>9652`28974>28?=7p}=4883>7}::=21==<4=36e>7?53ty98l4?:3y>61g=:0801?:i:077?xu5n6<;;;|q10f<72;q6>9m52808972c28?>7p}=4e83>7}::=n1>4<4=36f>4323ty98h4?:3y>61c=:0801?:i:076?xu5;j0;68u224296d6<5;?n6<>9;<05=?72=279::4>529>61e=9<90q~<>j50;6x97352;k;70<:c;333>;5?k0:995225`950253z?116<5i916>8j51158972c28??7p}=4183>1}::<>1>l>4=37e>460348=n7?:4:?10d<6=<1v?:>:187842=38j<63=618242=::?n1=8:4=36b>4303ty98?4?:5y>600=:h:01?8>:024?841n3;>863=4`8213=z{;>86=4;{<063?4f8279:?4>069>627=9<>01?:n:07;?xu5<=0;69u224:96d6<5;<86<>8;<047?72<2798l4>5`9~w722290?w0<:9;0b4>;5>=0:<:522679502<5;>j6<;6;|q103<72=q6>8o52`28970228:<70<87;360>;55<3s48>n716>:751468972e28?>7p}=6983>6}::7?5348=n7?:5:p63g=839p1?8?:025?841j382>63=6e8210=z{;279:i4=939>63`=9;0:<;5227d96<4<5;=:6<;:;|q135<72:q6>;=5114897162;3970<83;361>{t:>81<7=t=347>461348=1=8;4}r042?6=;r79:;4>079>621=:0801?96:076?xu5?10;6>u224a9550<5;=26?7=;<04f?72=2wx>:o50;0x973c28:=70<8b;0:6>{t:>i1<7465348=;7<62:p62b=838p1?;=:021?842k39346s|26g94?4|5;?86<>=;<06`?5?02wx>:h50;0x973628:970<:e;1;<>{t:1:1<7465348>j7=78:p6=7=838p1?;::021?841839346s|29094?4|5;?=6<>=;<055?5?02wx>5=50;0x973028:970<92;1;<>{t:1>1<7465348=?7=78:p6=3=838p1?;6:021?841<39346s|29494?4|5;?j6<>=;<051?5?02wx>5950;0x973e28:970<96;1;<>{t:121<7jt=373><6<5;?:64>4=377><6<5;?>64>4=375><6<5;?<64>4=37;><6<5;?264>4=37b><6<5;?i64>4=371><6<5;?864>4=3:`>7?53ty9444?:3y>6=?=:0801?6l:077?xu50h0;6?u229c96<4<5;2i6<;:;|q15l5280897>d28?>7p}=a083>6}Y:h;01?o>:3c3?84f13;>?6s|2`094?4|5;k:6<>=;<0b3?4>:2wx>l=50;0x97g42;3970{t:h>1<77?5348j;7?:5:p6d3=838p1?o::3;1?84f?3;>:6s|2`494?4|5;k=6?7=;<0b3?72?2wx>l650;0x97g620:01?om:3;1?xu5i00;6?u22`;96<4<5;ki6<;;;|q1ed<72;q6>lo5280897ge28?>7p}<8`83>0}:;1h1>l>4=2ce>4333493i7?:3:?1<<<6==16?o<51418yv5?k3:1:v3<8c8247=:;0;1>4<4=2ce>4323493j7?:4:?1v3<8c8:4>;40o095?5rs2:f>5<5s493i7<62:?07?:182g~;38?0:9<52bc8:6>;e033970l::8089dc=1;16mo462:?b4??53493n77=;<1f5??5349oh77=;<1g=??5349o877=;<1b`??534h:64<4=373><4<5;?:64<4=377><4<5;?>64<4=375><4<5;?<64<4=37;><4<5;?264<4=37b><4<5;?i64<4=371><4<5;?864<4=2ag><4<5;k:64<4}r1b4?6=:rT8m=52383950359z?0ea<5i916ni4>559>fd<6==16n:4>559>f5<6==16mi4>559>e6<6==16n>4>529>e=<6=:1v>oj:18185fl3;;>63l>:3;1?85e;3;>86s|3c094?4|5:h96?7=;<1a7?72=2wx?nj50;6x96ec2;k;70=i0;360>;5:00:985229`950252z?0ga<68;16?i=52808yv5dn3:1>v37a4=9<>0q~=k1;296~;4l8095?523e095037>52z?0`7<51;16?i=51478yv5d13:19v3434349n57?:5:?0gc<6==1v>j::18185c<3;;>63:278h54>559~w6b02909w0=k7;0:6>;4l10:985rs2ab>5<3s49o5716?h75146896b728?87p}7}:;m31==<4=2f`>7?53ty8ho4?:3y>7ad=:0801>jl:076?xu4kk0;69u23ef96d6<5:o=6<>8;<1ff?72<278h<4>529~w6bb2909w0=kd;336>;4m9095?5rs2fe>5<5s49oj7<62:?0a5<6=<1v>ml:187[51:278i<4=a19>7`1=99=01>mi:076?xu4m;0;6?u23d39554<5:o?6?7=;|q0a6<72;q6?h=5280896c328?>7p}6}:;l<1==84=2g:>7?5349nn7?:5:p7`g=838p1>k8:025?85bj382>6s|3da94?4|5:o>6>67;<1gg?72<2wx?hj50;0x96c12:2370=j0;360>{t;lo1<76>?349n87?:4:p7``=83h?:3;1?85b;3;>?63434349i=7?:5:p7c`=83>pR>hi;43434k>6<;:;4343ty??h4?:4y]06c<5=9n6?o?;<53>43434>?=7?:5:?700<6==1v9:?:181824m3;;>63;4681=7=z{=>:6=4={<675?4>:27?8:4>559~w1252909w0:;2;0:6>;3<=0:995rs560>5<5s4>??7<62:?701<6=<1v9:;:181823<382>63;448210=z{=>>6=4={<671?4>:27?8;4>549~w1212909w0:;6;0:6>;3<>0:985rs550>5<5sW>6=4<{<641?4f8279>n4>549>015=9<90q~:ne;296~X3il168lk52`28yxd3900;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<::1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=;>6=4=:183!5d03;=<6F;049K7c75<::7>52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th?=:4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`7ea<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a04b=8381<7>t$2a;>43b3A>;96F4<50;9~f1df29096=4?{%1`7>50z&0g=<6=l1C8=;4H2d2?l72;3:17b<62;29?xd6<=0;6?4?:1y'7f>=9:;I1e5>o6=:0;66a=9383>>{e9=h1<7<50;2x 6e?28?n7E:?5:J0b4=n9<91<75`28094?=zj=?36=4=:183!5d03;>i6F;049K7c75<<87>52;294~"4k10:9h5G4178L6`63`;>?7>5;n0:6?6=3th?i44?:383>5}#;j21=8k4H526?M5a92c:9>4?::m1=7<722wi4l4?:383>5}#;j21=8k4H526?M5a92c:9>4?::m1=7<722wi4?4?:383>5}#;j21=8k4H526?M5a92c:9>4?::m1=7<722wi8:650;094?6|,:i36<;j;I631>N4n81b=8=50;9l6<4=831vn9h?:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8;:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8<:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8=:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8>:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;i:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;j:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;k:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;l:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;m:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;n:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;6:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;7:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;8:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;9:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8l:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8m:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8n:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo86:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo87:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo88:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo89:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8::181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8?:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo:nb;290?6=8r.8o54>7c9K053<@:l:7d?:3;29?l7683:17b<62;29?j7193:17pl;5783>7<729q/?n652818L1623A9m=6g>5283>>i51;0;66sm4d594?4=83:p(>m7:3;0?M27=2B8j<5f14194?=h:081<75rb5`7>5<5290;w)=l8;0:7>N38<1C?k?4i070>5<5<5290;w)=l8;0:7>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`7=c<72;0;6=u+3b:96<5<@=:>7E=i1:k216<722e95?4?::a01?=8381<7>t$2a;>7?43A>;96F4<50;9~f15a29096=4?{%1`;2B?<85G3g38m4342900c?7=:188yg26n3:1?7>50z&0g=<51=1C8=;4H2d2?l72;3:17d?>0;29?j4>:3:17pl;3083>7<729q/?n652818L1623A9m=6g>5283>>i51;0;66sm4`394?4=83:p(>m7:3;0?M27=2B8j<5f14194?=h:081<75rb5``>5<4290;w)=l8;0:0>N38<1C?k?4i070>5<5<3j7>52;294~"4k1095>5G4178L6`63`;>?7>5;n0:6?6=3th?=>4?:383>5}#;j21>4=4H526?M5a92c:9>4?::m1=7<722wi8N4n81b=8=50;9l6<4=831vn9l>:181>5<7s-9h47<63:J740=O;o;0e<;<:188k7?52900qo:90;296?6=8r.8o54=929K053<@:l:7d?:3;29?j4>:3:17pl;7`83>6<729q/?n652868L1623A9m=6g>5283>>o6990;66a=9383>>{e5<5290;w)=l8;0:7>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`771<72;0;6=u+3b:96<5<@=:>7E=i1:k216<722e95?4?::a6c7=8381<7>t$2a;>7?43A>;96F4<50;9~f7ce29096=4?{%1`;2B?<85G3g38m4342900c?7=:188yg56l3:1>7>50z&0g=<51:1C8=;4H2d2?l72;3:17b<62;29?xd49>0;6?4?:1y'7f>=:090D9>:;I1e5>o6=:0;66a=9383>>{e;8;1<7<50;2x 6e?2;387E:?5:J0b4=n9<91<75`28094?=zj::i6=4=:183!5d0382?6F;049K7c75<52;294~"4k1095>5G4178L6`63`;>?7>5;n0:6?6=3th9jk4?:383>5}#;j21>4=4H526?M5a92c:9>4?::m1=7<722wi>kk50;094?6|,:i36?7<;I631>N4n81b=8=50;9l6<4=831vn?h7:181>5<7s-9h47<63:J740=O;o;0e<;<:188k7?52900qo:3:17pl=e483>7<729q/?n652818L1623A9m=6g>5283>>i51;0;66s|2283>7}:<8:1>?524079505::7?:3:p75<72;q6804?=9<90q~=j:181[5b34?=6<;<;|q0b?6=:rT8j63:7;367>{t<90;6?uQ419>1=<6=:1v9?50;0xZ17<5<31=8=4}r61>5<5sW>970;n:070?xu3;3:1>vP;3:?6f?72;2wx894?:3y]01=:=j0:9>5rs5794?4|V=?018j51418yv212909wS:9;<7f>4343ty?;7>52z\73>;2n3;>?6s|4983>7}Y<116:=4>529~w1?=838pR974=739505j6=4={_6b?80528?87p};b;296~X3j27=?7?:3:p0a<72;qU8i52658216=z{=o1<7<6397;367>{t=80;6?uQ509>2=<6=:1v8<50;0xZ04<5?31=8=4}r70>5<5sW?8708n:070?xu2<3:1>vP:4:?5f?72;2wx984?:3y]10=:>j0:9>5rs4494?5|5=:n64524g39=>;2>382>6s|5683>6}:<9o1m63;f08b?8302;397p}:8;297~;38l0i70:i1;`890>=:080q~;6:180827m3i019h>:b9>1<<51;1v8o50;1x916b2m168k?5d:?6e?4>:2wx9o4?:2y>05c=m27?j<4j;<7a>7?53ty>o7>53z?74`m=7h4=4a96<4m=7??;<7g>7?53ty>i7>53z?74`<6927?j<4>1:?6a?4>:2wx9k4?:2y>05c=9;168k?5139>1c<51;1v;>50;0x91`628901;>52808yv062908w0:?e;37?82a93;?708>:3;1?xu1:3:1?v3;0d822>;3n80::6392;0:6>{t>:0;6?u241g952=:>:095?5rs7694?4|5=:n6<74=7696<46=4={<6e5?7f34<>6?7=;|q52?6=:r7?j<4>b:?52?4>:2wx::4?:3y>0c7=9j16::4=939~w3>=838p19h>:0f893>=:080q~86:18182a93;n7086:3;1?xu1i3:1>v3;f082b>;1i382>6s|6c83>6}:<9o1>=524g3965=:>k095?5rs7a94?5|5=:n6??4=5d2>77<5?i1>4<4}r4g>5<0s4>:<78k;<63`?0c34>8<7?:3:?75c<699168om51028911f28;;70:k2;324>{t?<0;68u241g930=:21<72><5=:m6:64}r5:>5<5s4>m=796;<63b?1>3ty52z?7b4<0j27?=7<5181>4<4}r:7>5<5sW2?706::3;1?xu?=3:1>v3;0681=d=:0<0:9>5rs9494?4|V1<015o51418yv>f2908w0:>0;:5?827l32=706n:3;1?xu6990;6?uQ1028942328?87p}>4583>6}:<8:1=<>4=52g>47734;?87<62:p51g=838pR<:n;<37f?72;2wx=9l50;4x916b20;019h>:838917620;019>i:06b?827l33:70?;b;0:6>{t943d34>;j7?:c:p534=838p19?>:041?82683;=>6s|16494?4|5=;:6<99;<624?70>2wx=:m50;0x916b28=h70:>1;34g>{t91=1<74?634>:<7?77:p5<5=838p19?>:0;0?82683;j;6s|1`:94?5|5=:n6a99~w4g>2909w0:>1;3b=>;38o0:m45rs0ca>5<5s4>:=7?nb:?755<6ik1v1;022>;39909=;5rs3:e>5<5s4>;:7?:1:?7eg<6=:1v?7?:18082fj382>63;0d87ed=:<8:18lo4}r0:047=:020q~52z\1ef=::l?1>4<4}r0b`?6=:rT9mi522d`96<452z\1ec=::o;1>4<4}r0a4?6=:rT9n=522g096<452z\1f4=::o21>4<4}r0a6?6=:rT9n?522gg96<452z\1f2=::ol1>4<4}r0a52z\1f<=:;9h1>4<4}r0ae?6=:rT9nl5230396<452z\1fg=:;8=1>4<4}r0ag?6=:rT9nn5230f96<452z?74`<5jl168k?52cg8yv4en3:1?v3;0d81fc=:oh4=52e>7db3ty9o94?:3y>0c7=:j>019>i:3a7?xu5m<0;6?u24g396de<5;o>6<;<;|q1ag<72;q68k?52`f897ce28?87p}=f083>7}:lh4=3d2>4343ty9j?4?:3y>0c7=:k:01?h=:070?xu5n10;6?u24g396g7<5;l36<;<;|q1b`<72;q68k?52c0897`b28?87p}=fg83>7}:o94=3de>4343ty8<84?:3y>0c7=:k201>>::070?xu48k0;6?u24g396g?<5::i6<;<;|q054<72;q68k?52cc8967628?87p}<1683>7}:ol4=234>4343ty8=i4?:3y>0c7=:ki01>?k:070?xu4::0;6?u241g9775<5=l:6><<;|q063<72;q68=k53348916a2:887p}<6383>7}:6053ty8m=4?:3y>05c=;h:019>k:2c3?xu4no0;6?u241d97c`<5=:o6>hi;|q757<72;qU8<<4=530>7?53ty?=>4?:3y>041=:08019?<:070?xu39=0;6?u240796<4<5=;<6<;;;|q750<72;q68<852808917028?>7p};1983>7}Y<82019?n:3;1?xu39h0;6?u240;96<4<5=;j6<;<;|q75f<72;qU84343ty?=i4?:5y>0c7=<8h019?>:53a?827n3>:n63;1e81=7=z{=;n6=4={_62a>;39o095?5rs503>5<5s4>:<7:=1:?75c<6=:1v9;3;80:9>5rs510>5<5sW>8?63;3581=7=z{=9?6=4={<625?24;27??94>529~w15e2909wS:8>63;3b81=7=z{=9n6=4={_60a>;3;o095?5rs51e>5<5s4>;h7:2909w0:i1;67<>;3<00:9>5rs576>5<5sW>>963;5781=7=z{=?=6=4<{<6e5?22<27?000=9<90q~::7;296~X3=>1688651418yv2203:1>v3;118711=:<<21>4<4}r66b?6=:rT?9k5247296<4=<7>52z?755<3=o168;>51418yv21;3:1>vP;629>033=:080q~:95;296~;3980?:>524779505=o7>52z\72f=:4<4}r65a?6=:r7?==4;6b9>03c=9<90q~:83;296~X3?:168::51418yv20<3:1>v3;0e8736=:<>>1>4<4}r643?6=:rT?;:5246:9505<47>53z?7b4<3??1687}Y<>30199n:3;1?xu3?k0;6?u24029020<5==j6<;<;|q7<`<72;qU85k4=5:e>7?53ty?4k4?:3y>0c7=<1o0196i:070?xu31l0;6?uQ48g891?a2;397p};9g83>7}:4343ty?m=4?:3y]0d6<5=k:6?7=;|q7e4<72;q68k?54bc891g628?87p};a383>7}Y4063ty?mn4?:3y>0db=:08019om:033?xu3il0;6?u241f90dc<5=ko6<;<;|q7ec<72;qU8lh4=5`2>7?53ty?n<4?:3y>0c7=:070?xu3j;0;6?uQ4c0891d32;397p};b583>7}:4343ty?n44?:3y]0g?<5=hj6<;<;|q7fd<72:q68k?54c:891762=h370:ma;0:6>{t6s|4cf94?4|5=;;69l7;<6ag?72;2wx8i?50;0xZ1b634>o>7<62:p0a5=838p19??:5f3?82c:3;>?6s|4d494?4|V=o=70:j7;0:6>{t1c234>n;7?:3:p0`>=838pR9k7;<6f=?72;2wx8h750;0x91772=o>70:j9;0:6>{t?6s|4g294?2|5=:n69kj;<6e5?2bm27?=<4;ed9>0c6=:080qp`>18f94?4|@:l:7p`>18g94?4|@:l:7p`>18d94?4|@:l:7p`>1`294?7|@:l:7p`>1`394?7|@:l:7p`>1`094?7|@:l:7p`>1`194?7|@:l:7p`>1`694?7|@:l:7p`>1`794?7|@:l:7p`>1`494?7|@:l:7p`>1`594?7|@:l:7p`>1`:94?7|@:l:7p`>1`;94?7|@:l:7p`>1`c94?7|@:l:7p`>1``94?7|@:l:7p`>1`a94?7|@:l:7p`>1`f94?7|@:l:7p`>1`g94?7|@:l:7p`>1`d94?7|@:l:7p`>1c294?7|@:l:7p`>1c394?7|@:l:7p`>1c094?7|@:l:7p`>1c194?7|@:l:7p`>1c694?7|@:l:7p`>1c794?7|@:l:7p`>1c494?7|@:l:7p`>1c594?5|@:l:7p`>1c:94?5|@:l:7p`>1c;94?5|@:l:7p`>1cc94?5|@:l:7p`>1c`94?5|@:l:7p`>1ca94?5|@:l:7p`>1cf94?5|@:l:7p`>1cg94?5|@:l:7p`>1cd94?5|@:l:7p`>1b294?5|@:l:7p`>1b394?4|@:l:7p`>1b094?5|@:l:7p`>1b194?5|@:l:7p`>1b694?4|@:l:7p`>1b794?4|@:l:7p`>1b494?4|@:l:7p`>1b594?4|@:l:7p`>1b:94?4|@:l:7p`>1b;94?4|@:l:7p`>1bc94?4|@:l:7p`>1b`94?4|@:l:7p`>1ba94?5|@:l:7p`>1bf94?5|@:l:7p`>1bg94?5|@:l:7p`>1bd94?0|@:l:7p`>1e294?5|@:l:7p`>1e394?4|@:l:7p`>1e094?4|@:l:7p`>1e194?7|@:l:7p`>1e694?4|@:l:7p`>1e794?7|@:l:7p`>1e494?7|@:l:7p`>1e594?7|@:l:7p`>1e:94?5|@:l:7p`>1e;94?7|@:l:7p`>1ec94?5|@:l:7p`>1e`94?7|@:l:7p`>1ea94?0|@:l:7p`>1ef94?5|@:l:7p`>1eg94?5|@:l:7p`>1ed94?5|@:l:7p`>1d294?5|@:l:7p`>1d394?5|@:l:7p`>1d094?5|@:l:7p`>1d194?2|@:l:7p`>1d694?5|@:l:7p`>1d794?5|@:l:7p`>1d494?5|@:l:7p`>1d594?5|@:l:7p`>1d:94?5|@:l:7p`>1d;94?7|@:l:7p`>1dc94?5|@:l:7p`>1d`94?5|@:l:7p`>1da94?5|@:l:7p`>1df94?7|@:l:7p`>1dg94?7|@:l:7p`>1dd94?7|@:l:7p`>1g294?7|@:l:7p`>1g394?7|@:l:7p`>1g094?7|@:l:7p`>1g194?7|@:l:7p`>1g694?7|@:l:7p`>1g794?7|@:l:7p`>1g494?7|@:l:7p`>1g594?7|@:l:7p`>1g:94?7|@:l:7p`>1g;94?5|@:l:7p`>1gc94?2|@:l:7p`>1g`94?5|@:l:7p`>1ga94?4|@:l:7p`>1gf94?4|@:l:7p`>1gg94?5|@:l:7p`>1gd94?4|@:l:7p`>21294?4|@:l:7p`>21094?4|@:l:7p`>21194?4|@:l:7p`>21694?4|@:l:7p`>21794?4|@:l:7p`>21494?4|@:l:7p`>21594?4|@:l:7p`>21:94?5|@:l:7p`>21;94?5|@:l:7p`>21c94?2|@:l:7p`>21`94?5|@:l:7p`>21a94?5|@:l:7p`>21f94?7|@:l:7p`>21g94?7|@:l:7p`>21d94?7|@:l:7p`>20294?7|@:l:7p`>20394?7|@:l:7p`>20094?7|@:l:7p`>20194?7|@:l:7p`>20694?2|@:l:7p`>20794?7|@:l:7p`>20494?4|@:l:7p`>20594?7|@:l:7p`>20:94?7|@:l:7p`>20;94?7|@:l:7p`>20c94?4|@:l:7p`>20`94?7|@:l:7p`>20a94?4|@:l:7p`>20f94?7|@:l:7p`>20g94?4|@:l:7p`>20d94?7|@:l:7p`>23294?4|@:l:7p`>23394?7|@:l:7p`>23094?5|@:l:7p`>23194?7|@:l:7p`>23694?4|@:l:7p`>23794?7|@:l:7p`>23494?4|@:l:7p`>23594?7|@:l:7p`>23:94?4|@:l:7p`>23;94?7|@:l:7p`>23c94?4|@:l:7p`>23`94?7|@:l:7p`>23a94?4|@:l:7p`>23f94?7|@:l:7p`>23g94?5|@:l:7p`>23d94?7|@:l:7p`>22294?4|@:l:7p`>22394?7|@:l:7p`>22094?4|@:l:7p`>22194?7|@:l:7p`>22694?4|@:l:7p`>22794?7|@:l:7p`>22494?4|@:l:7p`>22594?7|@:l:7p`>22:94?4|@:l:7p`>22;94?7|@:l:7p`>22c94?7|@:l:7p`>22`94?4|@:l:7p`>22a94?7|@:l:7p`>22f94?4|@:l:7p`>22g94?7|@:l:7p`>22d94?4|@:l:7p`>25294?7|@:l:7p`>25394?5|@:l:7p`>25094?7|@:l:7p`>25194?4|@:l:7p`>25694?7|@:l:7p`>25794?4|@:l:7p`>25494?7|@:l:7p`>25594?4|@:l:7p`>25:94?7|@:l:7p`>25;94?4|@:l:7p`>25c94?7|@:l:7p`>25`94?2|@:l:7p`>5083>4}O;o;0qc?:2;295~N4n81vb<;<:182M5a92we=8:50;3xL6`63td:984?:0yK7c7:7>51zJ0b4=zf8?<6=4>{I1e5>{i9<21<7?tH2d2?xh6=00;6h>;|l227<728qC?k?4}o350?6=9rB8j<5rn046>5<6sA9m=6sa17494?7|@:l:7p`>6983>4}O;o;0qc?99;295~N4n81vb<8n:182M5a92we=;l50;3xL6`63td::n4?:0yK7c751zJ0b4=zf8{I1e5>{i9?l1<7?tH2d2?xh6?90;6h>;|l231<728qC?k?4}o341?6=9rB8j<5rn055>5<6sA9m=6sa16594?7|@:l:7p`>7983>4}O;o;0qc?89;295~N4n81vb<9n:182M5a92we=:l50;3xL6`63td:;n4?:0yK7c751zJ0b4=zf8=n6=4>{I1e5>{i9>l1<7?tH2d2?xh6090;6h>;|l73=<728qC?k?4}o64=?6=9rB8j<5rn55b>5<6sA9m=6sa49694?7|@:l:7p`;8483>4}O;o;0qc:76;295~N4n81vb968:182M5a92we85650;3xL6`63td?444?:0yK7c73m7>51zJ0b4=zf=2i6=4>{I1e5>{i<1i1<7?tH2d2?xh30m0;6a290:wE=i1:m0<6=83;pD>h>;|l7=4<728qC?k?4}o6:6?6=9rB8j<5rn5;0>5<6sA9m=6sa48694?7|@:l:7p`;9483>4}O;o;0qc:66;295~N4n81vb978:182M5a92we84650;3xL6`63td?544?:0yK7c72m7>51zJ0b4=zf=3i6=4>{I1e5>{i<0i1<7?tH2d2?xh31m0;6m3:1=vFh>;|l7e4<728qC?k?4}o6b6?6=9rB8j<5rn5c0>5<6sA9m=6sa4`694?7|@:l:7p`;a483>4}O;o;0qc:n6;295~N4n81vb9o8:182M5a92we8l650;3xL6`63td?m44?:0yK7c7jm7>51zJ0b4=zf=ki6=4>{I1e5>{ih>;|l7f4<728qC?k?4}o6a6?6=9rB8j<5rn5`0>5<6sA9m=6sa4c694?7|@:l:7p`;b483>4}O;o;0qc:m6;295~N4n81vb9l8:182M5a92we8o650;3xL6`63td?n44?:0yK7c7im7>51zJ0b4=zf=hi6=4>{I1e5>{ih>;|l7g7<728qC?k?4}o6`7?6=9rB8j<5rn5a7>5<6sA9m=6sa4b794?7|@:l:7p`;c783>4}O;o;0qc:l7;295~N4n81vb9m7:182M5a92we8n750;3xL6`63td?ol4?:0yK7c7hn7>51zJ0b4=zf=ih6=4>{I1e5>{ih>;|l7`7<728qC?k?4}o6g7?6=9rB8j<5rn5f7>5<6sA9m=6sa4e794?7|@:l:7p`;d783>4}O;o;0qc:k7;295~N4n81vb9j7:182M5a92we8i750;3xL6`63td?hl4?:0yK7c7on7>51zJ0b4=zf=nh6=4>{I1e5>{ih>;|l7a7<728qC?k?4}o6f7?6=9rB8j<5rn5g7>5<6sA9m=6sa4d494?7|@:l:7p`;e683>4}O;o;0qc:j8;295~N4n81vb9k6:182M5a92we8ho50;3xL6`63td?io4?:0yK7c7no7>51zJ0b4=zf=oo6=4>{I1e5>{ih>;|l7b6<728qC?k?4}o6e0?6=9rB8j<5rn5d6>5<6sA9m=6sa4g494?7|@:l:7p`;f683>4}O;o;0qc:i8;295~N4n81vb9h6:182M5a92we8ko50;3xL6`63td?jo4?:0yK7c7mo7>51zJ0b4=zf=lo6=4>{I1e5>{ih>;|l646<728qC?k?4}o732?6=9rB8j<5rn424>5<6sA9m=6sa51:94?7|@:l:7p`:0883>4}O;o;0qc;?a;295~N4n81vb8>m:182M5a92we9=m50;3xL6`63td>51zJ0b4=zf<;96=4>{I1e5>{i=891<7?tH2d2?xh29=0;63:1=vF=83;pD>h>;|l65<<728qC?k?4}o72f?6=9rB8j<5rn43`>5<6sA9m=6sa50f94?7|@:l:7p`:1d83>4}O;o;0qc;>f;295~N4n81vb8<=:182M5a92we9?=50;3xL6`63td>>;4?:0yK7c751zJ0b4=zf<836=4>{I1e5>{i?;o1<7?tH2d2?xh0;80;6=83;pD>h>;|l47d<728qC?k?4}o50f?6=9rB8j<5rn61`>5<6sA9m=6sa72f94?7|@:l:7p`83d83>4}O;o;0qc951zJ0b4=zf>>?6=4>{I1e5>{i?=?1<7?tH2d2?xh0h>;|l40d<728qC?k?4}o57a?6=9rB8j<5rn66e>5<6sA9m=6sa74294?7|@:l:7p`85083>4}O;o;0qc9:2;295~N4n81vb:;<:182M5a92we;8:50;3xL6`63td<984?:0yK7c7:7>51zJ0b4=zf>?<6=4>{I1e5>{i?<21<7?tH2d2?xh0=00;6h>;|l41a<728qC?k?4}o56a?6=9rB8j<5rn67e>5<6sA9m=6sa77294?7|@:l:7p`86083>4}O;o;0qc992;295~N4n81vb:8<:182M5a92we;;:50;3xL6`63td<:;4?:0yK7c751zJ0b4=zf><36=4>{I1e5>{i??31<7?tH2d2?xh0>h0;6h>;|l42c<728qC?k?4}o544?6=9rB8j<5rn652>5<6sA9m=6sa76094?7|@:l:7p`87283>4}O;o;0qc984;295~N4n81vb:9::182M5a92we;:850;3xL6`63td<;:4?:0yK7c751zJ0b4=zf>=26=4>{I1e5>{i?>k1<7?tH2d2?xh0?k0;6h>;|l4<5<728qC?k?4}o5;5?6=9rB8j<5rn6:1>5<6sA9m=6sa79194?7|@:l:7p`88583>4}O;o;0qc975;295~N4n81vb:69:182M5a92we;5950;3xL6`63td<454?:0yK7c751zJ0b4=zf>2j6=4>{I1e5>{i?1h1<7?tH2d2?xh00j0;6b290:wE=i1:m3=`=83;pD>h>;|l4=4<728qC?k?4}o5:6?6=9rB8j<5rn6;0>5<6sA9m=6sa78694?7|@:l:7p`89483>4}O;o;0qc966;295~N4n81vb:78:182M5a92we;4650;3xL6`63td<544?:0yK7c751zJ0b4=zf>3i6=4>{I1e5>{i?0i1<7?tH2d2?xh01m0;6m3:1=vFh>;|l4e4<728qC?k?4}o5b6?6=9rB8j<5rn6c0>5<6sA9m=6sa7`694?7|@:l:7p`8a483>4}O;o;0qc9n6;295~N4n81vb:o8:182M5a92we;l650;3xL6`63td51zJ0b4=zf>ko6=4>{I1e5>{i?ho1<7?tH2d2?xh0j90;6h>;|l4f1<728qC?k?4}o:07?6=9rB8j<5rn917>5<6sA9m=6sa82794?7|@:l:7p`73683>4}O;o;0qc6;4;295~N4n81vb5:::182M5a92we49850;3xL6`63td38:4?:0yK7c751zJ0b4=zf1>26=4>{I1e5>{i0=k1<7?tH2d2?xh?3k3:1=vFh>;|l;17<728qC?k?4}o:67?6=9rB8j<5rn977>5<6sA9m=6sa84494?7|@:l:7p`75683>4}O;o;0qcl;7;295~N4n81vbo:7:182M5a92wen9750;3xL6`63tdi8l4?:0yK7c751zJ0b4=zfk>h6=4>{I1e5>{ij=n1<7?tH2d2?xheh>;|la10<728qC?k?4}o`62?6=9rB8j<5rnc74>5<6sA9m=6sab4:94?7|@:l:7p`m5883>4}O;o;0qcl:a;295~N4n81vbo;m:182M5a92wen8j50;0xL6`63tdi9h4?:0yK7c7j7>51zJ0b4=zfk<;6=4>{I1e5>{ij?;1<7?tH2d2?xhe>;0;6h>;|la23<728qC?k?4}o`53?6=9rB8j<5rnc4;>5<5sA9m=6sab7;94?7|@:l:7p`m6`83>4}O;o;0qcl9b;296~N4n81vbo8l:182M5a92wen;j50;3xL6`63tdi:h4?:3yK7c752zJ0b4=zfk=;6=4>{I1e5>{ij>;1<7?tH2d2?xhe?;0;6h>;|la33<728qC?k?4}o`43?6=9rB8j<5rnc5;>5<6sA9m=6sab6;94?7|@:l:7p`m7`83>4}O;o;0qcl8b;296~N4n81vbo9l:181M5a92wen:j50;0xL6`63tdi;h4?:0yK7c751zJ0b4=zfk2:6=4>{I1e5>{ij181<7?tH2d2?xhe0:0;62290:wE=i1:mf=0=83;pD>h>;|la<2<728qC?k?4}o`;5<6sA9m=6sab9c94?7|@:l:7p`m8c83>4}O;o;0qcl7c;295~N4n81vbo6k:182M5a92wen5k50;3xL6`63tdi4k4?:0yK7c751zJ0b4=zfk396=4>{I1e5>{ij0>1<7?tH2d2?xhe1<0;6>3:1=vF=83;pD>h>;|la=<<728qC?k?4}o`:e?6=9rB8j<5rnc;a>5<6sA9m=6sab8g94?7|@:l:7p`m9g83>4}O;o;0qcln0;295~N4n81vboo>:182M5a92wenl<50;3xL6`63tdim>4?:0yK7c751zJ0b4=zfkk>6=4>{I1e5>{ijh<1<7?tH2d2?xhei>0;6290:wE=i1:mfdg=83;pD>h>;|laeg<728qC?k?4}o`bg?6=9rB8j<5rnccg>5<6sA9m=6sab`g94?7|@:l:7p`mag83>4}O;o;0qclm0;295~N4n81vbol>:182M5a92weno<50;3xL6`63tdin>4?:0yK7c751zJ0b4=zfkh>6=4>{I1e5>{ijk<1<7?tH2d2?xhej>0;6290:wE=i1:mfgg=83;pD>h>;|lafg<728qC?k?4}o`ag?6=9rB8j<5rnc`g>5<6sA9m=6sabcg94?7|@:l:7p`mbg83>4}O;o;0qcll0;295~N4n81vbom>:182M5a92wenn<50;3xL6`63tdio>4?:0yK7c751zJ0b4=zfki>6=4>{I1e5>{ijj<1<7?tH2d2?xhek>0;6290:wE=i1:mffe=83;pD>h>;|laga<728qC?k?4}o``a?6=9rB8j<5rncae>5<6sA9m=6sabe394?7|@:l:7p`md383>4}O;o;0qclk3;295~N4n81vboj;:182M5a92weni;50;3xL6`63tdih;4?:0yK7c751zJ0b4=zfkn36=4>{I1e5>{ijm31<7?tH2d2?xhelh0;6h>;|laa7<728qC?k?4}o`f7?6=9rB8j<5rncg6>5<6sA9m=6sabd494?7|@:l:7p`me683>4}O;o;0qclj8;295~N4n81vbok6:182M5a92wenho50;3xL6`63tdiio4?:0yK7c751zJ0b4=zfkoo6=4>{I1e5>{ijlo1<7?tH2d2?xhen80;6h>;|lab0<728qC?k?4}o`e2?6=9rB8j<5rncd4>5<6sA9m=6sabg:94?7|@:l:7p`mf883>4}O;o;0qclia;295~N4n81vbohl:182M5a92wenkj50;3xL6`63tdijh4?:0yK7c751zJ0b4=zfj:;6=4>{I1e5>{ik9;1<7?tH2d2?xhd8;0;6h>;|l`43<728qC?k?4}oa33?6=9rB8j<5rnb2;>5<6sA9m=6sac1;94?7|@:l:7p`ie`83>4}O;o;0qchjb;295~N4n81vbkkl:182M5a92wejhj50;3xL6`63tdmih4?:0yK7c751zJ0b4=zfol96=4>{I1e5>{ino91<7?tH2d2?xhan=0;6=83;pD>h>;|leb<<728qC?k?4}odee?6=9rB8j<5rngda>5<6sA9m=6safga94?7|@:l:7p`ife83>4}O;o;0qchie;295~N4n81vbkhi:182M5a92we==>?:181M5a92we==>>:182M5a92we==>=:181M5a92we==><:182M5a92we==>;:181M5a92we==>::182M5a92we==>9:182M5a92we==>8:181M5a92we==>7:182M5a92we==>6:181M5a92we==>n:182M5a92we==>m:181M5a92we==>l:182M5a92we==>k:180M5a92we==>j:180M5a92we==>i:180M5a92we==??:180M5a92we==?>:180M5a92we==?=:180M5a92we==?<:181M5a92we==?;:182M5a92we==?::182M5a92we==?9:182M5a92we==?8:182M5a92we==?7:182M5a92we==?6:181M5a92we==?n:182M5a92we==?m:182M5a92we==?l:182M5a92we==?k:182M5a92we==?j:182M5a92we==?i:181M5a92we==:182M5a92we==<=:182M5a92we==<<:182M5a92we==<;:182M5a92we==<::181M5a92we==<9:182M5a92we==<8:182M5a92we==<7:182M5a92we==<6:182M5a92we==:181M5a92we====:181M5a92we===<:181M5a92we===;:181M5a92we===::181M5a92we===9:181M5a92we===8:182M5a92we===7:182M5a92we===6:182M5a92we===n:184M5a92we===l:182M5a92we===k:182M5a92we===j:182M5a92we===i:182M5a92we==:?:182M5a92we==:>:182M5a92we==:=:182M5a92we==:<:182M5a92we==:;:182M5a92we==:::182M5a92we==:9:182M5a92we==:8:182M5a92we==:7:182M5a92we==:6:182M5a92we==:n:182M5a92we==:m:182M5a92we==:l:182M5a92we==:k:182M5a92we==:j:182M5a92we==:i:182M5a92we==;?:182M5a92we==;>:182M5a92we==;=:182M5a92we==;<:181M5a92we==;;:181M5a92we==;::181M5a92we==;9:181M5a92we==;8:181M5a92we==;7:181M5a92we==;6:181M5a92we==;n:181M5a92we==;m:181M5a92we==;l:181M5a92we==;k:181M5a92we==;j:181M5a92we==;i:181M5a92we==8?:181M5a92we==8>:181M5a92we==8=:181M5a92we==8<:181M5a92we==8;:181M5a92we==8::181M5a92we==89:181M5a92we==88:181M5a92we==87:181M5a92we==86:182M5a92we==8n:181M5a92we==8m:181M5a92we==8l:181M5a92we==8k:181M5a92we==8j:181M5a92we==8i:181M5a92we==9?:181M5a92we==9>:181M5a92we==9=:181M5a92we==9<:181M5a92we==9;:181M5a92we==9::182M5a92we==99:182M5a92we==98:182M5a92we==97:182M5a92we==9n:182M5a92we==9m:182M5a92we==9l:182M5a92we==9k:182M5a92we==9j:182M5a92we==9i:182M5a92we==6?:182M5a92we==6>:182M5a92we==6=:182M5a92we==6<:182M5a92we==6;:182M5a92we==6::182M5a92we==69:182M5a92we==67:182M5a92we==66:182M5a92we==6n:182M5a92we==6m:182M5a92we==6l:182M5a92we==6k:182M5a92we==6j:182M5a92we==6i:182M5a92we==7?:182M5a92we==7>:182M5a92we==7=:182M5a92we==7<:181M5a92we==7;:182M5a92we==7::182M5a92we==79:182M5a92we==78:181M5a92we==77:182M5a92we==76:182M5a92we==7n:181M5a92we==7m:182M5a92we==7l:182M5a92we==7j:182M5a92we==7i:182M5a92we==o?:181M5a92we==o>:181M5a92we==o=:181M5a92we==o<:181M5a92we==o;:181M5a92we==o::182M5a92we==o7:182M5a92we==o6:182M5a92we==on:182M5a92we==om:182M5a92we==ol:182M5a92we==ok:182M5a92we==oj:182M5a92we==l?:182M5a92wvqpNOCz36f2<6j=>o9nmr@A@x4xFGXrwKL \ No newline at end of file +$5df4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P7369AEFRuW9=0NLM[r^34?GGD\{U956LNCUp\kpr?3K_XSD@IO09@0>E6>D30O<8B_H@VB3=D:9GNB;5L24OFJg=D:E?EVCIYK:4C9Oq3>E?E{6;2:5L8Lp?5;0EI\01HC@CFTUGG3>EUMH^NH?5LS79@h`gu9o1H`ho}1^]bja6789k0Okkiuq?4;g3o4Csggmqu;;7k0Okkiuq?0;g?01326>EummcR>P_np345659;1H~hjftr]3[Ziu89:;?<<4CsggmquX8VUd~=>?0531?Ftbl`~xS=QPos234536:2Iyiig{s^2\[jt789:=56M}eekwwZ76:2Iyiig{s^3\[dhc89:;=>5LrdfjpvY6WVkeh=>?00;8Gwcca}yT><<4CsggmquX:VUjbi>?0130?Ftbl`~xS?QPaof3456612Iyiig{s^125>EummcR=P_np34566:2Iyiig{s^1\[jt789::=?5LrdfjpvY4WVey<=>?289@v`bn|zU?=?5LrdfjpvY3WVkeh=>?0018Gwcca}yT8RQnne23457>3Jxnhdz|_431?Ftbl`~xS8QPaof34566;2Iyiig{s^7\[dhc89:;=45LrdfjpvY19;1H~hjftr]5[Zgil9:;<<=4CsggmquX>VUjbi>?01302>EummcRCndeqvfZYflmy~n=>?0^O{kwYUmzgx1?1_LzlvZTb{|f?55LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:66VGscQPxnp34564;2Iyiig{s^Ob`aurjVUjhi}zb1234ZKg{UYi~{ct=3=[Z~hz9:;<>84CsggmquXEhnoxlP_`fgwpd789:TAua}_Sgpqir;:7UFtb|PRdqvhq5?3Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<3<\I}iuWVrd~=>?0218Gwcca}yTAljkst`\[dbc{|h;<=>PMymq[Wct}e~7>3QPxnp34564>2Iyiig{s^Ob`aurjVUjhi}zb1234ZKg{UYi~{ct=1=[H~hzVXnxb{399@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}682RCwos]\|jt789:8?6M}eekwwZKflmy~nRQndeqvf5678VGscQ]erwop959WVrd~=>?0248Gwcca}yTAljkst`\[dbc{|h;<=>PMymq[Wct}e~783QBxnp\V`urd}937N|jdhvp[HgclziSRokdrwa4567WDrd~R\jstnw818XEqeySRv`r123465?01]N|jtXZly~`y2:>^O{kwYXpfx;<=><3:Aqaaos{VGjhi}zb^]b`aurj9:;=3:Aqaaos{VGjhi}zb^]b`aurj9:;95LrdfjpvYJimnxyoQPaefpqg6789UTc>?00010>EummcRCndeqvfZYflmy~n=>?0^]lv5679:8?7N|jdhvp[HgclziSRokdrwa4567WVey<=>>4368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34572:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;<<8=3:Aqaaos{VGjhi}zb^]b`aurj9:;95LrdfjpvYJimnxyoQPaefpqg6789UTc>?03010>EummcRCndeqvfZYflmy~n=>?0^]lv567::8?7N|jdhvp[HgclziSRokdrwa4567WVey<=>=4368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34542:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;95LrdfjpvYJimnxyoQPaefpqg6789UTc>?02010>EummcRCndeqvfZYflmy~n=>?0^]lv567;:8?7N|jdhvp[HgclziSRokdrwa4567WVey<=><4368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34552:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;<>8=3:Aqaaos{VGjhi}zb^]b`aurj9:;:>95LrdfjpvYJimnxyoQPaefpqg6789UTc>?05010>EummcRCndeqvfZYflmy~n=>?0^]lv567<:8?7N|jdhvp[HgclziSRokdrwa4567WVey<=>;4368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34522:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;<98=3:Aqaaos{VGjhi}zb^]b`aurj9:;l5LrdfjpvYJimnxyoQPaefpqg6789Ud~=>?5^cm`5678;h0Okkiuq\Idbc{|hTSljkst`3456Xg{:;<8Qnne234575j2Iyiig{s^Ob`aurjVUjhi}zb1234Ziu89:>Sl`k012367g?000a?Ftbl`~xS@okdrwa[Zgclzi<=>?_np3453Xign;<=?>2`9@v`bn|zUFmij|uc]\eabt}k:;<=Q`r1231Zgil9:;>?l4CsggmquXEhnoxlP_`fgwpd789:Tc>?04]bja678;;9n6M}eekwwZKflmy~nRQndeqvf5678Vey<=>:_`lg4565:8<0Okkiuq\[dbc{|h;<=>31?35?Ftbl`~xSRokdrwa45674;4::6M}eekwwZYflmy~n=>?0=1=53=Dzlnbx~QPaefpqg67896?2<84CsggmquXWhnoxl?012?1;713Jxnhdz|_^cg`vse89:;0;0i;Bpf`lrtWhdo<=>=119@v`bn|zUjbi>?03324>EummcRoad12367773Jxnhdz|_`lg4565;o1H~hjftr]mkq678:;;7N|jdhvp[kis89:8=<5K6:FWEFMum2N_MNE}_omw4566n2N_MNE}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?0738A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959<2OXS=k4ER]3[5Yig}:;<=h4ER]3[5Yig}:;<=?k;DQ\4ZYffm:;<=k4ER]3[Zgil9:;<k4ER]3[Zgil9:;<9k4ER]3[Zgil9:;<8k4ER]3[Zgil9:;<;:4ER]2`>CTW8UTmcj?012f?@UX9VUjbi>?013f?@UX9VUjbi>?0107?@UX:m1N_Rj4ER]0[Zgil9:;?_LzlvZTb{|f0<0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8AVYJimnTSljk0123[H~hzVXnxb{<2<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k01225a=B[V[T=Ra}0123a>CTWXU:Sb|?0122b>CTWXU:Sb|?01224c=B[V[T=Ra}012354c?5F339J07=N=;1B:?5F759JEFU23@KH_<:4ICWE=>OIA]Y_MYK<;HLU6>OH=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2g9JKDESWds<=>?12d8MJGD\Vg~t=>?006e?LIFK]Ufyu>?0136b>OHIJ^Taxv?01222c=NGHI_S`{w012352c2CDO^??5:KLGV423@EH_>;4INAP00=NGJY>96G@CR46?LID[>?0EBM\849JKFU>>2CDHB@Jd:KL@JHBWfx;<=>j;HMGKKCXg{:;<=?j;HMGKKCXg{:;<=1BCIAAEs68MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?5e9JKI6Xe|r;<=>9d:KLH5Yj}q:;<=9k;HMO4Zkrp9:;<5j4INN3[hs89:;595FOM3`?LIK9Vddx=>?1e9JKI7Xff~;<=?>5:KLHAU13@E[[N]6;HMSSWcflpk0EB^XRdcg}41?119JKWBUMXUjbi>?01324>OHZMXN]Road12347773@EYH_K^_`lg4567;o1BC_J]EP]nq}6789;;7DA]DSGR[hs89:;=>0038MJTCZL[Taxv?0122547>4028MJTCZL[Taxv?012155=NG[NYI\Qbuy23455682CD^I\JQ^ov|5678=;;7DA]DSGR[hs89:;9<>4INPGV@WXe|r;<=>9119JKWBUMXUfyu>?01524>OHZMXN]Rczx1234=773@EYH_K^_lw{4567111BC_J]EPp5?LIUMXx<7DA]EPp23>OHZL[y>:5FOSGRv63i6G@RV3\ekb789:=;6G@Rdcg}c=NG[ojhtQPos2345773@EYiljv_^mq456798:0EB\jae{\[jt789:9==5FOSgb`|YXg{:;<==>0:KLV`gcqVUd~=>?0533?LIUmhnrSRa}012311=NGZ:h7DA\0^cm`5678m1BC^>Paof34566l2CD_=Qnne23454c3@EXOH[9Ufyu>?013f?LIT8Vg~t=>?002g?LIT8Vg~t=>?03f8MJU7Wds<=>?3e9JKV6Xe|r;<=>;d:KLW5Yj}q:;<=;k;HMP4Zkrp9:;<;j4INQ3[hs89:;;i5FOR2\ip~789:3h6G@S1]nq}67893h7DA\_GQN[C@c9j1BC^QISL]EBa4a3@EXSK]B_GDg6(Oi991BC^QISL]EBa4*Ag;:<6G@S^DPIZ@Al;'Bb???;HMP[CUJWOLo> Ga3b9JKVYA[DUMJi=i;HMP[CUJWOLo? Ga119JKVYA[DUMJi="Io324>OH[VLXARHId2/Jj7773@EXSK]B_GDg7(Oi;8:0EB]PFRO\BCb4%@d?==5FOR]EWHYANm9&Ec;>0:KLWZ@TEVLMh>#Fn7a8MJUXNZGTJKj;c:KLWZ@TEVLMh8m4INQ\BVKXNOn=j6G@S^DPIZ@Al?'Bb<>4INQ\BVKXNOn=!D`>119JKVYA[DUMJi8"Io024>OH[VLXARHId7/Jj6773@EXSK]B_GDg2(Oi<8:0EB]PFRO\BCb1%@d>o6G@S^DPIZ@Al>l0EB]PFRO\BCb0%@d:<6G@S^DPIZ@Al>'Bb0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=h5FOT2\ip~789::h5FOT2\ip~789::?h5FOT2\ip~789::8h5FOT2\ip~789::9i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567=m1BCX>Pmtz34561l2CDY=Qbuy23451c3@E^?013254=NG^XYI\Qbuy234575981BCZ\]EP]nq}6789;8=<5FOVPQATYj}q:;<=?;109JKRTUMXUfyu>?013655=NG^XYI\Qbuy23454682CD[_\JQ^ov|5678:;;7DAXRSGR[hs89:;8<>4INUQV@WXe|r;<=>:119JKRTUMXUfyu>?01424>OH_[XN]Rczx12342773@E\^_K^_lw{456708:0EBY]RDS\ip~789:246G@WSPFUw2e:KP[CUJWOLo= Gaf:KP[CUJWOLo= Ga1g9JWZ@TEVLMh<#Fn3`8MVYA[DUMJif:KP[CUJWOLo> Ga2g9JWZ@TEVLMh?#Fn2d8MVYA[DUMJi<"Io6e?LUXNZGTJKj=-Hl6f>OTWOYFSB{{3b9JWZ@TEVE~x>?l;HQ\BVKXG|~8>i5FS^antZcv89:8i6EJEQ]KM@TFZJBR;6B@GHABHd=KXDUSC_JSadoy0<06;MVji`t;:730@Ygbes>0:<=K\`gn~1:19:NWmhcu4<427AZfmdp?2;?89OPlkbz52556B[ilgq8<8?3E^bah|[C018HQojm{^HSnc_ds34566<2F_e`k}TB]`iuYby9:;<?01620>JSadoyXNQlmq]fu5678<;?7AZfmdpWGZejxVoz<=>?699OPlkbzV:37AZfmdp\5<=K\`gn~R??9:NWmhcuW8;37AZfmdp\6==K\`gn~R=7;MVji`tX<11GXdcjr^7;?IRnelxT:55CThofvZ1?3E^bah|P899OPlkbzV3986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>0?07?IRnelxTAljk_^cg`5678VGscQ]erwop9766;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0?0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>0:75?01]N|jtXZly~`y2:>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8385;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6<2?=4LUknawYJimnTSljk0123[H~hzVXnxb{<9<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:>6;<0@Ygbes]NeabXWhno<=>?_SgpqirX8Vddx=>?003g?IRnelxTAljk_^cg`5678VUjbi>?013f?IRnelxTAljk_^cg`5678VUjbi>?0132`>JSadoyS@okd^]b`a6789UTmcj?0132a>JSadoyS@okd^]b`a6789UTmcj?01325a=K\`gn~RCnde]\eab789:TSl`k01215`=K\`gn~RCnde]\eab789:TSl`k012154bP_`lg45619l1GXdcjr^Ob`aYXimn;<=>P_`lg456198n0@Ygbes]NeabXWhno<=>?_^cm`567?8o0@Ygbes]NeabXWhno<=>?_^cm`567?8;o7AZfmdp\IdbcWVkoh=>?0^]bja6781;n7AZfmdp\IdbcWVkoh=>?0^]bja6781;:h6B[ilgq[HgclVUjhi>?01]\ekb7893:i6B[ilgq[HgclVUjhi>?01]\ekb7893:=?5CThofvZYflm:;<=2?>018HQojm{UTmij?012?5586;2F_e`k}_^cg`56785;:2<<4LUknawYXimn;<=>32?31?IRnelxTSljk01238686:2F_e`k}_^cg`56785>5=?5CThofvZYflm:;<=2:>008HQojm{UTmij?012?2;753E^bah|P_`fg45674>4:>6B[ilgq[Zgcl9:;<161139OPlkbzVUjhi>?01>::0=Kghn:i6B`ae3\[dhc89:;>85Baef\WQojm{Ujhi}zb1234ZKg{UYi~{ct=3=6>H7;2D;=:5AEUULVN7>49QE979=2XJ0?0:;SC?7;00:7084R@>0:13TF4?4>7_O37?78VD:?6<1YM1713:PBI0=UIDIX;6\NMBQ3\==UIDIX=109QEHTbimsTaxv?012054=UIDXnmiwPmtz34563981YM@\jae{\ip~789:>=<5]ALPfeaXe|r;<=>9109QEHTbimsTaxv?01241>TF[LFo7_O\EM]nq}6789o0^L]JL^ov|56788o0^L]JL^ov|5678;o0^L]JL^ov|5678:o0^L]JL^ov|5678=?0^L]JNe9QEVCIWds<=>?e:PBW@HXe|r;<=>>e:PBW@HXe|r;<=>=e:PBW@HXe|r;<=>;e:PBW@HXe|r;<=>:5:PBWw`?3[KX~kQA089QEVtaWG::96\NSspg?WGTz{Ufyu>?01g8VDUuzVg~t=>?00g8VDUuzVg~t=>?03g8VDUuzVg~t=>?02g8VDUuzVg~t=>?05g8VDUuzVg~t=>?04g8VDUuzVg~t=>?07;8VDY7WFH^Jn5]A^2\ip~789:o7_OP0^ov|56788n0^LQ?_lw{4567:m1YMR>Pmtz34564l2XJS=Qbuy23452f3[KT==Q@BTDg?WGX99Ufyu>?01g8VDY68Vg~t=>?00g8VDY68Vg~t=>?03g8VDY68Vg~t=>?02g8VDY68Vg~t=>?05c8VDY69VEIYK74R@]2[JDRNj1YMR?Pmtz3456c3[KT=Rczx12344bTFW8Ufyu>?016:?WGX:VEIYKm4R@]1[hs89:;h6\N_3]nq}6789;o7_OP2^ov|5678;n0^LQ=_lw{4567;m1YMRQ@BTD:?WGX?0e9QEZ3Xe|r;<=>>d:PB[0Yj}q:;<=j4R@]6[hs89:;845]A^4\KGSAk2XJS;Qbuy2345bTFW?Ufyu>?011g?WGX>Vg~t=>?05;8VDY0WFH^Jn5]A^5\ip~789:o7_OP7^ov|56788n0^LQ8_lw{4567:m1YMR9Pmtz34564l2XJS:Qbuy23452>3[KT4RAMUGa8VDY?Wds<=>?d:PB[=Yj}q:;<=?k;SC\TFW0Ufyu>?010g?WGX1Vg~t=>?02f8VDY>Wds<=>?449QKHET>2XDAN]>6:PLIFU5?2XDAN];X99QKHET{oSge54?3[Y;xb\jf4;8VV6sg[om:45]S1vlV``012XXTTWOYFSKHk8,Km6c=U[VLXARHId9/Jj6`o1Y_RH\M^DE`=+Nf>l0^^QISL]EBa>*Ag2m7_]PFRO\BCb?%@d296\jae{5?Wcflp;=7_kndx05?Wcflp9=7_kndx65?Wcflp?=7_kndx45?Wcflp==7_kndx:5?WcaKea=7_kiRdsf?WcaZl{TSb|?012f?WcaZl{TSb|?013e?WcaZl{TSb|?0132b>Tbn[ozSRa}01226`=UmoXn}Road1234c=UmoXn}Road12344`i;SgeQwaXe|r;<=>>e:PfbPt`Wge<=>>f:PfbPt`Wge<=>>1e9QacSuoVey<=>>e:PfbPt`Wfx;<=?>f:PfbPt`Wfx;<=?>0g9QacSuoVey<=>>10d8V``RznUd~=>?100e?Wca]{mTc>?0030b>Tbn\xlSb|?01320`=Umo_ykRa}01226`=Umo_ykRa}01227`=Umo_ykRa}01220`=Umo_ykRa}01221`=Umo_ykRa}01222`=Umo_ykRa}01223`=Umo_ykRa}0122<`=Umo_ykRa}0122=3=Umzgx;5\OTP@A<=T\`gn~1>19:QWmhcu48437^Zfmdp\446<[]cfiQ?_^mq4567981XXdcjr^2\[jt789::=<5\ThofvZ6XWfx;<=>=109PPlkbzV:TSb|?012054=T\`gn~R>P_np34563981XXdcjr^2\[jt789:>==5\ThofvZ6XWfx;<=?>1:QWmhcuW9UTc>?00325>USadoyS=QPos23444692Y_e`k}_1]\kw6788937^Zfmdp\547<[]cfiQ>_^cm`5678880_Ygbes]2[Zgil9:;<?01026>USadoyS?0437?VRnelxTmij|uc2345:668;0_Ygbes]`iuYby9:;<<<4SUknawYdeyUn}=>?0031?VRnelxTo`~Pep234546:2Y_e`k}_bos[`w789:896]X<0<6?VQ;:7=0_Z2<:0<6?VQ;;7>0_h`{c:QfjqYXg{:;<=j4Sdlw[Ziu89:;=i5\eov\[jt789:9h6]jnu]\kw67899o7^kat^]lv5678=n0_h`{_^mq4567=m1XiczP_np34561l2YnbyQPos23451c3ZoexRQ`r1234=g<[gnYM@M\WS`8WkbUIDIX[_?l;RlgVDKD[^X:Uil[KFO^Y]999PjaTbimsm7^`kRdcg}Ziu89:;==5\nePfeaXg{:;<=?>0:Qm`WcflpUd~=>?0333?VhcZlkouRa}0123746<[gnYiljv_np34563991Xbi\jae{\kw6789?:<6]adSgb`|Yhz9:;<;??;RlgV`gcqVey<=>?7g9PjaTbimsTc>?0033?VhcZlkouRa}0122546<[gnYiljv_np34575991Xbi\jae{\kw67889<7^`kSmmtb>UilZfd{RQ`r123446<[gnX`byP_np34566991Xbi]cov]\kw67898:<6]adRnlsZYhz9:;<>??;RlgWiipWVey<=>?4028WkbTdf}TSb|?012655=TfmYgczQPos2345033]X^Io5[S^DPIZ@Al8o0X^QISL]EBa7*Agl0X^QISL]EBa7*Ag;m7Y]PFRO\BCb6%@d9n6Z\_GQN[C@c:l1__RH\M^DE`7+Nfo1__RH\M^DE`7+Nf8;;7Y]PFRO\BCb5%@d: Ga5g9WWZ@TEVLMh?#Fn7d8PVYA[DUMJi<"Io5e?QUXNZGTJKj=-Hl;b>RTWOYFSKHk2,Km=g=S[VLXARAzt1a8PVYA[DUDyy>>c:VP[CUJWFRnelx7<364Thofv97902^bah|32?:8Plkbz595;6ZfmdpWG44<\`gn~YMPclr\at6789;87YgbesV@[fkwWl{;<=>>129Wmhcu\JUha}Qjq12347743]cfiZL_bos[`w789:8=>5[ilgqPFYdeyUn}=>?0530?Qojm{^HSnc_ds34562?2^bah|P0028PlkbzV:TSl`k012354=SadoyS=QPaof34566981_e`k}_1]\ekb789:9=<5[ilgq[5YXign;<=><7:Vji`tX98:0Xdcjr^3\[dhc89:;=<5[ilgq[4YXign;<=>>109WmhcuW8UTmcj?01213>RnelxT><>4ThofvZ4XWhdo<=>?109WmhcuW;UTmcj?012254=SadoyS?QPaof34565?2^bah|P3028PlkbzV9TSl`k012354=SadoyS>QPaof34566981_e`k}_2]\ekb789:9>?5[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>>308PlkbzVGjhiQPaef3456XEqeyS_k|umv?6;453]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4:4:o6Zfmdp\IdbcWVkoh=>?0^]bja6789;o7Ygbes]NeabXWhno<=>?_^cm`56788;h7Ygbes]NeabXWhno<=>?_^cm`56798n0Xdcjr^Ob`aYXimn;<=>P_`lg456698;0Xdcjr^]b`a67896:2038PlkbzVUjhi>?01>0:a=SadoySl`k0123a>RnelxTmcj?0122g>STM[U]E^GMLD18RFE>3_CN[RZVPD68RUGuk2\[MQaou2344b<^YKySca{01225`=QamXJAN]XR76h5`=QamXJAN]XR76h6`=QamXJAN]XR76h7`=QamXJAN]XR76h0`=QamXJAN]XR76h1`=QamXJAN]XR76h2`=QamXJAN]XR76h3`=QamXJAN]XR76h<7=P[h1\_Road1234g=P[Vkeh=>?0048Sdtw[L;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@>0TB\=6:ZPPIOE?2RXXRIAD69[WQYQKJ<0TilPIe33?]bjWDkacXjrrklj462Rd0=0>3:Zpp6=df}80o~=4fr`7?liee=1bco}6;oCGkprKM9o0bLJ`uuNF4ZIE]O;;7cOKotvOA5Yhz9:;<?1038jDBh}}FNhFLf@H?>e:lB@jssDL;TCO[I3:lBW2=iIZUM_Om4n@Q\BVDXAK_M;6`NS^KLFa=iIZUBCOQ@BTDFe>hF[VCDNb{{6:lBWjss12dJ_b{{_O2b?kGTg|~TB=?j;oCPkprXff~;<=?i;oCPkprXff~;<=?>119mEVir|Vddx=>?102e?kGTg|~Tbbz?0131b>hF[fSca{01227c=iIZe~xR``t12351`hFg|~DI;o@FVWYA[KUTc>?0131?kDBZ[UM_OQPos23457692dII_\PFR@\[jt789;:>6`MESP\BVDXWfx;<=?>139mF@TUWOYISRa}01226440:lAVZOHJVg~t=>?0533?kDUW@EIS`{w0123146hDIZUfyu>?015g?kEF[Vg~t=>?09f8jFGTWds<=>?979m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@Sl`k01236c=iL]KHGRoad12346c>119m@jssGLUjbi>?00324>hCg|~DIRoad12357773gNdyyAJ_`lg4566;<1eE]B=a:lJTI4XAK_M:6`FPM0q0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNh5aLEQ\MJDXGK_MIo5aLEQ\MJDh}}i0bAJ\_`lg4565l2dGH^Qnne23477c3gFO_Road12367bhKLZUjbi>?037g?kJC[Vkeh=>?27f8jIBTWhdo<=>=7e9mHAUXign;<=<7d:lO@VYffm:;hHM11eCHQ@BTDa?kIBWge<=>>c:lLAZhh|9:;=;oMuawjfqVUd~=>?0032?kIqm{fjuRQ`r12347763gE}ibny^]lv5678:;:7cAyesnb}ZYhz9:;<9?>;oMuawjfqVUd~=>?0458jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I8:lQacEkc[;;7c\jfBnhVZhh|9:;=>159mQAU?3g_O_RH\Be9mQAUXNZHTEO[I8:lV@VYNGKo0bXJ\_HMA[JDRNLh0bXJ\_HMAkprd3g_O_Road1235a=i]MYTmcj?0132`>hRLZUjbi>?000g?kSC[Vkeh=>?12f8jPBTWhdo<=>>4e9mQAUXign;<=?:d:lV@VYffm:;<<8k;oWGWZgil9:;=:j4nTFP[dhc89::4i5aUEQ\ekb789;2o6`ZDR]mkq6788n0bXJ\_omw45669=1eYZK:;oWTA4ehR_LUjbi>?013g?kSPMVkeh=>?03f8jPQBWhdo<=>?359mRHG?3g\FMRG@Bd9mRHGXAFHTCO[IEc9mRHGXAFHdyyj4nWOB[Zgil9:;hQEHUTc>?01:`?kPJIVkeh=>?0e9mRHGXign;<=>>d:lUIDYffm:;<=j4nWOB[dhc89:;8i5aVLC\ekb789:>86`YP@:8jSVFWOYIh6`YP@]EWGYHJ\L37cX_A^KLFa=i^YKTEBLPICWEg>hQXHUjbi>?01f8jSVFWhdo<=>?1b9mRUGXff~;<=?k;oTSEZhh|9:;=<=4nVG4?kQBWOYIo6`XE^DPFZOE]O90~lc7;ya:156dtJK|<;ie:BC|16c2O096"39:0?:m22a93dda3m>;h7>51;3xW<5=<8=1>4=5126a66e=?hhi7{Z<7483>4<62:=3w^7<:534>7?4289?n?=l:6caf>"4nl09?55+28690cbdb|@:lh7)=k3;63`>\0l39p>7;56;'661=;kl0'9l::188m=7=831d8;>50;9j071=831d8k?50;9j0f2=831d8oj50;9l<7<722e?mi4?::k703<722e?m44?::m716<722e?844?::k24`<722c:854?::k727<722e?>44?::m77d<722c?;h4?::m7eg<722c?j>4?::m7g3<722e?544?::k;0?6=3`=m6=44o53g>5<5<36=44o5`b>5<5<5<5<5<#:0<1>4l4n3;6>5=6<54o3c:>5<#:0<1>4l4n3;6>7=6>54o3c4>5<#:0<1>4l4n3;6>1=6854o3c6>5<#:0<1>4l4n3;6>3=6:54o3;e>5<#:0<1>4l4n3;6>==6454o3;g>5<#:0<1>4l4n3;6>d=6o54i5`94?"51?0?m6`=9483?>o313:1(?79:5c8j7?22810e9650;&1=3<3i2d9584=;:k73?6=,;3=69o4n3;6>6=h51<0?76g;4;29 7?12=k0b?7::498m15=83.95;4;a:l1=0<132c?>7>5$3;5>1g6:54i5394?"51?0?m6`=948;?>o383:1(?79:5c8j7?22010e>h50;&1=3<3i2d9584n;:k0a?6=,;3=69o4n3;6>g=h51<0h76g>7>5$3;5>1g6k54i4394?"51?0?m6`=94824>=n=90;6)<66;6b?k4>=3;:76g;f;29 7?12=k0b?7::008?l2b290/>4854`9m6<3=9:10e9j50;&1=3<3i2d9584>4:9j0f<72-82:7:n;o0:1?7232c?:7>5$3;5>1g6<84;h1:6?6=,;3=6>7>;o0:1?6<3`92<7>5$3;5>6?63g8297?4;h1;b?6=,;3=6>7>;o0:1?4<3k>:=7>51;294~"4l:0:9=5G4028L6`d3f;?j7>5;|`757<7280;6=u+3e197cb<@=;;7E=ic:m1=7<722wi8<750;3g>64=<2n1=v=5}%003?5en2c3j7>5;n127?6=3f;j:7>5;n0bg?6=3f92h7>5;h50>5<6=44o05b>5<5<5<o6=3:1(?79:048j7?22810e<:50;&1=3<6>2d9584=;:k26?6=,;3=6<84n3;6>6=h51<0?76gi:18'6<0=9?1e>4;55:9ja?6=,;3=6<84n3;6>3==3=07dm50;&1=3<6>2d95847;:ka>5<#:0<1=;5a2879=>=ni3:1(?79:048j7?22h10e44?:%0:2?713g8297l4;h:94?"51?0::6`=948`?>o0290/>485179m6<3=l21b=k4?:%0:2?713g8297k4;h3f>5<#:0<1=;5a2879b>=e<8;1<7?50;2x 6b428?;7E:>0:J0bf=h9=l1<75rb5c3>5<2290;w)=k3;37g>N3991C?km4$07g>5=n0l0;66g60;29?l1b2900e<>?:188k7??2900qo<61;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl<1083>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm30094?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj;ko6=4=:183!5c;3;>i6F;119K7ce5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th9mk4?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`1f5<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th?m?4?:283>5}#;m91>5j4H533?M5ak2c:9?4?::k216<722e94l4?::a742=8381<7>t$2f0>43b3A>:<6F5o50;9~f67229096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg56>3:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd49>0;684?:1y'7a5=;:1C8<>4H2d`?l72:3:17d?:3;29?l72<3:17d?:5;29?j4?i3:17pl>5c83><<729q/?i=5379K046<@:lh7d?:2;29?l72;3:17d?:4;29?l72=3:17d?:6;29?l72?3:17d?:8;29?l7213:17b<7a;29?xd38;0;684?:1y'7a5=;:1C8<>4H2d`?l72:3:17d?:3;29?l72<3:17d?:5;29?j4?i3:17pl;0283>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd38=0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e<9?1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj=:=6=4=:183!5c;3;>i6F;119K7ce5<;;7>53;294~"4l:08=6F;119K7ce5<N3991C?km4i071>5<5<;57>54;294~"4l:08>6F;119K7ce5<5<j87>53;294~"4l:08=6F;119K7ce5<hl;h365?6=3f83m7>5;|`7e3<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a0d1=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1N4nj1b=8<50;9j505=831d>5o50;9~f6?b29086=4?{%1g7?563A>:<6Fo=:180>5<7s-9o?7<7d:J755=O;oi0e<;=:188m4342900c?6n:188yg5f;3:197>50z&0`6<4;2B?==5G3ga8m4352900e<;<:188m4332900e<;::188k7>f2900qo=n4;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm3`494?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb2c4>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<55;294~"4l:08?6F;119K7ce5<5<N3991C?km4i071>5<5<53;294~"4l:094i5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`0e4<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th:;o4?:283>5}#;m91>5j4H533?M5ak2c:9?4?::k216<722e94l4?::a52b=8381<7>t$2f0>43b3A>:<6F5o50;9~f41b290>6=4?{%1g7?543A>:<6F5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f4>729096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg7?93:1?7>50z&0`6<50m1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl>8383>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd60:0;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl>8583>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd6?j0;694?:1y'7a5=:1o0D9??;I1eg>o6=;0;66g>5283>>o6==0;66a=8`83>>{t?3:1?vP8;<1b7?72:278m94>509~w=<72:qU4635<4sWh01>o<:076?85f?3;>=6s|c;296~Xd3492i7?:2:p`?6=;38;0:9?5216g950450d=9<9019>=:070?870m3;>?6s|f;290~Xa34;>n7?:4:?747<6==16=:k51468yv77290?wS??;<36f?72=27?549>52c=9{t9=0;6;uQ159>743=9<;01<;m:074?827;3;>?63>7c8217=:9>l1=8<4}r36>5<1sW;>70;49>0:9?5214`950><5=:>6<;>;<3;7?72:2wx=:4?:7y]52=::ho1=8?4=235>43634;>n7?:9:?743<6=816=:m51418yv7b2909wS?j;<1b6?72:2wx=k4?:3y]5c=:;h81=8=4}r50>5<3sW=870:n2;367>;3i=0:9?524`795075`83>7}Y97c83>7}:9>h1>5o4=0:2>4353ty:;i4?:3y>52b=:1k01<6>:070?xu6?l0;6?u216g96=g<582?6<;=;|q23c<72;q6=:h529c894>528?97p}>8183>7}:91:1>5o4=0:1>4343ty:4<4?:3y>5=7=:1k01<6<:070?xu60;0;6?u219096=g<582?6<;<;|q2<6<72;q6=5=529c8941d28?97p}>8583>7}:91>1>5o4=05`>4333ty:m;4?:2y]5d0<5=:26?6n;<1b5950;0x917628>m70:n0;;3?xu5190;6?uQ282897?62;2j7p}=ac83>7}Y:hh01?l?:3:b?xu5ij0;6>uQ2`a897ga2;2j70{t:hn1<77>f348jj7?:2:p6dc=838p1?oj:3:b?84fn3;>?6s|30294?4|V:;;70=>2;0;e>{t;8;1<77>f349:>7?:3:p745=83>pR>?<;<126?72:278=:4=8`9>0d2=9<90q~=>4;296~;49=094l52305950552z?050<50h16?<951468yv56>3:1>v3<178152z?0=`<50h16?4h51408yv5>n3:1>v3<9g817d7=9<90q~=n2;296~;4i;094l523`:950552z?0e6<50h16?l>51408yv5f<3:1>v37d?=9<90q~=n6;296~;4i?094l523`;950252z?0e2<50h16?l751478yv5f03:1>v37d6=9<90q~:?2;296~;38;094l5241;9505;?7>52z?746<50h168=651408yv27<3:1>v3;0581051=9<90q~:?6;296~;38?094l5241;9502;;7>52z?742<50h168=651418yv2703:1>v3;09816<7=9<;01>?>:072?84e83;>?63>7e8214=z{=k:6=4={<6b4?77827?m?4=8`9~w1g42909w0:n0;:f?82f0383m6s|4`694?4|5=k?6?6n;<6b{t7>f34>j;7?:3:p0d1=838p19o8:3:b?82f03;>?6s|4c794?5|V=h>70:n2;366>;3i?0:9<5rs5d:>5<4sW>m563;058214=:91:1=8?4}|`7bf<72:?1n<4k2zJ0bf=#;m91>lo4Z6f96~4=<3w/>>953cd8m=`=831b;;4?::k71c<722e?h84?::k763<722e?ni4?::m7ea<722c9mn4?::m716<722c5;n6:=?6=3`9?i7>5;h6;5?6=3`>m57>5;h6`7?6=3`8jn7>5;n6ae?6=3`9:<7>5;n0a5?6=3f>2?7>5;n0:g?6=,;3=6?7m;o0:1?6<3f82m7>5$3;5>7?e3g8297?4;n0b=?6=,;3=6?7m;o0:1?4<3f8j47>5$3;5>7?e3g8297=4;n0b3?6=,;3=6?7m;o0:1?2<3f8j:7>5$3;5>7?e3g8297;4;n0b1?6=,;3=6?7m;o0:1?0<3f8j87>5$3;5>7?e3g829794;n0:b?6=,;3=6?7m;o0:1?><3f82i7>5$3;5>7?e3g829774;n0:`?6=,;3=6?7m;o0:1?g<3f8257>5$3;5>7?e3g8297l4;h37>5<#:0<1=>5a28794>=n9;0;6)<66;30?k4>=3;07d??:18'6<0=9:1e>4;52:9jb?6=,;3=6<=4n3;6>6==3>07dj50;&1=3<6;2d9584:;:k`>5<#:0<1=>5a28792>=nj3:1(?79:018j7?22>10el4?:%0:2?743g829764;h;94?"51?0:?6`=948:?>o?290/>485129m6<3=i21b;7>5$3;5>456o54i0d94?"51?0:?6`=948`?>o6m3:1(?79:018j7?22m10ec=h51<0:<65f1`83>!4>>3;87c<65;32?>o613:1(?79:018j7?228807d?7:18'6<0=9:1e>4;51298m47=83.95;4>3:l1=0<6<21i8N4nj1d=9h50;9~f62a290>6=4?{%1g7?73k2B?==5G3ga8m=c=831b5=4?::k4a?6=3`;;<7>5;n0:5}#;m91=9o4H533?M5ak2c5;h334?6=3f8247>5;|`7=<<72:0;6=u+3e1951g<@=;;7E=ic:k4a?6=3`;;<7>5;n0:5}#;m91=9o4H533?M5ak2c5;h334?6=3f8247>5;|`244<72:0;6=u+3e1951g<@=;;7E=ic:k4a?6=3`;;<7>5;n0:5}#;m91=9m4H533?M5ak2c3i7>5;h;3>5<o1<75f11294?=h:021<75rb3`b>5<4290;w)=k3;37e>N3991C?km4$07g>5=n?l0;66g>0183>>i5110;66sm2bc94?5=83:p(>j<:06b?M2682B8jn5+14f94>o0m3:17d??0;29?j4>03:17pl=c183>6<729q/?i=515c8L1773A9mo6*>5e83?l1b2900e<>?:188k7??2900qo4>4`9K046<@:lh7)?:d;28m2c=831b==>50;9l6<>=831vn9;<:180>5<7s-9o?7?;a:J755=O;oi0e:k50;9j556=831d>4650;9~f64329086=4?{%1g7?73i2B?==5G3ga8 43c291b;h4?::k245<722e9554?::a773=8391<7>t$2f0>42f3A>:<6F5;h334?6=3f8247>5;|`063<72:0;6=u+3e1951g<@=;;7E=ic:&21a<73`=n6=44i023>5<hl;%36`?6o1<75f11294?=h:021<75rb20b>5<4290;w)=k3;37e>N3991C?km4$07g>5=n?l0;66g>0183>>i5110;66sm33d94?5=83:p(>j<:06b?M2682B8jn5+14f94>o0m3:17d??0;29?j4>03:17pl<3583>6<729q/?i=515c8L1773A9mo6*>5e83?l1b2900e<>?:188k7??2900qo=<9;297?6=8r.8h>4>4`9K046<@:lh7)?:d;38m2c=831b==>50;9l6<>=831vn9lm:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo:k6;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl;9583>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm34794?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb275>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th?o?4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn>;=:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo=:3;297?6=8r.8h>4=8e9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd4:00;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=c883>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm4cg94?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj;i96=4=:183!5c;3;>i6F;119K7ce5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th9o94?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`1g0<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th9o;4?:583>5}#;m91>5k4H533?M5ak2c:9?4?::k216<722c:994?::m1o=50;194?6|,:n86?6k;I624>N4nj1b=8<50;9j505=831d>5o50;9~f7d329096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg4e=3:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd5j?0;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=b683>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd5j10;6>4?:1y'7a5=:1n0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm2c;94?2=83:p(>j<:3:f?M2682B8jn5f14094?=n9<91<75f14694?=h:1k1<75rb575>5<4290;w)=k3;0;`>N3991C?km4i071>5<5<>;7>53;294~"4l:08=6F;119K7ce5<hl;h365?6=3f83m7>5;|`71<<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m1N4nj1b=8?50;9l6=g=831vn9;m:180>5<7s-9o?7<7d:J755=O;oi0e<;=:188m4342900c?6n:188yg22k3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo::d;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg22m3:197>50z&0`6<50o1C8<>4H2d`?l72:3:17d?:3;29?l72<3:17d?:5;29?j4?i3:17pl;d983>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm4e;94?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb5fb>5<3290;w)=k3;11?M2682B8jn5f14094?=n9<91<75f14694?=h:1k1<75rb5;5>5<5290;w)=k3;36a>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`7==<72=0;6=u+3e1977=O<8:0D>hl;h366?6=3`;>?7>5;h360?6=3f83m7>5;|`1`1<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th9h84?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1i850;194?6|,:n86>?4H533?M5ak2c:9?4?::k216<722e94l4?::a6a1=8391<7>t$2f0>7>c3A>:<6F:180>5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f7c529096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg4b;3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo4=8e9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd5ml0;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=eg83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm2g294?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e:o;1<7=50;2x 6b42;2o7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb3d7>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj;l>6=4=:183!5c;3;>i6F;119K7ce5<53;294~"4l:08=6F;119K7ce5<hl;h366?6=3`;>?7>5;n0;e?6=3th9jl4?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`1bg<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a6ce=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1kj50;194?6|,:n86?6k;I624>N4nj1b=8<50;9j505=831d>5o50;9~f66729086=4?{%1g7?563A>:<6F>>:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo=?2;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg57;3:1?7>50z&0`6<50m1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl<0783>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd48>0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e;921<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm31;94?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj::h6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb22g>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<53;294~"4l:094i5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`1a2<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th9i54?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1h750;194?6|,:n86>?4H533?M5ak2c:9?4?::k216<722e94l4?::a6`g=8391<7>t$2f0>7>c3A>:<6F5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f7be29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg4ck3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo4=8e9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd5i90;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=a083>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm2`094?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e:h91<7=50;2x 6b42;2o7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb21a>5<5290;w)=k3;36a>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`07`<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a76`=8381<7>t$2f0>43b3A>:<6F5o50;9~f62729096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg5393:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo=;2;290?6=8r.8h>4=8d9K046<@:lh7d?:2;29?l72;3:17d?:4;29?j4?i3:17pl<4283>6<729q/?i=529f8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e;=>1<7:50;2x 6b42:80D9??;I1eg>o6=;0;66g>5283>>o6==0;66a=8`83>>{e;:i1<7=50;2x 6b42;2o7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb215>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj:9<6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb21;>5<4290;w)=k3;0;`>N3991C?km4i071>5<5<55;294~"4l:08?6F;119K7ce5<5<hl;h365?6=3f83m7>5;|`076<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th8>n4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a77c=8391<7>t$2f0>7>c3A>:<6F8=:187>5<7s-9o?7==;I624>N4nj1b=8<50;9j505=831b=8:50;9l6=g=831vn>;6:180>5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f63f29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg52j3:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd4=j0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e;0:J0bf=n9<;1<75`29c94?=zj:?n6=4::183!5c;3987E:>0:J0bf=n9<81<75f14194?=n9<>1<75f14794?=h:1k1<75rb27e>5<4290;w)=k3;0;`>N3991C?km4i071>5<5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th8=n4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn>?j:180>5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f67a29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg5583:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd4:80;694?:1y'7a5=:1o0D9??;I1eg>o6=;0;66g>5283>>o6==0;66a=8`83>>{e;;81<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm33194?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e;8k1<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm30`94?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj=kn6=4=:183!5c;3;>i6F;119K7ce5<jj7>52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th?n=4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn9l=:187>5<7s-9o?7<7e:J755=O;oi0e<;=:188m4342900e<;;:188k7>f2900qo:m3;290?6=8r.8h>4<2:J755=O;oi0e<;=:188m4342900e<;;:188k7>f2900qo:6c;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg2>l3:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd31l0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e<0l1<7:50;2x 6b42;2n7E:>0:J0bf=n9<81<75f14194?=n9<>1<75`29c94?=zj:<=6=4=:183!5c;3;>i6F;119K7ce5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th8:i4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn>8i:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo=80;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl<7083>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm36094?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb250>5<4290;w)=k3;0;`>N3991C?km4i071>5<5<53;294~"4l:08=6F;119K7ce5<N3991C?km4i071>5<5<54;294~"4l:08>6F;119K7ce5<5<53;294~"4l:08=6F;119K7ce5<hl;h366?6=3`;>?7>5;n0;e?6=3th9nn4?:483>5}#;m91?>5G4028L6`d3`;>>7>5;h367?6=3`;>87>5;h361?6=3f83m7>5;|`1fa<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a6gc=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1oh50;194?6|,:n86?6k;I624>N4nj1b=8<50;9j505=831d>5o50;9~f7ed29086=4?{%1g7?563A>:<6F5<7s-9o?7==;I624>N4nj1b=8<50;9j505=831b=8:50;9l6=g=831vn?mj:180>5<7s-9o?7<7d:J755=O;oi0e<;=:188m4342900c?6n:188yg4dn3:1?7>50z&0`6<50m1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=d183>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd5l80;6>4?:1y'7a5=:1n0D9??;I1eg>o6=;0;66g>5283>>i50h0;66s|7;296~X0348n?7?:2:p{t13:1>vP6;<0e2?72:2wxm7>52z\b?84ak3;>>6s|b;296~Xe349;>7?:2:pg?6=:rTh70=?e;366>{tl3:1>vPk;<0f=?72:2wxi7>52z\f?84ck3;>>6s|f;296~Xa349;47?:2:p55<72;qU==522`09504?6s|1383>7}Y9;16>l>51418yv732909wS?;;<132?72;2wx=54?:3y]5==::l;1=8=4}r3:>5<5sW;270{t9h0;6?uQ1`9>6c2=9<90q~?m:181[7e348mm7?:3:p5f<72;qU=n523129505?63=d`8216=z{8o1<7f;296~X6n279h;4>539~w25=832pR:=4=21f>4363498=7?:2:?05f<6=816?;5km0:9?5rs6494?5|V><01>?i:072?82>k3;>?6s|8g83>45|V1l01>:i:6g897d62>o01976:6g891e72>o01<>>:6g896072>o01?ln:6g897ef2>o01?m?:6g896432>o01><::6g896412>o01><8:6g8964f2>o01>o01>=6:6g897e>28?:7p}>0083>0}:99;1>464=20:>43534>>;7?:2:?06f<6=81684m51408yv77:3:1>v3>008245=:9991>5o4}r0;3?6=;r7?=<4>4g9>71`=1916?;>5919~w7?>2909wS<69:?1`2<50h1v?7n:181[4>i279hi4=8`9~w7?c2909wS<6d:?1a1<50h1v?7j:181[4>m279il4=8`9~w7?a2908wS<6f:\1=f=::h91>5o4}r0b4?6=:r79m=4=8`9>6d5=9<80q~7>52z?1e7<50h16>l=51418yv4f<3:1>vP=a59>6c7=:1k0q~k9529c8yv4f>3:1>vP=a79>6cb=:1k0q~16?==529c8yv4f03:1>vP=a99>75?=:1k0q~76b=9<;01>=>:077?82fm3;>=63=bb8211=::jn1=8=4}r0bg?6=;rT9mn5230;9507<5:8;6<;>;|q1f4<72;qU>o?4=3`2>7??3ty9n?4?:3y>6g7=99:01?l6:3:b?xu5j:0;6?u22c196=g<5;h26<;<;|q1f1<72;q6>o:529c897d128?87p}=b483>7}::k?1>5o4=3`4>4343ty9n;4?:3y>6g0=:1k01?l7:070?xu5j>0;6?u22c596=g<5;h26<;;;|q1f=<72;q6>o6529c897d>28?97p}=b`83>4?|5;hj6?77;<6ge?72;27?554>529>6a2=9<801?j::072?84b93;>>63=e38214=::lo1=8<4=3ge>436348m87?:2:?1b0<6=816>ko5140897`e28?:70=?0;366>;4880:9<523149504<5::<6<;>;<13g?72:278509>6`1=9<801?k7:072?84ci3;>>63=dc8214=::h:1=8<4=3c2>4363ty9no4?:3y>6gg=99:01?li:3:b?xu5jj0;6?u22ca96=g<5;hn6<;=;|q1fa<72;q6>oj529c897db28?87p}=bd83>7}::ko1>5o4=3`e>4343ty9o=4?:6y>6f6=:0201>=i:072?85493;>963<1e8214=:432348hh7?:4:p6f7=838p1?m?:023?84d>383m6s|2b094?4|5;i96?6n;<0`0?72;2wx>n=50;0x97e42;2j70{t:j>1<77>f348h:7?:2:p6f3=838p1?m::3:b?84d>3;>86s|2b594?4|5;i<6?77;<6a5?7292wx>n650;1x97e02>o019;<:6g897e>2;2j7p}=c`83>7}::jk1>464=5`3>4363ty9oo4?:3y>6fg=99:01?j>:3:b?xu5kj0;6?u22ba96=g<5;in6<;<;|q1ga<72;q6>nj529c897ea28?87p}=cd83>7}::jo1>5o4=3f2>4353ty9ok4?:3y>6f`=:1k01?j?:070?xu5l90;6?u22e296=g<5;n:6<;<;|q1`6<72;q6>i:529c897b028?97p}=d583>7}::m?1>5o4=3f5>4343ty9h84?:3y>6a0=:1k01?j8:070?xu5l00;6?u22ec96=g<5;no6<;=;|q1`d<72;q6>il529c897bd28?87p}=dc83>7}::mi1>5o4=3fg>4343ty9i=4?:3y>6`7=:1k01?k;:071?xu5m80;6?u22d096=g<5;o86<;<;|q1a7<72;q6>h=529c897c328?87p}=e783>7}::l=1>5o4=3gb>4353ty9i:4?:3y>6`>=:1k01?k6:070?xu5m10;6?u22d;96=g<5;oj6<;<;|q1aa<72;q6>hk529c897`628?97p}=ed83>7}::ll1>5o4=3d3>4343ty9ik4?:3y>6c6=:1k01?h>:070?xu5n:0;6?u22g696=g<5;l<6<;=;|q1b1<72;q6>k;529c897`128?87p}=f483>7}::o<1>5o4=3d4>4343ty9j44?:3y>6cg=:1k01?hk:071?xu5nh0;6?u22g`96=g<5;lh6<;<;|q1bg<72;q6>km529c897`c28?87p}=fg83>7}:;9:1>5o4=220>4353ty8<=4?:3y>757=:1k01>>=:070?xu4880;6?u231096=g<5::86<;<;|q040<72;q6?=8529c8966>28?97p}<0783>7}:;9=1>5o4=22;>4343ty8<:4?:3y>75>=:1k01>>6:070?xu48k0;6?u231a96=g<5::m6<;=;|q04f<72;q6?=j529c8966b28?87p}<0e83>7}:;9o1>5o4=22e>4343ty8==4?:3y]746<5=hi6<;>;|q05=<720q6>n=5143897d228?:70::8;365>;4<90:9<523249504<5:996<;>;<12f?4?i279ni4>509>6f`=9<80q~=>9;296~;490094l52333950552z?05d<50h16?v3<1b81777=9<>0q~=>e;296~;49l094l5230c950452z?05c<50h16??<51408yv5583:1>v3<2181<4=8`9>775=9<90q~==2;296~;4:;094l5230c950552z?066<50h16?43534>>n7?:2:?006<6=;16?8j51438961728?:7p}<2483>0}:;;>1==>4=206>7??34>>:7?:2:?01f<6=816?;h51438yv55>3:19v3<248245=:;;<1>464=575>434349>n7?:1:?02a<6=81v><8:184855?382463=c58217=::k<1=8<4=57:>435349?=7?:2:?072<6=:16>nm51418yv5503:1>v3<268245=:;;31>5o4}r11e?6==r78>l4=999>77?=9<901?l<:071?822?3;>?63<2e8217=z{:8i6=4={<11e?778278>h4=8`9~w64d2909w0==c;0;e>;4:m0:9>5rs20g>5<5s499h7<7a:?06`<6=:1v>435348ij7?:2:p766=838p1><50;0x96552;2j70=<3;367>{t;:>1<78t=205>467349887<68:?71<<6=:16?8o51438960b28?:70{t;:?1<7467349847<7a:p760=838p1>=9:3:b?85403;>>6s|32594?4|5:9<6?6n;<10750;;x965>2;3370;5j>0:9?5244a9504<5:>?6<;<;<102?72;278?<4>529>6ge=9<901?j?:071?xu4;h0;6?u232;9556<5:9h6?6n;|q07g<72;q6?>l529c8962628?87p}<3e83>7}:;:n1>5o4=261>4343ty8?h4?:3y>76c=:1k01>:=:071?xu4;o0;6?u232d96=g<5:>96<;;;|q005<72;q6?9>529c8962328??7p}<4083>7}:;=;1>5o4=260>4343ty88?4?:3y>714=:1k01>:;:071?xu4<:0;6?u235196=g<5:9h6<;=;|q001<72;q6?9:529c8965d28?87p}<4d83>2}Y;=o01>;9:072?82d:3;>=63<638211=:;<31=8=4=24`>436349<>7?:1:p71`=839p1>:i:3;;?851:3;>>63<1d8216=z{:?;6=4<{<17b?7782789:4=8`9>705=9<80q~=:1;296~;44>529~w6332909w0=:5;0;e>;4=>0:9?5rs276>5<5s49>:7<7a:?012<6=:1v>;7:181852:3;>=63<5g81539~w63f2909w0=:a;0;e>;4=l0:9?5rs27a>5<5s49>n7<7a:?01`<6=:1v>;l:181852k383m63<5d8211=z{:?o6=4={<16`?4?i2789h4>549~w63b2909w0=:e;0;e>;4=o0:9>5rs243>5o:51438913f28?:70=;4;>0:9?523319504<5;ih6<;=;|q024<72;q6?;>5112896052;2j7p}<6283>7}:;?:14h5237696=g52z?021<6=816?;l529c8yv51>3:1>v3<678191=8<4}r153?6=:r78::4=8`9>73?=9<>0q~=98;296~;4>1094l5237`950552z?02<<50h16?;o51408yv51i3:1>v3<6`81725=9<90q~=9d;296~;4>m094l5237;950452z?02`<50h16?;951408yv51n3:1>v3<6g8173g=9<90q~=81;296~;4?8094l5237:95047>52z?037<50h16?;651418yv50;3:1>v3<7281;524cg9505<5=h96<;<;|q716<72;qU88=4=570>7??3ty?984?:3y>005=99:019;j:3:b?xu3=?0;6?u244496=g<5=?n6<;=;|q712<72;q6889529c8913e28?87p};5983>7}:<<21>5o4=57`>4343ty?944?:3y>00?=:1k019;k:071?xu3=h0;6?u244c96=g<5=?o6<;<;|q71g<72;q688l529c8913b28?87p};5b83>7}:<5o4=57f>4333ty?9i4?:3y>00b=:1k019;j:076?xu3=o0;6?uQ44d8946428?:7p};8083>7}Y<1;01978:072?xu31:0;6?uQ481891?32;2j7p};9483>7}:<0>1=8?4=5;;>7>f3ty?5;4?:3y>0<0=:1k01977:071?xu31>0;6?u248596=g<5=336<;;;|q7=<<72;qU8474=5;:>7??3ty?5o4?:3y>07}:<0o1>5o4=5;e>4333ty?mi4?:3y]0db<5=h86?6n;|q7e`<72;q68lk529c891d528?97p};ag83>7}:5o4=5`1>4333ty?n=4?:3y>0g6=:1k019l<:071?xu3j80;6?u24c396=g<5=h86<;;;|q7f7<72;q68o<529c891d428?87p};b`83>7}Y3}:464=276>436349=>7?:3:?01<<6=;16?;851438961628?:7p};c083>7}:4=5a1>7>f3ty?o>4?:3y]0f5<5=n26<;>;|q7`0<72;qU8i;4=5f5>7>f3ty?h:4?:3y>0a0=9<;019jn:3:b?xu3l10;6?u24e:96=g<5=nj6<;=;|q7`<<72;q68i7529c891bf28??7p};f883>0}Y=63;978214=:<0n1=8?4}|`75f<728<14=47czJ0bf=#;m91=:64$314>6da3`2m6=44i057>5<5<5<k1<75f4g;94?=n50z&0`6<6=91C8<>4H2d`?j73n3:17pl>c`83>0<729q/?i=515a8L1773A9mo6g7e;29?l?72900e:k50;9j556=831d>4650;9~f6?4290>6=4?{%1g7?73k2B?==5G3ga8 43c291b4h4?::k:4?6=3`=n6=44i023>5<5<2290;w)=k3;37g>N3991C?km4i9g94?=n190;66g8e;29?l7783:17b<68;29?xd>=3:1?7>50z&0`6<64H2d`?l1b2900e<>?:188k7??2900qo<74;297?6=8r.8h>4>4`9K046<@:lh7d9j:188m4672900c?77:188yg24m3:197>50z&0`6<64H2d`?l>b2900e4>50;9j3`<722c:<=4?::m1==<722wi>=4?:283>5}#;m91=9o4H533?M5ak2c5;h334?6=3f8247>5;|`2bc<72:0;6=u+3e1951g<@=;;7E=ic:k4a?6=3`;;<7>5;n0:5}#;m91=9o4H533?M5ak2c5;h334?6=3f8247>5;|`151<72:0;6=u+3e1951g<@=;;7E=ic:k4a?6=3`;;<7>5;n0:5}#;m91=9o4H533?M5ak2c5;h334?6=3f8247>5;|`2=5<72:0;6=u+3e1951g<@=;;7E=ic:k4a?6=3`;;<7>5;n0:5}#;m91=9m4H533?M5ak2c3i7>5;h;3>5<o1<75f11294?=h:021<75rb0c1>5<2290;w)=k3;37g>N3991C?km4i9g94?=n190;66g8e;29?l7783:17b<68;29?xd6k;0;684?:1y'7a5=9=i0D9??;I1eg>o?m3:17d7?:188m2c=831b==>50;9l6<>=831vn<9<:180>5<7s-9o?7?;a:J755=O;oi0(<;k:19j3`<722c:<=4?::m1==<722wi?n>50;194?6|,:n86<:n;I624>N4nj1/=8j50:k4a?6=3`;;<7>5;n0:5}#;m91=9o4H533?M5ak2.:9i4?;h5f>5<5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th?:k4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn??=:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo=?:180>5<7s-9o?7<7d:J755=O;oi0e<;=:188m4342900c?6n:188yg2393:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd4l80;6?4?:1y'7a5=9o6=80;66a=8`83>>{e;m81<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm46094?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e<>91<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj==?6=4=:183!5c;3;>i6F;119K7ce5<<97>53;294~"4l:08=6F;119K7ce5<N3991C?km4i071>5<5<53;294~"4l:08=6F;119K7ce5<hl;h365?6=3f83m7>5;|`2ea<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th8o;4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8<50;9j505=831d>5o50;9~f6e>29086=4?{%1g7?563A>:<6Fmn:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo=lb;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd4km0;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl6<729q/?i=529f8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e;jl1<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm3b594?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj:i96=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb2a0>5<4290;w)=k3;0;`>N3991C?km4i071>5<5<??7>53;294~"4l:08=6F;119K7ce5<hl;h365?6=3f83m7>5;|`700<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a5fb=8381<7>t$2f0>43b3A>:<6F5o50;9~f4eb29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg7dn3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo?k0;290?6=8r.8h>4=8d9K046<@:lh7d?:2;29?l72;3:17d?:4;29?j4?i3:17pl>ag83>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd6j<0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e9k<1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj8h<6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb0`;>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj8h26=4=:183!5c;3;>i6F;119K7ce5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th:no4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8<50;9j505=831d>5o50;9~f4d729086=4?{%1g7?563A>:<6F:180>5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f4d529086=4?{%1g7?4?l2B?==5G3ga8m4352900e<;<:188k7>f2900qo?m3;290?6=8r.8h>4<2:J755=O;oi0e<;=:188m4342900e<;;:188k7>f2900qo?m4;297?6=8r.8h>4=8e9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd59?0;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=1e83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm20g94?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb33e>5<5290;w)=k3;36a>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`164<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a674=8381<7>t$2f0>43b3A>:<6F5o50;9~f74429096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg45<3:1?7>50z&0`6<50m1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=1683>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd5910;694?:1y'7a5=;;1C8<>4H2d`?l72:3:17d?:3;29?l72<3:17b<7a;29?xd5900;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=1`83>6<729q/?i=529f8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e:8h1<7:50;2x 6b42:80D9??;I1eg>o6=;0;66g>5283>>o6==0;66a=8`83>>{e:8i1<7=50;2x 6b42;2o7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb0a7>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj8i>6=4<:183!5c;383h6F;119K7ce5<hl;h365?6=3f83m7>5;|`2g2<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a5f>=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1<4H533?M5ak2c:9?4?::k216<722c:994?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a5t$2f0>43b3A>:<6F5o50;9~f4?e29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg7>k3:1?7>50z&0`6<50m1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl>9e83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm18g94?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb0;e>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th:594?:583>5}#;m91??5G4028L6`d3`;>>7>5;h367?6=3`;>87>5;n0;e?6=3th:584?:583>5}#;m91??5G4028L6`d3`;>>7>5;h367?6=3`;>87>5;n0;e?6=3th:5;4?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`2=2<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a51<7>t$2f0>7>b3A>:<6F5o50;9~f76629086=4?{%1g7?563A>:<6F7:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo4>5d9K046<@:lh7d?:1;29?j4?i3:17pl=0`83>6<729q/?i=529f8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e:9h1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj;:h6=4=:183!5c;3;>i6F;119K7ce5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th95}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`14c<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a654=83>1<7>t$2f0>64<@=;;7E=ic:k217<722c:9>4?::k211<722e94l4?::a655=83>1<7>t$2f0>64<@=;;7E=ic:k217<722c:9>4?::k211<722e94l4?::a652=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1=;50;194?6|,:n86?6k;I624>N4nj1b=8<50;9j505=831d>5o50;9~f76129086=4?{%1g7?563A>:<6F8:187>5<7s-9o?7<7e:J755=O;oi0e<;=:188m4342900e<;;:188k7>f2900qo=65;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl<9783>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm38594?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb2;;>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<53;294~"4l:094i5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`0=g<72=0;6=u+3e1977=O<8:0D>hl;h366?6=3`;>?7>5;h360?6=3f83m7>5;|`0=f<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m1=4?:3y]65=::909555rs3g94?`|V;o01?k528:894g?28?:70=l8;366>;6km0:9<521c59504<58h26<;>;<014?729279=:4>539>5f0=9<;01<7i:072?87f83;>?63=0e8214=::9o1=8=4=2;4>4363ty9j7>52z?1a?>b349;6?6n;|q47?6=irTc`8245=:;091==>4=562>43634;jn7?:3:?0g<<6=:1689:5143894eb28?:70?l4;366>;4110:9<5rs9d94?74sW2m70?la;5f?85>;3=n705:57d9>06c=?l16>=48e:?2bc<0m27:5<48e:?151<0m27:m448e:?2=5<0m279==48e:?2e7<0m27:o?48e:?236<0m278o=48e:?0g1<0m2wx584?:3y>=0<51116?=4>539~w<0=838p14;5112896b52;2j7p}>6183>7}Y9?:01<9<:023?xu6?:0;68u216196<><5:i=6<;>;<1`6?72;27:n84>509>64b=9<;0q~?84;296~X6?=1689=51418yv70i3:19vP>7`9>024=9<801>63<9c8216=z{82m6=4={_3;b>;6190:<=5rs0;3>5<5s4;2<7<68:?2gf<6=:1v<7>:187[7>927:5<4=999>5<5=9<801<7;:070?xu61;0;6?u21839556<58326?6n;|q2=6<72;q6=4=529c894?>28?87p}>9583>7}:90>1>5o4=0;4>4353ty:584?:3y>5<3=:1k01<78:070?xu61?0;6?u218496=g<58326<;;;|q2=2<72;q6=49529c894??28?97p}>9983>7}:9021>5o4=0;:>4353ty:5l4?:3y>59e83>7}:90n1>5o4=0;6>4353ty:5h4?:3y>5529c894?128?97p}>a083>7}:9h;1>5o4=0;5>4343ty:m?4?:3y>5d4=:020143534;h87?:3:?0=d<6=;1v2908wS?n9:?2e<<51116=lh51408yv7fi3:1;v3>ae8143434;2n7?:1:?2=f<6=:16>=651438976f28?87p}>ac83>7}:9hh1>5o4=0cg>4353ty:mn4?:3y>5de=:1k01b183>7}:9k:1>5o4=0`1>4343ty:n<4?:3y>5g7=:1k01b483>7}:9k?1>5o4=0``>4353ty:n;4?:3y>5g0=:1k010;6?u21c596=g<58h96<;=;|q2f=<72;q6=o6529c894d728?97p}>b883>7}:9k31>5o4=0`3>4343ty:nl4?:3y>5gg=:1k01c383>6}:9j81>464=0`4>434348:j7?:1:p5f5=838p1?6s|1b694?4|58i>6?6n;<3`{t9j<1<77>f34;h57?:4:p5f1=838p1>6s|1bc94?4|V8ij70?la;0:<>{t9jh1<7=c<58n;6?6n;|q2gf<72;q6=nm529c894b728?87p}>ce83>7}:9jn1>5o4=0ae>4343ty:oh4?:3y>5fc=:1k017??348;=7?:2:?147<6=:1v?>?:18187an3;;<63=0681529~w7652909w0;58<0:9?5rs320>5<5s48;?7<7a:?140<6=:1v?>;:181847<383m63=068211=z{;:>6=4={<031?4?i279<;4>539~w7612909w0;58>0:9?5rs32;>5<5s48;47<7a:?147<6=;1v?>6:1818471383m63=038211=z{;:j6=4={<03e?4?i279<>4>529~w76e2909w0;58:0:9?5rs32`>5<5s48;o7<7a:?146<6==1v?>k:181847l383m63=078216=z{;:n6=4={<03a?4?i279<94>539~w76a2909w0;58=0:9>5rs333>5<5s48:<7<68:?14`<6=;1v??>:18184683;;<63=1381;59=095552204950452z?151<68916>3:1>v3=178164g=9<80q~<>8;296~;591094l5220c950552z?15<<50h16>v3=1`8164e=9<90q~<>d;296~;59m094l52236950452z?15`<50h16>?:51418yv46n3:1>v3=1g81=4=8`9>64>=9<80q~<=1;296~;5:8094l5220:95027>52z?167<50h16>v3=228194=8`9>64?=9<90q~<74;291~;5m3;;<63=8581===::8:14h521`09<`=:;90:9>5rs3:6>5<4s48387??0:?2g702903w0:>1;37b>;6kh02<63<928:4>;5m33;70:7}Y:0:0199;:072?xu41:0;6;u238196<><58kh6<;>;<1`e?72927?8>4>539>5fe=9<8015o4}r1:1?6=:r78584=8`9>752z?0=2<50h16?4l51468yv5>03:1>v3<9981752z?0=g<50h16?4m51408yv5d83:1==u23b296<><5:n:6<;>;<1`f?729278o?4>539>5g0=9<;01=63=238214=:9j?1=8=4=0;0>43434;2i7?:1:?2e4<6=816>=?51418976d28?:70;41?0:9<5rs2a2>5<5s49h<7??0:?0g6<50h1v>m=:18185d:383m630z?14?778278o94=999>7a4=9<801>m7:070?85dk3;>>63436348::7?:3:?166<6=816=n;5140894?f28?:70?6c;366>;5800:9<5221c9504<5:3>6<;>;|q0g0<72;q6?n:5112896e02;2j7p}7}:;j<1>5o4=2a`>4343ty8o54?:3y>7f>=:1k01>mj:071?xu4k00;6?u23b;96=g<5:io6<;=;|q0gd<72;q6?no529c896ec28?87p}7}:;jh1>5o4=2ae>4343ty8on4?:3y>7fe=:1k01>m8:071?xu4km0;6?u23bf96=g<5:in6<;<;|q0g`<72;q6?nk529c896ea28?97p}7}:;jl1>5o4=2a4>4343ty8h=4?:3y>7a7=:1k01>j=:070?xu3:?0;6?uQ4348911428?:7p};3d83>7}Y<:o019=j:3;;?xu3<90;6?u242g9556<5=>:6?6n;|q707<72;q68>k58d9>013=:1k0q~:;3;296~;3<:094l524579504?87>52z?701<50h1689;51418yv21m3:1>vP;6d9>03`=:1k0q~:81;296~;3>o0:9<5246496=g<>7>52z?737<50h168:851408yv20;3:1>v3;7281?1=8<4}r640?6=:r7?;94=8`9>023=9<90q~:85;296~;3?<094l5246495053=7>53z\7<4=:9h>1=8?4=0;g>4363ty?o>4?:2y]0f5<5;;96<;>;<03f?7292wx8k750;7xZ1`>34;h>7??0:?737<6=:16=o651418974628?:7psm40c94?`=9h098vF3:17b9<:188m42?2900c:m50;9j7dg=831d8=o50;9j6dd=831b?<>50;9j50g=831b>o?50;9j5fg=831i;o4?:283>5}#;m91=9o4H533?M5ak2c5;h334?6=3f8247>5;|`4e?6=;3:1hl;h5f>5<5<o1<75f11294?=h:021<75rb57e>5<4290;w)=k3;37e>N3991C?km4i6g94?=n99:1<75`28:94?=zj=:j6=4<:183!5c;3;?m6F;119K7ceo1<75f11294?=h:021<75rb2594?5=83:p(>j<:06b?M2682B8jn5+14f94>o0m3:17d??0;29?j4>03:17pl;f183>1<729q/?i=515f8L1773A9mo6g8e;29?l7783:17d<67;29?j4>03:17pl84;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl85;297?6=8r.8h>4=8e9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd4j3:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd3>00;6?4?:1y'7a5=9o6=80;66a=8`83>>{e0:J0bf=n9<;1<75`29c94?=zj:21<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj=<>6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb545>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<m:7>54;294~"4l:08>6F;119K7ce5<5<;o7>55;294~"4l:08?6F;119K7ce5<5<hl;h366?6=3`;>?7>5;n0;e?6=3th88:4?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`00=<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th8844?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a71d=8391<7>t$2f0>7>c3A>:<6F:l:180>5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f62c29086=4?{%1g7?4?l2B?==5G3ga8m4352900e<;<:188k7>f2900q~=8:1818502;33709::071?xu413:1>v3<7;5f?85?2;2j7p}{t?:0;6;uQ729>3<<68916;84=8`9>033=9<8019h9:070?827k3;>>6s|7583>7}:?=094l52748216=z{>31<7:t^6a892g=99:01:7528:8916d28?87p}87;297~;0j3;;<638a;0:<>;38j0:995rs6:94?4|5>h1>464=52`>4323ty:854?:9y]51><5>h1;h527`84a>;013=n70::f;5f?827i3=n70:i0;5f?85?28?:7p}>5`83>6}Y93;>86s|1`494?5|V8k=70=;8;366>;4<00:9<5rs0c4>5<5sW;j;63<498216=z{8ij6=4={_3`e>;45rs3ca>5<5sW8jn63<468216=z{;h:6=4={_0a5>;4<>0:9?5rs233>5<5sW9:<63<478217=z{:>>6=4<{<651?72;27?j;4>539>71b=:1k0q~=;6;296~;452z?002<50h16?9m51408yv5303:1>v3<498171g=9<90q~=;a;296~;452z?00g<50h16?9m51418yv53k3:1>v3<4b81;m7>52z\74d=:<9k1>464}r63f?6=:r7?019>05e=:1k0q~:=6;290~X3:?168k>52858922=9<;01>l51438yv22n3:1>vP;5g9>00`=:020q~:94;296~;3>00:9<5247596=g=97>52z?720<50h168;951408yv21>3:1>v3;6781019>03?=:1k0q~:i0;296~X3n9168k>528:8yv2a=3:1>v3;f98214=:5o4}r6e3?6=:r7?j=4>019>0c>=:1k0qpl;1c83>40=j00h9vF=0(?=8:2`e?j70<3:17d:i0;29?j25k3:17d::f;29?j7183:17d??e;29?l2e=3:17b?75;29?l>32900e4?::k151<722c??i4?::m72d<722e?;:4?::m14?6=3f>on7>5;c625?6=93:1hl;n37b?6=3th?ho4?:483>5}#;m91=9m4H533?M5ak2c3i7>5;h;3>5<o1<75f11294?=h:021<75rb00;>5<4290;w)=k3;37e>N3991C?km4i6g94?=n99:1<75`28:94?=zj88?6=4<:183!5c;3;?m6F;119K7ceo1<75f11294?=h:021<75rb003>5<4290;w)=k3;37e>N3991C?km4i6g94?=n99:1<75`28:94?=zj8;=6=4<:183!5c;3;?m6F;119K7ceo1<75f11294?=h:021<75rb3294?5=83:p(>j<:06b?M2682B8jn5f7d83>>o6890;66a=9983>>{e9?:1<7=50;2x 6b428>j7E:>0:J0bf=n?l0;66g>0183>>i5110;66sm1e394?5=83:p(>j<:06b?M2682B8jn5f7d83>>o6890;66a=9983>>{e:;?1<7=50;2x 6b428>j7E:>0:J0bf=n?l0;66g>0183>>i5110;66sm1cf94?5=83:p(>j<:06b?M2682B8jn5f7d83>>o6890;66a=9983>>{e000;6>4?:1y'7a5=9=k0D9??;I1eg>o0m3:17d??0;29?j4>03:17pl;c283>6<729q/?i=515c8L1773A9mo6g8e;29?l7783:17b<68;29?xd3080;6>4?:1y'7a5=9=k0D9??;I1eg>o0m3:17d??0;29?j4>03:17pl;2b83>6<729q/?i=515c8L1773A9mo6g8e;29?l7783:17b<68;29?xd3>h0;6>4?:1y'7a5=9=k0D9??;I1eg>o0m3:17d??0;29?j4>03:17pl>4283>6<729q/?i=515c8L1773A9mo6g8e;29?l7783:17b<68;29?xd4no0;6>4?:1y'7a5=9=k0D9??;I1eg>o0m3:17d??0;29?j4>03:17pl>7583>1<729q/?i=515`8L1773A9mo6g60;29?l1b2900e<>?:188k7??2900qo?75;290?6=8r.8h>4>4c9K046<@:lh7d7?:188m2c=831b==>50;9l6<>=831vn<>9:180>5<7s-9o?7?;a:J755=O;oi0(<;k:19j3`<722c:<=4?::m1==<722wi=h?50;194?6|,:n86<:n;I624>N4nj1/=8j50:k4a?6=3`;;<7>5;n0:5}#;m91=9o4H533?M5ak2.:9i4?;h5f>5<5<53;294~"4l:0:8l5G4028L6`d3-;>h7>4i6g94?=n99:1<75`28:94?=zj8om6=4<:183!5c;3;?m6F;119K7ce<,8?o6=5f7d83>>o6890;66a=9983>>{e9o:1<7=50;2x 6b428>j7E:>0:J0bf=#94?:1y'7a5=9=k0D9??;I1eg>"6=m0;7d9j:188m4672900c?77:188yg7a03:1?7>50z&0`6<64H2d`?!72l3;0e:k50;9j556=831d>4650;9~f44b29086<4<{I1eg>"4l:09?45f11694?=n99?1<75`36694?=e<8<1<7=50;2x 6b42=:m7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rs027>5<5sW;;863;178216=z{8:>6=4={_331>;39?0:9?5rs257>5<5sW9<863;178153;397~N4nj1/?i=522;8m4632900e<>::188k6132900n9?9:180>5<7s-9o?7:?f:J755=O;oi0e<;=:188m4342900c?6n:188yv77<3:1>vP>059>040=9<90q~??5;296~X68<168<851408yv50<3:1>vP<759>040=:1k0qpl>2b83>6<62:qC?km4$2f0>75>3`;;87>5;h331?6=3f9<87>5;c622?6=;3:1hl;h366?6=3`;>?7>5;n0;e?6=3ty:<94?:3y]552<5=;=6<;<;|q240<72;qU==;4=535>4353ty8;94?:3y]722<5=;=6?6n;|a02>=8381<7>t$2f0>43b3A>:<6F5o50;9~f4da29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg7d83:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd6k80;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl;6e83>6<729q/?i=529f8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e<9;1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj8==6=4=:183!5c;3;>i6F;119K7ce5<2>7>52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th?h94?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m150;094?6|,:n86<;j;I624>N4nj1b=8?50;9l6=g=831vn<5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo?=b;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg75>3:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd6:>0;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl>2383>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm13194?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e9821<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj89;6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb011>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj=8n6=4=:183!5c;3;>i6F;119K7ce5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th:h94?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1<4H533?M5ak2c:9?4?::k216<722c:994?::m1N4nj1b=8<50;9j505=831d>5o50;9~f4`e29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg7ak3:1?7>50z&0`6<50m1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl>fe83>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd6nl0;6>4?:1y'7a5=:1n0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm1g194?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e9o>1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj8l>6=4=:183!5c;3;>i6F;119K7ce5<53;294~"4l:08=6F;119K7ce5<N3991C?km4i071>5<5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th:il4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a5`e=8391<7>t$2f0>7>c3A>:<6F5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f4cb29086=4?{%1g7?4?l2B?==5G3ga8m4352900e<;<:188k7>f2900qo?j4;297?6=8r.8h>4=8e9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd6m<0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e9l<1<7:50;2x 6b42:80D9??;I1eg>o6=;0;66g>5283>>o6==0;66a=8`83>>{eo6=;0;66g>5283>>o6==0;66g>5483>>i50h0;66sm4da94?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb5gg>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<nj7>53;294~"4l:08=6F;119K7ce5<hl;h366?6=3`;>?7>5;h360?6=3`;>97>5;n0;e?6=3th:<44?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`24d<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a55d=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a55b=8391<7>t$2f0>7>c3A>:<6F5<7s-9o?7<7d:J755=O;oi0e<;=:188m4342900c?6n:188yg2?13:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd30h0;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl;8c83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm49a94?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e<1n1<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm49g94?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj=2m6=4;:183!5c;383i6F;119K7ce5<5<2<7>53;294~"4l:08=6F;119K7ce5<hl;h366?6=3`;>?7>5;n0;e?6=3th?oo4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a0fb=8381<7>t$2f0>43b3A>:<6F5o50;9~f1eb29086=4?{%1g7?563A>:<6F5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~f1b729086=4?{%1g7?4?l2B?==5G3ga8m4352900e<;<:188k7>f2900qo:k1;290?6=8r.8h>4=8d9K046<@:lh7d?:2;29?l72;3:17d?:4;29?j4?i3:17pl;d383>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd3m;0;684?:1y'7a5=;:1C8<>4H2d`?l72:3:17d?:3;29?l72<3:17d?:5;29?j4?i3:17pl;e283>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm4d694?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb5g6>5<5290;w)=k3;36a>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`7a2<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th?i54?:283>5}#;m91>5j4H533?M5ak2c:9?4?::k216<722e94l4?::a0`?=83>1<7>t$2f0>64<@=;;7E=ic:k217<722c:9>4?::k211<722e94l4?::a5=1=8381<7>t$2f0>43b3A>:<6F5o50;9~f4>?29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg7?13:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd60h0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e91h1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj82h6=4=:183!5c;3;>i6F;119K7ce5<56;294~"4l:0886F;119K7ce5<5<5<54;294~"4l:094h5G4028L6`d3`;>>7>5;h367?6=3`;>87>5;n0;e?6=3th:h:4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo?kb;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl>db83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm1ef94?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb0ff>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<58;294~"4l:0896F;119K7ce5<5<5<5<54;294~"4l:094h5G4028L6`d3`;>>7>5;h367?6=3`;>87>5;n0;e?6=3th987>55;294~"4l:094k5G4028L6`d3`;>>7>5;h367?6=3`;>87>5;h361?6=3f83m7>5;|`12?6=;3:1N3991C?km4i071>5<5<i6F;119K7ce5<i6F;119K7ce5<i6F;119K7ce5<i6F;119K7ce5<0:J0bf=n9<81<75f14194?=h:1k1<75rb3a94?3=83:p(>j<:218L1773A9mo6g>5383>>o6=:0;66g>5583>>o6=<0;66a=8`83>>{e:m0;6>4?:1y'7a5=:1n0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm2483>6<729q/?i=529f8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e9?81<7=50;2x 6b42;2o7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb04a>5<5290;w)=k3;36a>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`22a<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th::h4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn<9?:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo?81;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl>7383>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm17194?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e9?>1<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm17794?0=83:p(>j<:268L1773A9mo6g>5383>>o6=:0;66g>5583>>o6=<0;66g>5783>>i50h0;66sm17494?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj8<<6=4<:183!5c;383h6F;119K7ce5<N3991C?km4i071>5<5<53;294~"4l:094i5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`22d<72=0;6=u+3e196=c<@=;;7E=ic:k217<722c:9>4?::k211<722e94l4?::a066=8391<7>t$2f0>7>c3A>:<6F5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo:<3;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl;3583>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm42794?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb515>5<5290;w)=k3;36a>N3991C?km4i072>5<hl;h366?6=3`;>?7>5;n0;e?6=3th??54?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`77<<72=0;6=u+3e1977=O<8:0D>hl;h366?6=3`;>?7>5;h360?6=3f83m7>5;|`774<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th?;o4?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`73f<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a562=8381<7>t$2f0>43b3A>:<6F5o50;9~f45029086=4?{%1g7?563A>:<6F5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo?<9;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl>3`83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm12`94?4=83:p(>j<:07f?M2682B8jn5f14394?=h:1k1<75rb01`>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj89o6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb01f>5<4290;w)=k3;0;`>N3991C?km4i071>5<5<54;294~"4l:08>6F;119K7ce5<5<53;294~"4l:094i5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`25d<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a54d=83>1<7>t$2f0>7>b3A>:<6F5o50;9~f47d29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg76l3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo?>e;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg76n3:1?7>50z&0`6<50m1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17p}=0;297~X58279<7<68:?1g?72:2wx>>4?:3y>65<68916>84=8`9~w72=838p1?:529c8973=9<80q~<9:1818412;2j70v3=7;0;e>;5j3;>?6s|2983>7}::1094l522b8216=z{;31<75<5s48j6?6n;<0`>4323ty9n7>52z?1f?4?i279h7?:2:p6f<72;q6>n4=8`9>6a<6=:1v?j50;0x97b=:1k01?;51418yv0e290509>5c5=9<901?851408940c28?870:8d;366>{t?o0;6<>t^6d8976=?l16=;>57d9>5a7=?l16>?;57d9>5gb=?l164448e:?243<0m27:i<48e:?2a7<0m27:i:48e:?2ac<0m27:j=48e:?2b4<0m27:j548e:?76`<6=81v5:50;6xZ=2<5=ni6:k4=9;9556<58;36<;>;|q;=?6=jr7357<68:?2`6<6=816=ko5141894`228?:70?jc;366>;6m<0:9<5211c9507<5=2i6<;>;<6``?72927::;4>539>066=9<90q~??6;297~;68?09555211`9504<5==h6<;=;|q242<72;q6==851128946c2;2j7p}>0983>7}:9921>5o4=02a>4343ty:<44?:3y>55?=:1k01<>l:071?xu68h0;6?u211c96=g<58:h6<;<;|q24g<72;q6==l529c8946c28?97p}>0b83>7}:99i1>5o4=02g>4343ty:86<>?;<300?72927:=l4>509~w475290jw0?>6;0:<>;6:j0:<9521229505<5=oi6<;;;<6f6?72:27?i>4>509>5=1=9<;01<=8:071?87403;>=63>1b8214=z{8;<6=46{<322?1b349mj79j;<3;1?1b34;<879j;<377?1b34;9479j;<310?1b34;9<79j;<32f;0;e>{t98k1<77>f34;:h7?:3:p54d=838p1>6s|10a94?4|58;h6?6n;<32a?72;2wx=f;366>{t98o1<77>f34;:j7?:3:p545=832p1<318217=:43634;357?:1:?27g<6=816=v3>218245=:9;91>5o4}r316?6=:r7:>?4=8`9>575=9<90q~?>4;29=~;6:=09555213f9553<58996<;=;<6ff?72=27?i?4>529>0`2=9<;01<6n:072?874i3;>=63>1c8216=z{88>6=4={<310?77827:>:4=8`9~w4412909w0?=6;0;e>;6:>0:9>5rs036>5;6;>0:9>5212;9507<58;i6<;;;|q26<<72;q6=?651128944e2;2j7p}>2`83>7}:9;k1>5o4=00a>4343ty:>k4?:2y>57b=99>01<=?:3:b?874:3;>?6s|12394?4|588n6<>;;<306?4?i2wx=>=50;6x944f28?:70?=6;365>;6:;0:9<5212496=g52z?271<50h16=>m51418yv74=3:1>v3>348156c=9<80q~?<8;296~;6;1094l5212f950452z?27<<50h16=>j51418yv74i3:1>v3>3`81563=9<>0q~?52z?27a<50h16=>k51418yv74m3:1>v3>3d81n4<759>575=9<80q~?;0;296~;6:m08;952135950452z?26`<4?=16=?l51408yv73;3:1?v3>4281===:9:i1=8<4=03g>4353ty::=4?:4y]536<58<;6?77;<6ff?72;27?i?4>559>533=9<90q~?91;296~;6>90:<=5217c96=g7>52z?227<50h16=;o51418yv71;3:1>v3>6281531=9<80q~?95;296~;6><094l52175950552z?223<50h16=;651418yv71?3:1>v3>668153?=9<90q~?99;296~;6>0094l5217c950452z?22g<50h16=;=51408yv71k3:1>v3>6b81532=9<80q~?9e;296~;6>l094l52176950552z?22c<50h16=;;51408yv7083:1>v3>7181533=955z\231=:9>>1>464=0g:>43634;n87?:2:?22g<6=81v<9::181870<3;;<63>77816=4:{_3;1>;60<0955521dc9507<58o?6<;<;<35g?7292wx=5850;0x94>228:;70?7e;0;e>{t91=1<77>f34;3h7?:2:p5=>=838p1<67:3:b?87?l3;>86s|19;94?4|58226?6n;<3;`?72=2wx=5o50;0x94>f2;2j70?7d;362>{t91h1<77>f34;3i7?:2:p5=e=838p1<6l:3:b?87?m3;>86s|19f94?4|582o6?6n;<3;a?72;2wx=l;50;0xZ4g234>2<7?:2:p5d?=838pR;30h0:9?524ba950458z?2g4<50h16=i:5143894`f28?970?i4;365>;5?3;>=63>6d8214=:<::1=8<4=55a>4353ty:nk4?:3y>5g`=:1k01:071?xu6k90;6?u21b296=g<58i:6<;<;|q2`4<72:q6=i?528:894c728?970:8b;367>{t9m81<77>f34;o;7?:1:p5a5=838p1>6s|1e694?4|58n?6?6n;<3g1?72<2wx=i850;0x94b628:;70?k8;0;e>{t9m=1<77>f34;oj7?:3:p5a?=838p1?6s|1ec94?4|58nj6?6n;<3f4?72<2wx=il50;0x94be2;2j70?j0;361>{t9mi1<77>f34;n<7?:7:p5ab=838p1:6s|1eg94?4|58nn6?6n;<3f4?7202wx=ih50;0x94ba2;2j70?k8;366>{t9l:1<77>f34;o47?:4:p5`7=83?p1:3;;?87am3;>>63>d98216=::00:9<5216095077>55z?2a4<68916=h<528:894bd28?:70<7:072?87093;>=6s|1d194?4|58o96<>?;<3f2?4?i2wx=h:50;0x94c32;2j70?j6;366>{t9l?1<77>f34;n:7?:4:p5`1=83ip1>63>ee8217=:9l<1=8=4=02;>43234>3i7?:2:?7`5<6=;16=ij51438972=9<801<9?:072?87103;>>63;378214=z{8o36=4={<3f3?77827:ih4=8`9~w4c>2909w0?j9;0;e>;6mk0:9?5rs0gb>5<5s4;nm7<7a:?2ag<6=:1veb8216=z{8oh6=4={<3fg?4?i27:ii4>529~w4cc2909w0?jd;0;e>;6ml0:9>5rs0ge>5;3kh0:9?521e`9507<5;>1=8=4=04:>43534>897?:1:p5c6=833p1098216=:<121=8=4=5ab>43434;om7?:1:?10?72=27::?4>539>065=9<;0q~?i1;29=~;6n90:<=521g396<><58:36<;=;<6;g?72:27?oh4>539>5a?=9<;01?:51468940528?870:<4;365>{t9o81<746734;m;7<7a:p5c5=838p1>6s|1g694?4|58l?6?6n;<3e2?72:2wx=k;50;0x94`22;2j70?i6;367>{t9o<1<77>f34;m;7?:3:p5c>=83np1>63>f28217=:9931=8<4=5:b>43434>ho7?:3:?2``<6=816=ih51408970=9<901?o51438940c28?970?9f;365>;3;;0:9<5rs0d:>5<5s4;m47??0:?2b`<50h1vfb8217=z{8li6=4={<3ef?4?i27:jn4>529~w4`d2909w0?ic;0;e>;6nm0:9>5rs0dg>5<5s4;mh7<7a:?2b`<6=:1v??<:181[46;27?h?4>539~w7732909wS<>4:?160<6891v?<::180845=382463>bg8214=:9931=8=4}r0;3?6=:r7?=<4>4g9>0ad=191v>hi:18085an382463;ec8217=:019>057=:1k0q~:=c;290~X3:j168?m528:8941320:01<6::828yv25l3:19v3;2b84a>;3k:0;3>h0;3;>0:9?5rs511>5<5s4>8>7<7a:?772<6=:1v9=<:181824;383m63;388217=z{=9?6=4={<600?4?i27??54>539~w1522909w0:<5;0;e>;3;10:9>5rs515>5<5s4>8:7<7a:?774<6=:1v9=8:181824?383m63;388216=z{=936=4={<60559~w15>2909w0:<9;0;e>;3;80:9?5rs51g>5<5sW>8h63>778214=z{=?m6=4={_66b>;60k0:9<5rs54b>5<5sW>=m63;6`81===z{==63;7e81529~w11d2909w0:8c;0;e>;3?m0:9>5rs5:2>5<4sW>3=63;8081===:<131=8?4}r6;3?6=:r7?5?4>509>0<6=:1k0q~:78;296~;301094l5249d9505357>52z?7<<<50h1685m51418yv2?i3:1>v3;8`810=b=9<90q~:7c;296~;30j094l5249g95053h7>52z?7v3;8d810<6=9<90q~:61;296~;3080:<=5248096=gi97>52z\7f0=:91i1=8?4}r6`7?6=;rT?o>524b196<><5=ii6<;>;|q7g<<72;q68i:5143891b52;2j7p};c`83>7}:5o4=5f2>4343ty?oo4?:3y>0fd=:1k019mj:070?xu3kj0;6?u24ba96=g<5=im6<;=;|q7ga<72;q68nj529c891ea28?87p};cd83>7}:5o4=5f3>4343ty?ok4?:3y>0f`=:1k019j>:077?xu3l90;6?u24e296=g<5=n:6<;=;|q7`4<72;q68i?529c891b528?87p};d283>7}:4=5f7>7>f3ty?ho4?:2y]0ad<5=ni6?77;<3;{t=c<5=o26?6n;|q7a7<72;q68h<529c891c?28?97p};e283>7}:5o4=5g4>4353ty?i94?:3y>0`2=:1k019k8:070?xu3m<0;6?u24d796=g<5=o26<;=;|q7a3<72;q68h8529c891c>28??7p};e683>7}:5o4=5g;>4343ty?i54?:3y>0`>=:1k019k6:070?xu3mh0;6?u24d29507<5=om6?6n;|q7ag<72;q68hl529c891ca28?97p};eb83>7}:5o4=5gf>4353ty?ii4?:3y>0`b=:1k019kj:070?xu3ml0;6?u24dg96=g<5=om6<;<;|q7b5<72;qU8k>4=522>4363twi8<650;a9`5>i3<00;66g>0d83>>o3?l0;66a>o?<3:17b=;e;29?j2e13:17b8m:188m16f2900n9?>:182>5<7s-9o?7?:0:J755=O;oi0c<:i:188ygd>290>6=4?{%1g7?73k2B?==5G3ga8m=c=831b5=4?::k4a?6=3`;;<7>5;n0:55;294~"4l:0:8n5G4028L6`d3`2n6=44i8294?=n?l0;66g>0183>>i5110;66smb283>0<729q/?i=515a8L1773A9mo6g7e;29?l?72900e:k50;9j556=831d>4650;9~fde=83?1<7>t$2f0>42d3A>:<6F5;h5f>5<5<>o0m3:17d??0;29?j4>03:17pl6e;291?6=8r.8h>4>4b9K046<@:lh7d6j:188m<6=831b;h4?::k245<722e9554?::a7=5=83?1<7>t$2f0>42d3A>:<6F5;h5f>5<5<55;294~"4l:0:8n5G4028L6`d3-;>h7>4i9g94?=n190;66g8e;29?l7783:17b<68;29?xd4m10;684?:1y'7a5=9=i0D9??;I1eg>"6=m0;7d6j:188m<6=831b;h4?::k245<722e9554?::a7`2=83?1<7>t$2f0>42d3A>:<6F5;h;3>5<o1<75f11294?=h:021<75rb2fe>5<2290;w)=k3;37g>N3991C?km4$07g>5=n0l0;66g60;29?l1b2900e<>?:188k7??2900qo=88;291?6=8r.8h>4>4b9K046<@:lh7d6j:188m<6=831b;h4?::k245<722e9554?::a7g1=83?1<7>t$2f0>42d3A>:<6F5;h5f>5<5<>o0m3:17d??0;29?j4>03:17pl>4683>6<729q/?i=515c8L1773A9mo6g8e;29?l7783:17b<68;29?xd64?:1y'7a5=9=k0D9??;I1eg>o0m3:17d??0;29?j4>03:17pl7d;297?6=8r.8h>4>4`9K046<@:lh7d9j:188m4672900c?77:188yg>d29086=4?{%1g7?73i2B?==5G3ga8m2c=831b==>50;9l6<>=831vn9:6:180>5<7s-9o?7?;a:J755=O;oi0e:k50;9j556=831d>4650;9~f1d>29086=4?{%1g7?73i2B?==5G3ga8m2c=831b==>50;9l6<>=831vn;l50;194?6|,:n86<:n;I624>N4nj1b;h4?::k245<722e9554?::a0=6=8391<7>t$2f0>42f3A>:<6F9k50;794?6|,:n86<:l;I624>N4nj1b4h4?::k:4?6=3`=n6=44i023>5<hl;h:f>5<>o6890;66a=9983>>{e:<81<7;50;2x 6b428>h7E:>0:J0bf=n0l0;66g60;29?l1b2900e<>?:188k7??2900qo<:3;291?6=8r.8h>4>4b9K046<@:lh7d6j:188m<6=831b;h4?::k245<722e9554?::a602=83?1<7>t$2f0>42d3A>:<6F5;h5f>5<5<97>55;294~"4l:0:8n5G4028L6`d3`2n6=44i8294?=n?l0;66g>0183>>i5110;66sm24494?3=83:p(>j<:06`?M2682B8jn5f8d83>>o>83:17d9j:188m4672900c?77:188yg42?3:197>50z&0`6<64H2d`?l>b2900e4>50;9j3`<722c:<=4?::m1==<722wi>8650;794?6|,:n86<:l;I624>N4nj1b4h4?::k:4?6=3`=n6=44i023>5<hl;h:f>5<>o6890;66a=9983>>{e:<:1<7;50;2x 6b428>h7E:>0:J0bf=n0l0;66g60;29?l1b2900e<>?:188k7??2900qo<:1;291?6=8r.8h>4>4b9K046<@:lh7d6j:188m<6=831b;h4?::k245<722e9554?::a610=83?1<7>t$2f0>42d3A>:<6F5;h5f>5<5<55;294~"4l:0:8n5G4028L6`d3`2n6=44i8294?=n?l0;66g>0183>>i5110;66sm3`c94?3=83:p(>j<:06`?M2682B8jn5f8d83>>o>83:17d9j:188m4672900c?77:188yg4503:1?7>50z&0`6<64H2d`?!72l3:0e:k50;9j556=831d>4650;9~f74d29086=4?{%1g7?73i2B?==5G3ga8 43c291b;h4?::k245<722e9554?::afa<72:0:6>uG3ga8 6b42;927d??4;29?l77=3:17b=84;29?g26>3:1?7>50z&0`6<38o1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17p}>0583>7}Y99>019?9:070?xu68<0;6?uQ1178917128?97p}<7583>7}Y;>>019?9:3:b?x{ejj0;6>4>:2yK7ce<,:n86?=6;h330?6=3`;;97>5;n140?6=3k>::7>53;294~"4l:0?>7>5;h367?6=3f83m7>5;|q241<72;qU==:4=535>4343ty:<84?:3y]553<5=;=6<;=;|q031<72;qU?::4=535>7>f3twio=4?:282>6}O;oi0(>j<:31:?l77<3:17d??5;29?j50<3:17o:>6;297?6=8r.8h>4;0g9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xu68=0;6?uQ1168917128?87p}>0483>7}Y99?019?9:071?xu4?=0;6?uQ366891712;2j7psmbg83>6<62:qC?km4$2f0>75>3`;;87>5;h331?6=3f9<87>5;c622?6=;3:1hl;h366?6=3`;>?7>5;n0;e?6=3ty:<94?:3y]552<5=;=6<;<;|q240<72;qU==;4=535>4353ty8;94?:3y]722<5=;=6?6n;|ag6<72:0:6>uG3ga8 6b42;927d??4;29?l77=3:17b=84;29?g26>3:1?7>50z&0`6<38o1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17p}>0583>7}Y99>019?9:070?xu68<0;6?uQ1178917128?97p}<7583>7}Y;>>019?9:3:b?x{ek;0;6>4>:2yK7ce<,:n86?=6;h330?6=3`;;97>5;n140?6=3k>::7>53;294~"4l:0?>7>5;h367?6=3f83m7>5;|q241<72;qU==:4=535>4343ty:<84?:3y]553<5=;=6<;=;|q031<72;qU?::4=535>7>f3twio;4?:282>6}O;oi0(>j<:31:?l77<3:17d??5;29?j50<3:17o:>6;297?6=8r.8h>4;0g9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xu68=0;6?uQ1168917128?87p}>0483>7}Y99?019?9:071?xu4?=0;6?uQ366891712;2j7psmc483>6<62:qC?km4$2f0>75>3`;;87>5;h331?6=3f9<87>5;c622?6=;3:1hl;h366?6=3`;>?7>5;n0;e?6=3ty:<94?:3y]552<5=;=6<;<;|q240<72;qU==;4=535>4353ty8;94?:3y]722<5=;=6?6n;|ag<<72:0:6>uG3ga8 6b42;927d??4;29?l77=3:17b=84;29?g26>3:1?7>50z&0`6<38o1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17p}>0583>7}Y99>019?9:070?xu68<0;6?uQ1178917128?97p}<7583>7}Y;>>019?9:3:b?x{ek10;6>4>:2yK7ce<,:n86?=6;h330?6=3`;;97>5;n140?6=3k>::7>53;294~"4l:0?>7>5;h367?6=3f83m7>5;|q241<72;qU==:4=535>4343ty:<84?:3y]553<5=;=6<;=;|q031<72;qU?::4=535>7>f3twion4?:282>6}O;oi0(>j<:31:?l77<3:17d??5;29?j50<3:17o:>6;297?6=8r.8h>4;0g9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xu68=0;6?uQ1168917128?87p}>0483>7}Y99?019?9:071?xu4?=0;6?uQ366891712;2j7psmcc83>6<62:qC?km4$2f0>75>3`;;87>5;h331?6=3f9<87>5;c622?6=;3:1hl;h366?6=3`;>?7>5;n0;e?6=3ty:<94?:3y]552<5=;=6<;<;|q240<72;qU==;4=535>4353ty8;94?:3y]722<5=;=6?6n;|a7c4=8391=7=tH2d`?!5c;38856g>0583>>o68<0;66a<7583>>d39?0;6>4?:1y'7a5=<9l0D9??;I1eg>o6=;0;66g>5283>>i50h0;66s|11694?4|V8:?70:>6;367>{t99?1<73;>>6s|36694?4|V:=?70:>6;0;e>{zj:l:6=4<:080M5ak2.8h>4=389j552=831b==;50;9l722=831i8<850;194?6|,:n869>i;I624>N4nj1b=8<50;9j505=831d>5o50;9~w4632909wS??4:?753<6=:1v<>::181[77=27?=;4>539~w6132909wS=84:?753<50h1vqo=i0;297?7=;rB8jn5+3e1966?5<5<4290;w)=k3;63b>N3991C?km4i071>5<5<52z\241=:<8<1=8=4}r331?6=:rT:<852404950452z\031=:<8<1>5o4}|`11g<72:0:6>uG3ga8 6b42;927d??4;29?l77=3:17b=84;29?g26>3:1?7>50z&0`6<38o1C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17p}>0583>7}Y99>019?9:070?xu68<0;6?uQ1178917128?97p}<7583>7}Y;>>019?9:3:b?x{e:5}#;m918=h4H533?M5ak2c:9?4?::k216<722e94l4?::p552=838pR<>;;<622?72;2wx==;50;0xZ46234>::7?:2:p722=838pR>9;;<622?4?i2wvn?8<:180>4<4sA9mo6*1<75f11794?=h;>>1<75m40494?5=83:p(>j<:52e?M2682B8jn5f14094?=n9<91<75`29c94?=z{8:?6=4={_330>;39?0:9>5rs026>5<5sW;;963;178217=z{:=?6=4={_140>;39?094l5r}c056?6=;3;1?vF;:188m4622900c>9;:188f17129086=4?{%1g7?27n2B?==5G3ga8m4352900e<;<:188k7>f2900q~??4;296~X68=168<851418yv77=3:1>vP>049>040=9<80q~=84;296~X4?=168<8529c8yxd5>80;6>4>:2yK7ce<,:n86?=6;h330?6=3`;;97>5;n140?6=3k>::7>53;294~"4l:0?>7>5;h367?6=3f83m7>5;|q241<72;qU==:4=535>4343ty:<84?:3y]553<5=;=6<;=;|q031<72;qU?::4=535>7>f3twi>;>50;195?5|@:lh7)=k3;00=>o68=0;66g>0483>>i4?=0;66l;1783>6<729q/?i=541d8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{t99>1<73;>?6s|11794?4|V8:>70:>6;366>{t;>>1<7383m6srb37e>5<42808wE=ic:&0`6<5;01b==:50;9j553=831d?::50;9a040=8391<7>t$2f0>16a3A>:<6F;:181[77<27?=;4>529~w4622909wS??5:?753<6=;1v>9;:181[50<27?=;4=8`9~yg42m3:1?7?53zJ0bf=#;m91>>74i027>5<6=44o257>5<;j6F;119K7ce5<52z\240=:<8<1=8<4}r140?6=:rT8;95240496=g6}O;oi0(>j<:31:?l77<3:17d??5;29?j50<3:17o:>6;297?6=8r.8h>4;0g9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xu68=0;6?uQ1168917128?87p}>0483>7}Y99?019?9:071?xu4?=0;6?uQ366891712;2j7psm24a94?5=939pD>hl;%1g7?4412c:<94?::k240<722e8;94?::`753<72:0;6=u+3e1905`<@=;;7E=ic:k217<722c:9>4?::m1::7?:3:p553=838pR<>:;<622?72:2wx?::50;0xZ61334>::7<7a:~f7>129086<4<{I1eg>"4l:09?45f11694?=n99?1<75`36694?=e<8<1<7=50;2x 6b42=:m7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rs027>5<5sW;;863;178216=z{8:>6=4={_331>;39?0:9?5rs257>5<5sW9<863;1781i6F;119K7ce5<0:J0bf=n9<81<75f14194?=h:1k1<75rb033>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<53;294~"4l:08=6F;119K7ce5<hl;h365?6=3f83m7>5;|`0t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m15}#;m91=8k4H533?M5ak2c:9<4?::m15}#;m91=8k4H533?M5ak2c:9<4?::m15}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`0f1<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a7g3=8381<7>t$2f0>43b3A>:<6F5o50;9~f6d129086=4?{%1g7?4?l2B?==5G3ga8m4352900e<;<:188k7>f2900qohi:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo<96;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg4103:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo<9a;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg41k3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo<9e;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg4083:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo<82;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg40<3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo<86;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg4003:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo<94;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm3dd94?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e;lk1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj:oi6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb2g5>5<5290;w)=k3;36a>N3991C?km4i072>5<N3991C?km4i071>5<5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th8i?4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a7c2=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::aaa<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::aa`<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3thm<7>53;294~"4l:08=6F;119K7ce5<5<5290;w)=k3;36a>N3991C?km4i072>5<5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zjkh1<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66smb983>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xde=3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qooj:180>5<7s-9o?7=>;I624>N4nj1b=8<50;9j505=831d>5o50;9~fdd=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m15}#;m91=8k4H533?M5ak2c:9<4?::m15}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`a5?6=:3:1hl;h365?6=3f83m7>5;|`a6?6=;3:1hl;h366?6=3`;>?7>5;n0;e?6=3th9?94?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1>;50;194?6|,:n86>?4H533?M5ak2c:9?4?::k216<722e94l4?::a671=83>1<7>t$2f0>64<@=;;7E=ic:k217<722c:9>4?::k211<722e94l4?::a7ag=8391<7>t$2f0>67<@=;;7E=ic:k217<722c:9>4?::m1N4nj1b=8?50;9l6=g=831vn>jl:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo=kd;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188yg5cm3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo<;8;29=?6=8r.8h>4<6:J755=O;oi0e<;=:188m4342900e<;;:188m4322900e<;9:188m4302900e<;7:188m43>2900c?6n:188yg4313:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo<;a;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl=4c83>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd54?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17pl=4e83>6<729q/?i=5309K046<@:lh7d?:2;29?l72;3:17b<7a;29?xdbj3:187>50z&0`6<50l1C8<>4H2d`?l72:3:17d?:3;29?l72<3:17b<7a;29?xd5:l0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e:;l1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj;9;6=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb312>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj;996=4<:183!5c;383h6F;119K7ce5<N3991C?km4i071>5<5<hl;h366?6=3`;>?7>5;n0;e?6=3th8mn4?:383>5}#;m91=8k4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn>oj:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qo=nf;296?6=8r.8h>4>5d9K046<@:lh7d?:1;29?j4?i3:17pl7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66sm3c394?3=83:p(>j<:218L1773A9mo6g>5383>>o6=:0;66g>5583>>o6=<0;66a=8`83>>{e;k81<7=50;2x 6b42;2o7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb2`:>5<4290;w)=k3;12?M2682B8jn5f14094?=n9<91<75`29c94?=zj:hj6=4=:183!5c;3;>i6F;119K7ce5<52;294~"4l:0:9h5G4028L6`d3`;>=7>5;n0;e?6=3th8nn4?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`0fa<72:0;6=u+3e1974=O<8:0D>hl;h366?6=3`;>?7>5;n0;e?6=3th8nh4?:583>5}#;m91>5k4H533?M5ak2c:9?4?::k216<722c:994?::m1?4H533?M5ak2c:9?4?::k216<722e94l4?::a72d=8381<7>t$2f0>43b3A>:<6F5o50;9~f61d29096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg50l3:1?7>50z&0`6<492B?==5G3ga8m4352900e<;<:188k7>f2900qo=8e;297?6=8r.8h>4=8e9K046<@:lh7d?:2;29?l72;3:17b<7a;29?xd40<0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e;1<1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj:2<6=4=:183!5c;3;>i6F;119K7ce5<53;294~"4l:094i5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`0<<<72=0;6=u+3e1977=O<8:0D>hl;h366?6=3`;>?7>5;h360?6=3f83m7>5;|`5`?6=:3:1hl;h365?6=3f83m7>5;|`5a?6=:3:1hl;h365?6=3f83m7>5;|`5b?6=;3:1N3991C?km4i071>5<5<0:J0bf=n9<81<75f14194?=h:1k1<75rb6394?5=83:p(>j<:3:g?M2682B8jn5f14094?=n9<91<75`29c94?=zj>81<7=50;2x 6b42:;0D9??;I1eg>o6=;0;66g>5283>>i50h0;66sm45a94?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{e<=n1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj=>n6=4=:183!5c;3;>i6F;119K7ce5<?j7>53;294~"4l:08=6F;119K7ce5<hl;h366?6=3`;>?7>5;n0;e?6=3th?9<4?:283>5}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`717<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m150;194?6|,:n86?6k;I624>N4nj1b=8<50;9j505=831d>5o50;9~f6>629086=4?{%1g7?563A>:<6F6=:180>5<7s-9o?7<7d:J755=O;oi0e<;=:188m4342900c?6n:188ygg429086=4?{%1g7?563A>:<6FN4nj1b=8?50;9l6=g=831vnl;50;094?6|,:n86<;j;I624>N4nj1b=8?50;9l6=g=831vnl850;094?6|,:n86<;j;I624>N4nj1b=8?50;9l6=g=831vnl950;194?6|,:n86>?4H533?M5ak2c:9?4?::k216<722e94l4?::ae=<72=0;6=u+3e196=c<@=;;7E=ic:k217<722c:9>4?::k211<722e94l4?::agc<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a`5<72;0;6=u+3e1950c<@=;;7E=ic:k214<722e94l4?::a`4<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m15}#;m91?<5G4028L6`d3`;>>7>5;h367?6=3f83m7>5;|`g7?6=;3:1N3991C?km4i071>5<5<5<5<5290;w)=k3;36a>N3991C?km4i072>5<5<5290;w)=k3;36a>N3991C?km4i072>5<5<4290;w)=k3;0;`>N3991C?km4i071>5<5<0:J0bf=n9<81<75f14194?=h:1k1<75rbec94?5=83:p(>j<:238L1773A9mo6g>5383>>o6=:0;66a=8`83>>{elk0;6>4?:1y'7a5=:1n0D9??;I1eg>o6=;0;66g>5283>>i50h0;66smde83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66smdd83>7<729q/?i=514g8L1773A9mo6g>5083>>i50h0;66smdg83>6<729q/?i=529f8L1773A9mo6g>5383>>o6=:0;66a=8`83>>{em90;6>4?:1y'7a5=;81C8<>4H2d`?l72:3:17d?:3;29?j4?i3:17plj1;297?6=8r.8h>4<1:J755=O;oi0e<;=:188m4342900c?6n:188ygc529086=4?{%1g7?4?l2B?==5G3ga8m4352900e<;<:188k7>f2900qok;:181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qok::181>5<7s-9o?7?:e:J755=O;oi0e<;>:188k7>f2900qok9:180>5<7s-9o?7<7d:J755=O;oi0e<;=:188m4342900c?6n:188ygc029086=4?{%1g7?563A>:<6F?4H533?M5ak2c:9?4?::k216<722e94l4?::aa<<72:0;6=u+3e196=b<@=;;7E=ic:k217<722c:9>4?::m1k0955526g8217=z{?i1<781>5o4}r4g>5<5s44343ty=i7>52z?5a?4?i27<<7?:3:p2c<72;q6:k4=8`9>34<6=;1v:>50;0x926=:1k01:?51418yv162909w09>:3:b?81528?87p}83;292~X0;278n84>509>7g?=9<801>9n:071?85?=3;>=63<808217=z{1>1<702;2j70hm:072?8g428?970o;:072?xu?>3:1>v376;0;e>;??3;>?6s|8`83>6}:0m0:<=528b81===:0?0:9<5rs9`94?4|51n1>464=959504;e13=n70l9:6g89g5=?l16mn48e:?b=?1b343n6:k4=2:0>2c<5:oh6:k4=2g;>2c<5:o?6:k4=2fe>2c<5:=36:k4=2`4>2c<5hl1;h5215593`=:9=<1;h528e84a>;?k3=n70:;9;5f?82e13=n708m:6g891>72>o01?:j:6g8972a2>o01?;=:6g897342>o01?;;:6g897322>o01?;9:6g897302>o01?;7:6g8973>2>o01?;?:6g897362>o01?:9:6g896b?2>o01>on:6g8974?2>o01?70o?:072?8b428?97p}6f;296~;>m3;;<63n1;0;e>{ti90;6?u2a1815rs`094?>|50o14h52b88;a>;e>32n70l<:9g89d`=0l16mn47e:?b=?>b34k36?6n;|qb7?6=:r7j?7<7a:?be1<50h16m:4>539~wd3=838p1l;529c89d1=9<90q~o9:1818g12;2j70o7:077?xuf?3:1>v3n7;0;e>;f03;>>6s|9983>1}:i0095552be8241=:l80:9?52d38217=z{hk1<75o4}r;:>5<4s4kh6?77;46334nj6<;=;|qb`?6=:r7jo7??0:?ba?4?i2wx5l4?:5y>ec<51116o>4>059>7de=9<;01h?51408yvd72909w0oi:023?8d52;2j7p}m1;296~;e9383m63m2;367>{t1k0;69u2b281===:k?0:<9523`f9507<5l21=8<4}r`7>5<5s4h86<>?;<`6>7>f3ty2o7>55z?a2?4>027h57??4:?fa?72:27m<7?:2:?0e`<6=81vo950;0x9g0=99:01o6529c8yv?c2908w0l6:3;;?8ed28:?70=nf;365>{tjh0;6?u2b88245=:jk094l5rscg94?4|5kn1?::4=ca955261334hm6<>;;|q`0?6=:r7h?7=84:?`6?77<2wxo:4?:3y>g3<4?=16o84>059~wfg=838p1n7536689f>=99>0q~mk:1818ed2:=?70mm:027?xudm3:18v3mf;331>;c<383m63k8;366>;c13;>>6s|cg83>7}:ko094l52d08216=z{m:1<75<5s4n:6?6n;4343tyo>7>52z?g6?4?i27o87?:3:p`6<72;q6h>4=8`9>`1<6=;1vi;50;6x9f4=99?01il529c89a`=9<801h>51408yvb12909w0j9:3:b?8b?28?87p}k7;296~;c?383m63k9;367>{tl10;6?u2d9815rse;94?4|5m31>5o4=e`95057>f34ni6<;=;|qgg?6=`a<50h16hk4>529~wac=838p1ik529c89`6=9<90q~ji:1818ba2;2j70k>:070?xub83:1>v3j0;0;e>;b:3;>?6s|e083>7}:m8094l52e38217=z{l91<7:t=b:9553<5o:1=8=4=g19504<5l31>5o4}rg7>5<5s4o?6?6n;4343tyn97>52z?f1?4?i27n;7?:3:pa3<72;q6i;4=8`9>a=<6=:1vh950;0x9`1=:1k01h751418yvc?2909w0k7:3:b?8c>28?97p}ja;296~;dj3;;963jb;0;e>{tmj0;6?u2ed815o4=dg95057>f34oi6<;=;|qe5?6=:r7m?7<7a:?ff?72;2wxj?4?:3y>b7<50h16j>4>529~wc2=838p1om536689dd=9<90q~h::1818da2:=?70oj:070?xua>3:1>v3l2;140>;e:3;>>6s|f683>7}:k<08;952b48216=z{o21<75<5s4ii6>9;;<`a>4343tymm7>5cz?e`?4?i27mj7?:1:?f`?72927m>7?:1:?`b?72927o<7?:1:?g2?72927o;7?:1:?g`?72927oi7?:1:?f0?72927n97?:1:pbg<72;q6jo4=8`9>ba<6=;1vkm50;0x9ce=:1k01kj51418yv`b29070m<:026?8e128:>70m6:026?8ed28:>70hi:3:b?xu68l0;6?uQ11g8942128:;7p}>0g83>43|5;>n65k4=36e>=c<5;?965k4=370>=c<5;??65k4=376>=c<5;?=65k4=374>=c<5;?365k4=37:>=c<5;?;65k4=372>=c<5;>=65k4=2f;>=c<5:nm65k4=2g`>=c<5:o365k4=2g7>=c<58;:6?6n;<1ef?72;279>:4>539~w4772909w0?>0;0;e>;6980:9>5rs067>5<4s4;?;7??0:?203<51116=<>51438yv73=3:1>v3>4681===:98;1=8<4}r012?6=:4=8`9>67`=9<;01?=?:071?845i3;>>6s|23:94?1|5;836?77;<001?72:279>h4>509>67d=9<801:<51408912d28?970:;d;365>{t:;31<74673489n7<7a:p67g=838p1??6s|23a94?1|5;8h6?77;<000?729279?<4>539>67g=9<>01;j51438926=9<8019;>:071?xu5:m0;6?u223a9556<5;996?6n;|q16`<72;q6>?k529c8975728?87p}=2g83>7}::;l1>5o4=312>4343ty9?=4?:3y>666=:1k01?==:071?xu5;80;6?u222396=g<5;996<;<;|q176<72;q6>>:529c8975228?87p}=3783>7}:4=316>7>f3ty98;4?:3y>610=:0201?<8:077?xu5<>0;6?u22549556<5;>o6?6n;|q10=<72;q6>96529c8972c28?97p}=4883>7}::=31>5o4=36`>4353ty98l4?:3y>61g=:1k01?:m:070?xu5h6<;<;|q10f<72;q6>9m529c8972c28?87p}=3`83>3}::=o1>464=3:5>463348=:7?:3:?121<6=816>9o51438961d28?:7p}=3e83>1}::=l1>464=3:5>462348=:7?:2:?10=<6=;1v?=m:1878428382463=5`8240=::>21=8<4=36:>4353ty9?n4?:2y>607=:0201?;m:026?843j3;>>6s|22g94?2|5;?96?77;<06g?77=279:54>539>61>=9<90q~<9>50;6x97332;3370<:e;331>;5>j0:9?5225:950254z?110<51116>8h51178970b28?970<;8;362>{t:=81<7:t=375>7??348=<7??5:?135<6=;16>96514:8yv43;3:18v3=5681===::?;1==;4=351>435348?47?:7:p612=83>p1?;7:3;;?841:3;;963=758217=::=21=874}r071?6=635=99?01?99:071?84313;>?6s|27794?5|5;?h6<>;;<052?4?i279:54>529~w7002908w0<:d;330>;5>1094l5227c950553z?11`<68=16>;o529c8970d28?87p}=6c83>6}::7>f348=i7?:3:p63b=839p1?8?:027?841m383m63=718216=z{;624=9<90q~<81;297~;5>;0:<95226096=g<5;=?6<;<;|q136<72:q6>;=5116897132;2j70<86;367>{t:>?1<7=t=37b>463348<:7<7a:?13=<6=:1v?98:181842j3;;863=7981;5=h08;95rs35a>5<5s48>=7??0:?11g<4?=1v?9l:181842:3;;<63=5b8031=z{;=o6=4={<067?7782799i4<759~w71b2909w0<:4;334>;5=l08;95rs35e>5<5s48>97??0:?11c<4?=1v?6?:181842>3;;<63=618031=z{;2:6=4={<063?778279:<4<759~w7>52909w0<:8;334>;5>;08;95rs3:0>5<5s48>57??0:?126<4?=1v?68:182a~;3980:8k52b88:4>;e>33;70l<:8289de=1916m4460:?:a??73493?77?;<1fg??7349n477?;<1f0??7349oj77?;<14<6<5;>n64>4=36e><6<5;?964>4=370><6<5;??64>4=376><6<5;?=64>4=374><6<5;?364>4=37:><6<5;?;64>4=372><6<5;>=64>4=2f;><6<5:kj64>4}r142?6=7=e=9<;01>lm:072?85ek3;>>6s|36;94?4|5:=36<>?;<14a?4?i2wx?:o50;0x961f2;2j70=8e;366>{t;>h1<77>f3499l:3:b?850l3;>?6s|36f94?4|5:=o6?6n;<14a?72;2wx?:h50;6x961?21o01>l8:9g896>421o01>6=:3:b?xu4090;6?u239296=g<5:296<;=;|q0<4<72;q6?5?529c896>528?87p}<7683>1}:;191>464=2:g>436349im7?:1:?0fa<6=;1v>6;:18185?;3;;<63<88816=4={<1;1?4?i278454>539~w6>12909w0=76;0;e>;4010:9>5rs2:4>5<5s493;7<7a:?0<<<6==1v>67:18185?0383m63<888217=z{:2j6=4<{<07b?7782794;4<759>7=?=9<90q~=7b;290~;40l094l5236`9507<5:2<6<;>;<1;4?72:2wx?5m50;0x96>d2;2j70=7e;366>{t;1n1<77>f3493i7?:3:p77k;<1a=?72;278;l4>529>7=0=9<;01>6>:070?xu4ih0;6>uQ3`c896gf2;3370=m4;365>{t;hh1<7467349i>7<7a:p7de=838p1>ol:3:b?85e93;>>6s|3`f94?4|5:ko6?6n;<1a5?72;2wx?lk50;0x96gb2;2j70=m1;360>{t;hl1<77>f349i=7?:5:p7g6=838p1>l?:3:b?85e:3;>?6s|3c394?4|5:h:6?6n;<1a6?72:2wx?o=50;0x96gf21o01>l9:3:b?xu4j=0;6?u23c696=g<5:h=6<;=;|q0f0<72;q6?o;529c896d128?87p}d}:;k=1>464=c`9504<5k21=8<4=c79504<5ho1=8<4=``9504<5h;1=8<4=c39507<5:h;6<;>;4363ty8n54?:3y>7g1=99:01>lj:3:b?xu4j00;6?u23c;96=g<5:hn6<;<;|q0fd<72;q6?oo529c896dd28?87p}7}:;kh1>5o4=2`g>4343ty8nn4?:3y>7ge=:1k01>lj:071?xu4jm0;6?u23cf96=g<5:hn6<;;;|q0`=<72:q6?i6528:896`e28?970<=7;367>{t;m31<7467349oi7<7a:p7ag=838p1>jn:3:b?85cm3;>>6s|3e`94?4|5:ni6?6n;<1g`?72:2wx?im50;0x96bd2;2j70=kd;367>{t;mn1<77>f349oi7?:3:p7a2=83?p1>ji:3;;?85a83;;8631=8=4=2fb>4353ty8i=4?:3y>7a`=99:01>k<:3:b?xu4m80;6?u23d396=g<5:o86<;=;|q0a7<72;q6?h<529c896c428?87p}1}:;l>1>464=2d3>462349m87?:2:?0`g<6=81v>k::18185b<3;;<63529~w6b1290?w0=j8;0:<>;4n80:<8523g49504<5:nh6<;>;|q0a<<72;q6?h65112896ce2;2j7p}7}:;lk1>5o4=2ga>4343ty8h:4?:5y]71c<5:oh6?77;<1e6?77=278hl4>529~w6cc2909w0=jc;334>;4mo094l5rs2gf>5<5s49ni7<7a:?0ac<6=:1v>h<:18085a93;;863059>7c0=:1k0q~=i7;296~;4n908;9523d5950452z?0b4<4?=16?hl51408yv5a13:1>v3r78jo4=8`9>7`c=9<;01>kn:072?85b>3;>=6352a48214=z{=>26=4:{_67=>;3<00955526d8214=:<=i1=8=4=573>4353ty?8o4?:3y>01?=99:019;=:3:b?xu37}:<=o1>5o4=56e>4343ty?8k4?:3y>01`=:1k019;?:070?xu3=90;6?u244296=g<5=?:6<;<;|q714<72;q688?529c8913528?87p};7d83>7}Y<>o0196?:023?xu3090;6>u249296<><5;8j6<;<;<67a?7292wx8o750;0xZ1d>34>i57<68:~f14329096=4?{%1g7?72m2B?==5G3ga8m4362900c?6n:188yg24j3:1>7>50z&0`6<6=l1C8<>4H2d`?l7293:17b<7a;29?xd3:90;6?4?:1y'7a5=9o6=80;66a=8`83>>{e<;;1<7<50;2x 6b428?n7E:>0:J0bf=n9<;1<75`29c94?=zj=896=4<:183!5c;39:7E:>0:J0bf=n9<81<75f14194?=h:1k1<75rb5`;>5<5290;w)=k3;36a>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`7g0<72;0;6=u+3e1950e<@=;;7E=ic:k214<722e94l4?::a011=8381<7>t$2f0>43d3A>:<6F5o50;9~f42529096=4?{%1g7?72k2B?==5G3ga8m4362900c?6n:188yg7313:1>7>50z&0`6<6=j1C8<>4H2d`?l7293:17b<7a;29?xd3>:0;6?4?:1y'7a5=9o6=80;66a=8`83>>{e<>l1<7<50;2x 6b428?h7E:>0:J0bf=n9<;1<75`29c94?=zj=l?6=4=:183!5c;3;>o6F;119K7ce5<o6F;119K7ce5<o6F;119K7ce5<3?7>52;294~"4l:0:9n5G4028L6`d3`;>=7>5;n0;e?6=3th?jo4?:383>5}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m14?:383>5}#;m91=8m4H533?M5ak2c:9<4?::m15}#;m91=8m4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9j55c=831d>5o50;9l50`=831vn98>:181>5<7s-9o?7<7b:J755=O;oi0e<;>:188k7>f2900qo:i2;296?6=8r.8h>4=8c9K046<@:lh7d?:1;29?j4?i3:17pl;bg83>7<729q/?i=529`8L1773A9mo6g>5083>>i50h0;66sm8283>7<729q/?i=529`8L1773A9mo6g>5083>>i50h0;66sm4c694?4=83:p(>j<:3:a?M2682B8jn5f14394?=h:1k1<75rb5cb>5<5290;w)=k3;0;f>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`70d<72;0;6=u+3e196=d<@=;;7E=ic:k214<722e94l4?::a07g=8391<7>t$2f0>7>d3A>:<6F5<7s-9o?7<7b:J755=O;oi0e<;>:188k7>f2900qo:nc;296?6=8r.8h>4=8c9K046<@:lh7d?:1;29?j4?i3:17pl;c683>6<729q/?i=529a8L1773A9mo6g>5083>>o68l0;66a=8`83>>{e<0k1<7<50;2x 6b42;2i7E:>0:J0bf=n9<;1<75`29c94?=zj=;n6=4=:183!5c;383n6F;119K7ce5<997>52;294~"4l:094o5G4028L6`d3`;>=7>5;n0;e?6=3th?nn4?:383>5}#;m91>5l4H533?M5ak2c:9<4?::m1N4nj1b=8?50;9l6=g=831vn96::180>5<7s-9o?7<7c:J755=O;oi0e<;>:188m46b2900c?6n:188yg2cl3:1?7>50z&0`6<50j1C8<>4H2d`?l7293:17d??e;29?j4?i3:17pl;7183>7<729q/?i=529`8L1773A9mo6g>5083>>i50h0;66sm46;94?4=83:p(>j<:3:a?M2682B8jn5f14394?=h:1k1<75rb51e>5<5290;w)=k3;0;f>N3991C?km4i072>5<hl;h365?6=3f83m7>5;|`1`=<72;0;6=u+3e196=d<@=;;7E=ic:k214<722e94l4?::a75g=8381<7>t$2f0>7>e3A>:<6F5o50;9~f66329096=4?{%1g7?4?j2B?==5G3ga8m4362900c?6n:188yg4am3:1>7>50z&0`6<50k1C8<>4H2d`?l7293:17b<7a;29?xd5n10;6?4?:1y'7a5=:1h0D9??;I1eg>o6=80;66a=8`83>>{e:o81<7<50;2x 6b42;2i7E:>0:J0bf=n9<;1<75`29c94?=zj;oh6=4=:183!5c;383n6F;119K7ce5<52;294~"4l:094o5G4028L6`d3`;>=7>5;n0;e?6=3th9i84?:383>5}#;m91>5l4H533?M5ak2c:9<4?::m1ih50;094?6|,:n86?6m;I624>N4nj1b=8?50;9l6=g=831vn?j=:181>5<7s-9o?7<7b:J755=O;oi0e<;>:188k7>f2900q~<>:181826j38;70:=0;365>{t:;0;6?u240a965=:<;;1=8?4}r0f>5<5s4>:o74363ty8j7>52z\0b>;2?3;>=6s|4183>7}Y<916954>509~w17=838pR9?4=4;950796=4={_61?83f28?:7p};3;296~X3;27>n7?:1:p01<72;qU89525b8214=z{=?1<7;|q73?6=:rT?;63:f;365>{t<10;6?uQ499>25<6=81v9750;0xZ1?<5?;1=8?4}r6a>5<5sW>i708=:072?xu3k3:1>vP;c:?57?7292wx8i4?:3y]0a=:>=0:9<5rs5g94?4|V=o01;;51438yv2a2909wS:i;<45>4363ty><7>52z\64>;1?3;>=6s|5083>7}Y=816:54>509~w04=838pR8<4=7;950710<50h1v8850;1x917>20168km59:?62?4?i2wx9:4?:2y>04?=i27?jn4n;<74>7>f3ty>47>53z?75<mo7l4=4:96=ga=:{t=k0;6>u240;9a>;3nj0n70;m:3:b?xu2k3:1?v3;188e?82ak3l018m529c8yv3c2908w0:>9;33?82ak3;;70;k:3:b?xu2m3:1>v3;fb825>;2m383m6s|5g83>6}:<831=?524ga957=:=o094l5rs7294?5|5=;26<:4=5d`>42<5?:1>5o4}r42>5<5s4>:57?:;<42>7>f3ty=>7>52z?75<<6?27=>7<7a:p26<72;q68km5199>26<50h1v;:50;0x91`d28301;:529c8yv022909w0:ic;3b?8022;2j7p}96;296~;3nj0:n6396;0;e>{t>>0;6?u24ga95f=:>>094l5rs7:94?4|5=lh6mo7?j;<4:>7>f3ty=m7>53z?75<<6n27?jn4>f:?5e?4?i2wx:o4?:6y>04d=>k168<656c9>06d=9<;0195<2s4>:579<;<6eg?1434>:o79<;<62e?1434>:479<;|q42?6=:r7?jn486:?75d<0k2wx;k4?:3y]3c=:090:9<5rs9294?4|5=;i6:h4=9296=g42;2j7p}73;296~;39;095?52828214=z{1>1<7=9<;0q~67:180826j32?70:>8;:7?8>?2;2j7p}>0d83>7}Y99o01<:=:072?xu6<;0;6>u240`955c<5=;36<>j;<376?4?i2wx=9650;0xZ42?34;?57?:1:p51?=83370:>8;:e?8731383m6s|14c94?4|5=;26<;n;<62e?72i2wx=;>50;0x917d28<;70:>b;354>{t9>>1<741334>:n7?84:p52g=838p19?6:05b?826k3;b;3b1>{t9h<1<7=t=53:>4g134>:o7?n6:?75d<6i?1va89~w4ef2909w0:>c;3`e>;39h0:ol5rs0de>5<5s4>:o7?if:?75g<59:1v??;:181826k38:863;1c8151=z{;2<6=4={<625?73n27?n;4>509~w7>?2908w0:m6;0;e>;3900?n85240`90g352z?75<<51916813:1>vP=989>6a4=:1k0q~<6a;296~X51h16>i6529c8yv4>k3:1>vP=9b9>6ac=:1k0q~<6d;296~X51m16>ih529c8yv4>m3:1>vP=9d9>6`3=:1k0q~<6f;296~X51o16>hl529c8yv4f<3:1>vP=a59>6`e=:1k0q~k<529c8yv4f>3:1>vP=a79>6c>=:1k0q~16>kk529c8yv4f03:1>vP=a99>752=:1k0q~v3;1881eg=:ll4}r0bg?6=;r7?=44=ab9>0ce=:hi019?n:3ca?xu5j80;6?u24ga96g7<5=;j6?l>;|q1`7<72;q68km528;897b528?:7p}=d983>7}:4o4=3f;>4363ty9hh4?:3y>0ce=:0i01?jj:072?xu5lo0;6?u24ga96;|q1a0<72;q68km528g897c228?:7p}=ec83>7}:4h4=3ga>4363ty9in4?:3y>0ce=:h>01?kl:072?xu5n;0;6?u24ga96d3<5;l96<;>;|q1b=<72;q68km52`4897`?28?:7p}=fd83>7}:l94=3df>4363ty8<94?:3y>0ce=:h201>>;:072?xu48h0;6?u24ga96d?<5::j6<;>;|q055<72;q68<75302891`d2:;;7p}<1283>7}:<831?<=4=53b>6773ty88h4?:3y>0ce=;=o019?7:26f?xu41m0;6?u240;977k;|q0ed<72;q687}:<8k18=o4=53;>16f3ty?=i4?:3y]04b<5=;n6?6n;|q75`<72;q68?<529c8917b28?:7p};1g83>7}:<;:1>5o4=501>4353ty?>=4?:3y>077=:1k019<=:070?xu3::0;6?uQ431891422;2j7p};2483>7}:<;>1>5o4=506>4363ty?>:4?:3y]071<5=836<;>;|q76=<72=q68km54348917d2=8=70:>a;612>;3:1094l5rs50:>5<5sW>9563;2`81l4>509~w15f2909wS:;3;o094l5rs51e>5<5s4>:o7:27?8:4>509~w1202909w0:>b;60`>;3<>094l5rs56:>5<5sW>?563;4`81j6=4={<62509~w1342909wS::3:?711<50h1v9;;:18182ak3>>?63;558214=z{=<;6=4={_654>;3>8094l5rs542>5<4s4>mo7::f:?75d<3=o168;?51438yv21:3:1>vP;639>035=9<;0q~:93;296~;39k0?9k5247196=g=m7>52z\72d=:5o4}r65f?6=:r7?=o4;6`9>03d=9<;0q~:9e;296~X3>l168:>529c8yv2083:1>v3;1b872`=:<>:1=8?4}r643?6=:rT?;:5246;96=g<57>52z?75g<3?>168:751438yv20m3:1>vP;7d9>02`=9<;0q~:8f;296~;3910?;h5246d96=g3>7>52z\7<7=:<191=8?4}r6;7?6=;r7?jn4;809>04e=<1;0196<:3:b?xu30=0;6?uQ496891>22;2j7p};8783>7}:<8h185?4=5:6>4363ty?544?:3y]07}Y;|q7eg<72;qU8ll4=5c`>7>f3ty?mn4?:3y>0ce=7}:4363ty?n84?:3y]0g3<5=h=6<;i;|q7f2<72;q68o6529c891d128:n7p};b883>7}:<8218o74=5`;>4363ty?nl4?:3y]0gg<5=hh6?6n;|q7ff<72;q68km54cc891dd28?:7p};be83>7}Y;|q7g1<72;qU8n:4=5a6>4363ty?o84?:2y>0ce={t1e434>h;7?:1:p0ae=838pR9jl;<6g`?4?i2wx8ik50;0x917e2=ni70:kd;365>{tm87?:1:p0c2=838p19?m:5d3?82a<383m6s|4gc94?4|V=lj70:ib;365>{t1`>34>mo7:i9:?75f<3n0168kl529c8yxh69m31<71<7?tH2d`?xh69l?1<7?tH2d`?xh69l<1<7?tH2d`?xh69l=1<7?tH2d`?xh69l21<7?tH2d`?xh69l31<7?tH2d`?xh69lk1<7?tH2d`?xh69lh1<7?tH2d`?xh69li1<7?tH2d`?xh69ln1<7?tH2d`?xh69lo1<7?tH2d`?xh69ll1<7?tH2d`?xh69o:1<7?tH2d`?xh69o;1<7?tH2d`?xh69o81<7?tH2d`?xh69o91<7=tH2d`?xh69o>1<7=tH2d`?xh69o?1<7=tH2d`?xh69o<1<7=tH2d`?xh69o=1<7=tH2d`?xh69o21<7=tH2d`?xh69o31<7=tH2d`?xh69ok1<7=tH2d`?xh69oh1<7=tH2d`?xh69oi1<7=tH2d`?xh69on1<71<71<7=tH2d`?xh6:8?1<7?tH2d`?xh6:8<1<78tH2d`?xh6:8=1<7=tH2d`?xh6:821<7=tH2d`?xh6:831<7=tH2d`?xh6:8k1<7=tH2d`?xh6:8h1<7=tH2d`?xh6:8i1<7=tH2d`?xh6:8n1<7:tH2d`?xh6:8o1<7=tH2d`?xh6:8l1<7=tH2d`?xh6:;:1<7=tH2d`?xh6:;;1<7=tH2d`?xh6:;81<7=tH2d`?xh6:;91<7?tH2d`?xh6:;>1<7=tH2d`?xh6:;?1<7=tH2d`?xh6:;<1<7?tH2d`?xh6:;=1<7?tH2d`?xh6:;21<7?tH2d`?xh6:;31<7?tH2d`?xh6:;k1<7?tH2d`?xh6:;h1<7?tH2d`?xh6:;i1<7?tH2d`?xh6:;n1<7?tH2d`?xh6:;o1<7?tH2d`?xh6:;l1<7?tH2d`?xh6:::1<7?tH2d`?xh6::;1<7?tH2d`?xh6::81<7=tH2d`?xh6::91<7:tH2d`?xh6::>1<7=tH2d`?xh6::?1<71<7=tH2d`?xh6:=?1<7=tH2d`?xh6:=<1<7=tH2d`?xh6:==1<7?tH2d`?xh6:=21<7?tH2d`?xh6:=31<7?tH2d`?xh6:=k1<7?tH2d`?xh6:=h1<7?tH2d`?xh6:=i1<7?tH2d`?xh6:=n1<7?tH2d`?xh6:=o1<7:tH2d`?xh6:=l1<7?tH2d`?xh6:<:1<71<71<7:1<7;1<7?tH2d`?xh6:>81<791<7?tH2d`?xh6:>>1<7?tH2d`?xh6:>?1<7<1<7?tH2d`?xh6:>=1<721<7?tH2d`?xh6:>31<7k1<7?tH2d`?xh6:>h1<7=tH2d`?xh6:>i1<7?tH2d`?xh6:>n1<7o1<7?tH2d`?xh6:>l1<71<7?tH2d`?xh6:1?1<7:tH2d`?xh6=80;6hl;|l210<728qC?km4}o362?6=9rB8jn5rn074>5<6sA9mo6sa14:94?7|@:lh7p`>5883>4}O;oi0qc?:b;295~N4nj1vb<;i:182M5ak2we=;>50;3xL6`d3td::?4?:0yK7ce51zJ0bf=zf8<>6=4>{I1eg>{i9?<1<7?tH2d`?xh6>10;6hl;|l22f<728qC?km4}o35`?6=9rB8jn5rn04f>5<6sA9mo6sa17d94?7|@:lh7p`>7183>4}O;oi0qc?81;295~N4nj1vb<9=:182M5ak2we=:=50;3xL6`d3td:;94?:0yK7ce51zJ0bf=zf8==6=4>{I1eg>{i9>=1<7?tH2d`?xh6?10;6hl;|l23f<728qC?km4}o34`?6=9rB8jn5rn05f>5<6sA9mo6sa16d94?7|@:lh7p`>8183>4}O;oi0qc:9e;295~N4nj1vb98i:182M5ak2we8:>50;3xL6`d3td?;;4?:0yK7ce<;7>51zJ0bf=zf==36=4>{I1eg>{i<181<7?tH2d`?xh30:0;62290:wE=ic:m0=0=83;pD>hl;|l7<2<728qC?km4}o6;5<6sA9mo6sa49c94?7|@:lh7p`;8c83>4}O;oi0qc:7c;295~N4nj1vb96k:182M5ak2we85k50;3xL6`d3td?4k4?:0yK7ce2<7>51zJ0bf=zf=3:6=4>{I1eg>{i<081<7?tH2d`?xh31:0;6<3:1=vFhl;|l7=2<728qC?km4}o6:5<6sA9mo6sa48c94?7|@:lh7p`;9c83>4}O;oi0qc:6c;295~N4nj1vb97k:182M5ak2we84k50;3xL6`d3td?5k4?:0yK7cej<7>51zJ0bf=zf=k:6=4>{I1eg>{ihl;|l7e2<728qC?km4}o6b5<6sA9mo6sa4`c94?7|@:lh7p`;ac83>4}O;oi0qc:nc;295~N4nj1vb9ok:182M5ak2we8lk50;3xL6`d3td?mk4?:0yK7cei<7>51zJ0bf=zf=h:6=4>{I1eg>{ihl;|l7f2<728qC?km4}o6a5<6sA9mo6sa4cc94?7|@:lh7p`;bb83>4}O;oi0qc:md;295~N4nj1vb9lj:182M5ak2we8oh50;3xL6`d3td?o=4?:0yK7ceh=7>51zJ0bf=zf=i96=4>{I1eg>{ihl;|l7g=<728qC?km4}o6`=?6=9rB8jn5rn5ab>5<6sA9mo6sa4b`94?7|@:lh7p`;cb83>4}O;oi0qc:ld;295~N4nj1vb9mj:182M5ak2we8nh50;3xL6`d3td?h=4?:0yK7ceo=7>51zJ0bf=zf=n96=4>{I1eg>{ihl;|l7`=<728qC?km4}o6g=?6=9rB8jn5rn5fb>5<6sA9mo6sa4e`94?7|@:lh7p`;db83>4}O;oi0qc:kd;295~N4nj1vb9jj:182M5ak2we8ih50;3xL6`d3td?i=4?:0yK7cen=7>51zJ0bf=zf=o96=4>{I1eg>{i1<7?tH2d`?xh3m<0;63:1=vF=83;pD>hl;|l7a<<728qC?km4}o6fe?6=9rB8jn5rn5ga>5<6sA9mo6sa4da94?7|@:lh7p`;ee83>4}O;oi0qc:je;295~N4nj1vb9ki:182M5ak2we8k>50;3xL6`d3td?j<4?:0yK7cem>7>51zJ0bf=zf=l86=4>{I1eg>{i1<7?tH2d`?xh3n<0;63:1=vF=83;pD>hl;|l7b<<728qC?km4}o6ee?6=9rB8jn5rn5da>5<6sA9mo6sa4ga94?7|@:lh7p`;fe83>4}O;oi0qc:ie;295~N4nj1vb9hi:182M5ak2we9=>50;3xL6`d3td><<4?:0yK7ce51zJ0bf=zf<:>6=4>{I1eg>{i=9<1<7?tH2d`?xh28>0;6290:wE=ic:m15g=83;pD>hl;|l64g<728qC?km4}o73g?6=9rB8jn5rn433>5<6sA9mo6sa50394?7|@:lh7p`:1383>4}O;oi0qc;>4;295~N4nj1vb8?::182M5ak2we9<850;3xL6`d3td>=:4?:0yK7ce51zJ0bf=zf<;j6=4>{I1eg>{i=8h1<7?tH2d`?xh29j0;6hl;|l661<728qC?km4}o711?6=9rB8jn5rn405>5<6sA9mo6sa73a94?7|@:lh7p`82g83>4}O;oi0qc9<0;295~N4nj1vb:==:182M5ak2we;>850;3xL6`d3td51zJ0bf=zf>9j6=4>{I1eg>{i?:h1<7?tH2d`?xh0;j0;6hl;|l405<728qC?km4}o575?6=9rB8jn5rn661>5<6sA9mo6sa75194?7|@:lh7p`84583>4}O;oi0qc9;5;295~N4nj1vb::9:182M5ak2we;9950;3xL6`d3td<854?:0yK7ce51zJ0bf=zf>>o6=4>{I1eg>{i?=o1<7?tH2d`?xh0hl;|l416<728qC?km4}o560?6=9rB8jn5rn676>5<6sA9mo6sa74494?7|@:lh7p`85683>4}O;oi0qc9:8;295~N4nj1vb:;6:182M5ak2we;8o50;3xL6`d3td<9o4?:0yK7ceo7>51zJ0bf=zf>?o6=4>{I1eg>{i?hl;|l421<728qC?km4}o551?6=9rB8jn5rn645>5<6sA9mo6sa77594?7|@:lh7p`86983>4}O;oi0qc99a;295~N4nj1vb:8m:182M5ak2we;;m50;3xL6`d3td<:i4?:0yK7ce51zJ0bf=zf>{I1eg>{i?>:1<7?tH2d`?xh0?80;6hl;|l430<728qC?km4}o542?6=9rB8jn5rn654>5<6sA9mo6sa76:94?7|@:lh7p`87883>4}O;oi0qc98a;295~N4nj1vb:9l:182M5ak2we;:j50;3xL6`d3td<;h4?:0yK7ce51zJ0bf=zf>2;6=4>{I1eg>{i?1;1<7?tH2d`?xh00;0;63290:wE=ic:m3=3=83;pD>hl;|l4<3<728qC?km4}o5;3?6=9rB8jn5rn6:;>5<6sA9mo6sa79;94?7|@:lh7p`88`83>4}O;oi0qc97b;295~N4nj1vb:6l:182M5ak2we;5j50;3xL6`d3td<4k4?:0yK7ce51zJ0bf=zf>3:6=4>{I1eg>{i?081<7?tH2d`?xh01:0;6<3:1=vFhl;|l4=2<728qC?km4}o5:5<6sA9mo6sa78c94?7|@:lh7p`89c83>4}O;oi0qc96c;295~N4nj1vb:7k:182M5ak2we;4k50;3xL6`d3td<5k4?:0yK7ce51zJ0bf=zf>k:6=4>{I1eg>{i?h81<7?tH2d`?xh0i:0;6hl;|l4e<<728qC?km4}o5be?6=9rB8jn5rn6ca>5<6sA9mo6sa7`a94?7|@:lh7p`8ad83>4}O;oi0qc9nf;295~N4nj1vb:l?:182M5ak2we;o?50;3xL6`d3td51zJ0bf=zf18h6=4>{I1eg>{i0;n1<7?tH2d`?xh?:o0;6493:1=vFhl;|l;7f<728qC?km4}o:0`?6=9rB8jn5rn91f>5<6sA9mo6sa82d94?7|@:lh7p`74183>4}O;oi0qc6;1;295~N4nj1vb5:=:182M5ak2we49;50;3xL6`d3td3854?:0yK7ce51zJ0bf=zf1>j6=4>{I1eg>{i0=h1<7?tH2d`?xh?3m3:1=vFhl;|la05<728qC?km4}o`75?6=9rB8jn5rnc61>5<6sA9mo6sab5194?7|@:lh7p`m4583>4}O;oi0qcl;5;295~N4nj1vbo:9:182M5ak2wen9950;3xL6`d3tdi8o4?:0yK7ce51zJ0bf=zfk>o6=4>{I1eg>{ij=o1<7?tH2d`?xhehl;|la11<72;qC?km4}o`61?6=9rB8jn5rnc75>5<6sA9mo6sab4594?7|@:lh7p`m5983>4}O;oi0qcl:9;295~N4nj1vbo;n:182M5ak2wen8l50;3xL6`d3tdi9n4?:3yK7ceh7>51zJ0bf=zfk?n6=4>{I1eg>{ij90;6hl;|la21<728qC?km4}o`51?6=:rB8jn5rnc45>5<5sA9mo6sab7594?7|@:lh7p`m6983>4}O;oi0qcl99;295~N4nj1vbo8n:182M5ak2wen;l50;3xL6`d3tdi:n4?:0yK7ce51zJ0bf=zfk{I1eg>{ij?l1<7?tH2d`?xhe?90;6hl;|la31<72;qC?km4}o`41?6=9rB8jn5rnc54>5<6sA9mo6sab6:94?7|@:lh7p`m7883>4}O;oi0qcl8a;295~N4nj1vbo9m:182M5ak2wen:m50;3xL6`d3tdi;i4?:0yK7ce51zJ0bf=zfk=m6=4>{I1eg>{ij1:1<7?tH2d`?xhe080;64290:wE=ic:mf=2=83;pD>hl;|la<0<728qC?km4}o`;2?6=9rB8jn5rnc:4>5<6sA9mo6sab9;94?7|@:lh7p`m8c83>4}O;oi0qcl7c;295~N4nj1vbo6k:182M5ak2wen5k50;3xL6`d3tdi4k4?:0yK7ce51zJ0bf=zfk3:6=4>{I1eg>{ij081<7?tH2d`?xhe1<0;6>3:1=vF=83;pD>hl;|la=<<728qC?km4}o`:e?6=9rB8jn5rnc;a>5<6sA9mo6sab8a94?7|@:lh7p`m9e83>4}O;oi0qcl6e;295~N4nj1vbo7i:182M5ak2wenl>50;3xL6`d3tdim<4?:0yK7ce7>51zJ0bf=zfkk86=4>{I1eg>{ijh>1<7?tH2d`?xhei<0;63:1=vF=83;pD>hl;|lae<<728qC?km4}o`be?6=9rB8jn5rncca>5<6sA9mo6sab`a94?7|@:lh7p`mae83>4}O;oi0qclne;295~N4nj1vbooi:182M5ak2weno>50;3xL6`d3tdin<4?:0yK7ce7>51zJ0bf=zfkh86=4>{I1eg>{ijk>1<7?tH2d`?xhej<0;63:1=vF=83;pD>hl;|laf<<728qC?km4}o`ae?6=9rB8jn5rnc`a>5<6sA9mo6sabca94?7|@:lh7p`mbe83>4}O;oi0qclme;295~N4nj1vboli:182M5ak2wenn>50;3xL6`d3tdio>4?:0yK7ce51zJ0bf=zfki>6=4>{I1eg>{ijj<1<7?tH2d`?xhek10;6hl;|lagf<728qC?km4}o```?6=9rB8jn5rncaf>5<6sA9mo6sabbd94?7|@:lh7p`md183>4}O;oi0qclk1;295~N4nj1vboj=:182M5ak2weni850;3xL6`d3tdih54?:0yK7ce51zJ0bf=zfknj6=4>{I1eg>{ijmi1<7?tH2d`?xhelm0;6hl;|laa4<728qC?km4}o`f6?6=9rB8jn5rncg0>5<6sA9mo6sabd694?7|@:lh7p`me483>4}O;oi0qclj8;295~N4nj1vbok6:182M5ak2wenho50;3xL6`d3tdiio4?:0yK7ce51zJ0bf=zfkoo6=4>{I1eg>{ijlo1<7?tH2d`?xhemo0;6hl;|lab1<728qC?km4}o`e1?6=9rB8jn5rncd5>5<6sA9mo6sabg594?7|@:lh7p`mf983>4}O;oi0qcli9;295~N4nj1vbohn:182M5ak2wenkl50;3xL6`d3tdijn4?:0yK7ce51zJ0bf=zfkln6=4>{I1eg>{ijol1<7?tH2d`?xhd890;60;60;6?uG3ga8yk77;10;6uG3ga8yk77;j0;6>uG3ga8yk77;m0;6>uG3ga8yk77;l0;6>uG3ga8yk77;o0;6>uG3ga8yk77<90;6>uG3ga8yk77<80;6?uG3ga8yk77<;0;60;6?uG3ga8yk77<10;60;690;6?uG3ga8yk77>80;6?uG3ga8yk77>;0;6?uG3ga8yk77>:0;6?uG3ga8yk77>=0;6?uG3ga8yk77><0;6?0;6>0;610;6:uG3ga8yk77>h0;6k0;6j0;6m0;6l0;6o0;60;60;6?uG3ga8yk77010;6?uG3ga8yk77000;6?uG3ga8yk770h0;6?uG3ga8yk770k0;6?uG3ga8yk770j0;6?uG3ga8yk770m0;6?uG3ga8yk770l0;6?uG3ga8yk770o0;6?uG3ga8yk77190;6?uG3ga8yk77180;6?uG3ga8yk771;0;6?uG3ga8yk771:0;6?uG3ga8yk771=0;6?uG3ga8yk771<0;6?uG3ga8yk771?0;6?uG3ga8yk771>0;60;60;60;60;6r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index 752a752..6138099 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4:35=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97;97>4FNQWW>GGD\{686<0i;28JJUSS2Z]7?7?11290>JSSX\^1NLM[r=195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1d9BH@6Xe|r;<=>>0e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9j4AMG3[hs89:;9i5NLD2\ip~789:=h6OCE1]nq}6789=o7LBJ0^ov|56781n0MAK?_lw{45671=1J@H?l;@NF5ZYhz9:;=i5NLD3\[jt789;:?6OAD59BJA433HDO?95NNE67?DHC==1JBI9;;@LG<1=F[{lo7L]}f^AooZOI^8=0M^|i_O2`?DUunVddx=>?1e9BWw`Xff~;<=?>9:C\BVD;99427LQISC>25;?89B[CUE489556OPFR@?518>3HUM_O2>5?;8EZ@TJ5;=245N_GQA841912KTJ^L319<:?DYA[K6:5364A^DPF97912KTJ^L321<:?DYA[K69=374A^DPF9456k1JSK]M<3195;?99B[CUE4;437LQISC>0:==FWOYI0907;@]EWG:2611JSK]M<7<;?DYA[K6<255N_GQA8=8?3HUM_O26>e9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@d3HUM_OQ>_H@VBa=FWOYIS?>PICWE`>GXNZHT>RGMUGf8EZ@TJV88SDLZFb9B[CUEW;UBNXHl;@]EWGY4W@H^Jn5N_GQA[1YNJ\Lh7LQISC]6[LDRNj1JSK]M_7]JFP@d3HUM_OQ8_H@VBf=FWOYIS5QFBTD`?DYA[KU2SDLZF79BkprHMl1Jcxz@E^ov|5678o1Jcxz@E^ov|56788l0Mb{{OD]nq}67898m7LaztNG\ip~789:8j6O`uuMF[hs89:;8k5NotvLAZkrp9:;<8h4AnwwK@Yj}q:;<=8;;CC@P0=EIJ^:96LNCU0;?GGD\{6:255MABVq878f3KKHX2<:0<;?GGD\{682:5MABVq[514BTKO@ZRFZNO_M_MG8:@VWZOINF;0O95L17O:?F71EVCIYK84C32NAKd8:A;ICgkd;20O5CPICWE0>E?E{=0O5C}<1<4?F>Jz5;5:6M7Ms]32>E?E{U:>6MJ3:AOO6=DD[90OCZ6;BMNILRSMM=0O_KNTDF1?FU43J^G:6Mce`p2b>Ekmhx:SRoad1234d=Dzlnbx~2?>`9@v`bn|z6:2l5Lrdfjpv:56h1H~hjftr>0:d=Dzlnbx~2;>`9@v`bn|z6>2l5Lrdfjpv:1601H~hjftr]357=Dzlnbx~Q?_^cm`5678890Okkiuq\4ZYffm:;<=?>3:Aqaaos{V:TSl`k0123647?01026>EummcR>P_np345649;1H~hjftr]3[Ziu89:;8<<4CsggmquX8VUd~=>?0431?Ftbl`~xS=QPos23450>3Jxnhdz|_031?Ftbl`~xS?013:?Ftbl`~xS>?>;Bpf`lrtW:UTc>?0131?Ftbl`~xS>QPos234576:2Iyiig{s^1\[jt789:956M}eekwwZ26:2Iyiig{s^6\[dhc89:;=>5LrdfjpvY3WVkeh=>?00;8Gwcca}yT9<<4CsggmquX=VUjbi>?0130?Ftbl`~xS8QPaof3456612Iyiig{s^426>EummcR8P_`lg45679:1H~hjftr]5[Zgil9:;<<=9;Bpf`lrtWDkoh~{m_^cg`vse89:;S@v`r^Pfwpjs484TAua}_Sgpqir402Iyiig{s^Ob`aurjVUjhi}zb1234ZKg{UYi~{ct=3=[H~hzVUsc>?0110?Ftbl`~xS@okdrwa[Zgclzi<=>?_LzlvZTb{|f0<0P_ymq4567;?1H~hjftr]Neabt}kUTmij|uc2345YJpfxT^h}zlu>1:ZKg{UYi~{ct2:8Gwcca}yTAljkst`\[dbc{|h;<=>PMymq[Wct}e~7>3QBxnp\[}iu89:;?>5LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:56VUsc>?0115?Ftbl`~xS@okdrwa[Zgclzi<=>?_LzlvZTb{|f0>0PMymq[Wct}e~846M}eekwwZKflmy~nRQndeqvf5678VGscQ]erwop959WDrd~RQwos2345543Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<2<\[}iu89:;?;5LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:36VGscQ]erwop6>?329@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}6?2RQwos2345513Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<4<\I}iuW[oxyaz<8:Aqaaos{VGjhi}zb^]b`aurj9:;?379@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}6=2RCwos]Qavsk|:20Okkiuq\Idbc{|hTSljkst`3456XEqeyS_k|umv?2;YJpfxTSua}012376=Dzlnbx~QBaefpqgYXimnxyo>?01]N|jtXZly~`y29>^]{kw6789887N|jdhvp[HgclziSRokdrwa4567WVey<=>>259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234475<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;=?<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012277295LrdfjpvYJimnxyoQPaefpqg6789UTc>?00710>EummcRCndeqvfZYflmy~n=>?0^]lv5679?887N|jdhvp[HgclziSRokdrwa4567WVey<=>=259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234775<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;>?<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012177295LrdfjpvYJimnxyoQPaefpqg6789UTc>?03710>EummcRCndeqvfZYflmy~n=>?0^]lv567:?887N|jdhvp[HgclziSRokdrwa4567WVey<=><259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234675<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;??<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012077295LrdfjpvYJimnxyoQPaefpqg6789UTc>?02710>EummcRCndeqvfZYflmy~n=>?0^]lv567;?887N|jdhvp[HgclziSRokdrwa4567WVey<=>;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234175<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;8?<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}0127772?>95LrdfjpvYJimnxyoQPaefpqg6789UTc>?05710>EummcRCndeqvfZYflmy~n=>?0^]lv567:259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234075i2Iyiig{s^Ob`aurjVUjhi}zb1234Ziu89:>Sl`k01236g=Dzlnbx~QBaefpqgYXimnxyo>?01]lv567=Vkeh=>?000a?Ftbl`~xS@okdrwa[Zgclzi<=>?_np3453Xign;<=>=2`9@v`bn|zUFmij|uc]\eabt}k:;<=Q`r1231Zgil9:;=?l4CsggmquXEhnoxlP_`fgwpd789:Tc>?04]bja6788;9m6M}eekwwZKflmy~nRQndeqvf5678Vey<=>:_`lg4565:k1H~hjftr]Neabt}kUTmij|uc2345Yhz9:;9Road123644e3Jxnhdz|_Lcg`vseWVkoh~{m0123[jt789?Tmcj?010153=Dzlnbx~QPaefpqg67896:2<84CsggmquXWhnoxl?012?6;713Jxnhdz|_^cg`vse89:;0>0>6:Aqaaos{VUjhi}zb12349299?1H~hjftr]\eabt}k:;<=2:>048Gwcca}yTSljkst`3456;>7l0Okkiuq\ekb7898:<6M}eekwwZgil9:;>=2028Gwcca}yTmcj?0100b>EummcR``t123746Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<7?@UX8l1N_R>P0^llp5678o1N_R>P0^llp56788n0I^Q?_^cm`5678l1N_R>P_`lg45679l1N_R>P_`lg4567:l1N_R>P_`lg4567;l1N_R>P_`lg4567P_`lg4567=l1N_R>P_`lg4567>=1N_R?k;DQ\5ZYffm:;<=k4ER]2[Zgil9:;<CTW;UTmcj?012f?@UX:VUjbi>?013f?@UX:VUjbi>?0107?@UX;m1N_R=P_`lg4567m2OXS>QPaof34566m2OXS>QPaof345659o1N_RCnde]\eab789:TAua}_Sgpqir;97;m7H]PM`fg[Zgcl9:;PMymq[Wct}e~7?3?6;DQ\IdbcWVkoh=>?0^]bja6789;j7H]PM`fg[Zgcl9:;CTWDkohRQnde2345YXign;<=?>d:GP[TY6Wfx;<=>j;DQ\UZ7Xg{:;<=?i;DQ\UZ7Xg{:;<=??f:GP[TY6Wfx;<=>>1d9FWZWX9Vey<=>?2d9FWZWX9Vey<=>?3d9FWZWX9Vey<=>?4d9FWZWX9Vey<=>?5d9FWZWX9Vey<=>?6d9FWZWX9Vey<=>?7d9FWZWX9Vey<=>?8d9FWZWX9Vey<=>?9d9FWZYflm:;<=2>>d9FWZYflm:;<=2=>d9FWZYflm:;<=2<>99F\UGILLN:=6KWP@LGAAYEKMUMEAK>4:G[TDHCMMUHCC][R@KMPV763LR[MCJJD^KPAZ@NDL<0IRGMUG08Aw3CuW9>0IQ>4:D@HN?0JIMJ4:DGGU54:KAQC4OIA]Y_MYK<;HLUa>OIWGMOSL@K_CAG6>OH=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2g9JKDESWds<=>?12d8MJGD\Vg~t=>?006e?LIFK]Ufyu>?0136b>OHIJ^Taxv?01222c=NGHI_S`{w012352c6:KLGV77=2CDO^<:;HM@W63OHKZ<>7DALS678MJET0<1BCN]66:KL@JHBl2CDHB@J_np3456b3@EOCCKPos23457b3@EOCCKPos23454b3@EOCCKPos23455b3@EOCCKPos23452b3@EOCCKPos23453b3@EOCCKPos23450b3@EOCCKPos23451b3@EOCCKPos2345>?3@EOCCKfn69JKAIIM{>0EBB?c:KLH5Yj}q:;<=j4INN3[hs89:;=h5FOM2\ip~789::h5FOM2\ip~789::?h5FOM2\ip~789::8h5FOM2\ip~789::9i5FOM2\ip~789:9h6G@L1]nq}67899o7DAC0^ov|5678=n0EBB?_lw{4567=m1BCA>Pmtz34561l2CD@=Qbuy23451c3@EG3@E[[_kndxc8MJVPZlkou<94INPGV@Wa3@EYH_K^_`lg4567991BC_J]EP]bja6789;:<6G@REPFUZgil9:;?3g9JKWBUMXUfyu>?0133?LIUL[OZS`{w0123547>2038MJTCZL[Taxv?01227470:KLVATBYVg~t=>?0533?LIUL[OZS`{w0123146?999JKWBUMXx=7DA]EPp4?LIUMXx:;6G@RDSq62=NG[OZ~>;4INPT5a=NG[]:Sl`k0123a>OHZ^;Tmcj?0122a>OHZ^;Tmcj?0121a>OHZ^;Tmcj?0120a>OHZ^;Tmcj?0127a>OHZ^;Tmcj?0126a>OHZ^;Tmcj?01253>OHZlkouk5FOSgb`|YXg{:;<=??;HMQadb~WVey<=>?1028MJTbimsTSb|?012155=NG[ojhtQPos23455682CD^hoky^]lv5678=;;7DA]e`fz[Ziu89:;995FOR2`?LIT8Vkeh=>?0e9JKV6Xign;<=>>d:KLW5Yffm:;<=m4INQ3[hs89:;h6G@S1]nq}6789;n7DA\0^ov|56788:o7DA\0^ov|5678;n0EB]?_lw{4567;m1BC^>Pmtz34563l2CD_=Qbuy23453c3@EXOH[9Ufyu>?01;`?LITWOYFSKHk1b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io324>OH[VLXARHId3/Jj7773@EXSK]B_GDg6(Oi;j1BC^QISL]EBa5a3@EXSK]B_GDg7(Oi991BC^QISL]EBa5*Ag;:<6G@S^DPIZ@Al:'Bb???;HMP[CUJWOLo? Ga3028MJUXNZGTJKj<-Hl755=NGZUM_@QIFe1.Mk3682CD_RH\M^DE`6+Nf?i0EB]PFRO\BCb3k2CD_RH\M^DE`0eOH[VLXARHId7/Jj46??;HMP[CUJWOLo: Ga4028MJUXNZGTJKj9-Hl6g>OH[VLXARHId6d8MJUXNZGTJKj8-Hl24>OH[VLXARHId6/Jj4773@EXSK]B_GDg3(Oi:8:0EB]PFRO\BCb0%@d8==5FOR]EWHYANm=&Ec:>0:KLWZ@TEVLMh:#Fn448MJR^XL>0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=h5FOT2\ip~789::h5FOT2\ip~789::?h5FOT2\ip~789::8h5FOT2\ip~789::9i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567=m1BCX>Pmtz34561l2CDY=Qbuy23451c3@E^?013254=NG^XYI\Qbuy234575981BCZ\]EP]nq}6789;8=<5FOVPQATYj}q:;<=?;109JKRTUMXUfyu>?013655=NG^XYI\Qbuy23454682CD[_\JQ^ov|5678:;;7DAXRSGR[hs89:;8<>4INUQV@WXe|r;<=>:119JKRTUMXUfyu>?01424>OH_[XN]Rczx12342773@E\^_K^_lw{456708:0EBY]RDS\ip~789:246G@WSPFUw2e:KP[CUJWOLo= Gaf:KP[CUJWOLo= Ga1g9JWZ@TEVLMh<#Fn3`8MVYA[DUMJif:KP[CUJWOLo> Ga2g9JWZ@TEVLMh?#Fn2d8MVYA[DUMJi<"Io6e?LUXNZGTJKj=-Hl6f>OTWOYFSB{{3b9JWZ@TEVE~x>?l;HQ\BVKXG|~8>i5FS^antZcv89:8i6EJEQ]KM@TFZJBR;6BFBSCQ]3=KGHNNH>5COB58HJANKHFj7A^B_YMQ6Z7>3E^bah|30?c8HQojm{6:<3o4LUknaw:69730@Ygbes>2:<=K\`gn~1<19:NWmhcu4:427AZfmdp?0;?89OPlkbz5<556B[ilgq828>3E^bah|38?;8HQojm{62255CThofvQE6;2F_e`k}TB]`iuYby9:;<<:4LUknawRDWjg{Sh?012251=K\`gn~YMPclr\at67898:86B[ilgqPFYdeyUn}=>?0237?IRnelx_ORmbp^gr4567<8>0@YgbesV@[fkwWl{;<=>:159OPlkbz]ITo`~Pep23450?3E^bah|P099OPlkbzV;27AZfmdp\55?199OPlkbzV837AZfmdp\7==K\`gn~R:7;MVji`tX=11GXdcjr^4;?IRnelxT;55CThofvZ>?3E^bah|P9368HQojm{UFmijP_`fg4567WDrd~R\jstnw8469:=1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=<0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>2:75?01]N|jtXZly~`y2<>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8185;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6>2?=4LUknawYJimnTSljk0123[H~hzVXnxb{<7<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:06;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f050=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>::70d:NWmhcuWDkohRQnde2345YXign;<=?>e:NWmhcuWDkohRQnde2345YXign;<=?>1e9OPlkbzVGjhiQPaef3456XWhdo<=>=1d9OPlkbzVGjhiQPaef3456XWhdo<=>=10f8HQojm{UFmijP_`fg4567WVkeh=>?30g8HQojm{UFmijP_`fg4567WVkeh=>?303g?IRnelxTAljk_^cg`5678VUjbi>?053f?IRnelxTAljk_^cg`5678VUjbi>?0532`>JSadoyS@okd^]b`a6789UTmcj?0172a>JSadoyS@okd^]b`a6789UTmcj?01725a=K\`gn~RCnde]\eab789:TSl`k01255`=K\`gn~RCnde]\eab789:TSl`k012554b311<27>JSadoySRokd12349766880@Ygbes]\eab789:7=3?=;MVji`tXWhno<=>?<3<26>JSadoySRokd12349599;1GXdcjr^]b`a67896?2<<4LUknawYXimn;<=>35?31?IRnelxTSljk01238386:2F_e`k}_^cg`56785=5=?5CThofvZYflm:;<=27>008HQojm{UTmij?012?=;c?0b9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A029M4410CO[I5:MAQCC43FDN>6A]3:MQ66=HZ:90C_:<;NWW3>Iqm{fju55@vdpoe|763Yi0\#5_1018T4443Y;8?6^>429S5055_2518T7343Y8><;Q117>V4;:1[?9=4P270?U51;2Z8;?5_429S055<;Q717>V2;:1[99=4P470?U31;2Z>4>5_5808T353:R576=W>=90\;;<;Q457>V1?;1[;>5_7118T2443Y=8?6^8429S335=87]973:R4=7=W0:1[4==4P930?U>5;2Z39>5_8718T=143Y22>6^63:R:46=W1890\4<<;Q;07>V><:1[58=4P840?U?0;2ZJH95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN?6^]E09R<>Wh[[ojht?<;PmPV`gcqVif|Rk~012351=VgZXnmiwPclr\at6789;:86_`SSgb`|YdeyUn}=>?0337?TiTZlkouRmbp^gr4567;8>0]b]]e`fz[fkwWl{;<=>;159RkVTbimsTo`~Pep23453a3XeX^hoky^mq4567991Zc^\jae{\kw6789;:<6_`SSgb`|Yhz9:;?349QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86813[K7?3?9;SC?7;413[K7?3=9;SC?7;223[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH38:PBIFU7P;20^LCLS1Z02>TFEJY:46\NMSgb`|773[KF^hoky^ov|56788;0^LC]e`fz[hs89:;=?2038VDKUmhnrS`{w0123747?6038VDKUmhnrS`{w012330=UIZOGh6\NSDN\ip~789:n7_O\EM]nq}6789;n7_O\EM]nq}67898n7_O\EM]nq}67899n7_O\EM]nq}6789>>7_O\EOf8VDUBFVg~t=>?0d9QEVCIWds<=>?1d9QEVCIWds<=>?2d9QEVCIWds<=>?3d9QEVCIWds<=>?4d9QEVCIWds<=>?549QEVta02XJ_hPN1;8VDUunVD;=85]ARpq`>TF[{xTaxv?012f?WGTz{Ufyu>?013f?WGTz{Ufyu>?010f?WGTz{Ufyu>?011f?WGTz{Ufyu>?016f?WGTz{Ufyu>?017f?WGTz{Ufyu>?014:?WGX8VEIYKm4R@]3[hs89:;h6\N_1]nq}6789;o7_OP0^ov|5678;n0^LQ?_lw{4567;m1YMR>Pmtz34563i2XJS<>POCWE`>TFW8:Taxv?012f?WGX99Ufyu>?013f?WGX99Ufyu>?010f?WGX99Ufyu>?011f?WGX99Ufyu>?016b?WGX98UDNXH6;SC\5ZIE]Oi0^LQ>_lw{4567l2XJSTFW;UDNXHl;SC\6Zkrp9:;TFW=UDNXHl;SC\0Zkrp9:;TFW?01f8VDY2Wds<=>?1e9QEZ3Xe|r;<=>=d:PB[0Yj}q:;<==k;SC\1Zkrp9:;<974R@]5[JDRNj1YMR8Pmtz3456c3[KT:Rczx12344bTFW?Ufyu>?016:?WGX?VEIYKm4R@]4[hs89:;h6\N_6]nq}6789;o7_OP7^ov|5678;n0^LQ8_lw{4567;m1YMR9Pmtz3456312XJS5Q@BTD`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>d:PB[=Yj}q:;<=j4R@];[hs89:;845]A^;\KGSAk2XJS4Qbuy2345bTFW0Ufyu>?011g?WGX1Vg~t=>?05a8V@VKIJOTCFOV5:PLIFU13[EFO^?9;SMNGV403[EFO^:W8:PLIFU3P820^BCLS5Z1<>THEJY?T>64RNO@W1^302XX3[Y;xb\jf9;8VV6sg[om5>5]SU`8VVYA[DUMJi?m;SQ\BVKXNOn9n6\\_GQN[C@c;k1Y_RH\M^DE`1cTTWOYFSKHk5,Kmb>TTWOYFSKHk5,Km5c=U[VLXARHId4/Jj7`TTWOYFSKHk6,Kmb>TTWOYFSKHk6,Km5c=U[VLXARHId7/Jj7`TTWOYFSKHk7,Kmb>TTWOYFSKHk7,Km5c=U[VLXARHId6/Jj7`TTWOYFSKHk8,Kmb>TTWOYFSKHk8,Km546*Ag>m7_]PFRO\BCb?%@d>j6\\_GQN[C@c0$Ce:k5]S^DPIZ@Al1'Bb:h4RR]EWHYANm2&Ec6i;SQ\BVKXNOn3!D`65:Pfea13[ojht?9;Sgb`|413[ojht=9;Sgb`|213[ojht;9;Sgb`|013[ojht99;Sgb`|>13[ojht79;SgeGim13[om^hj;SgeV`wXWfx;<=>j;SgeV`wXWfx;<=?i;SgeV`wXWfx;<=?>f:PfbWcvWVey<=>>2d9QacTbyVkeh=>?0g9QacTbyVkeh=>?00d8V``UmxUjbi>?010e?WcaZl{Tmcj?0120`>Tbn[ozSb|?012f?WcaZl{Tc>?013f?WcaZl{Tc>?010f?WcaZl{Tc>?011f?WcaZl{Tc>?016f?WcaZl{Tc>?017f?WcaZl{Tc>?014f?WcaZl{Tc>?0155?Wca]{mn7_kiUse\ip~789:m7_kiUse\ip~789::i6\jfTpd[kis89::j6\jfTpd[kis89::=i5]egWqcZiu89::i6\jfTpd[jt789;:j6\jfTpd[jt789;:4d9QacSuoVey<=>>2d9QacSuoVey<=>>3d9QacSuoVey<=>>4d9QacSuoVey<=>>5d9QacSuoVey<=>>6d9QacSuoVey<=>>7d9QacSuoVey<=>>8d9QacSuoVey<=>>979Qavsk|:1XIY74SUknaw:7611XXdcjr^224>USadoyS=QPos2345773Z^bah|P0^]lv56798;0_Ygbes]3[Ziu89::=>2038WQojm{U:SRoad1234c=T\`gn~Road123443<[^6:2l5\W=3=[LDRN<1X[1<1a:QT878XAK_M;6]X<282:0=T_595m6]X<2<\MGSA<2Ynbym4Sdlw[Ziu89:;h6]jnu]\kw6789;o7^kat^]lv5678;n0_h`{_^mq4567;m1XiczP_np34563l2YnbyQPos23453c3ZoexRQ`r12343b<[ldSRa}01233a=Tmg~TSb|?012;e>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_76;RlgWiipMG;:7^`kSmmtAKYXg{:;<=?=;RlgWiipMGUTc>?01325>UilZfd{H@P_np34576:2Yeh^b`wDL\[jt789;:=?5\neQokrCIWVey<=>>2008WkbTdf}NBRQ`r123567<\:1_^D64TSKPPDRB<2^YYHl4TR]EWHYANm;n7Y]PFRO\BCb6%@dm7Y]PFRO\BCb6%@d:j6Z\_GQN[C@c9$Ce>o5[S^DPIZ@Al;o0X^QISL]EBa4*Agl0X^QISL]EBa4*Ag;:<6Z\_GQN[C@c:$Ce==h4TR]EWHYANm8&Ec Ga4g9WWZ@TEVLMh?#Fn4d8PVYA[DUMJi<"Io4e?QUXNZGTJKj=-Hl4b>RTWOYFSKHk2,Km?1018Plkbz]ITo`~Pep234546;2^bah|[C^antZcv89:;?<=4ThofvQEXkdzTi|>?01627>Rnelx_ORmbp^gr4567=>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33_ZJ~n5YP@p\jjr789;o7[^Nr^llp56798o0Zdj]ALAPSW03c8o0Zdj]ALAPSW03c;o0Zdj]ALAPSW03c:o0Zdj]ALAPSW03c=o0Zdj]ALAPSW03co0Zdj]ALAPSW03c180[^o4WR]bja6789h0[^Qnne2345713^ky|^K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG<;YMQ0>^HZ;<0T^ZCIC58\VRXOGN<7U][_WA@1>^XGGO>7UQ[RH48\Z\BZF<0TilPIe`8\anXX{cfEcxl;Yfk[Utne]s{ik5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm2>^h494:?6V|t59YAWI43jd>6m|3:dpf1=ngkg?7dams89mEAir|EO;i6`NDnwwH@6XGK_M==5aAEmvpIC7Wfx;<=>>1:lB@jssDL:Tc>?01325>hFLf@H>Pos23454692dJHb{{LD2\kw6789927cOKotvOA4g?1g9mEVir|Vddx=>?1033?kGTg|~Tbbz?01324c=iIZe~xR``t12357`c:lBkprHMVEIYK;4nCGQV<=iJLXYSK]Me:lAAWTXNZHTCO[I109mF@TUWOYISRa}012357=iJLXYSK]M_^mq456798;0bOK]R^DPFZYhz9:;=<<4nCGQVZ@TJVUd~=>?1031?kDBZ[UM_OQPos234446:2dII_\PFR@\[jt789;856`MESP\MJDb3gHN^_QFOC]JFP@03gHLSDAMc:lACZOHJVCIYK94nCP\MJDd3gHYSDAM_N@VBc=iJ[UBCOQbuy2345773gHYSDAM_lw{456798:0bO\PIN@\ip~789:9==5aBS]JKGYj}q:;<==>0:lAVZOHJVg~t=>?0533?kDUW@EIS`{w0123146hDIZUfyu>?015g?kEF[Vg~t=>?09f8jFGTWds<=>?979m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@Sl`k01236c=iL]KHGRoad12346c>119m@jssGLUjbi>?00324>hCg|~DIRoad12357773gNdyyAJ_`lg4566;<1eE]B=a:lJTI4XAK_M:6`FPM0q0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNh5aLEQ\MJDXGK_MIo5aLEQ\MJDh}}i0bAJ\_`lg4565l2dGH^Qnne23477c3gFO_Road12367bhKLZUjbi>?037g?kJC[Vkeh=>?27f8jIBTWhdo<=>=7e9mHAUXign;<=<7d:lO@VYffm:;hHM11eCHQ@BTDa?kIBWge<=>>c:lLAZhh|9:;=;oMuawjfqVUd~=>?0032?kIqm{fjuRQ`r12347763gE}ibny^]lv5678:;:7cAyesnb}ZYhz9:;<9?>;oMuawjfqVUd~=>?0458jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I8:lQacEkc[;;7c\jfBnhVZhh|9:;=>159mQAU?3g_O_RH\Be9mQAUXNZHTEO[I8:lV@VYNGKo0bXJ\_HMA[JDRNLh0bXJ\_HMAkprd3g_O_Road1235a=i]MYTmcj?0132`>hRLZUjbi>?000g?kSC[Vkeh=>?12f8jPBTWhdo<=>>4e9mQAUXign;<=?:d:lV@VYffm:;<<8k;oWGWZgil9:;=:j4nTFP[dhc89::4i5aUEQ\ekb789;2o6`ZDR]mkq6788n0bXJ\_omw45669=1eYZK:;oWTA4ehR_LUjbi>?013g?kSPMVkeh=>?03f8jPQBWhdo<=>?359mRHG?3g\FMRG@Bd9mRHGXAFHTCO[IEc9mRHGXAFHdyyj4nWOB[Zgil9:;hQEHUTc>?01:`?kPJIVkeh=>?0e9mRHGXign;<=>>d:lUIDYffm:;<=j4nWOB[dhc89:;8i5aVLC\ekb789:>86`YP@:8jSVFWOYIh6`YP@]EWGYHJ\L37cX_A^KLFa=i^YKTEBLPICWEg>hQXHUjbi>?01f8jSVFWhdo<=>?1b9mRUGXff~;<=?k;oTSEZhh|9:;=<=4nVG4?kQBWOYIo6`XE^DPFZOE]O20bjjmuhng7>tfe11so4;?0yn25>~d1<;?8ub{q023)46?3qcgecvzn0:8|ihWhcR>Pxe`,gvr)pkioqMN200`?EF<181J7:51zQb3?2>;38h97?<4c00=?57m:3pb97?:09m0<7=>2.?4n4;829~Wd0=<091>n;5126a66?=;9o8h6]=5b863d<0289?n?=6:22f04=Ti?0>;l48:017f75>2::n8>5k49094?7=9rYj;7:63;0`1?74i0D1?42;i>6<=;b31:>66b;01/8:=524g8R1>a2;q~>o=51:w1f1<73t.8=?4:7e9'50d=82.:944:7g9'50g=9>l0n96=:187f?g32k3pD99>;%672?2?:2P2<7=t11827?722t.99l4;339(165=831b594?::m7``<722c?m94?::m62c<722c>8?4?::m67g<722e2:7>5;n71f?6=3`>h?7>5;n713?6=3f>o=7>5;n6`3?6=3`;987>5;h356?6=3`>n<7>5;n6b2?6=3f>i;7>5;h6eg?6=3f?957>5;h745?6=3f??87>5;n723?6=3`336=44i8094?=h<0k1<75`4`294?=n=9:1<75f4b794?=h=:21<75`4d:94?=h=981<75`54c94?=h21<75`4c`94?=h;;?1<7*<158061=i;891<65`33194?"49=08>95a30195>=h;:81<7*<158061=i;891>65`32394?"49=08>95a30197>=h;::1<7*<158061=i;891865`33d94?"49=08>95a30191>=h;;o1<7*<158061=i;891:65`33f94?"49=08>95a30193>=h;;21<7*<158061=i;891465`33594?"49=08>95a3019=>=h;;<1<7*<158061=i;891m65`33094?"49=08>95a3019f>=n=j0;6)=>4;7a?k56;3:07d;n:18'742==k1e?<=51:9j1<<72-9:87;m;o127?4<3`?36=4+30691g=i;891?65f5783>!56<3?i7c=>3;68?l32290/?<:55c9m745==21b994?:%120?3e3g9:?784;h70>5<#;8>19o5a30193>=n=;0;6)=>4;7a?k56;3207d;>:18'742==k1e?<=59:9j15<72-9:87;m;o127?g<3`>m6=4+30691g=i;891n65f4d83>!56<3?i7c=>3;a8?l2c290/?<:55c9m745=l21b:94?:%120?3e3g9:?7k4;h40>5<#;8>19o5a3019b>=n>;0;6)=>4;7a?k56;3;;76g91;29 6732?<:038?l07290/?<:55c9m745=9;10e8h50;&051<2j2d8=>4>3:9j1`<72-9:87;m;o127?7332c>h7>5$237>0d5<#;8>19o5a301953=5<#;8>18=k4n230>4=5<6290;w):;6;35a>N30?1C8:?4$5:f>1?33-8>n7;8e:m22a<72->3i7?290:6=4?{%672?20:2B?4;5G4638 1>b2;hh7)<:b;74a>i5k=0;6):7e;0`5>=zj=3=6=4>d;11>10|@==:7):;6;c;?_?728q:=7s+24c9064>o2;:0;66a>9b83>>o2?>0;66a=c383>>i4;=0;66a<9`83>>i6?10;66g=7;29 6732;<0b>?<:198m73=83.8=94=6:l056<632c987>5$237>70o583:1(>?;:348j6742=10e2d8=>4:;:k2a?6=,:;?6?84n230>3=h49:0<76g>c;29 6732;<0b>?<:998m4d=83.8=94=6:l056<>32c:m7>5$237>70o603:1(>?;:348j6742j10e<950;&051<5>2d8=>4k;:k1b?6=,:;?6?84n230>`=h49:0m76l;8683>4<729q/898517g8L1>13A><=6*;8d87=1=#:5<#<1o1>n?4;|`65`<72<096;u+4549530<@=2=7E:81:&23an7;8e:kb6?6=3`k?6=44i8394?=n98?1<75`30494?=e;8<1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5:a>5<6290;w):7e;6:0>i5k80;6):7e;0`5>=z{:;=6=4={_122>;49?09o<5rs036>5<5sW;:963<17823g=z{h>1<77>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl<9c83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e;0i1<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=<6;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a76?=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e=;:1<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=6e;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:k:6=4::183!23>3>?7E:76:J734=#<1o18<5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21b=:=50;&7<`<6?k10c?l6:18'0=c=:j;07pl>7883><<729q/8985469K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;h347?6=,=2n6<9m;:k231<72->3i7?8b:9j523=83.?4h4>7c98m411290/85k516`8?l70?3:1(96j:05a?>i5j00;6):7e;0`5>=zj==<6=4::183!23>3>?7E:76:J734=#<1o18<5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21b=:=50;&7<`<6?k10c?l6:18'0=c=:j;07pl;7983>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`73<<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi8:o50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f11e29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo:8c;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg20m3:187>50z&703<3;2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=>?4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl:2283>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e=;>1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb406>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl;2183>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i76=4?{%672?233A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=n9>91<7*;8d823g=?4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a075=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn9<;:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg25=3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl;2783>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`762<72<0;6=u+454901=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?l70;3:1(96j:05a?>i5j00;6):7e;0`5>=zj=;o6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn9?j:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|`75c<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd61m0;6>4?:1y'010=:ko0D969;I645>"30l09ni5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn<7i:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg7f83:197>50z&703<3<2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=5$5:f>7e632wi=l?50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm1`094?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj8k86=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>a583>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`2e0<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6i?0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>oh4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;5<4sW;<70:=1;344>;3:;0::k5rs0:94?5|V82019<>:052?825;3;=j6s|1883>6}Y90168??51608914328a;296~X6i27?=n4>709~w4d=839pR41434>997?9f:p5f<72;qU=n5240a95264}r3f>5<3sW;n70?89;345>;3?>0:;<521`2952763;768237=:9h:1=:<4}r03>5<3sW8;70?89;347>;3?>0:;>521`29525m3;=j63>788231=:<>21=:>4=0;g>41634;j=7?81:p61<72?qU>95238d953`<58=26<9:;<64719>5d7=9>:0q~<::185[423498:7?9f:?0e4<6?916=:751648911f28{t:>0;6;uQ269>761=9?l01>o?:04e?87013;<;63;7c822c=:90o1=:?4}r0f>5<5sW8n70:=0;344>{t:o0;6?uQ2g9>076=9>;0q~6;:187[>334?9<7?81:?667<6?9169?=517d8yvg42909wSo<;<72a??63ty:;54?:3y]52><58=26?l6;|q2=f<72;qU=4m4=0;f>7d>3ty:5i4?:3y>5;|q2e5<72;q6=l>52c;894g128=;7p}>a083>7}:9h;1>o74=0c7>4173ty:m?4?:3y>5d4=:k3016<9>;|q2e1<72;q6=l:52c;894g128=:7p}>a483>7}:9h?1>o74=0;f>4173ty:m;4?:3y>5d0=:k301<7j:051?xu6k10;6>uQ1b:8911b2;h270:=6;344>{t:k?1<740c34?:i7o;;|q1g7<72;qU>n<4=3a0>7d>3ty8?94?:3y]762<5:926?l6;|q070<72:qU?>;4=21;>7d>349857?80:p760=838p1>=9:3`:?85403;<<6s|32594?4|5:9<6?l6;<107m:3`:?85>k3;<=6s|38f94?2|V:3o70=6c;344>;4i809n452530952752z?0=`<5j016?l?51638yv5>n3:1>v3<9g81f<=:;h;1=:<4}r1b4?6=:r78m=4=b89>7d7=9>90q~:>b;296~X39k168v3;1b81f<=:<8n1=:>4}r62`?6=:r7?=i4=b89>04`=9>:0q~:>e;296~;39l09n45240d95279<7>52z?765<5j0168?851638yv2593:1>v3;2081f<=:<8o1=:>4}r616?6=:r7?>?4=b89>071=9>:0q~:=3;296~;3::09n4524359527987>52z?761<5j0168?951608yv25=3:1>v3;2481f<=:<;=1=:=4}r612?6=:r7?>;4=b89>04b=9>;0q~:=7;296~;3:>09n45240g9527<;7>52z?732<5j0168:k51638yv2003:1>v3;7981f<=:<>n1=:>4}r64=?6=:r7?;44=b89>02e=9>:0q~:8a;296~;3?h09n45246a952752z?73g<5j0168:k51608yv20k3:1>v3;7b81f<=:<>n1=:?4}r64`?6=:r7?;i4=b89>02c=9>:0q~;>e;291~;29l08=;522b1953`<5:3i6<8i;<10=?70927:5k4>6g9~w07a2909w0;>e;321>;2:909n45rs402>5<5s4?:i7o=;<712?4e12wx9?<50;0x90452;h270;=6;344>{t=;91<77d>34?997?80:p172=838p18<;:3`:?835=3;<=6s|53794?4|5<8>6?l6;<712?7092wx9>=50;1xZ05434?9<7?80:?661<6>o1v898:180[30?27?;44>6g9>5d4=9?l0qpl:7c83>61=j80o>vF;709'010=;:90V4>53z33>42=9;0v(?;n:511?lg42900e9jk:188k0342900e9o<:188k05e2900c8:188m=2=831d9<950;9j7ag=831b8kh50;9j121=831b99?50;9j762=831d9>650;9j7o50;9l147=831d??;50;&051<4:=1e?<=50:9l775=83.8=94<259m745=921d?><50;&051<4:=1e?<=52:9l767=83.8=94<259m745=;21d?>>50;&051<4:=1e?<=54:9l77`=83.8=94<259m745==21d??k50;&051<4:=1e?<=56:9l77b=83.8=94<259m745=?21d??650;&051<4:=1e?<=58:9l771=83.8=94<259m745=121d??850;&051<4:=1e?<=5a:9l774=83.8=94<259m745=j21b4l4?:%120?>>3g9:?7>4;h:;>5<#;8>1445a30195>=n0>0;6)=>4;::?k56;3807d<;:18'742=::1e?<=50:9j67<72-9:87<<;o127?7<3`8;6=4+306966=i;891>65f1g83>!56<3887c=>3;18?l7b290/?<:5229m745=<21b=i4?:%120?443g9:?7;4;h3`>5<#;8>1>>5a30192>=n9k0;6)=>4;00?k56;3=07d?n:18'742=::1e?<=58:9j5<<72-9:87<<;o127??<3`;36=4+306966=i;891m65f1683>!56<3887c=>3;`8?l4a290/?<:5229m745=k21b>h4?:%120?443g9:?7j4;h0g>5<#;8>1>>5a3019a>=n:j0;6)=>4;00?k56;3l07d44?:%120?443g9:?7?=;:k145<3`8:6=4+306966=i;891=954b5:4>5<6290;w):;6;35a>N30?1C8:?4$5:f>1?33-8>n7;8e:m22a<72->3i76?49{%672?71>2B?4;5G4638 73e2<=n7do=:188md2=831b5<4?::k250<722e8=;4?::`053<72?0;6=u+49g953?=n<9;1<7*;8d8744=5$5:f>7e632wi85l50;394?6|,=2n697;;n0`5?6=,=2n6?m>;:p740=838pR>?9;<122?4d92wx=<;50;0xZ472349::7?8b:pe1<72;qUm95230497dc3k97p}61;296~X>9278=;4n3:p53c=838p1>?9:522?82?j38h=6srb21b>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c723?6=;3818v*;478221=O<1<0D99>;%06f?30m2c2=7>5;h321?6=3f9::7>5;c122?6==381:v*;478222=#:5<6=44i036>5<1<75f9083>>d6>>0;6;4?:1y'0=c=9?30e<9m:18'0=c=9>h07do=:18'0=c=i;10el=50;&7<`oj:18'0=c=;ho07bb2;i:76sm49;94?7=83:p(96j:3``?j4d<3:1(96j:3a2?>{t98?1<77}Y1816=;95a29~wd2=838pRl:4=044>6gb3ty8=84?:3y]743<58<<69>>;|q053<72;qU?<84=044>7e63ty?;?4?:3y>531=i;1685752b68yxd5290:6=4?{%644??<,=>=6<8j;%6;a?2><2.99o4:7d9l53b=83.?4h4=c098yv56>3:1>vP<179>740=;8<0q~?>5;296~X69<16?<851078yv?62909wS7>;<122??63ty>6=4<{<122?56=278=;4n4:?1>40c3-><<7m4}|`67`<72:0969u+4549532<@=2=7E:81:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a541=8391>7:t$565>4033A>3:6F;709'60d==>o0e4?50;9j543=831d?<850;9a740=83?1>78t$565>4003-8>n7;8e:m053<722c8=84?::k250<722cj87>5;h;2>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;=?6=93:11<7*;8d81g4=52z\250=:9?=1=:l4}r;2>5<5sW3:70?97;c0?xuf<3:1>vPn4:?222<4il1v>?::181[56=27:::4;009~w6712909wS=>6:?222<5k81v99=:181871?3k970:79;0`0>{zj;0;6<4?:1y'026=12.?8;4>6d9'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{t;8<1<739::6s|10794?4|V8;>70=>6;321>{t180;6?uQ909>740=181v84?:2y>740=;8?01>?9:`6897<6>m1/8:>5c:~f6cd290>6?49{%672?71>2B?4;5G4638 73e2<=n7do=:188md2=831b5<4?::k250<722e8=;4?::`053<72?0;6=u+49g953?=n<9;1<7*;8d8744=5$5:f>7e632wi85l50;394?6|,=2n697;;n0`5?6=,=2n6?m>;:p740=838pR>?9;<122?4d92wx=<;50;0xZ472349::7?8b:pe1<72;qUm95230497dc3k97p}61;296~X>9278=;4n3:p53c=838p1>?9:522?82?j38h=6srb260>5<42;0?w):;6;350>N30?1C8:?4$05g>`=#:i49?0;66g<1483>>o69<0;66gn4;29?l?62900n<88:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?13:1=7>50z&7<`<5jj1d>n:50;&7<`<5k810q~?>5;296~X69<16=;9516`8yv?62909wS7>;<353?g43tyj87>52z\b0>;6>>08mh5rs236>5<5sW9:963>668744=z{:;=6=4={_122>;6>>09o<5rs551>5<5s4;=;7o=;<6;=?4d<2wvn?4?:083>5}#<>:156*;47822`=#<1o184:4$37a>01b3f;=h7>5$5:f>7e632wx?<850;0xZ671349::7=>6:p543=838pR6}:;8<1?<;4=235>d2<5;0::i5+4629g>{zj:?86=4<:387!23>3;=86F;879K027<,8=o6h5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb26:>5<42;0?w):;6;350>N30?1C8:?4$05g>`=#:i49?0;66g<1483>>o69<0;66gn4;29?l?62900n<88:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?13:1=7>50z&7<`<5jj1d>n:50;&7<`<5k810q~?>5;296~X69<16=;9516`8yv?62909wS7>;<353?g43tyj87>52z\b0>;6>>08mh5rs236>5<5sW9:963>668744=z{:;=6=4={_122>;6>>09o<5rs551>5<5s4;=;7o=;<6;=?4d<2wvn?4?:083>5}#<>:156*;47822`=#<1o184:4$37a>01b3f;=h7>5$5:f>7e632wx?<850;0xZ671349::7=>6:p543=838pR6}:;8<1?<;4=235>d2<5;0::i5+4629g>{zj:?;6=4<:387!23>3;=86F;879K027<,8=o6h5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb5f2>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1bb?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9i<7>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1a5?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9i>7>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1a1?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9im7>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1ab?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9h87>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c70=?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th>994?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a144=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>k>:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg5b:3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`25<<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi99>50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f6`729096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo=ke;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=:ko0D969;I645>"30l09ni5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>l;:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb271>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm35`94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:>h6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c17`?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg53m3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=54;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb21`>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4;m0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:9m6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>:?:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb262>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4<;0;694?:1y'010=:kl0D969;I645>"30l09ni5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21d>o750;&7<`<5k810qo:k4;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a0a3=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e5$5:f>7e63A>3h65rb5f4>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3l10;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2ci3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=on7>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:kc;291?6=8r.?8;4=c19K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:k236<72->3i7?8b:9l6g?=83.?4h4=c098yg32>3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl:5683>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e=<21<7:50;2x 1212=90D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32e9n44?:%6;a?4d921vn8?;:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg36=3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl:1783>1<729q/8985429K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a70b=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;5$5:f>7e63A>3h65rb27e>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl<6c83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e;?i1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?;j50;194?6|,=>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5003:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl<7883>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`03d<72:0;6=u+45496gc<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:=o6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>9j:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg50n3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm39194?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7=2=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>6::180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb2:5>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4000;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a7=d=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;1i1<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=7f;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th85<4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl<9383>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:3=6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1:3?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5>03:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?:>50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm36394?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:=96=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>9<:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|`026<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4>=0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a730=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo==a;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=n4?:283>5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb2a5>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`0g<<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi?no50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f6ee29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo=lc;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj:in6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl1<729q/8985429K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7f1=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=l2;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;ki1<7;50;2x 1212=>0D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32c:;>4?:%6;a?70j21d>o750;&7<`<5k810qo=md;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a7g>=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;k31<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=je;290?6=8r.?8;4;3:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1>n?4;|`0a0<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4m?0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:o36=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1f=?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th8il4?:483>5}#<=<1895G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10e<9=:18'0=c=9>h07d?83;29 1>b28=i76a=b883>!2?m38h=65rb2ga>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4i:0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:k<6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1bN30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5f13:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e;hh1<7:50;2x 1212;hm7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`0ea<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4i=0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb40`>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`66`<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi9?h50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f057290?6=4?{%672?4en2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi9>?50;694?6|,=>=69=4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb43b>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo;>c;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;"30l09ni5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21d>o750;&7<`<5k810qo=i2;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:lj6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1ef?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th8jn4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a7cb=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>hj:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg5an3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?k=50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm3g694?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7c3=83>1<7>t$565>15<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj:l=6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>h8:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|`000<72<0;6=u+454901=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?l70;3:1(96j:05a?>i5j00;6):7e;0`5>=zj:>=6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c173?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5303:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?8;50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm34494?2=83:p(9:9:518L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb27:>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52z\23>;4>j0:;=5rs0:94?4|V8201>96:053?xu613:1>vP>9:?03c<6?91v6<9?;|q2f?6=:rT:n63<8c8235=z{8i1<7d;296~X6l278;?4>719~w4c=838pR4173ty:j7>52z\2b>;4180:;=5rs3294?4|V;:01>vP=1:?01a<6?81v?<50;0xZ74<5:826<9>;|q10?6=:rT9863<8g8234=z{;21<7709~w7g=838pR?o4=25g>4163ty9n7>52z\1f>;40:0:;<5rs3a94?4|V;i01>66:052?xu5l3:1?vP=d:?035<6?816?;=51638yv4b2909wSk4?:3y]6c=:;4}r:7>5;4jj0:;=523`4953`<5:k36<9?;<72g?71n278884>719>700=9>:0q~68:180[>0349j57?9f:?65d<6?81vl=50;30[g4349on77>;<10e??634?:;77>;<70a??634;:;77>;<1fg??6349??77>;<167??6349?577>;<1bb??6349i<77>;<1a5??6349i>77>;<1a1??6349im77>;<1ab??6349h877>;<166?71n2wx=<950;7x94702:;=70=m4;344>;3l<0:;=523c5953`<5<;j6<9?;|q25=<72;q6=<951078947>2;h27p}=b483>6}:<1=1=;j4=2fa>d2<5:oh6l:4}r116?6=:rT8>?5237296g?52z\066=:;?<1>o74}r112?6=:rT8>;5237f96g?52z\062=:;>91>o74}r1155Q3378964d2;h27p}<2883>7}:;;31>o74=20`>4173ty8>l4?:3y>77g=:k301>;|q06a<72;qU??j4=25b>7d>3ty8>h4?:3y]77c<5:2;6?l6;|q06c<72;qU??h4=2:5>7d>3ty8?=4?:3y]766<5:2h6?l6;|q074<72;qU?>?4=2;1>7d>3ty8??4?:3y]764<5:336?l6;|q071<72?qU?>:4=2a;>40a349io7?82:?66f<6>o16?9;51608963128=:7p}<3483>6}Y;:?01>o<:04e?85fi3;=j6s|32c94?4|V:9j70={t;:h1<7472349?>7=l:3`:?853:3;<=6s|32f94?4|5:9o6?l6;<10b?7092wx?>k50;0x965b2;h270=;0;345>{t;:l1<77d>349?=7?81:p716=838p1>:?:3`:?853:3;<>6s|35394?4|5:>:6?l6;<176?7082wx?9=50;3:853;39::63:598234=:=8<1=:?4=27g>417349>i7?9f:?02d<6?916?;l517d8961028=;70=88;35b>;4?m0:;=5236g953`<5:286<9?;<1;0?71n278444>719>7=g=9?l01>6i:053?85>83;=j63<948235=:;0<1=;h4=253>417349<=7?9f:?026<6?916?;:517d8964>28=;70==a;35b>{t;=>1<7472349?47:::3`:?853?3;<<6s|35494?4|5:>=6?l6;<173?7092wx?9950;0x96202;h270=;8;345>{t;=31<79t=26:>671349hm7?9f:?0ff<6?:16?l9517d8904c28;4=?0:;?5rs26b>5<5s49?57?>5:?00c<5j01v>:m:181853j38i563<4e8234=z{:>h6=4={<17g?4e12788h4>709~w62c2909w0=;d;0a=>;45<5s49?i7;?:181852839::63:2g822c=z{:?:6=4<{<164??634>o=77>;<166?4e12wx?8=50;0x96342:;=70;=e;35b>{t;<>1<7472349>m7;::3`:?852?3;<=6s|34494?4|5:?=6?l6;<16{t;<21<77d>349>57?81:p70?=838p1>;6:3`:?852i3;<=6s|34a94?4|5:?o6?l6;<154?7082wx?8j50;0x963b2;h270=:f;345>{t;7d>349=<7?81:p734=838p1>8<:3`:?851>3;<<6s|37194?4|5:{t;?31<77d>349=h7?80:p73g=838p1>8m:3`:?851k3;<=6s|37`94?4|5:{t;>:1<77d>349<>7?81:p727=838p1>9=:3`:?850;3;<=6s|36494?4|5:=<6?l6;<14e?7082wx?:950;0x961?2;h270=89;345>{t;>21<77d>3499k:3`:?85?83;<<6s|36f94?4|5:=n6?l6;<14b?7092wx?:k50;0x961a2;h270=70;345>{t;181<77d>3493:7?80:p7=5=838p1>6;:3`:?85?=3;<=6s|39694?4|5:2>6?l6;<1;2?7092wx?5650;0x96>>2;h270=7c;344>{t;131<77d>3493n7?81:p7=g=838p1>6m:3`:?85?k3;<=6s|39g94?4|5:2m6?l6;<1:6?7082wx?5h50;0x96?72;h270=61;345>{t;0:1<77d>3492>7?81:p7<2=838p1>7::3`:?85>03;<<6s|38794?4|5:3=6?l6;<1:3?7092wx?4850;0x96?02;h270=68;345>{t;0k1<7h6<8i;<10a?71n27?h;4>6g9>7fd=9?l01>m>:053?85el3;=j634173ty8m>4?:3y>7d5=:k301>om:052?xu4i=0;6?u23`696g?<5:k>6<9>;|q0e3<72;q6?l852c;896ge28=;7p}7}:;h=1>o74=2ca>4153ty8m54?:3y>7d>=:k301>o;:053?xu4i00;6?u23`;96g?<5:kh6<9?;|q0ed<72;q6?lo52c;896gd28=:7p}7}:;hh1>o74=2cg>4163ty8mn4?:3y>7de=:k301>o;:052?xu4im0;6?u23`f96g?<5:k>6<9?;|q0ec<72>q6?lh53048962a28=:70=;1;344>;3l00:;=523bg9526<5:o26<8i;<1eg?71n2wx?o>50;7x96ga28;>70=m0;122>;3l=0:;=523d:953`<5:li6<8i;|q0f4<725107896d62:;=70:k4;345>;4m>0::k523g;953`7>57z?0f7<49?16?9j51628965a28=;70:k7;344>;4kj0:;=523b09527<5:?>6<9>;|q0f6<72;q6?o<5107896d32;h27p}0}:;k?1?<84=2`7>4163498o7?80:?7`0<6?816?o651628yv5e>3:1>v3o74}r1a3?6=:r78n:4=b89>7g>=9>;0q~=m8;296~;4j109n4523c;952755z?015<69<16?oo53048965d28=:70=m9;344>;4<10:;=5rs2`a>5<5s49im7?>5:?0f`<5j01v>ll:18185ek38i563709~w6da290=w0=m1;321>;4jo08=;524e59527<5:o=6<8i;<1ee?71n2789:4>719~w6e72909w0=mf;321>;4k:09n45rs2a2>5<5s49h=7m=:18185d:38i5632788h4>719>716=9>:019jn:053?85dn3;<=63416349>57?80:p7f3=838p1>m;:036?85d?38i56s|3b494?4|5:i=6?l6;<1`g?7092wx?n650;0x96e?2;h270=ld;345>{t;j31<77d>349hh7?80:p7fg=838p1>mn:3`:?85dl3;<>6s|3b`94?4|5:ii6?l6;<1`b?70:2wx?nm50;0x96ed2;h270=le;345>{t;jn1<77d>349hj7?80:p7fc=838p1>mj:3`:?85d?3;<<6s|3bd94?4|5:im6?l6;<1`3?7092wx?io50;5xZ6bf349n>7?9f:?605<6>o16?hk5160896c228=:70=i8;35b>;4nl0::k5rs2fa>5<4s49on7=>6:?0a`<6?916?l651638yv5ck3:1?v3o74=2fe>4173ty8hi4?:3y>7ad=i;16?ih52c;8yv5cm3:1>v37`5=9>:0q~=j1;296~;4m;09n4523d1952752z?0``<6>o16?hl52c;8yv5b=3:1>v34}r1f2?6=:r78i;4=b89>7`g=9>:0q~=j7;296~;4m>09n4523dc952752z?0a=<5j016?ho51608yv5b13:1>v37`d=9>;0q~=jc;29<~;4mj08=;5235`953`<5:9o6<8i;<6g6g9>7f4=9>:01>ok:053?852=3;<<6s|3df94?4|5:oh6h?:3`:?xu4n80;6?u23g2953`<5:l<6?l6;|q0b7<72;q6?k<52c;896`a28=;7p}7}:;o91>o74=2d6>4153ty8j94?:3y>7c2=:k301>h8:052?xu4n<0;6?u23g796g?<5:l=6<9?;|q0b3<72;q6?k852c;896`028=;7p}7}:;o21>o74=2de>4163ty8j44?:3y>7c?=:k301>h::053?xu4nh0;6?u23gc96g?<5:l86<9?;|q0bg<72;q6?kl52c;896`428=:7p}7}:;oi1>o74=2d5>4163ty8ji4?:3y>7cb=:k301>h;:053?xu4nl0;6?u23gg96g?<5:l?6<9>;|q0bc<72;q6?kh52c;896`228=:7p};a283>6}Y{t47234>oo76?l6;<6g=?7092wx8i850;0x91b12;h270:ka;345>{t7d>34>on7?80:p0a>=838p19j7:3`:?82cj3;<=6s|4e;94?4|5=n26?l6;<6gg?7092wx8io50;0x91bf2;h270:kc;346>{t7d>34>oo7?83:p0ab=838pR9jk;<32=?71n2wx8kh50;0xZ1`a34?:97?9f:p147=838pR8?>;<726?4e12wx9<=50;0x9075286;0a=>{t=8>1<77d>34?::7?80:p143=838p18?::3`:?836>3;<>6s|50594?4|V<;<70;>7;122>{t=831<747234?:h7d;346>{t=;h1<7{t=;o1<77d>34?8=7?80:p17`=838p186s|52294?4|5<9;6?l6;<705?7092wx9>650;0xZ05?34?857k50;4x905b2:;=70=j1;35b>;4ml0:;<523d79526<5:l96<8i;<1e`?71n2wx9>h50;0x905b28;>70;;0;0a=>{t==;1<7{t=40a34?>47o169<:517d8907e28of;3:17d?65;29?l7d03:17d?71;29?l7e93:17b?l9;29?l2f;3:17d6;:188k4ee2900e9hi:188m4?d2900e898:188m0262900c8l556g8k40c290/85k52b38?xd6mj0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`754<72<096;u+4549530<@=2=7E:81:&23an7;8e:kb6?6=3`k?6=44i8394?=n98?1<75`30494?=e;8<1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5:a>5<6290;w):7e;6:0>i5k80;6):7e;0`5>=z{:;=6=4={_122>;49?09o<5rs036>5<5sW;:963<17823g=z{h>1<76?49{%672?71>2B?4;5G4638 73e2<=n7do=:188md2=831b5<4?::k250<722e8=;4?::`053<72?0;6=u+49g953?=n<9;1<7*;8d8744=5$5:f>7e632wi85l50;394?6|,=2n697;;n0`5?6=,=2n6?m>;:p740=838pR>?9;<122?4d92wx=<;50;0xZ472349::7?8b:pe1<72;qUm95230497dc3k97p}61;296~X>9278=;4n3:p53c=838p1>?9:522?82?j38h=6srb`c94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb3`2>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c6af?6==381:v*;478223=O<1<0D99>;%06f?30m2cj>7>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=2i6=4>:183!2?m3>286a=c083>!2?m38h=65rs235>5<5sW9::63<1781g4=z{8;>6=4={_321>;49?0:;o5rs`694?4|Vh>01>?9:2cf?xuf:3:1>vPn2:?0535rs04f>5<5s49::7:?1:?77<3s->?:7?94:J7<3=O<>;0(?;m:45f?l?62900e?9:186>7<1s->?:7?97:&11g<2?l1d?<850;9j743=831b=<;50;9je1<722c2=7>5;c353?6=>3:1h1<7*;8d823g==ni:0;6):7e;c0?>o3880;6):7e;635>=n;ho1<7*;8d80e`=5}#<1o1>om4o3a7>5<#<1o1>n?4;|q250<72;qU=<;4=044>41e3ty2=7>52z\:5>;6>>0j?6s|a583>7}Yi=16=;953`g8yv56=3:1>vP<149>531=<9;0q~=>6;296~X49?16=;952b38yv20:3:1>v3>668b6>;30009o95r}c094?7=83:p(99?:89'010=9?o0(96j:5;7?!42j3?6e83>!2?m38h=65rs235>5<5sW9::63<178053=z{8;>6=4={_321>;49?0:=85rs8394?4|V0;01>?9:838yv3=839p1>?9:236?856>3k?70<517f8 1172j1vqo<=2;297?4=659K0=0<@==:7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl>b283>6<52=q/89851768L1>13A><=6*=5c863`=n180;66g>1483>>i49?0;66l<1783>0<52?q/89851758 73e2<=n7b=>6;29?l56=3:17d?>5;29?lg32900e4?50;9a531=83<1<7>t$5:f>40>3`;5$5:f>41e32cj>7>5$5:f>d4<3`k86=4+49g9e6=5$5:f>6gb32e9o<4?:%6;a?4d921vn966:182>5<7s->3i73i75:?222<6?k1v4?50;0xZ<7<58<<6l=4}rc7>5<5sWk?70?97;1ba>{t;8?1<7;=6s|30494?4|V:;=70?97;0`5>{t<>81<7d4<5=226?m;;|a6?6=93:13;=i6*;8d87=1=#:5<#<1o1>n?4;|q053<72;qU?<84=235>6713ty:=84?:3y]543<5:;=6{t=3:1?v3<178050=:;8<1m9522;35`>"3?90h7psm22594?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb0aa>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c3a6?6=;3818v*;478221=O<1<0D99>;%06f?30m2c2=7>5;h321?6=3f9::7>5;c122?6==381:v*;478222=#:5<6=44i036>5<1<75f9083>>d6>>0;6;4?:1y'0=c=9?30e<9m:18'0=c=9>h07do=:18'0=c=i;10el=50;&7<`oj:18'0=c=;ho07bb2;i:76sm49;94?7=83:p(96j:3``?j4d<3:1(96j:3a2?>{t98?1<77}Y1816=;95a29~wd2=838pRl:4=044>6gb3ty8=84?:3y]743<58<<69>>;|q053<72;qU?<84=044>7e63ty?;?4?:3y>531=i;1685752b68yxd5290:6=4?{%644??<,=>=6<8j;%6;a?2><2.99o4:7d9l53b=83.?4h4=c098yv56>3:1>vP<179>740=;8<0q~?>5;296~X69<16?<851078yv?62909wS7>;<122??63ty>6=4<{<122?56=278=;4n4:?1>40c3-><<7m4}|`176<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl>c583>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd61=0;6>4=:5y'010=9?>0D969;I645>"6?m0n7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl;3283>6<52=q/89851768L1>13A><=6*>7e8f?!42j3?6;29?g56>3:197<56z&703<6>>1/>8l556g8k6712900e>?::188m4722900el:50;9j=4<722h:::4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=?=83;1<7>t$5:f>7dd3f8h87>5$5:f>7e632wx=<;50;0xZ47234;=;7?8b:p=4<72;qU5<521759e6=z{h>1<77}Y;8?01<88:522?xu49?0;6?uQ304894002;i:7p};7383>7}:9?=1m?5249;96f2:183!208330(9:9:04f?!2?m3>286*=5c863`=h9?n1<7*;8d81g4=52z\053=:;8<1?<84}r321?6=:rT:=852304954333:7p}::180856>39:963<178b0>;5280;6>4=:5y'010=9?>0D969;I645>"6?m0n7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl>c`83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e5$5:f>7e63A>3h65rb0a5>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`74?6=;3:1;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e5$5:f>7e63A>3h65rb567>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i7?97>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:i0;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th?j?4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a0c5=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=nj50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm1bg94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj8im6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn9=6:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg24j3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8>m50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm42f94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj=9n6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c60b?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2383:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=?=7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm45094?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a06g=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=897>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:<6;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a0f6=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e5$5:f>7e63A>3h65rb5a1>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6ml0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5c6=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb0d1>5<3290;w):;6;0ab>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?j4e13:1(96j:3a2?>{e9m;1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=i950;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f4b?29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo?k9;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg7cj3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl>db83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9mn1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0ff>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6l;0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>d583>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm22;94?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a616=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn?:>:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg43:3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl=4283>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e:=>1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb366>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`102<72:0;6=u+45496gc<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;9j6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn?=m:187>5<7s->?:7:<;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg44l3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi>>k50;694?6|,=>=69=4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb31e>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6m?0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb0g;>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`2ad<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6mk0;694?:1y'010=<:1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi=o;50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm1ca94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj8ho6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c3aa?6=;3:1;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e9kl1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0a3>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`2g7<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6k:0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=h:k31<7*;8d81g4=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo?m9;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a5gg=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e9kh1<7:50;2x 1212;hm7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl=2583>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`16g<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi>?m50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f74c29086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=h4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a67`=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn?=?:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg4493:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=7>52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`160<72=0;6=u+454906=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?j4e13:1(96j:3a2?>{e:;<1<7:50;2x 1212=90D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32e9n44?:%6;a?4d921vn?<8:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb30;>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd5:00;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=l4?:583>5}#<=<1>oh4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th?=94?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a043=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn9?9:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg26?3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=:47>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm40;94?2=83:p(9:9:518L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52z\04>;4839::6s|3d83>c}Y;l16?h4<179>5fg=9?l019=m:053?87bn3;=j63>d88235=:9mh1=;h4=360>40a3488m7?80:?2a=<6>o16=n?517d894e528=:70<<0;35b>;5;80:;<52407953`d4<5=:1>o74}r:7>5;3980:=8524cg953`<58io6<9>;<60g?70927?o<4>6g9>5c6=9?l013;=j6s|a283>45|Vh901k5909>ed<>9279n<461:?7fg<>9278<77>;<016??634;i?77>;<003??634;hn77>;<3a6??63488?77>;<3`0??634;n877>;<3:0??634>8?77>;<603??63tyjm7>52z?be?56>27?<7?80:peg<72;q6ml4>149>013=:k30q~?71;296~X60816=4:51078yv7><3:19v3>958053=:<:31=;h4=516>41634;o;7?9f:?105<6>o1v<7::181[7>=27?o=4>709~w4?d290>wS?6c:?7b5<6?916=nj51628915d28=;70:>9;345>{t9k;1<7?9;<3fa?7092wx=o=50;6xZ4d434;i?7=>6:?2f0<6?916=o851638yv7e<3:1>v3>b28250=:9kh1>o74}r3a1?6=:r7:n84=b89>5gd=9>;0q~?m6;296~;6j?09n4521c;952652z?2f2<5j016=o751638yv7e03:1>v3>b981f<=:9kh1=:<4}r3a=?6=:r7:n44=b89>5gg=9>:0q~?ma;296~;6jh09n4521c`952652z?2ff<5j016=o851628yv7el3:1>v3>be81f<=:9k<1=:<4}r3aa?6=:r7:nh4=b89>5g1=9>;0q~?mf;296~;6jo09n4521c5952652z?2g5<5j016=o951608yv7d93:1>v3>c081f<=:9kk1=:?4}r3`6?6=:r7:o?4=b89>5g>=9>:0q~?l3;296~;6k:09n4521c:952752z?2g1<49?16=n<51628yv7d=3:1>v3>c58250=:9j<1>o74}r3`;<6234;hm727:h<4>719~w4ed290;6lh0:;=5222`9527<58ho6<8i;<3aa?709279>o4>6g9>67b=9>;0q~?ld;296~;6km09n4521bd952652z?2g`<5j016=nh51638yv7c83:1>v3>cc8250=:9m<1>o74}r3g5?6=:r7:h<4=b89>5a5=9>:0q~?k2;296~;6l;09n4521e6952752z?2`6<5j016=i851628yv7c<3:1>v3>d581f<=:9m?1=:?4}r3g1?6=:r7:h84=b89>5a0=9>;0q~?k7;296~;6l>09n4521eg952652z?2`=<5j016=ik51638yv7c13:1>v3>d881f<=:9m>1=:>4}r3ge?6=:r7:hl4=b89>5a4=9>:0q~?kb;296~;6lk09n4521e0952752z?2`f<5j016=i;51628yv7cl3:1>v3>de81f<=:9m?1=:<4}r3ga?6=:r7:hh4=b89>5a5=9>;0q~?j4;297~;6m=08=;521e;9527<5;>96<8i;|q2a0<72;q6=h852c;894ce28=:7p}>e783>7}:9l=1>o74=0gb>4173ty:i:4?:3y>5`>=:k301eb83>7}Y9li01o74}r3fa?6=:r7:ih4=b89>5c4=9>;0q~?jf;296~;6mo09n4521g3952752z?2b5<5j016=k<51608yv7a93:1>v3>f081f<=:9o81=:>4}r016?6=?522309740<5;8?6<9?;<011?7092wx>?=50;0x974528;>70<=a;0a=>{t:;>1<77d>3489m7?81:p673=838p1?<::3`:?84503;<<6s|23494?4|5;8=6?l6;<01?950;0x97402;h270<=a;346>{t:;21<77d>348957?80:p67?=838p1?<6:3`:?845i3;<<6s|23`94?4|5;8i6?l6;<011?7082wx>?m50;0x974d2;h270<=5;346>{t:;n1<77d>3489:7?81:p67c=838p1?3;<<6s|23d94?4|5;8m6?l6;<012?70:2wx>>>50;0x97572;h270<=9;345>{t::;1<77d>3489;7?80:p664=838p1?==:3`:?845?3;<=6s|22194?4|5;986>?9;<005?7082wx>>:50;0x975428;>70<<5;0a=>{t::=1<7=t^314?844?39::63=388235=z{;936=4={<003?76=279?k4=b89~w75>2909w0<<9;0a=>;5;j0:;=5rs31b>5<5s488m7719~w75c2909w0<;5;l0:;<5rs31f>5<5s488i7:6=4={<075?4e12798:4>709~w7252909w0<;2;0a=>;5;h0:;<5rs360>5<5s48??7>6=4={<071?4e1279?h4>719~w7212909w0<;6;0a=>;5;l0:;?5rs364>5<5s48?;7:18685b28;>70;5;:0j>63>c58b6>;383;<=6s|2c094?5|5;h:61;c7?85b2h>019lm:`6897542h>010q~40a34>h<7?80:?2a`<6?916=h7517d8yv26:3:1>v3;108b6>;39h09n45rs530>5<5s4>:?76=4={<621?4e127?=44>739~w1712909w0:>6;0a=>;39h0:;<5rs534>5<5s4>:;7719~w154290:1=;h4=51f>40a34>897?80:?2`=<6>o16=im517d8972628;6m>0:;<521c79527<58i;6<8i;<3`7?71n279>94>709>67`=9?l01?==:04e?826<3;=j6s|42694?4|5=986;50;0x91522;h270:<6;345>{t<:=1<7??{<13>47234>8;7=>6:?700<6?9168>l51638915a28=;70:<6;344>;6l80:;<521ef953`<5;926<9>;<072?71n27:i:4>719>5ge=9?l01149>06g=:k30q~:<9;296~;3;009n45242d95278n7>52z?77g<5j01689?51628yv24k3:1>v3;3b81f<=:<=:1=:>4}r60`?6=:r7??i4=b89>016=9>;0q~:8j7>52z?77c<5j0168>o51628yv2383:1>v3;4181f<=:<=;1=:?4}r675?6=:r7?8<4=b89>014=9>:0q~:;2;296~;3<;09n45242c9527??7>52z?701<5j01689;51638yv2f;3:1>vP;a29>0c7=9?l0q~:mb;296~X3jk168ol53048yv2el3:1>v3;bc8250=:o74}r6ab?6=:r7?no4n2:?7g7<5j01v9m?:18182d838i563;c38235=z{=i:6=4={<6`5?4e127?o?4>709~w1cd2909wS:jc:?7aa<5j01v9ki:18182bl3;=j63;f581f<=z{=l;6=4={<6e4?4e127?j94>719~w1`62909w0:i1;0a=>;3n:0:;=5rs5d1>5<5s4>m>7;6k?0::k521cd953`53z\604=:::?1=;h4=30f>40a3ty>;:4?:4y]121<58o?6709>612=9?l0qpl;9683>47=9k099vF;709'010=9?i0V4>51z35>x"5=h0???5`57g94?=n9j21<75`4ef94?=n9j31<75f4`194?=h0=0;66g>6383>>i3?o0;66g<1683>>o4;=0;66g<9`83>>o6?10;66g<3`83>>o6mj0;66a7a;29 6732130b>?<:198k=>=83.8=9479:l056<632e3;7>5$237>=?p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb9a94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb9`94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb5fg>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c64b?6=;3818v*;478221=O<1<0D99>;%06f?30m2c2=7>5;h321?6=3f9::7>5;c122?6==381:v*;478222=#:5<6=44i036>5<1<75f9083>>d6>>0;6;4?:1y'0=c=9?30e<9m:18'0=c=9>h07do=:18'0=c=i;10el=50;&7<`oj:18'0=c=;ho07bb2;i:76sm49;94?7=83:p(96j:3``?j4d<3:1(96j:3a2?>{t98?1<77}Y1816=;95a29~wd2=838pRl:4=044>6gb3ty8=84?:3y]743<58<<69>>;|q053<72;qU?<84=044>7e63ty?;?4?:3y>531=i;1685752b68yxd5290:6=4?{%644??<,=>=6<8j;%6;a?2><2.99o4:7d9l53b=83.?4h4=c098yv56>3:1>vP<179>740=;8<0q~?>5;296~X69<16?<851078yv?62909wS7>;<122??63ty>6=4<{<122?56=278=;4n4:?1>40c3-><<7m4}|`7;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk?=i7>54;091~"301b3`3:6=44i036>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo?50;394?6|,==;655+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w6722909wS=>5:?053<49<1v149~w<7=838pR4?4=235><71<7d2<580::i5+4629f>{zj1?1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb9494?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2d29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo:j7;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8h:50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f1c229086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj<=?6=4;:183!23>3>87E:76:J734=#<1o18<5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21d>o750;&7<`<5k810qo:71;291?6=8r.?8;4;4:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7a7=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;m81<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?i=50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm3e694?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:n>6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`0`2<72:0;6=u+45496gc<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:n36=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>j6:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|q76:?;2?7082wx8l4?:3y>0=<>927?57149>0f<5j01v5:50;4xZ=2<51h1=<;4=9496g?<5=o86<9?;<740?70927?4<4>719~w=3=838p15;52c;89=0=9>;0q~6m:187[>0342h667134>3=7?81:p<2<72=qU45528e8250=:0j08=;524939524c2:;=70:71;347>{t9?81<76t^041?8>c20;015m5909>927?hi461:?73c<>927>:h461:?7=?71n2wx=:650;1xZ41?34>n87?9f:?631<6?;1v4>719>7a2=9?l0q~?l9;296~X6k016?i=51638yv7bk3:1>vP>eb9>7a3=9>:0q~=>7;297~X49>16?i?5162896b128=;7p}<3583>7}Y;:>01>j=:052?xu4;h0;6?uQ32c896b528=;7p}<9`83>7}Y;0k01>j>:052?xu4l90;6>u24d19527<5<=?6<9?;<1g=?4e12wx?i?50;0x96b62;h270=k5;345>{t;m81<77d>349o47?80:p7a5=838p1>j<:3`:?85c?3;<<6s|3e694?4|5:n?6?l6;<1g2?7092wx?i;50;0x96b22;h270=k9;344>{t;m<1<77d>349o;7?81:p7a1=838p1>j8:3`:?85c03;<=6s|3e:94?4|5:n36?l6;<1g=?7092wx8:h50;0xZ11a34>6:p0=6=838p199i:036?82?938i56s|4`194?2|V=k870;9e;121>;?=3;=j63;c;35b>{t{t1<77d>34>n97?81:p0`0=838p19jk:036?82b?38i56s|57g94?4|V<{t=>91<740a34?<8738i56srb5;;>5<6>3h26n;tH552?!23>3;246*=5`8777=h90?1<75f57g94?=hcc83>>o>:3:17b:if;29?l7d?3:17d9l:188k0262900e?=9:188m7502900e9ln:188k1c?2900c9h::188k66=831d98750;9a0=1=83;1<7>t$565>40b3A>3:6F;709'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{e=<31<7;52;4x 12128<=7E:76:J734=#:6;29?g56>3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8c83>4<729q/85k54868k7e6290/85k52b38?xu49?0;6?uQ304896712;i:7p}>1483>7}Y98?01>?9:05a?xuf<3:1>vPn4:?053<4il1vl<50;0xZd4<5:;=6l<4}r;2>5<5sW3:70=>6;c0?xu6>l0;6?u23049057<5=2i6?m>;|a56c=8391>7:t$565>4033A>3:6F;709'60d==>o0e4?50;9j543=831d?<850;9a740=83?1>78t$565>4003-8>n7;8e:m053<722c8=84?::k250<722cj87>5;h;2>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;=?6=93:11<7*;8d81g4=52z\250=:9?=1=:l4}r;2>5<5sW3:70?97;c0?xuf<3:1>vPn4:?222<4il1v>?::181[56=27:::4;009~w6712909wS=>6:?222<5k81v99=:181871?3k970:79;0`0>{zj;0;6<4?:1y'026=12.?8;4>6d9'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{t;8<1<739::6s|10794?4|V8;>70=>6;321>{t180;6?uQ909>740=181v84?:2y>740=;8?01>?9:`6897<6>m1/8:>5c:~f45f29086?4;{%672?71<2B?4;5G4638 73e2<=n7d7>:188m4722900c>?9:188f671290>6?49{%672?71?2.99o4:7d9l740=831b?<;50;9j543=831bm94?::k:5?6=3k;=;7>56;294~"30l0::45f16`94?"30l0:;o54i`094?"30l0j>65fa283>!2?m3k876g;0083>!2?m3>;=65f3`g94?"30l08mh54o3a2>5<#<1o1>n?4;|`7<<<7280;6=u+49g96ge668b7>{ti=0;6?uQa59>531=;ho0q~=>5;296~X49<16=;954138yv56>3:1>vP<179>531=:j;0q~:82;296~;6>>0j>63;8881g1=zuk81<7?50;2x 117201/898517g8 1>b2=3?7)<:b;74a>i6>m0;6):7e;0`5>=z{:;=6=4={_122>;49?08=;5rs036>5<5sW;:963<178250=z{0;1<770=>6;c7?84=9?n0(99?:b9~yg74>3:1?7<54z&703<6>=1C8584H552?!42j3?6;29?g56>3:197<56z&703<6>>1/>8l556g8k6712900e>?::188m4722900el:50;9j=4<722h:::4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=?=83;1<7>t$5:f>7dd3f8h87>5$5:f>7e632wx=<;50;0xZ47234;=;7?8b:p=4<72;qU5<521759e6=z{h>1<77}Y;8?01<88:522?xu49?0;6?uQ304894002;i:7p};7383>7}:9?=1m?5249;96f2:183!208330(9:9:04f?!2?m3>286*=5c863`=h9?n1<7*;8d81g4=52z\053=:;8<1?<84}r321?6=:rT:=852304954333:7p}::180856>39:963<178b0>;5284=:5y'010=9?>0D969;I645>"5=k0>;h5f9083>>o69<0;66a<1783>>d49?0;684=:7y'010=9?=0(?;m:45f?j56>3:17d=>5;29?l76=3:17do;:188m<7=831i=;950;494?6|,=2n6<86;h34f?6=,=2n6<9m;:kb6?6=,=2n6l<4;hc0>5<#<1o1m>54i522>5<#<1o18=?4;h1ba?6=,=2n6>oj;:m1g4<72->3i7>290:6=4?{%6;a?4ek2e9o94?:%6;a?4d921v7c9~w<7=838pR4?4=044>d570?97;635>{t;8<1<77e33twi>7>51;294~"3?9027):;6;35a>"30l0?595+24`912c?9;|q250<72;qU=<;4=235>4723ty2=7>52z\:5>;49?02=6s|5;297~;49?08=8523049e1=::3;=h6*;718`?x{e;90;6>4=:5y'010=9?>0D969;I645>"5=k0>;h5f9083>>o69<0;66a<1783>>d49?0;684=:7y'010=9?=0(?;m:45f?j56>3:17d=>5;29?l76=3:17do;:188m<7=831i=;950;494?6|,=2n6<86;h34f?6=,=2n6<9m;:kb6?6=,=2n6l<4;hc0>5<#<1o1m>54i522>5<#<1o18=?4;h1ba?6=,=2n6>oj;:m1g4<72->3i7>290:6=4?{%6;a?4ek2e9o94?:%6;a?4d921v7c9~w<7=838pR4?4=044>d570?97;635>{t;8<1<77e33twi>7>51;294~"3?9027):;6;35a>"30l0?595+24`912c?9;|q250<72;qU=<;4=235>4723ty2=7>52z\:5>;49?02=6s|5;297~;49?08=8523049e1=::3;=h6*;718`?x{e91;1<7=52;6x 12128i49?0;66g<1483>>o69<0;66gn4;29?l?62900n<88:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?13:1=7>50z&7<`<5jj1d>n:50;&7<`<5k810q~?>5;296~X69<16=;9516`8yv?62909wS7>;<353?g43tyj87>52z\b0>;6>>08mh5rs236>5<5sW9:963>668744=z{:;=6=4={_122>;6>>09o<5rs551>5<5s4;=;7o=;<6;=?4d<2wvn?4?:083>5}#<>:156*;47822`=#<1o184:4$37a>01b3f;=h7>5$5:f>7e632wx?<850;0xZ671349::7=>6:p543=838pR6}:;8<1?<;4=235>d2<5;0::i5+4629g>{zj8l86=4<:387!23>3;=86F;879K027<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk8?47>53;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?0531}#<=<1=;:4H5:5?M2092.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&7351}#<=<1=;:4H5:5?M2092.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735=6<8;;I6;2>N3?81/>8l556g8m<7=831b=<;50;9l740=831i?<850;796?0|,=>=6<88;%06f?30m2e8=;4?::k050<722c:=84?::kb0?6=3`3:6=44b044>5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=357>51;294~"30l09nn5`2b694?"30l09o<54}r321?6=:rT:=852175952d5:?222<3881v>?9:181[56>27:::4=c09~w1152909w0?97;c1?82?138h86srb383>4<729q/8:>59:&703<6>l1/85k54868 73e2<=n7b?9d;29 1>b2;i:76s|30494?4|V:;=70=>6;122>{t98?1<73;:96s|9083>7}Y1816?<85909~w0<72:q6?<85307896712h>01?4>6e9'026=k2wvn9hi:180>7<3s->?:7?94:J7<3=O<>;0(?;m:45f?l?62900e?9:186>7<1s->?:7?97:&11g<2?l1d?<850;9j743=831b=<;50;9je1<722c2=7>5;c353?6=>3:1h1<7*;8d823g==ni:0;6):7e;c0?>o3880;6):7e;635>=n;ho1<7*;8d80e`=5}#<1o1>om4o3a7>5<#<1o1>n?4;|q250<72;qU=<;4=044>41e3ty2=7>52z\:5>;6>>0j?6s|a583>7}Yi=16=;953`g8yv56=3:1>vP<149>531=<9;0q~=>6;296~X49?16=;952b38yv20:3:1>v3>668b6>;30009o95r}c094?7=83:p(99?:89'010=9?o0(96j:5;7?!42j3?6e83>!2?m38h=65rs235>5<5sW9::63<178053=z{8;>6=4={_321>;49?0:=85rs8394?4|V0;01>?9:838yv3=839p1>?9:236?856>3k?70<517f8 1172j1vqo:n9;297?4=659K0=0<@==:7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl;e983>6<52=q/89851768L1>13A><=6*=5c863`=n180;66g>1483>>i49?0;66l<1783>0<52?q/89851758 73e2<=n7b=>6;29?l56=3:17d?>5;29?lg32900e4?50;9a531=83<1<7>t$5:f>40>3`;5$5:f>41e32cj>7>5$5:f>d4<3`k86=4+49g9e6=5$5:f>6gb32e9o<4?:%6;a?4d921vn966:182>5<7s->3i73i75:?222<6?k1v4?50;0xZ<7<58<<6l=4}rc7>5<5sWk?70?97;1ba>{t;8?1<7;=6s|30494?4|V:;=70?97;0`5>{t<>81<7d4<5=226?m;;|a6?6=93:13;=i6*;8d87=1=#:5<#<1o1>n?4;|q053<72;qU?<84=235>6713ty:=84?:3y]543<5:;=6{t=3:1?v3<178050=:;8<1m9522;35`>"3?90h7psm14f94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb557>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c3:1?6=<3819v*;478220=O<1<0D99>;%06f?30m2cj87>5;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo=50;394?6|,==;6l5+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1vl:50;0xZd2<5:;=6l:4}r;2>5<5sW3:70=>6;;2?xu12909w0=>6;121>;4280;694=:4y'010=9??0D969;I645>"5=k0>;h5fa583>>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`0>5<6290;w):80;c8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}rc7>5<5sWk?70=>6;c7?xu>93:1>vP61:?053<>92wx:7>52z?053<49<16?7?9d:&735=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a655=8391>7:t$565>4033A>3:6F;709'52b=m2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735=:50;196?2|,=>=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a65?=8391>7:t$565>4033A>3:6F;709'52b=m2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a644=8391>7:t$565>4033A>3:6F;709'52b=m2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735<=50;196?2|,=>=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a64g=8391>7:t$565>4033A>3:6F;709'52b=n2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735o69h0;66g>1c83>>i3890;66l;9383>6<729q/89854978L1>13A><=6*;8d87<1=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7a:?7=7<6?81v719~w1672909wS:?0:?7=7<5j01vqo?;3;297?7=;rB?;<5+454960`5<5<4290;w):;6;6;1>N30?1C8:?4$5:f>1>33-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xu69h0;6?uQ10c891?528=:7p}>1c83>7}Y98h0197=:053?xu3890;6?uQ412891?52;h27psm15094?5=939pD99>;%672?42n2c:=l4?::k25g<722e?<=4?::`7=7<72:0;6=u+45490=3<@=2=7E:81:&7<`<30=1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=z{8;j6=4={_32e>;31;0:;<5rs03a>5<5sW;:n63;938235=z{=:;6=4={_634>;31;09n45r}c6e2?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:i<4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5`4=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb5ga>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3??0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj<;;6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c766?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th>9h4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a516=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn<:>:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb01`>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo?<8;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=h4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a510=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e9=21<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8ll50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f4`229096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo?i6;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;0;694?:1y'010=<:1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th9=h4?:283>5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb33e>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=1783>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e:8=1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb33;>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i729086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;:i6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c03g?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th95}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=0d83>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;;;6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=0783>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1>n?4;|`62<<72<0;6=u+454901=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?l70;3:1(96j:05a?>i5j00;6):7e;0`5>=zj<3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c75f?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th>:n4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl:6e83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`25`<72<0;6=u+45496f6<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=n9>91<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>2183>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9;;1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=?<50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm13194?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg37>3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi9=950;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f06?29086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj<:26=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c73e?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg37j3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm51f94?2=83:p(9:9:3`e?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9j524=83.?4h4>7c98k7d>290/85k52b38?xd28l0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=854?:283>5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb46:>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo;;b;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=8i4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl:4d83>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi98>50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm57294?3=83:p(9:9:568L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:k236<72->3i7?8b:9l6g?=83.?4h4=c098yg3193:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl:6383>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e=?91<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb447>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo;96;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a131=83>1<7>t$565>15<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj8k26=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c3be?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:mo4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5de=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg7fm3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl>ag83>3<729q/8985449K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;h347?6=,=2n6<9m;:k231<72->3i7?8b:9l6g?=83.?4h4=c098yg7e83:187>50z&703<5jo1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32e9n44?:%6;a?4d921vn5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg7aj3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl>fb83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9on1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0df>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`145<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi>=?50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm21094?>=83:p(9:9:548L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:k236<72->3i7?8b:9j522=83.?4h4>7c98m412290/85k516`8?l70>3:1(96j:05a?>i5j00;6):7e;0`5>=zj8lj6=4;:183!23>38ij6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10e<9=:18'0=c=9>h07bb2;i:76sm3583>0<729q/89852b28L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:k237<72->3i7?8b:9j525=83.?4h4>7c98k7d>290/85k52b38?xd4>3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1;>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1b>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>m50;794?6|,=>=69:4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76g>7283>!2?m3;5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4=3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=5=50;194?6|,=>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:4i4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5=c=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e91l1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0;3>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`2=7<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi=4=50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f4>329086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj82>6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn<69:185>5<7s->?:7::;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a5=1=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm19;94?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a5=g=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=54;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb5cg>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3io0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj=h:6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c6a6?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th?n>4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a0g2=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=i97>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:m6;290?6=8r.?8;4;3:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1>n?4;|`7e`<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3n00;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb5da>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo?;d;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:8k4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a506=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn<;>:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg72:3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=?7>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo?:4;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a51d=83>1<7>t$565>15<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj8>h6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>3183>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9:;1<7:50;2x 1212;hm7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl>3383>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9:91<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=>:50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm12794?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv572908wS=?;<13>671349h6<9?;|q07?6=:r78<7?>5:?01?4e12wx?94?:3y>71<5j016?84>719~w60=838p1>852c;896d=9>:0q~=8:1818502;h270=m:052?xu403:1>v3<8;0a=>;4k3;<=6s|3883>7}:;009n4523b8237=z{:k1<75<5s49i6?l6;<1g>4173ty8o7>52z?0g?4e1278h7?81:p7a<72;q6?i4=b89>70<6?81v:m50;5xZ2e<58l<6<9>;<02`?71n279=84>709>73<6?916=5k5163891`e28=;7p}62;2955}Y1;16?=461:?2<4<>927:j>461:?10=<>927:hk461:?:`??634;:o77>;<037??6348;877>;<03=??6348:=77>;<026??6348:?77>;<02e??634>jn7?9f:p==<72=qU555254;9=4=:1m0:=85213g953`67134;m97?9f:?15f<6?816><9517d8976b28=;70;6:90::k5251;953`<5<>i6<8i;<3;3?70827?mi4>709~w47d2908w0?>c;122>;6:80:;=524gc952652z?25f<69<16=?=52c;8yv76m3:1>v3>1d81f<=:9;;1=:?4}r32b?6=:r7:=k4=b89>574=9>:0q~?=0;296~;6:909n452130952752z?264<5j016=?=51628yv75:3:1>v3>2381f<=:9;91=:?4}r310?6=95214f9543<58>j6<8i;<304?71n2wx=?650;cx944d2:;=70?;2;32e>;66g9>51b=9>:01<:j:04e?874:3;=j6s|13f94??|588h64?4=557><7<58k<64?4=0;6><7<58?o64?4=01f><7<589j64?4=015><7<588n6?l6;|q26c<72;q6=?m5107894522;h27p}>3183>7}:9::1>o74=010>4163ty:?<4?:3y>567=:k301<=;:053?xu6;;0;6?u212096g?<589?6<9>;|q276<72;q6=>=52c;8945228=;7p}>3583>7}:9:>1>o74=016>4163ty:>44?:9y>560=;8<01<:=:03a?873>3;<<63:6`822c=:=?>1=;h4=0ca>40a34;>=7?9f:?274<6?91v<=8:181874>3;:963>3881f<=z{8936=4={<30709~w44f2902w0?;6<:0:=o5215:9526<5<<26<9<;<754?70927>:?4>6g9>5de=9?l01<;?:04e?87493;<=6s|12`94?4|589j6m50;0x945d2;h270?{t9;h1<76t=01f>67134;?87?>b:?62g<6>o169;=517d894ga28=:70?;d;345>;652z?27`<69<16=9?52c;8yv7383:1>v3>4181f<=:9=;1=:?4}r371?6=;r7:8>4>1`9>510=:k301<:7:052?xu6<>0;6?u2156954g<58>36?l6;|q20<<72=q6=9>517d8945d28;65<5s4;?m74b8234=z{8>o6=4={<37`?4e127:994>719~w42b2909w0?;e;0a=>;6=:0:;=5rs06e>5<5s4;?j74c8235=z{8?:6=4={<365?4e127:8o4>739~w4352909w0?:2;0a=>;65<5s4;>?74c8234=z{8?>6=4={<376?27827:?44>719~w4312909w0?;3;634>;6;m0:;=5rs074>5<5s4;?87:?0:?204<6?91v<;k:180872l39::63>538235=:9:91=:>4}r3;5?6==rT:4<521939740<5<<26<9>;<754?70:27:4;4>709~w4>52909w0?71;321>;60k09n45rs0:0>5<5s4;3?7868234=z{82>6=4={<3;1?4e127:454>719~w4>12909w0?76;0a=>;6010:;<5rs0:4>5<5s4;3;78c8237=z{8226=4={<3;=?4e127:4l4>709~w4>f2909w0?7a;0a=>;60k0:;=5rs0:`>5<5s4;3o7858234=z{82n6=4={<3;a?4e127:484>719~w4>a2909w0?7f;0a=>;60<0:;<5rs0;3>5<5s4;2<7:18187>938i563>878237=z{8396=4={<3:6?4e127:4;4>729~w4?42909w0?63;0a=>;60?0:;95rs0;6>5<2sW;2963>948053=::9h1=;h4=325>41734;3o7?9f:p5<0=838p1<7::036?87>?38i56s|1`594?3|V8k<70?n7;122>;58j0::k522149527<582o6<8i;|q2e=<72;q6=l95107894d72;h27p}>a883>7}:9h31>o74=0ce>4173ty:ml4?:3y>5dg=:k301ae83>7}:9hn1>o74=0`3>4173ty:mh4?:3y>5dc=:k301;|q2g2<72;qU=n94=42f>4173ty:oo4?:3y]5fd<58nm6;25o16>4}r3f5?6=:r7:i<4=b89>5`5=9>:0q~?j2;296~;6m;09n4521d1952753z?2b6<49?16>=<5162891`>28=:7p}>f583>7}:9o=1>o74=0d:>40a3ty:j84?:3y>5c3=:k301f883>7}:9o31>o74=322>4163ty:jo4?:3y>5cd=:k301?>=:052?xu6nj0;6?u21ga96g?<5;:96<9=;|q2ba<72;q6=kj52c;8976528=87p}>fd83>7}:9oo1>o74=321>4123ty:jk4?:3y>5c`=:k301?>=:057?xu5890;6?u221296g?<5;:96<99;|q144<72;q6>=?52c;894`f28=;7p}=0383>7}::981>o74=0db>4153ty9<>4?:4y>655=;8<01?;61:0::k5rs327>5<2s48;?7?>5:?141<49?16=kk517d896>=9?l01<7=:04e?xu58<0;6?u22169543<5;:36?l6;|q143<72;q6>=852c;8976?28=;7p}=0683>7}::9=1>o74=32;>4153ty9<44?:by>65?=;8<019km:053?847n3;<<63=098234=:98o1=:=4=42`>41734??i7?80:?2bc<6>o16?94>719>5<7=9?l01<66:053?82e;3;=j6s|21c94?4|5;:26=l50;0x976e2;h270{t:9i1<77d>348;h7?81:p65b=838p1?>k:3`:?847m3;<=6s|21g94?4|5;:n6?l6;<03b?7092wx>=h50;0x976a2;h270<>0;345>{t:8;1<7ot=332>67134>nn7?81:?155<6?916=;6nm0::k52358234=:91k1=:>4=5`1>40a3ty9=?4?:8y>647=98?01??=:235?876m3;<=63:078234=:==21=:?4=0d`>40a349?6<9<;<3;7?70827?n=4>6g9~w7742902w0<>2;321>;59:08=;5210g9526<5<:j6<9?;<77g?70827:jo4>6g9>71<6?;16=5=5163891d6287}::891=<;4=33:>7d>3ty9=84?:3y>643=:k301??6:053?xu59?0;6?u220496g?<5;;36<9?;|q152<72;q6><952c;8977?28=:7p}=1983>7}::821>o74=33:>4163ty9=l4?:ey>64g=;8<01??i:053?846=3;<<63>1g8235=:=921=:?4=46b>416348;<7?9f:?144<6?916?;4>709>7d<6>o16=5k5162894?728{t:8h1<74723489<7f;345>{t:8l1<77d>3489<7?81:p660=838pR?=9;<764?7082wx>>950;0xZ750348?47?>5:p61>=839p1?:7:235?87b93;=j63>1g8234=z{;h>6=4={<6;3?71l27>944n4:p022=839p199;:235?83113;<<63:618236=z{==>6=4={<640?76=27?;;4=b89~w1g>290?wS:n9:?7e<<49?16=4;5a59>5d1=i=1v9on:18682f133:70;;1;;2?82an33:70:j8;;2?82fj38i56s|4`a94?4|5=k26{t7d>34>i87?81:p0g6=838p19l?:3`:?82e>3;<<6s|4c394?4|5=h:6?l6;<6a1?7082wx8o<50;0x91d52;h270:m5;345>{t7d>34>ji7?81:p0g2=838p19l;:3`:?82e>3;<=6s|4c794?4|5=h>6?l6;<6a2?70:2wx8o850;0x91d12;h270:ne;344>{t?3;=j6s|4ef94?4|V=no70?nd;35b>{tm:7=838p19h9:04e?82aj38i56s|4g;94?4|5=l26?l6;<6ee?7092wx8ko50;0x91`f2;h270:ib;345>{t6=4={<724?71n27>;28m0:;<5rs424>5<5s4?;;77:181837038i563:0c8235=z{<:26=4={<73=?4e127>709~w06f2909w0;?a;0a=>;28j0:;<5rs42a>5<5s4?;n7l:181837k38i563:0e8235=z{<:o6=4={<73`?4e127>

709~w06a2909w0:if;321>;29909n45rs410>5<5sW?8?63>ad822c=z{<>:6=4<{_775>;2<808=;5255;953`52z?617<6>o1698>52c;8yv3303:1>v3:4981f<=:==l1=:?4}r77=?6=:r7>844=b89>11e=9>;0q~;;a;296~;252z?60g<5j01699j51638yv33k3:1>v3:4b81f<=:==o1=:?4}r77`?6=:r7>8i4=b89>11`=9>80q~;;e;296~;252z?60c<5j01698>51638yv3293:1>v3:408250=:=<81>o74}r76=?6=;rT>945254;9740<58kj6<8i;|q61a<72;q698751078903b2;h27p}:5g83>7}:=<31m?5257596g?52z?625<5j0169;851628yv3193:1>v3:6081f<=:=??1=:>4}r756?6=:r7>:?4=b89>133=9>;0q~;93;296~;2>:09n452575952652z?621<5j0169;951608yv31=3:1>v3:6481f<=:=?<1=:?4}r752?6=:r7>:;4=b89>131=9>;0q~;98;296~;2=l0::k5257f96g?52z?62<<5j0169;j51628yv31i3:1>v3:6`81f<=:=?i1=:>4}r75f?6=:r7>:o4=b89>13e=9>;0q~;9c;296~;2>j09n45257f952752z\62`=:<><1=;h4}|`7=0<72j0h>7m6{I645>"3>o?<3:17b:l7;29?l75<3:17d:ic;29?l??2900c>jn:188k0502900c:m50;9j02`=831d?<950;9a0=1=83;1<7>t$565>40b3A>3:6F;709'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{ekl0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|``f?6==381:v*;478223=O<1<0D99>;%06f?30m2cj>7>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=2i6=4>:183!2?m3>286a=c083>!2?m38h=65rs235>5<5sW9::63<1781g4=z{8;>6=4={_321>;49?0:;o5rs`694?4|Vh>01>?9:2cf?xuf:3:1>vPn2:?0535rs04f>5<5s49::7:?1:?77<1s->?:7?96:J7<3=O<>;0(?;m:45f?lg52900el:50;9j=4<722c:=84?::m053<722h8=;4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=d=83;1<7>t$5:f>1?33f8h=7>5$5:f>7e632wx?<850;0xZ671349::75<5sWk970=>6;c1?xu>93:1>vP61:?053{zjj;1<7;52;4x 12128<=7E:76:J734=#:6;29?g56>3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8c83>4<729q/85k54868k7e6290/85k52b38?xu49?0;6?uQ304896712;i:7p}>1483>7}Y98?01>?9:05a?xuf<3:1>vPn4:?053<4il1vl<50;0xZd4<5:;=6l<4}r;2>5<5sW3:70=>6;c0?xu6>l0;6?u23049057<5=2i6?m>;|af`<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qplm3;291?4=>r.?8;4>679K0=0<@==:7)<:b;74a>of:3:17do;:188m<7=831b=<;50;9l740=831i?<850;494?6|,=2n6<86;h34f?6=,=2n6<9m;:kb6?6=,=2n6l<4;hc0>5<#<1o1m>54i522>5<#<1o18=?4;h1ba?6=,=2n6>oj;:m1g4<72->3i7e290:6=4?{%6;a?2><2e9o<4?:%6;a?4d921v>?9:181[56>278=;4=c09~w4722909wS?>5:?053<6?k1vl:50;0xZd2<5:;=6>oj;|qb6?6=:rTj>63<178b6>{t180;6?uQ909>740=i:1v<8j:181856>3>;=63;8c81g4=zuk>;?7>55;092~"3n7;8e:kb6?6=3`k?6=44i8394?=n98?1<75`30494?=e;8<1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5:a>5<6290;w):7e;6:0>i5k80;6):7e;0`5>=z{:;=6=4={_122>;49?09o<5rs036>5<5sW;:963<17823g=z{h>1<7?1C8584H552?!70l3o0(?;m:45f?lg52900el:50;9j=4<722c:=84?::m053<722h8=;4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=d=83;1<7>t$5:f>1?33f8h=7>5$5:f>7e632wx?<850;0xZ671349::75<5sWk970=>6;c1?xu>93:1>vP61:?053{zj=?i6=4::385!23>3;=:6F;879K027<,8=o6h5+24`912c>o>93:17d?>5;29?j56>3:17o=>6;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h40c?m>:18'0=c=:j;07p}<1783>7}Y;8<01>?9:3a2?xu69<0;6?uQ1078967128=i7p}n4;296~Xf<278=;4d43k87p}>6d83>7}:;8<18=?4=5:a>7e63twi88950;796?0|,=>=6<89;I6;2>N3?81/=:j5e:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl;5383>0<52?q/89851748L1>13A><=6*>7e8f?!42j3?t$5:f>40>3`;5$5:f>41e32cj>7>5$5:f>d4<3`k86=4+49g9e6=5$5:f>6gb32e9o<4?:%6;a?4d921vn96m:182>5<7s->3i7:64:m1g4<72->3i76:?053<5k81v7c9~wd2=838pRl:4=235>6gb3tyj>7>52z\b6>;49?0j>6s|9083>7}Y1816?<85a29~w40b2909w0=>6;635>;30k09o<5r}c61;%06f?30m2cj>7>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=2i6=4>:183!2?m3>286a=c083>!2?m38h=65rs235>5<5sW9::63<1781g4=z{8;>6=4={_321>;49?0:;o5rs`694?4|Vh>01>?9:2cf?xuf:3:1>vPn2:?0535rs04f>5<5s49::7:?1:?77<1s->?:7?96:J7<3=O<>;0(?;m:45f?lg52900el:50;9j=4<722c:=84?::m053<722h8=;4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=d=83;1<7>t$5:f>1?33f8h=7>5$5:f>7e632wx?<850;0xZ671349::75<5sWk970=>6;c1?xu>93:1>vP61:?053{zj8<:6=4<:387!23>3;=86F;879K027<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk;=<7>53;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?05353;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?05353;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?0531}#<=<1=;:4H5:5?M2092.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735950;196?2|,=>=6<8;;I6;2>N3?81/>8l556g8m<7=831b=<;50;9l740=831i?<850;796?0|,=>=6<88;%06f?30m2e8=;4?::k050<722c:=84?::kb0?6=3`3:6=44b044>5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=357>51;294~"30l09nn5`2b694?"30l09o<54}r321?6=:rT:=852175952d5:?222<3881v>?9:181[56>27:::4=c09~w1152909w0?97;c1?82?138h86srb383>4<729q/8:>59:&703<6>l1/85k54868 73e2<=n7b?9d;29 1>b2;i:76s|30494?4|V:;=70=>6;122>{t98?1<73;:96s|9083>7}Y1816?<85909~w0<72:q6?<85307896712h>01?4>6e9'026=k2wvn:m50;196?2|,=>=6<8;;I6;2>N3?81/>8l556g8m<7=831b=<;50;9l740=831i?<850;796?0|,=>=6<88;%06f?30m2e8=;4?::k050<722c:=84?::kb0?6=3`3:6=44b044>5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=357>51;294~"30l09nn5`2b694?"30l09o<54}r321?6=:rT:=852175952d5:?222<3881v>?9:181[56>27:::4=c09~w1152909w0?97;c1?82?138h86srb383>4<729q/8:>59:&703<6>l1/85k54868 73e2<=n7b?9d;29 1>b2;i:76s|30494?4|V:;=70=>6;122>{t98?1<73;:96s|9083>7}Y1816?<85909~w0<72:q6?<85307896712h>01?4>6e9'026=k2wvn?8l:180>7<3s->?:7?94:J7<3=O<>;0(?;m:45f?l?62900e?9:186>7<1s->?:7?97:&11g<2?l1d?<850;9j743=831b=<;50;9je1<722c2=7>5;c353?6=>3:1h1<7*;8d823g==ni:0;6):7e;c0?>o3880;6):7e;635>=n;ho1<7*;8d80e`=5}#<1o1>om4o3a7>5<#<1o1>n?4;|q250<72;qU=<;4=044>41e3ty2=7>52z\:5>;6>>0j?6s|a583>7}Yi=16=;953`g8yv56=3:1>vP<149>531=<9;0q~=>6;296~X49?16=;952b38yv20:3:1>v3>668b6>;30009o95r}c094?7=83:p(99?:89'010=9?o0(96j:5;7?!42j3?6e83>!2?m38h=65rs235>5<5sW9::63<178053=z{8;>6=4={_321>;49?0:=85rs8394?4|V0;01>?9:838yv3=839p1>?9:236?856>3k?70<517f8 1172j1vqo:ie;297?4=659K0=0<@==:7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl=7583>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd5?10;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`13<<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl=7`83>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd5?j0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`13a<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl=7d83>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd5??0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`132<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl;4c83>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd54=:5y'010=9?>0D969;I645>"6?m0n7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl=4g83>6<52=q/89851768L1>13A><=6*>7e8f?!42j3?6;29?g56>3:197<56z&703<6>>1/>8l556g8k6712900e>?::188m4722900el:50;9j=4<722h:::4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=?=83;1<7>t$5:f>7dd3f8h87>5$5:f>7e632wx=<;50;0xZ47234;=;7?8b:p=4<72;qU5<521759e6=z{h>1<77}Y;8?01<88:522?xu49?0;6?uQ304894002;i:7p};7383>7}:9?=1m?5249;96f2:183!208330(9:9:04f?!2?m3>286*=5c863`=h9?n1<7*;8d81g4=52z\053=:;8<1?<84}r321?6=:rT:=852304954333:7p}::180856>39:963<178b0>;5288h4i03b>5<5<3>396F;879K027<,=2n696;;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07p}>1`83>7}Y98k0197=:052?xu69k0;6?uQ10`891?528=;7p};0183>7}Y<9:0197=:3`:?x{el80;6>4>:2yK027<,=>=6?;i;h32e?6=3`;:n7>5;n634?6=3k>2>7>53;294~"33i7:74:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76s|10c94?4|V8;j70:62;345>{t98h1<7:3;<<6s|41294?4|V=:;70:62;0a=>{zjm?1<7=51;1xL1163->?:7<:f:k25d<722c:=o4?::m745<722h?5?4?:283>5}#<=<185;4H5:5?M2092.?4h4;859'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rs03b>5<5sW;:m63;938234=z{8;i6=4={_32f>;31;0:;=5rs523>5<5sW>;<63;9381f<=zukn?6=4<:080M2092.?8;4=5g9j54g=831b==696:;I6;2>N3?81/85k54968 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;52z\25g=:<081=:>4}r634?6=:rT?<=5248096g?53;397~N3?81/898524d8m47f2900e5<7s->?:7:75:J7<3=O<>;0(96j:5:7?!42j3?7183>!2?m3;5<#<1o1>n?4;|q25d<72;qU=4163ty:=o4?:3y]54d<5=396<9?;|q745<72;qU8=>4=5;1>7d>3twih:4?:282>6}O<>;0(9:9:37e?l76i3:17d?>b;29?j2783:17o:62;297?6=8r.?8;4;849K0=0<@==:7):7e;6;0>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:p54g=838pR2>7?80:p056=838pR9>?;<6:6?4e12wvnil50;195?5|@==:7):;6;06b>o69h0;66g>1c83>>i3890;66l;9383>6<729q/89854978L1>13A><=6*;8d87<1=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7a:?7=7<6?81v719~w1672909wS:?0:?7=7<5j01vqojn:180>4<4sA><=6*;47811c=n98k1<75f10`94?=h<9:1<75m48094?5=83:p(9:9:5:6?M2?>2B?;<5+49g90=2<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv76i3:1>vP>1`9>0<4=9>;0q~?>b;296~X69k1684<51628yv2783:1>vP;019>0<4=:k30qplke;297?7=;rB?;<5+454960`5<5<4290;w):;6;6;1>N30?1C8:?4$5:f>1>33-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xu69h0;6?uQ10c891?528=:7p}>1c83>7}Y98h0197=:053?xu3890;6?uQ412891?52;h27psmde83>6<62:qC8:?4$565>73a3`;:m7>5;h32f?6=3f>;<7>5;c6:6?6=;3:1;%6;a?2?<2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{t98k1<7:3;<=6s|10`94?4|V8;i70:62;344>{t<9:1<7:38i56srbd394?5=939pD99>;%672?42n2c:=l4?::k25g<722e?<=4?::`7=7<72:0;6=u+45490=3<@=2=7E:81:&7<`<30=1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=z{8;j6=4={_32e>;31;0:;<5rs03a>5<5sW;:n63;938235=z{=:;6=4={_634>;31;09n45r}cg3>5<42808wE:81:&703<5=o1b=50;9a0<4=8391<7>t$565>1>23A>3:6F;709'0=c=<1>0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=52z\25d=:<081=:?4}r32f?6=:rT:=o524809526;<7>52z\745=:<081>o74}|`720<72:0:6>uG4638 1212;?m7d?>a;29?l76j3:17b:?0;29?g2>:3:1?7>50z&703<30<1C8584H552?!2?m3>386*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wx=2>7?81:p54d=838pR50;0xZ16734>2>7"37E:76:J734=#<1o185:4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810q~?>a;296~X69h1684<51638yv76j3:1>vP>1c9>0<4=9>:0q~:?0;296~X3891684<52c;8yxd3>:0;6>4>:2yK027<,=>=6?;i;h32e?6=3`;:n7>5;n634?6=3k>2>7>53;294~"33i7:74:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76s|10c94?4|V8;j70:62;345>{t98h1<7:3;<<6s|41294?4|V=:;70:62;0a=>{zj;2:6=4<:080M2092.?8;4=5g9j54g=831b==696:;I6;2>N3?81/85k54968 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;52z\25g=:<081=:>4}r634?6=:rT?<=5248096g?6}O<>;0(9:9:37e?l76i3:17d?>b;29?j2783:17o:62;297?6=8r.?8;4;849K0=0<@==:7):7e;6;0>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:p54g=838pR2>7?80:p056=838pR9>?;<6:6?4e12wvn?6n:180>4<4sA><=6*;47811c=n98k1<75f10`94?=h<9:1<75m48094?5=83:p(9:9:5:6?M2?>2B?;<5+49g90=2<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv76i3:1>vP>1`9>0<4=9>;0q~?>b;296~X69k1684<51628yv2783:1>vP;019>0<4=:k30qpl=8883>6<62:qC8:?4$565>73a3`;:m7>5;h32f?6=3f>;<7>5;c6:6?6=;3:1;%6;a?2?<2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{t98k1<7:3;<=6s|10`94?4|V8;i70:62;344>{t<9:1<7:38i56srb3:;>5<42808wE:81:&703<5=o1b=50;9a0<4=8391<7>t$565>1>23A>3:6F;709'0=c=<1>0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=52z\25d=:<081=:?4}r32f?6=:rT:=o524809526;<7>52z\745=:<081>o74}|`1<2<72:0:6>uG4638 1212;?m7d?>a;29?l76j3:17b:?0;29?g2>:3:1?7>50z&703<30<1C8584H552?!2?m3>386*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wx=2>7?81:p54d=838pR50;0xZ16734>2>7129086<4<{I645>"37E:76:J734=#<1o185:4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810q~?>a;296~X69h1684<51638yv76j3:1>vP>1c9>0<4=9>:0q~:?0;296~X3891684<52c;8yxd50<0;6>4>:2yK027<,=>=6?;i;h32e?6=3`;:n7>5;n634?6=3k>2>7>53;294~"33i7:74:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76s|10c94?4|V8;j70:62;345>{t98h1<7:3;<<6s|41294?4|V=:;70:62;0a=>{zj;2?6=4<:080M2092.?8;4=5g9j54g=831b==696:;I6;2>N3?81/85k54968 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;52z\25g=:<081=:>4}r634?6=:rT?<=5248096g?4?:282>6}O<>;0(9:9:37e?l76i3:17d?>b;29?j2783:17o:62;297?6=8r.?8;4;849K0=0<@==:7):7e;6;0>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:p54g=838pR2>7?80:p056=838pR9>?;<6:6?4e12wvn?6=:180>4<4sA><=6*;47811c=n98k1<75f10`94?=h<9:1<75m48094?5=83:p(9:9:5:6?M2?>2B?;<5+49g90=2<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv76i3:1>vP>1`9>0<4=9>;0q~?>b;296~X69k1684<51628yv2783:1>vP;019>0<4=:k30qpl6a;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;j3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`262<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3>l0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>1183>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e98;1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb031>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo==0;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=:ko0D969;I645>"30l09ni5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg25i3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8=850;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f16029086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=8g83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`1=4<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd51:0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=9683>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`1=<<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd51k0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=9g83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`17c98k7d>290/85k52b38L1>c32wi8;?50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f10529086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj=?o6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c66a?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2213:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl;5`83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`711<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi88;50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f13129086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj=<<6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn986:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb021>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo??5;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:<54?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07plk0;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{ek:0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qol::181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98ygd129086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zjj<1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rbb594?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg42?3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl=5983>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`10d<72=0;6=u+454906=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?j4e13:1(96j:3a2?>{e<=n1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi89k50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f12a29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo::0;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg41m3:157>50z&703<3?2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=5$5:f>41e32c:;84?:%6;a?70j21b=:850;&7<`<6?k10e<98:18'0=c=9>h07bb2;i:76sm27d94?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a626=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn?9>:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb351>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:;26=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c12e?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th8=o4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a74e=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>?k:186>5<7s->?:7:;;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;5<#<1o1>n?4;|`245<72=0;6=u+45496g`<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=h:k31<7*;8d81g4==7>52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`117<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi>8=50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm24694?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a603=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=54;294~"301b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl=4d83>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;kn6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c0bb?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg4e83:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c5e>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn5?50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm8383>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8nl50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f1ed29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo:ld;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8i>50;194?6|,=>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e<;n1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb50f>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xde03:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c`b>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c``>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i71<7>t$565>7da3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`f1?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thn:7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sme683>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`fN30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098ygc>29086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`fg?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thnh7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76smed83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`fb?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg`729086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=7>52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`e7?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thm87>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76smf483>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`e2?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg`029086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`ee?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thmn7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76smfb83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`e`?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg`b29086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=53z\4g>;0k39::6370;344>{t?m0;6?u27b8250=:0:09n45rs6g94?4|5>o1>o74=9295277d>342:6<9>;|q;4?6=:r73<7<4<5j0164?4>709~w=4=838p15<52c;89=5=9>;0q~6;:180[>334>;57?80:?065<6>o1v4650;0xZ<><5h:1=<;4}r;:>5<3s43i6?l6;<324?71n27i47?80:?a=?71n2wx5l4?:3y>=d<5j0165o4>709~w:235?8?e28=;7p}n3;2962}Yi:16oh461:?`f??634i364?4=b39=4=:jl02=63m3;;2?827;33:70::f;;2?822j33:70::7;;2?822:33:70:=8;;2?8e320;01<8>:838940720;01l?5909>e5<>927?o:461:?672<>927;<05g??634>mi77>;<040??6348<977>;<04;<04e??6348;<04g??6348;<04a??6348;<042??6348<;77>;<67f??6349:;77>;<07f??6348?j77>;|qbg?6=6:?g5?76j27i97?9f:?ff6<69<16n;4=b89~wg3=838p1o;52c;89g0=9>;0q~l8:18;8d42h801nk5a39>ggd4<5j;1m?52bd8b6>;el38i56s|b983>7}:j109n452be8234=z{k31<74}r`b>5<5s4hj6?l6;<``>4163tyin7>52z?af?4e127ih7?82:pff<72;q6nn4=b89>fa<6?91vlj50;6x9gc=;8<01i<510c89`0=9>:01h951628yvda2909w0lj:036?8e72;h27p}ne;297~;d939::63k5;32e>;bn3;<<6s|c383>7}:k80:=852c281f<=z{hl1<7:t=b69740<5m21=40a34l=6<9?;|q`1?6=:r7h87?>5:?`3?4e12wxo;4?:3y>g3<5j016o:4>709~wg6=83>p1n6530489ad=98k01>?n:04e?8`c28=;7p}l9;296~;d03;:963la;0a=>{tj80;68u2cc8053=:ll0:=l521119526<58:>6<9?;<12f?71n2wxon4?:3y>gg<69<16oi4=b89~wg4=839p1nk530489`7=98k01>?l:04e?xudn3:1>v3le;321>;c838i56s|d283>7}:l;0?<=52d0825d=z{m<1<71=5<5s4n369>?;47f3tyoo7>52z?gf?27827om7?>a:p`c<72;q6hh4;019>`a<69h1vh<50;0x9`7=<9:01h>510c8yvc4290?w0j;:03a?8c>2;h270kk:053?8cb28=;7p}j4;296~;b<38i563j6;345>{tm<0;6?u2e481f<=:m>0:;<5rsd494?4|5l<1>o74=d:95277d>34o26<9>;|qf`2<69k16j=4=b89>b1<6?916j84>719~w`d=838p1hl52c;89`b=9>;0q~kl:1818cd2;h270kj:052?xubl3:1>v3jd;0a=>;bn3;<=6s|ed83>7}:ml09n452f18234=z{ll1<74}rd2>5<3s4nj67d>34li6<9?;4173tym>7>52z?e6?4e127m87?81:pb6<72;q6j>4=b89>b0<6?81vk:50;0x9c2=:k301k851638yv`22909w0h::3`:?8`028=:7p}i6;296~;a>38i563i7;344>{tn10;69u2de825g=:99?1=:?4=02;>41734ln6?l6;|qe=?6=:r7m57bd<5j016jn4>709~wcd=838p1kl52c;89cb=9>;0q~hl:1818`d2;h270hj:052?xual3:1>v3id;0a=>;am3;<<6s|fg83>7}:m90:=o5211296g?52z?246<5j016==>51608yv77:3:1>v3>0381f<=:9991=:?4}r330?6=:r7:<84=b89>556=9>:0q~??6;296~;68109n452112952752z?242<5j016==651638yv7713:1>v3k1;634>;d83;<=6s|11c94?4|5m>18=>4=b1952752z?g3?27827h;7?80:p55e=838p1io541289fg=9>;0q~??d;296~;cl3>;<63ld;345>{t99o1<7542=9?l01<>=:04e?877?3;=j63j4;35b>;b=3;=j63jb;35b>;bk3;=j63i2;35b>;a;3;=j63i9;35b>;ai3;=j6s|10294?4|58;;6?l6;<326?7082wx=2;345>{t9891<79t=e0954d<5m?1=7d>3ty:>94?:3y]572<58<;6004=i;1688h5a39>00d=i;168895a39>571=:k30198j:052?843i3;<<63=ae8234=z{88=6=4={<312?4e127:>:4>709~w43b2908w0?91;321>;6>908=;52134953`j7>52z?224<49?16=?951628yv4313:18v3=4`81f<=::<81=;h4=370>417348?h7?80:p61d=83=p1?:m:235?84203;<<63=50822c=::=o1=:>4=919526<5=ij6<9?;<6`f?71n2wx>9m50;0x972e28;>70<;e;0a=>{t:=n1<77d>348?i7?81:p61`=83=p1?:i:235?842?3;=j63=558235=::=n1=:<4=6g953`<51;1=:>4=5ae>4173ty99=4?:3y>61`=98?01?;::3`:?xu5=80;6?u224396g?<5;?86<9>;|q117<72;q6>8<52c;8973328=:7p}=5283>7}::<91>o74=376>4173ty9994?:3y>602=:k301?;::052?xu5=?0;6?u224596g?<5;?36<9>;|q11<<72;q69>951078973?2;h27p}=6b83>7}::?i1?<84=36b>4153ty9:i4?:3y>63e=98?01?9<:3`:?xu5>l0;6?u227g96g?<5;=86<9?;|q12c<72;q6>;h52c;8971528=;7p}=7183>7}::>:1>o74=352>4163ty9;<4?:3y>627=:k301?9=:052?xu5?;0;6?u226096g?<5;=86<9>;|q125<72::5304897>528;j70<7d;345>;50k0::k52262953`54z?130<49?16>5<510`897>c28=;70<9e;344>{t:?;1<7:t=355>6713483<7?>b:?1=c<6?916>;h51628yv41:3:1?v3=768053=::1;1=4173ty9:94?:5y>62>=;8<01?6<:03a?84?n3;<<63=6d8234=z{;<>6=4;{<04=?56>279494>1c9>6<7=9>:01?8j:050?xu5>?0;69u226c9740<5;2>6739~w700290?w0<8b;122>;50?0:=o522879526<5;:m5304897>028;i70<67;344>;5>l0:;;5rs34:>5<3s486:?1<=<69k16>4751628970b28=>7p}=6`83>1}::>o1?<84=3::>47e3482n7?80:?12`<6?>1v?8m:187840n39::63=8`825g=::0n1=:>4=34e>4163ty94n4?:2y>6=5=98k01?6k:3`:?84?n3;<=6s|29g94?5|5;2?6709~w7?72908w0<75;32e>;51809n45228195277>53z?1<3<69h16>4=52c;897?228=:7p}=9583>6}::1=1=7d>3482;7?81:p6<0=839p1?67:03b?84>?38i563=988234=z{;336=4<{<0;=?76i279544=b89>6;0q~<6a;297~;50h0:=l5228`96g?<5;3o6<9>;|q1=f<72:q6>5>510c897?c2;h270<6f;345>{t:0o1<747f3482j7l<50;0x971028;>70<71;634>{t:h91<74723483>7:?0:p6d2=838p1?97:036?84?;3>;<6s|2`794?4|5;=26l850;0x971f28;>70<75;634>{t:h=1<74723483:7:?0:p6d>=838p1?9l:036?84??3>;<6s|2`;94?4|5;=o6lo50;0x971b28;>70<79;634>{t:hh1<74723483m7:?0:p6de=83np1?9;:`0897122h801?97:`08971>2h801?9n:`08971e2h801?9l:`08971c2h801?9j:`08971a2h801?99:`0897102h801?l?:3`:?xu5im0;6?u22`f96g?<5;h;6<9?;|q1e`<72;q6>lk52c;897ga28=:7p}=ag83>7}::hl1>o74=3`3>4163ty8=:4?:2y]741<5:;<6>?9;<12b?71n2wx?<650;0x967028;>70=>d;0a=>{t;831<77d>349:h7?80:p74g=838p1>?n:3`:?856l3;<=6s|30`94?4|5:;i6?l6;<12`?70:2wx?d;347>{t;8o1<7d4<5:8:6?l6;|q05c<72;q6?7}:;;:1>o74=202>4163ty?055=;8<0193;=j63=ae8235=:<;n1=;h4}r630?6=>r7?<>4>149>05?=:k30194}r631?6=:r7?<>4n2:?742<5j01v9>9:181827>38i563;068234=z{=:36=4>cz?7<2<6>m16oh4n4:?`f?g334i36l:4=b39e1=:jl0j863m3;c7?827;3k?70::f;c7?822j3k?70::7;c7?822:3k?70:=8;c7?8e32h>01?9;:`6897122h>01?97:`68971>2h>01?9n:`68971e2h>01?9l:`68971c2h>01?9j:`68971a2h>01?99:`6897102h>019:m:`6896702h>0q~:>b;296~X39k168=751638yv2503:15v3;298053=:l90:;=52ce8235=:kh0:;=52c28235=:k90:;=52b78235=:k?0::k52bc822c=z{=826=4={<61l4=b89~w14e2909w0:=8;c1?825m38i56s|43a94?4|5=8h6?l6;<61a?7082wx8?j50;0x914c2;h270:=e;345>{t<=h1<7:t=56a>67134>=i7?80:?10d<6?816>lh51628yv23k3:1>v3;4c8250=:<<;1>o74}r67`?6=:r7?8i4=b89>007=9>:0q~:;e;296~;3?j7>52z?70c<5j01688>51638yv2283:1>v3;5181f<=:<<;1=:?4}r673?6==r7?9?4<179>035=98k019;::04e?821?3;<=63;4e8235=z{=?86=4={<666?76=27?9;4=b89~w1332909w0::4;0a=>;3=?0:;=5rs576>5<5s4>>974=56f>40a3ty?954?:3y>001=98?019;n:3`:?xu3=00;6?u244;96g?<5=?j6<9>;|q70<<72=q688l53048910328;i70:99;344>;35<5s4>>n7?>5:?71`<5j01v9;k:181822l38i563;5d8234=z{=>j6=4;{_1ge>;3=o08=;52477954d<5=>o6<9>;|q725<72;q688h5107891052;h27p};6083>7}:o74=541>4163ty?:;4?:2y>032=98k01988:3`:?82113;<=6s|47:94?4|5=<>6{t16734>>i7?80:p03e=838p198::523?821:3;<<6s|47f94?0|5=6g9>00?=9?l019;;:04e?825k3;<=6s|46d94?2|V==m70?>1;35b>;e03;<=63ma;35b>{t;3kh0:;<524bg9526h57>52z?7g2<69<168i>52c;8yv2di3:1>v3;c`81f<=:4}r6`f?6=:r7?oo4=b89>0fb=9>:0q~:lc;296~;3kj09n4524bf9527hh7>52z?7ga<5j0168nk51638yv2dm3:1>v3;cd81f<=:0a6=9>;0q~:ic;296~X3nj168kk51078yv2am3:1?v3;fd8053=::=n1=:?4=5a`>40a3ty>?:4?:3y]161<5<9<6>?9;|a0d7=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn9l7:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg2>l3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl;9d83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e<0l1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi9>850;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f1g229096=4?{%672?70i2B?4;5G4638 1>b21o0D<7m;%015?70j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd2<:0;6?4?:1y'010=9>k0D969;I645>"30l03i6F>9c9'677=9>h0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>=zj=i?6=4=:183!23>3;5}#<=<1=:o4H5:5?M2092.?4h47e:J2=g=#:;;1=:l4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn<8<:181>5<7s->?:7?8a:J7<3=O<>;0(96j:9g8L4?e3-89=7?8b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl;e083>7<729q/898516c8L1>13A><=6*;8d8;a>N61k1/>??516`8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=65rb5dg>5<5290;w):;6;34e>N30?1C8:?4$5:f>=c<@83i7)<=1;34f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`637<72;0;6=u+454952g<@=2=7E:81:&7<`3i7t$565>41f3A>3:6F;709'0=c=0l1C=4l4$302>41e3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg?429096=4?{%672?70i2B?4;5G4638 1>b21o0D<7m;%015?70j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd2880;6?4?:1y'010=9>k0D969;I645>"30l03i6F>9c9'677=9>h0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>=zj<=26=4=:183!23>3;52;294~"33i76j;I3:f>"5:80:;o5+24`912c5$5:f>7e632wi;?4?:383>5}#<=<1=:o4H5:5?M2092.?4h47e:J2=g=#:;;1=:l4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn:?50;094?6|,=>=6<9n;I6;2>N3?81/85k58d9K5o750;&7<`<5k810qo9?:181>5<7s->?:7?8a:J7<3=O<>;0(96j:9g8L4?e3-89=7?8b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl9e;296?6=8r.?8;4>7`9K0=0<@==:7):7e;:f?M7>j2.9><4>7c9'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm6e83>7<729q/898516c8L1>13A><=6*;8d8;a>N61k1/>??516`8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=65rb7a94?4=83:p(9:9:05b?M2?>2B?;<5+49g9<`=O90h0(?<>:05a?!42j3?6g83>!2?m3;5<5290;w):;6;34e>N30?1C8:?4$5:f>=c<@83i7)<=1;34f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`5e?6=:3:1;%6;a?>b3A;2n6*=20823g=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a2<<72;0;6=u+454952g<@=2=7E:81:&7<`3i7=8381<7>t$565>41f3A>3:6F;709'0=c=0l1C=4l4$302>41e3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg0029096=4?{%672?70i2B?4;5G4638 1>b21o0D<7m;%015?70j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd1>3:1>7>50z&703<6?h1C8584H552?!2?m32n7E?6b:&164<6?k1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e><0;6?4?:1y'010=9>k0D969;I645>"30l03i6F>9c9'677=9>h0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>=zj>h1<7<50;2x 12128=j7E:76:J734=#<1o14h5G18`8 74628=i7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=3;52;294~"33i76j;I3:f>"5:80:;o5+24`912c5$5:f>7e632wi;54?:383>5}#<=<1=:o4H5:5?M2092.?4h47e:J2=g=#:;;1=:l4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn:950;094?6|,=>=6<9n;I6;2>N3?81/85k58d9K5o750;&7<`<5k810qo99:181>5<7s->?:7?8a:J7<3=O<>;0(96j:9g8L4?e3-89=7?8b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl85;296?6=8r.?8;4>7`9K0=0<@==:7):7e;:f?M7>j2.9><4>7c9'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm7583>7<729q/898516c8L1>13A><=6*;8d8;a>N61k1/>??516`8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=65rb7d94?4=83:p(9:9:05b?M2?>2B?;<5+49g9<`=O90h0(?<>:05a?!42j3?6g83>!2?m3;;%06f?30m2c::k4?::k261<722e9n44?::m2<5<722h:;l4?:383>5}#<=<1=:o4$5:f>=c<@83i7)<=1;34f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`1fg<72:0:6>u+45496gd<@83i7)<=1;0`5>"5=k0>;h5f17d94?=n9;>1<75`2c;94?=e<1k1<7=50;2x 1>b2=9;7d?9f;29 1>b28=i76g;2g83>!2?m3k276a=b883>!2?m38h=6F;3098yv71n3:1>vP>6g9>0=g=9?l0q~?=4;296~X6:=1685o543d8yv4e13:1>vP=b89>0=g=:k30qp}=b883>7}Y:k301<9n:3`:?xu6090;6>uQ1928941f28{t9?l1<7{zj=nm6=4=:183!23>38im6F;879K027<,=2n65k4H0;a?!45938h=6*=5c863`=n9?l1<7*;8d823g=;=4?:383>5}#<=<1>oo4H5:5?M2092.?4h47e:J2=g=#:;;1>n?4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn8=k:181>5<7s->?:7;0(96j:9g8L4?e3-89=7j2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm52094?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;%6;a?>b3A;2n6*=2081g4=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a0a4=8381<7>t$565>7df3A>3:6F;709'0=c=0l1C=4l4$302>7e63-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg2d03:1>7>50z&703<5jh1C8584H552?!2?m32n7E?6b:&164<5k81/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e:3a2?!42j3?6g83>>o6:=0;66a=b883>>d30h0;6>4?:1y'0=c=<::0e<8i:18'0=c=9>h07d:=f;29 1>b2h307bb2;i:7E:<1:9~w40a2909wS?9f:?7o1v<<;:181[75<27?4l4;2g9~w7d>2909wSj2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm53c94?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;I3:f>"5:809o<5+24`912c5<5<4290;w):7e;604>o6>o0;6):7e;34f>=n<;l1<7*;8d8b=>=h:k31<7*;8d81g4=O<:;07p}>6g83>7}Y9?l0196n:04e?xu6:=0;6?uQ136891>f2=8m7p}=b883>7}Y:k30196n:3`:?x{e=821<7<50;2x 1212;hj7E:76:J734=#<1o14h5G18`8 7462;i:7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=2n7>52;294~"33i76j;I3:f>"5:809o<5+24`912c5$5:f>7e632wi8l<50;094?6|,=>=6?ln;I6;2>N3?81/85k58d9K5;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k810qo;j2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm4d;94?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;I3:f>"5:809o<5+24`912c5<5<4290;w):7e;604>o6>o0;6):7e;34f>=n<;l1<7*;8d8b=>=h:k31<7*;8d81g4=O<:;07p}>6g83>7}Y9?l0196n:04e?xu6:=0;6?uQ136891>f2=8m7p}=b883>7}Y:k30196n:3`:?x{e=:3a2?!42j3?6g83>>o6:=0;66a=b883>>d30h0;6>4?:1y'0=c=<::0e<8i:18'0=c=9>h07d:=f;29 1>b2h307bb2;i:7E:<1:9~w40a2909wS?9f:?7o1v<<;:181[75<27?4l4;2g9~w7d>2909wSj2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm4g594?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;%6;a?>b3A;2n6*=2081g4=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a731=8381<7>t$565>7df3A>3:6F;709'0=c=0l1C=4l4$302>7e63-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg5193:1>7>50z&703<5jh1C8584H552?!2?m32n7E?6b:&164<5k81/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e;091<7<50;2x 1212;hj7E:76:J734=#<1o14h5G18`8 7462;i:7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=52;294~"33i76j;I3:f>"5:809o<5+24`912c5$5:f>7e632wi?5950;094?6|,=>=6?ln;I6;2>N3?81/85k58d9K5;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k810qo=71;296?6=8r.?8;4=b`9K0=0<@==:7):7e;:f?M7>j2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm36`94?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;%6;a?>b3A;2n6*=2081g4=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a722=8381<7>t$565>7df3A>3:6F;709'0=c=0l1C=4l4$302>7e63-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg51m3:1>7>50z&703<5jh1C8584H552?!2?m32n7E?6b:&164<5k81/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e;?21<7<50;2x 1212;hj7E:76:J734=#<1o14h5G18`8 7462;i:7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=n7>52;294~"33i76j;I3:f>"5:809o<5+24`912c5$5:f>7e632wi8i4?:083>5}#<1o1?<4>7c9'60b=;9:0e>?>:18'0=c=;8;07pl;e;295?6=8r.?4h4<109K027<@8=h7)<=1;34f>"5=m08<55f30394?"30l08=<54}c6e>5<6290;w):7e;125>N3?81C=:m4$302>41e3-8>h73i7=>1:9~f06=83;1<7>t$5:f>6763A><=6F>7b9'677=9>h0(?;k:3a4?l5693:1(96j:232?>{e=80;6<4?:1y'0=c=;8;0D99>;I34g>"5:80:;o5+24f96f>>7>51;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5k01b?5<7s->3i7=>1:J734=O9>i0(?<>:05a?!42l38hm6g<1083>!2?m39:=65rb4694?7=83:p(96j:232?M2092B:;n5+233952d<,;?o6?mm;h125?6=,=2n6>?>;:a10<7280;6=u+49g9747<@==:7E?8c:&164<6?k1/>8j52ba8m676290/85k53038?xd2>3:1=7>50z&7<`<4981C8:?4H05`?!4593;:183!2?m39:=6F;709K52e<,;8:6<9m;%06`?57l2c8=<4?:%6;a?56921vn8650;394?6|,=2n6>?>;I645>N6?j1/>??516`8 73c2;in7d=>1;29 1>b2:;:76sm5883>4<729q/85k53038L1163A;nh4i232>5<#<1o1?;0D<9l;%015?70j2.99i4=d09j747=83.?4h4<1098yg3d290:6=4?{%6;a?5692B?;<5G16a8 74628=i7)<:d;0g0>o4980;6):7e;125>=zjb2:;:7E:81:J23f=#:;;1=:l4$37g>66b3`9:=7>5$5:f>67632wi9h4?:083>5}#<1o1?<4>7c9'60b=;9l0e>?>:18'0=c=;8;07pl:f;295?6=8r.?4h4<109K027<@8=h7)<=1;34f>"5=m08==5f30394?"30l08=<54}c43>5<6290;w):7e;125>N3?81C=:m4$302>41e3-8>h73i7=>1:9~f37=83;1<7>t$5:f>6763A><=6F>7b9'677=9>h0(?;k:3f:?l5693:1(96j:232?>{e>;0;6<4?:1y'0=c=;8;0D99>;I34g>"5:80:;o5+24f96`751;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5mo1b?5<7s->3i7=>1:J734=O9>i0(?<>:05a?!42l38m;6g<1083>!2?m39:=65rb8094?7=83:p(96j:232?M2092B:;n5+233952d<,;?o6?j=;h125?6=,=2n6>?>;:a=3<7280;6=u+49g9747<@==:7E?8c:&164<5k81/>8j52df8k676290/85k53038?xd>03:1=7>50z&7<`<4981C8:?4H05`?!4593;51;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5l<1b?"5=m09h;5f30394?"30l08=<54}c116?6=93:1;0D<9l;%015?4d92.99i4=e89l747=83.?4h4<1098yg55;3:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e81ag=h;8;1<7*;8d8054=51;294~"30l08=<5G4638L41d3-89=7"5=m09i:5`30394?"30l08=<54}c113?6=93:1;0D<9l;%015?4d92.99i4=e49l747=83.?4h4<1098yg5503:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e81a6=h;8;1<7*;8d8054=51;294~"30l08=<5G4638L41d3-89=7"5=m09i95`30394?"30l08=<54}c11b?6=93:1;0D<9l;%015?4d92.99i4=e79l747=83.?4h4<1098yg5483:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e81a==h;8;1<7*;8d8054=51;294~"30l08=<5G4638L41d3-89=7"5=m09in5`30394?"30l08=<54}c6:e?6=93:1;0D<9l;%015?4d92.99i4<059l747=83.?4h4<1098yg2f83:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e8046=h;8;1<7*;8d8054=j87>51;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5lk1b?"5=m080;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f97505}#<1o1?<4=c09'60b=:o20c>?>:18'0=c=;8;07pl;c283>4<729q/85k53038L1163A;km4i232>5<#<1o1?8j52g;8k676290/85k53038?xd3l80;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f96a`5}#<1o1?<4=c09'60b=:m=0c>?>:18'0=c=;8;07pl;e183>4<729q/85k53038L1163A;kk4i232>5<#<1o1?8j53178k676290/85k53038?xd3mj0;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f975?5}#<1o1?<4=c09'60b=;9=0c>?>:18'0=c=;8;07pl;fb83>4<729q/85k53038L1163A;5<#<1o1?8j52ec8m676290/85k53038?xd28;0;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f96c`?>;:a171=83;1<7>t$5:f>6763A><=6F>7b9'677=:j;0(?;k:3d7?j5693:1(96j:232?>{e=;31<7?50;2x 1>b2:;:7E:81:J23f=#:;;1>n?4$37g>7`23f9:=7>5$5:f>67632wi9?l50;394?6|,=2n6>?>;I645>N6?j1/>??52b38 73c2;l87b=>1;29 1>b2:;:76sm52194?7=83:p(96j:232?M2092B:;n5+24f975g<#:;:6=4+49g9747<3th>?54?:083>5}#<1o1?<4=c09'60b=:mo0c>?>:18'0=c=;8;07pl:3c83>4<729q/85k53038L1163A;ij4o232>5<#<1o1?8j52ea8m676290/85k53038?xd2<=0;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f9757?>;I605>=zj<:183!2?m39:=6F;709K52e<,;8:6?m>;%06`?57k2e8=<4?:%6;a?56921vn89>:182>5<7s->3i7=>1:J734=O9>i0(?<>:05a?!42l38mh6g<1083>!2?m39:=65rb45;>5<6290;w):7e;125>N3?81C=:m4$302>41e3-8>h73i7=>1:9~f<2=83;1<7>t$5:f>6763A><=6F>7b9'03`=<:80(?<>:05a?!42l38ni6g<1083>!2?m39:=65rb8794?4=83:p(96j:9g8 12128=j7)<=1;34f>"3>o0???5+38;9064<@==:7E?6b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl;c483>4<729q/85k53038L1163A;7`f3`9:=7>5$5:f>67632wi8n850;094?6|,=2n65k4$565>41f3-89=7?8b:&72c<3;;1/?4754208L1163A;2n6*=5c863`=n9?l1<7*;8d823g=5}#<1o1?h0(?;k:3d5?l5693:1(96j:232?>{e<8:1<7<50;2x 1>b21o0(9:9:05b?!4593;<4H552?M7>j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd38j0;6<4?:1y'0=c=;8;0D99>;I34g>"3>o0???5+233952d<,;?o6?h?;h125?6=,=2n6>?>;:a05b=8381<7>t$5:f>=c<,=>=6<9n;%015?70j2.?:k4;339'7;I3:f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`74d<7280;6=u+49g9747<@==:7E?8c:&72c<3;;1/>??516`8 73c2;l:7d=>1;29 1>b2:;:76sm41`94?4=83:p(96j:9g8 12128=j7)<=1;34f>"3>o0???5+38;9064<@==:7E?6b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07p}<1;296~;31108<63;9e822c=z{:81<766<5=3n6<8i;|q0a?6=:r7?544o1v9j50;1xZ1b<5??1=;h4=5f9747n6=4<{_6f?801281:p15<72:qU9=5269822c=:=908=<5rs4394?5|V<;01;7517d8907=;8;0q~;=:180[35346763ty>?7>53z\67>;1j3;=j63:3;125>{t==0;6>uQ559>2f<6>o16994<109~w03=839pR8;4=7f953`<55<4sW?=708j:04e?8312:;:7p}:7;297~X2?27=j7?9f:?63?5692wx954?:2y]1==:?90::k52598054=z{<31<7=t^4;8927=9?l018753038yv3f2908wS;n;<51>40a34?j6>?>;|q6g?6=;rT>o6383;35b>;2k39:=6s|5e83>6}Y=m16;94>6g9>1a<4981v8k50;1xZ0c<5>?1=;h4=4g97471:p24<72:qU:<5279822c=:>808=<5rs7094?5|V?801:7517d8934=;8;0q~8<:180[0434=j6<8i;<40>6763ty=87>53z\50>;0j3;=j6394;125>{t><0;6>u2484952=:=>h1=:526481f<=z{?<1<7=t=5;5>4><5<=i6<64=7496g?34?7d>3ty=47>53z?7=3<6i27>;o4>a:?50<0=9k169:l51c9>2<<5j01v;o50;1x91?128i0189m:0a893g=:k30q~8m:18082>>3;o70;8b;3g?80e2;h27p}9c;297~;31?0:i63:7c82a>;1k38i56s|6e83>6}:<0<1=k5256`95c=:>m09n45rs7g94?5|5=3=6?>4=45a>76<5?o1>o74}r4e>5<5s4?;<4e>7d>3ty<<7>53z?7=3<5:27>;o4=2:?44?4e12wx;<4?:2y>0<0=:=169:l5259>34<5j01v:<50;0x91?12;?01:<52c;8yv142909w0:66;04?8142;h27p}84;296~;2?k0946384;0a=>{t?<0;6?u256`96<=:?<09n45rs6494?4|5<=i6?o4=6496g?;o4=c:?412d=:m16;44=b89~w2g=839p1979:3g8901e2;o01:o52c;8yv1e2908w0:66;0e?830j38m709m:3`:?xu0k3:1;v3;9984g>;31<044334?;?7?=4:?61g<6:=1v5:50;7x91?121>0189m:96891?>21>01978:96891?221>0q~68:181830j32<70:67;:4?xu?03:1>v3:7c8;<>;31>0346s|8`83>7}:=>h14l524859>272;70=>=:j>0149517d8yv??2908wS77;<;`>40a34336>?>;|q:g?6=;r7?55468:?7=0<>0272o794<109~w43d2908w0:68;310>;31<0:>95214a96g?7>53z\227=:9?91=;h4=041>6763ty::>4?:7y>0<0=i:169:l5a29>0<5=3<6<97;|q2<4<72;q68475193891??282:7p}>9483>7}:<031=4;4=5;;>4?23ty:5n4?:3y>0<0=90i01976:0;`?xu6i>0;6?u248;95g7<5=336c983>6}:<0<1=n64=5;:>4e?34>2;7?l8:p5f?=838p1976:0a:?82>?3;h56s|1b`94?4|5=32628oh70:67;3fg>{t:;81<774534>247<<6:p661=838p1976:314?82>0388;6s|2c794?4|5=2<6<8k;<700?71n2wx>o850;1x90532;h270:66;707>;3110>?>5rs3a1>5<5s4>2:7?8:18182>?39:;63;948052=z{:896=4<{_116>;4=k09n452330974753z\066=:;?;1>o74=200>6763ty8>84?:2y]773<5:<<6?l6;<111?5692wx??850;1xZ641349=47<8:180[55?278:h4=b89>771=;8;0q~==8;297~X4:116?::52c;8964?2:;:7p}<2e83>6}Y;;n01>9::3`:?855l39:=6s|33g94?5|V:8n70=8b;0a=>;4:l08=<5rs20e>5<4sW99j63<8081f<=:;;l1??>;|q074<72:qU?>?4=2:g>7d>3498=7=>1:p764=839pR>==;<1:7?4e1278??4<109~w6532909w0:66;100>;2?k08?95rs216>5<4s4>2:7=<5:?63g<4;<1684953268yv54i3:1>v3:7c807d=:<0=1?>o4}r16f?6=:r7>;o4<239>70d=9?l0q~=91;296~;2?k08>>52373953`52z?63g<4:<16?;9517d8yv5103:1>v3:7c8063=:;?21=;h4}r15a?6=:r7>;o4<269>73c=9?l0q~=84;296~;2?k08>552366953`52z?63g<4:m16?:;517d8yv50j3:1>v3:7c806`=:;>h1=;h4}r1;5?6=:r7>;o4<2g9>7=7=9?l0q~=77;296~;2?k08?=52395953`52z?63g<4;816?5j517d8yv5>;3:1>v3:7c8077=:;091=;h4}r1:e?6=:r7?5;4<9`9>12d=;0k0q~=6d;296~;31?085i524859752z?63g<4lh1684;53ec8yv26j3:1>v3;97875g=:<0?180<3=<>l0q~:6a;297~X31h1684l52c;891?f2:;:7p};9c83>7}:<0l1>o74=5;a>40a3ty?5n4?:3y>0;|q7e5<72:qU8l>4=5c1>7d>34>j<7=>1:p0d4=838p19o>:3`:?82f:3;=j6s|4`694?5|V=k?70:n5;35b>;3i=08=<5rs5c6>5<3s4?6}Y39:=6s|4`:94?4|5=3369o6;<6b3?71n2wx8o950;1xZ1d034>i57;3jj09n4524c`9747io7>52z?7=<<3jk168om517d8yv2d;3:1?vP;c29>0f2=9?l019m<:232?xu3k=0;6?u248:90gg<5=i?6?l6;|q7g2<72:qU8n94=5a;>7d>34>h;7=>1:p0f>=838p197::5a4?82d03;=j6s|4e394?5|V=n:70:k2;0a=>;3l808=<5rs5f1>5<5s4?o1v9jj:180[2cm27?hk4=b89>0ac=;8;0q~:kf;297~;2?k0?hi5248590ab<5=nm6<8i;|q7a5<72:qU8h>4=5g2>40a34>n<7=>1:p0`7=838p1977:5fg?82b938i56s|4d:94?5|V=o370:j9;0a=>;3m108=<5rs5g:>5<5s4>247:j8:?7a<<6>o1v9kl:180[2bk27?ih4=b89>0`e=;8;0q~:je;296~;3100?in524dg953`m97>53z\7b0=:o74=5d6>6763ty?j:4?:3y>0<>=uQ4ga891`c28{t1`d34>mh7?;<735?71n27><=4<109~w0662908w0;8b;6eb>;3100?jk5251396g?7>53z\647=:=991>o74=421>6763ty><94?:3y>0<>=<:04e?xu29>0;6>uQ5058907?2;h270;>7;125>{t=821<707034?:47?9f:p171=839pR8<8;<71>:4<109~w04?2909w0;8b;725>;2:10::k5rs40:>5<4sW?9563:2`81f<=:=;31?;o4:529>17g=9?l0q~;=b;297~X2:k169><52c;8904e2:;:7p}:3383>7}:=>h19?l4=411>40a3ty>?>4?:2y]165<5<9?6<6?;<707?5692wx9>;50;0x90512;h270;<4;310>{t=:=1<705034?8:7?9f:p16>=839pR8=7;<70e?4e127>?54<109~w05f2909w0;8b;70<>;2;h0::k5rs41a>5<4sW?8n63:3e81f<=:=:h1?;o4:3c9>16b=9?l0q~;;2;297~X2<;1699=517d890252:;:7p}:4283>6}:=>h199?4=5;:>02634???7894<109~w0212909w0:68;775>;2<<0::k5rs47b>5<4sW?>m63:5c81f<=:=10d=9?l0q~;9f;297~X2>o169:>52c;8900a2:;:7p}:7183>7}:<0=19;k4=453>40a3ty>;<4?:2y]127<5<=96<8i;<745?5692wx9:<50;0x91??2<{t=>21<7=t^45;?83013;=j63:798054=z{<=26=4;{<6:2?30?27>;o4:769>0=01896:3`:?xu><3:1?vP64:?:0?56927297?9f:p0f3=839pR9m:;<6`1?56927?o;4>6g9~w16a2908wS:?f:?74c<498168<>517d8yv27k3:1?vP;0b9>05e=;8;019>k:04e?xu38h0;6>uQ41c8916f2:;:70:?b;35b>{t1<0;6{<6`2?4e12wx8<>50;3x91772;h27p};0e83>4}:<9n1>o74}r63f?6=9r7?uG4638yk7d6c8273c2twe=n:l:182M2092C8=<4>{08~^40e28q99i4r}o3`0a<728qC8:?4I232>4}62tP::o4>{37g>x{i9j>n6=4>{I645>O4980:w<4rZ04a>4}5=m0vqc?l4g83>4}O<>;0E>?>:0y2>x\6>k0:w?;k:|m5f37290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1b71>5<6sA><=6G<108246c8273c2twe=n;;:182M2092C8=<4>{08~^40e28q99i4r}o3`10<728qC8:?4I232>4}62tP::o4>{37g>x{i9j?=6=4>{I645>O4980:w<4rZ04a>4}5=m0vqc?l5683>4}O<>;0E>?>:0y2>x\6>k0:w?;k:|m5f3?290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1b7b>5<6sA><=6G<108246c8273c2twe=n;l:182M2092C8=<4>{08~^40e28q99i4r}o3`1a<728qC8:?4I232>4}62tP::o4>{37g>x{i9j?n6=4>{I645>O4980:w<4rZ04a>4}5=m0vqc?l5g83>4}O<>;0E>?>:0y2>x\6>k0:w?;k:|m5f07290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1b41>5<4sA><=6sa1b40>5<4sA><=6sa1b47>5<4sA><=6sa1b46>5<4sA><=6sa1b45>5<4sA><=6sa1b44>5<4sA><=6sa1b4;>5<4sA><=6sa1b4:>5<4sA><=6sa1b4b>5<4sA><=6sa1b4a>5<4sA><=6sa1b4`>5<5sA><=6sa1b4g>5<4sA><=6sa1b4f>5<4sA><=6sa1b4e>5<5sA><=6sa1b53>5<5sA><=6sa1b52>5<5sA><=6sa1b51>5<5sA><=6sa1b50>5<5sA><=6sa1b57>5<5sA><=6sa1b56>5<5sA><=6sa1b55>5<5sA><=6sa1b54>5<4sA><=6sa1b5;>5<4sA><=6sa1b5:>5<>sA><=6sa1b5b>5<=6sa1b5a>5<0sA><=6sa1b5`>5<1sA><=6sa1b5g>5<3sA><=6sa1b5f>5<6sA><=6G<108247sU17`95~42l3wvb8j5}|l2g=5=832pD99>;|l2g=2=83;pD99>;H125?7|93wQ=;l51z06`?{zf8i397>57zJ734=zf8i3:7>51zJ734=N;8;1=v?5}[35f?7|:c9594?>6sA><=6sa1b:;>5<4sA><=6sa1b::>5<0sA><=6sa1b:b>5<2sA><=6sa1b:a>5<4sA><=6sa1b:`>5<0sA><=6sa1b:g>5<2sA><=6sa1b:f>5<3sA><=6sa1b:e>5<4sA><=6sa1b;3>5<0sA><=6sa1b;2>5<3sA><=6sa1b;1>5<2sA><=6sa1b;0>5<0sA><=6sa1b;7>5<4sA><=6sa1b;6>5<3sA><=6sa1b;5>5<4sA><=6sa1b;4>5<3sA><=6sa1b;;>5<6sA><=6G<108276c8273c2twe=n7n:182M2092C8=<4>{38~^40e28q99i4r}o3`=g<728qC8:?4I232>4}52tP::o4>{37g>x{i9j3h6=4>{I645>O4980:w?4rZ04a>4}5=m0vqc?l9e83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5f?b290:wE:81:K054<6s;0vV<8m:0y11a5<6sA><=6G<108276c8273c2twe=no=:182M2092C8=<4>{38~^40e28q99i4r}o3`e6<728qC8:?4I232>4}52tP::o4>{37g>x{i9jk?6=4<{I645>{i9jk>6=4;{I645>{i9jk=6=4:{I645>{i9jk<6=4={I645>{i9jk36=4={I645>{i9jk26=4<{I645>{i9jkj6=4={I645>{i9jki6=4={I645>{i9jko6=4={I645>{i9jkn6=4={I645>{i9jkm6=4={I645>{i9jh;6=4={I645>{i9jh:6=4={I645>{i9jh96=4={I645>{i9jh86=4<{I645>{i9jh?6=4<{I645>{i9jh>6=4:{I645>{i9jh=6=4<{I645>{i9jh<6=4:{I645>{i9jh36=4>{I645>O4980:w?4rZ04a>4}5=m0vqc?lb883>4}O<>;0qc?lb`83>4}O<>;0qc?lbc83>4}O<>;0qc?lbb83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5fdc290:wE:81:m5fdb290:wE:81:K054<6s80vV<8m:0y11a5<>sA><=6sa1ba1>5<6sA><=6G<108276c8273c2twe=nm::180M2092we=nm9:182M2092C8=<4>{08~^40e28q99i4r}o3`g2<72;qC8:?4}o3`g=<728qC8:?4I232>4}52tP::o4>{37g>x{i9ji26=4;{I645>{i9jij6=4>{I645>O4980:w?4rZ04a>4}5=m0vqc?lcc83>1}O<>;0qc?lcb83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5fec290>wE:81:m5feb290:wE:81:K054<6s80vV<8m:0y11a5<3sA><=6sa1bf1>5<6sA><=6G<108276c8273c2twe=nj::181M2092we=nj9:182M2092C8=<4>{08~^40e28q99i4r}o3``2<72=qC8:?4}o3``=<728qC8:?4I232>4}62tP::o4>{37g>x{i9jn26=4<{I645>{i9jnj6=4={I645>O4980:w>4rZ04a>4}5=m0vqc?ldc83>0}O<>;0qc?ldb83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5fbc290?wE:81:m5fbb290:wE:81:K054<6s;0vV<8m:0y11a5<5sA><=6sa1bg1>5<6sA><=6G<10827uG4638M6762:q86<4<:|X22g<6s;?o6psa1bg6>5<4sA><=6sa1bg5>5<3sA><=6sa1bg4>5<6sA><=6G<108276c8273c2twe=nkn:181M2092we=nkm:182M2092C8=<4>{08~^40e28q99i4r}o3`af<72:qC8:?4}o3`aa<72;qC8:?4I232>4}42tP::o4>{37g>x{i9jon6=4:{I645>{i9jom6=4={I645>O4980:w>4rZ04a>4}5=m0vqc?lf183>1}O<>;0qc?lf083>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5f`5290?wE:81:m5f`4290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1bd5>5<2sA><=6sa17194?7|@==:7p`>6583>4}O<>;0qc?95;295~N3?81vb<89:182M2092we=;950;3xL1163td::54?:0yK02751zJ734=zf8{I645>{i9?h1<7?tH552?xh6>m0;6?uG4638yk7093:1=vF;709~j415290:wE:81:m522=83;pD99>;|l233<728qC8:?4}o343?6=9rB?;<5rn05;>5<6sA><=6sa16c94?7|@==:7p`>7c83>4}O<>;0qc?8c;295~N3?81vb<9k:182M2092we=:k50;3xL1163td:;k4?:0yK02751zJ734=zf82:6=4>{I645>{i9181<7?tH552?xh60:0;62290:wE:81:m5=0=83;pD99>;|l2<2<728qC8:?4}o3;5<6sA><=6sa19c94?7|@==:7p`>8c83>4}O<>;0qc?7c;296~N3?81vb<6k:181M2092we=5k50;0xL1163td:4k4?:0yK02751zJ734=zf83:6=4>{I645>{i9081<7?tH552?xh1>k0;6>uG4638yk01k3:1?vF;709~j30c2908wE:81:m225=83;pD99>;|l531<728qC8:?4}o441?6=9rB?;<5rn75e>5<4sA><=6sa69294?7|@==:7p`98083>4}O<>;0qc872;295~N3?81vb;6<:182M2092we:5:50;3xL1163td=484?:0yK02753zJ734=zf?2<6=4<{I645>{i>121<7?tH552?xh1000;6e2908wE:81:m2=e=839pD99>;|l55<6sA><=6sa68294?7|@==:7p`99083>6}O<>;0qc862;291~N3?81vb;7<:180M2092we:4:50;1xL1163td=584?:0yK02751zJ734=zf?3<6=4>{I645>{i>021<7?tH552?xh1100;6i3:1=vF;709~j3?e290:wE:81:m2;|l5=a<728qC8:?4}o4:a?6=9rB?;<5rn7;e>5<6sA><=6sa6`294?7|@==:7p`9a083>4}O<>;0qc8n2;295~N3?81vb;o<:182M2092we:l:50;3xL1163td=m84?:0yK02751zJ734=zf?k<6=4>{I645>{i>h21<7?tH552?xh1i00;6;|l5ea<728qC8:?4}o4ba?6=9rB?;<5rn7ce>5<6sA><=6sa6c294?7|@==:7p`9b083>4}O<>;0qc8m2;295~N3?81vb;l<:182M2092we:o:50;3xL1163td=n84?:0yK02751zJ734=zf?h<6=4>{I645>{i>k31<7?tH552?xh1jh0;6;|l5f`<728qC8:?4}o4ab?6=9rB?;<5rn7a3>5<6sA><=6sa6b394?7|@==:7p`9c383>4}O<>;0qc8l3;295~N3?81vb;m;:180M2092we:n;50;7xL1163td=o;4?:4yK02753zJ734=zf?i36=4<{I645>{i>j31<7=tH552?xh1kh0;6>uG4638yk0dj3:1=vF;709~j3ed290:wE:81:m2fb=83?pD99>;|l5g`<72:qC8:?4}o4`b?6=9rB?;<5rn7f3>5<6sA><=6sa6e394?3|@==:7p`9d383>6}O<>;0qc8k3;295~N3?81vb;j;:182M2092we:i;50;1xL1163td=h;4?:2yK02751zJ734=zf?n36=4>{I645>{i>m31<7?tH552?xh1lh0;6;|l5``<728qC8:?4}o4gb?6=9rB?;<5rn7g2>5<5sA><=6sa6d094?4|@==:7p`9e283>7}O<>;0qc8j4;295~N3?81vb;k::182M2092we:h850;3xL1163td=i:4?:0yK02751zJ734=zf?o26=4>{I645>{i>lk1<7?tH552?xh1mk0;6;|l5ac<72;qC8:?4}o4e4?6=:rB?;<5rn7d2>5<6sA><=6sa6g094?7|@==:7p`9f283>4}O<>;0qc8i4;295~N3?81vb;h::182M2092we:k850;3xL1163td=j:4?:0yK02751zJ734=zf?l26=4>{I645>{i>ok1<7?tH552?xh1nk0;6;|l444<72:qC8:?4}o536?6=9rB?;<5rn620>5<6sA><=6sa71694?7|@==:7p`80483>4}O<>;0qc9?6;295~N3?81vb:>8:182M2092we;=650;3xL1163td<<44?:0yK02751zJ734=zf>:n6=4>{I645>{i?9l1<7?tH552?xh0980;6>uG4638yk16:3:1=vF;709~j274290:wE:81:m342=83;pD99>;|l453<728qC8:?4}o523?6=9rB?;<5rn63;>5<6sA><=6sa70;94?7|@==:7p`81`83>4}O<>;0qc9>d;297~N3?81vb:?j:180M2092we;??50;3xL1163td<>?4?:0yK02751zJ734=zfhhi6=4={I645>{iiko1<7=tH552?xhfjo0;6>uG4638ykgd93:1?vF;709~jde22908wE:81:mef1=839pD99>;|lbg=<728qC8:?4}oc`=?6=9rB?;<5rn`ab>5<6sA><=6saab`94?7|@==:7p`ncb83>4}O<>;0qcold;295~N3?81vblmj:182M2092wemnh50;3xL1163tdjh=4?:0yK02751zJ734=zfhn96=4>{I645>{iim91<7?tH552?xhfl=0;6;|lb`g<728qC8:?4}ocgg?6=9rB?;<5rn`fg>5<6sA><=6saaeg94?5|@==:7p`ndg83>4}O<>;0qcoj0;295~N3?81vblk>:182M2092wemh<50;3xL1163tdji>4?:0yK02751zJ734=zfho>6=4>{I645>{iil<1<7?tH552?xhfm>0;6290:wE:81:me`g=83;pD99>;|lbag<728qC8:?4}ocfg?6=:rB?;<5rn`gg>5<6sA><=6saadg94?7|@==:7p`neg83>4}O<>;0qcoi0;295~N3?81vblh>:182M2092wemk=50;0xL1163tdjj94?:0yK02751zJ734=zfhl=6=4>{I645>{iio=1<7?tH552?xhfn00;6>uG4638ykgai3:1=vF;709~jd`e290:wE:81:mece=83;pD99>;|lbba<728qC8:?4}ocea?6=9rB?;<5rn`de>5<6sA><=6sab1294?7|@==:7p`m0083>4}O<>;0qcl?2;295~N3?81vbo><:182M2092wen=:50;3xL1163tdi<84?:0yK02751zJ734=zfk:<6=4>{I645>{ij921<7;|la4`<728qC8:?4}o`3b?6=9rB?;<5rnc33>5<6sA><=6sab0394?7|@==:7p`m1383>4}O<>;0qcl>3;295~N3?81vbo?;:182M2092wen<;50;3xL1163tdi=;4?:0yK02751zJ734=zfk;36=4>{I645>{ij831<7?tH552?xhe9h0;6;uG4638ykd6j3:18vF;709~jg7d2903wE:81:mf4c=838pD99>;|la5c<72;qC8:?4}o`14?6=9rB?;<5rnc02>5<6sA><=6sab3094?7|@==:7p`m2283>4}O<>;0qcl=4;295~N3?81vbo<::182M2092wen?850;3xL1163tdi>:4?:2yK02753zJ734=zfk826=4>{I645>{ij;k1<7;tH552?xhe:k0;6>uG4638ykd5k3:1=vF;709~jg4c290:wE:81:mf7c=83;pD99>;|la6c<728qC8:?4}o`04?6=9rB?;<5rnc12>5<6sA><=6sab2094?7|@==:7p`m3283>4}O<>;0qcl<4;295~N3?81vbo=::182M2092wen>650;0xL1163tdi?44?:3yK02751zJ734=zfk9i6=4>{I645>{ij:n1<7?tH552?xhe;l0;6;|l`3`<72:qC8:?4}oa4b?6=;rB?;<5rnb:3>5<4sA><=6sac9094?7|@==:7p`l8g83>4}O<>;0qcm60;295~N3?81vbn7>:182M2092weo4<50;3xL1163tdh5>4?:0yK02751zJ734=zfj3>6=4>{I645>{ik0<1<7?tH552?xhd1>0;613:1?vF;709~jf?d290:wE:81:mg;|l`=`<728qC8:?4}oa:b?6=;rB?;<5rnbc2>5<6sA><=6sac`094?5|@==:7p`>27394?5|@==:7p`>27094?7|@==:7p`>27194?7|@==:7p`>27694?7|@==:7p`>27794?7|@==:7p`>27494?7|@==:7p`>27594?7|@==:7p`>27:94?7|@==:7p`>27;94?7|@==:7p`>27c94?7|@==:7p`>27g94?5|@==:7p`>27d94?5|@==:7p`>26294?5|@==:7p`>26394?7|@==:7p`>26094?7|@==:7p`>26194?7|@==:7p`>26694?7|@==:7p`>26794?7|@==:7p`>26594?2|@==:7p`>26:94?76sA><=6sa135:>5<4sA><=6sa135b>5<6sA><=6sa135a>5<6sA><=6sa135`>5<6sA><=6sa135g>5<6sA><=6sa135f>5<6sA><=6sa135e>5<3sA><=6sa13:3>5<4sA><=6sa13:2>5<6sA><=6sa13:1>5<3sA><=6sa13:0>5<4sA><=6sa13:7>5<6sA><=6sa13:6>5<3sA><=6sa13:5>5<4sA><=6sa13:4>5<6sA><=6sa13:;>5<5sA><=6sa13::>5<5sA><=6sa13:b>5<6sA><=6sa13:a>5<6sA><=6sa13:`>5<6sA><=6sa13:g>5<6sA><=6sa13:f>5<6sA><=6sa13:e>5<6sA><=6sa13;3>5<6sA><=6sa13;2>5<6sA><=6sa13;1>5<6sA><=6sa13;0>5<6sA><=6sa13;7>5<6sA><=6sa13;6>5<5sA><=6sa13;5>5<5sA><=6sa13;4>5<5sA><=6sa13;;>5<4sA><=6sa13;b>5<4sA><=6sa13;a>5<6sA><=6sa13;`>5<6sA><=6sa13;g>5<6sA><=6sa13;f>5<6sA><=6sa13;e>5<6sA><=6sa13c3>5<6sA><=6sa13c2>5<6sA><=6sa13c1>5<6sA><=6sa13c0>5<6sA><=6sa13c7>5<6sA><=6sa13c6>5<6sA><=6sa13c5>5<6sA><=6sa13c4>5<6sA><=6sa13c;>5<6sA><=6sa13c:>5<6sA><=6sa13cb>5<6sA><=6sa13c`>5<4sA><=6sa13cf>5<4sA><=6sa13ce>5<6sA><=6sa13`3>5<6sA><=6sa13`2>5<6sA><=6sa13`1>5<6sA><=6sa13`0>5<6sA><=6sa13`7>5<6sA><=6sa13`6>5<6sA><=6sa13`;>5<4sA><=6sa13`:>5<6sA><=6sa13`b>5<6sA><=6sa13`a>5<6sA><=6sa13``>5<4sA><=6sa13`g>5<6sA><=6sa13`f>5<6sA><=6sa13`e>5<6sA><=6sa13a3>5<4sA><=6sa13a2>5<6sA><=6sa13a1>5<6sA><=6sa13a0>5<6sA><=6sa13a7>5<6sA><=6sa13a6>5<6sA><=6sa13a5>5<6sA><=6sa13a4>5<6sA><=6sa13a;>5<6sA><=6sa13a:>5<6sA><=6sa13ab>5<4sA><=6sa13aa>5<2sA><=6sa13a`>5<4sA><=6sa13ag>5<6sA><=6sa13af>5<6sA><=6sa13ae>5<4sA><=6sa13f3>5<4sA><=6sa13f2>5<6sA><=6sa13f1>5<6sA><=6sa13f0>5<6sA><=6sa13f7>5<6sA><=6sa13f6>5<6sA><=6sa13f5>5<4sA><=6sa13f4>5<2sA><=6sa13f;>5<2sA><=6sa13f:>5<4sA><=6sa13fb>5<6sA><=6sa13fa>5<6sA><=6sa13f`>5<6sA><=6sa13fg>5<6sA><=6sa13ff>5<4sA><=6sa13fe>5<4sA><=6sa13g3>5<6sA><=6sa13g2>5<6sA><=6sa13g1>5<6sA><=6sa13g0>5<6sA><=6sa13g5>5<4sA><=6sa13g4>5<5sA><=6sa13g;>5<4sA><=6sa13g:>5<4sA><=6sa13ga>5<>sA><=6sa13g`>5<4sA><=6sa13gg>5<6sA><=6sa13gf>5<6sA><=6sa13ge>5<6sA><=6sa13d3>5<6sA><=6sa13d2>5<6sA><=6sa13d1>5<6sA><=6sa13d0>5<6sA><=6sa13d7>5<6sA><=6sa13d6>5<6sA><=6sa13d:>5<4sA><=6sa13da>5<6sA><=6sa13d`>5<6sA><=6sa13dg>5<6sA><=6sa13de>5<6sA><=6sa1223>5<6sA><=6sa1222>5<6sA><=6sa1221>5<6sA><=6sa1220>5<6sA><=6sa1227>5<6sA><=6sa1226>5<6sA><=6sa1225>5<6sA><=6sa1224>5<6sA><=6sa122;>5<4sA><=6sa122a>5<6sA><=6sa122`>5<6sA><=6sa122g>5<6sA><=6sa122f>5<6sA><=6sa122e>5<6sA><=6sa1233>5<6sA><=6sa1232>5<6sA><=6sa1231>5<6sA><=6sa1230>5<6sA><=6sa1237>5<4sA><=6sa1235>5<5sA><=6sa1234>5<5sA><=6sa123;>5<6sA><=6sa123:>5<6sA><=6sa123b>5<6sA><=6sa123a>5<6sA><=6sa123`>5<6sA><=6sa123g>5<6sA><=6sa123f>5<6sA><=6sa123e>5<6sA><=6sa1203>5<6sA><=6sa1202>5<6sA><=6sa1201>5<6sA><=6sa1200>5<6sA><=6sa18a1>5<4sA><=6sa18a0>5<6sA><=6sa18a7>5<6sA><=6sa18a6>5<6sA><=6sa18a5>5<6sA><=6sa18a4>5<6sA><=6sa18ab>5<6sA><=6sa18aa>5<6sA><=6sa18a`>5<2sA><=6sa18ag>5<4sA><=6sa18ae>5<4sA><=6sa18f3>5<5sA><=6sa18f2>5<6sA><=6sa18f1>5<=6sa18f0>5<6sA><=6sa18f7>5<6sA><=6sa18f6>5<6sA><=6sa18f5>5<6sA><=6sa18f4>5<6sA><=6sa18f;>5<4sA><=6sa18f:>5<5sA><=6sa18fb>5<4sA><=6sa18fa>5<5sA><=6sa18f`>5<4sA><=6sa18fg>5<5sA><=6sa18ff>5<6sA><=6sa18fe>5<4sA><=6sa18g3>5<5sA><=6sa18g2>5<4sA><=6sa18g1>5<5sA><=6sa18g0>5<4sA><=6sa18g7>5<5sA><=6sa18g6>5<4sA><=6sa18g5>5<4sA><=6sa18g4>5<4sA><=6sa18g;>5<4sA><=6sa18g:>5<4sA><=6sa18gb>5<4sA><=6sa18ga>5<5sA><=6sa18g`>5<6sA><=6sa18gg>5<6sA><=6sa18gf>5<6sA><=6sa18ge>5<6sA><=6sa18d3>5<6sA><=6sa18d2>5<5sA><=6sa18d1>5<6sA><=6sa18d0>5<6sA><=6sa18d7>5<6sA><=6sa18d6>5<6sA><=6sa18d5>5<6sA><=6sa18d4>5<5sA><=6sa18d;>5<6sA><=6sa18d:>5<6sA><=6sa18db>5<6sA><=6sa18da>5<6sA><=6sa18d`>5<6sA><=6sa18dg>5<5sA><=6sa18df>5<6sA><=6sa18de>5<6sA><=6sa1`23>5<6sA><=6sa1`22>5<6sA><=6sa1`21>5<6sA><=6sa1`20>5<5sA><=6sa1`27>5<6sA><=6sa1`26>5<6sA><=6sa1`25>5<6sA><=6sa1`24>5<6sA><=6sa1`2;>5<6sA><=6sa1`2:>5<5sA><=6sa1`2b>5<5sA><=6sa1`2a>5<5sA><=6sa1`2`>5<5sA><=6sa1`2g>5<5sA><=6sa1`2f>5<5sA><=6sa1`2e>5<6sA><=6sa1`33>5<6sA><=6sa1`32>5<6sA><=6sa1`31>5<0sA><=6sa1`37>5<1sA><=6sa1`36>5<6sA><=6sa1`35>5<2sA><=6sa1`34>5<4sA><=6sa1`3;>5<6sA><=6sa1`3:>5<4sA><=6sa1`3b>5<4sA><=6sa1`3a>5<6sA><=6sa1`3`>5<4sA><=6sa1`3g>5<4sA><=6sa1`3f>5<6sA><=6sa1`3e>5<6sA><=6sa1`03>5<6sA><=6sa1`02>5<6sA><=6sa1`01>5<6sA><=6sa1`00>5<4sA><=6sa1`07>5<4sA><=6sa1`06>5<4sA><=6sa1`05>5<6sA><=6sa1`04>5<6sA><=6sa1`0;>5<6sA><=6sa1`0:>5<6sA><=6sa1`0b>5<6sA><=6sa1`0a>5<4sA><=6sa1`0`>5<4sA><=6sa1`0g>5<4sA><=6sa1`0f>5<4sA><=6sa1`0e>5<4sA><=6sa1`13>5<4sA><=6sa1`12>5<4sA><=6sa1`11>5<4sA><=6sa1`10>5<4sA><=6sa1`17>5<4sA><=6sa1`16>5<4sA><=6sa1`15>5<4sA><=6sa1`14>5<5sA><=6sa1`1;>5<5sA><=6sa1`1:>5<5sA><=6sa1`1b>5<5sA><=6sa1`1a>5<5sA><=6sa1`1`>5<5sA><=6sa1`1g>5<5sA><=6sa1`1f>5<5sA><=6sa1`1e>5<5sA><=6sa1`63>5<5sA><=6sa1`62>5<5sA><=6sa1`61>5<4sA><=6sa1`60>5<4sA><=6sa1`67>5<4sA><=6sa1`66>5<4sA><=6sa1`65>5<4sA><=6sa1`64>5<4sA><=6sa1`6;>5<4sA><=6sa1`6:>5<4sA><=6sa1`6b>5<4sA><=6sa1`6a>5<4sA><=6sa1`6`>5<4sA><=6sa1`6g>5<=6sa1`6f>5<6sA><=6sa1`6e>5<6sA><=6sa1`73>5<6sA><=6sa1`71>5<5sA><=6sa1`70>5<6sA><=6sa1`77>5<6sA><=6sa1`76>5<6sA><=6sa1`75>5<6sA><=6sa1`74>5<5sA><=6sa1`7;>5<6sA><=6sa1`7:>5<6sA><=6sa1`7b>5<5sA><=6sa1`7a>5<5sA><=6sa1`7`>5<5sA><=6sa1`7g>5<6sA><=6sa1`7f>5<6krB?;<5rn0c54?6=:rB?;<5rn0c55?6=:rB?;<5rn0c56?6=:rB?;<5rn0c57?6=9rB?;<5rn0c50?6=9rB?;<5rn0c51?6=:rB?;<5rn0c52?6=:rB?;<5rn0c53?6=9rB?;<5rn0c551zm654=839pqc?ic;295~{i:;;1<7=t}o02f?6=9rwe>9>50;1xyk44i3:1=vsa24d94?5|ug8>57>51zm622=83;pqc<63;297~{i:1n1<7?t}o0a6?6=;rwe>lm50;3xyk4c93:1?vsa2b`94?7|ug8m<7>53zm6`g=83;pqc=?f;297~{i;931<7?t}o11a?6=;rwe??650;3xyk53l3:1?vsa35594?7|ug9=o7>53zm730=83;pqc=7b;297~{i;1?1<7?t}o1be?6=;rwe?l:50;3xyk5d13:1?vsa3b194?7|ug9n47>53zm7`4=83;pqc:?7;297~{i<9;1<7?t}o566?6=9rwe;;950;3xyk10k3:1=vsa78`94?5|ug=297>51zm3gg=839pqc9m4;295~{i?jl1<7?t}o5fa?6=;rwe;h650;3xyk>7l3:1?vsa81594?7|ug29o7>53zm<70=83;pqc6;b;297~{i0=?1<7?t}o:5e?6=;rwe4;:50;3xyk>?13:1?vsa89194?7|ug22i7>51zm51zmf23=839pqcl9f;295~{ij0>1<7=t}o`;a?6=9rweno=50;1xykdfl3:1=vsabe094?5|ughho7>51zmfc7=839pqcljb;295~{ik8:1<7=t}oa3e?6=9rweo>?50;0xyke5i3:1=vsace294?7|uginj7>53zmg`?=83;pqcj?e;297~{il921<7?t}of1`?6=;rweh?950;3xykb3k3:1?vsad5494?7|ugn=n7>53zm`33=83;pqcj7a;297~{il1>1<7?t}ofb=?6=;rwehl=50;3xykbd03:1?vsadb094?7|ugnn;7>53zm``7=83;pqck?6;297~{im9:1<7?t}og11?6=;rwei53zma0b=83;pqck72;297~{im>i1<7?t}ogb5?6=;rwei4l50;3xykcd83:1?vsaecc94?7|ugon=7>52zmaag=83;pqch?2;296~{imoh1<7?t}od15?6=;rwejj7>53zmb0?=83;pqch8e;297~{in>21<7?t}od:`?6=;rwej4950;3xyk`ek3:1?vsafc494?7|uglon7>53zmba3=83;pqchia;297~{ino>1<7?t}o300=<728qvb<=:d;295~{i9:=96=4>{|l27=1=83;pqc?<9b83>4}zf89i=7>51zm56e1290:wp`>3e`94?7|ug;8j=4?:0y~j427=3:1=vsa153b>5<6std:8?h50;3xyk73<=0;6{|l20f6=839pqc?;b`83>4}zf8>oj7>53zm51b>290:wp`>4gg94?5|ug;?j54?:0y~j436l3:1?vsa1434>5<6std:9>m50;1xyk72;?0;6:1<7?t}o36<0<728qvb<;6a;295~{i9{|l21f2=83;pqc?:d883>4}zf8?ni7>51zm5364290:wp`>60:94?7|ug;=>i4?:0y~j403:3:1=vsa1774>5<6std::;m50;3xyk71080;6kl1<7=t}o35f<<728qvbk0:w?;k:|J1f==zf8im47>51zX22g<6s;?o6pF=b99~j4ea13:1=vT>6c8273c2tB9n55rn0aee?6=9rP::o4>{37g>xN5j11vbk0:w?;k:|J1f==zf8imo7>51zm5f`c290:wp`>cgg94?7|ug;hjk4?:0y~j4b783:1=vsr}|BCG~46:j0o::=7502~DED|8tJK\vsO@ \ No newline at end of file +$4:a5=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|7;CC@Pw:6611IMNZ}<3<4?GGD\{U;;6LNCUp\52=EIJ^yS?74B@AWvZir|:1IYK??;CWJHAYSI[MNXL\LH99AQVYNFOE:7N:4C04N=>E6>DUBNXH9;B03I@He3J8;AH@PICWE2>E5=DOEn6M=5LGM[JDRN:1H4@94C9OEeij?3J2FJlbc199@E?E{6:2;5L8Lp\43=D0DxT=?5LE29@HN5ET;2I_@;5Lldcq5c=Ddlky=RQnne2345g730Okkiuq\444>129@v`bn|zU;SRoad12347763Jxnhdz|_1]\kw6789;97N|jdhvp[5YXg{:;<=?>2:Aqaaos{V:TSb|?012157=Dzlnbx~Q?_^mq4567;880Okkiuq\4ZYhz9:;<9?=;Bpf`lrtW9UTc>?01726>EummcR>P_np3456112Iyiig{s^326>EummcR?P_`lg45679:1H~hjftr]2[Zgil9:;<<74CsggmquX:880Okkiuq\6ZYffm:;<=?<;Bpf`lrtW;UTmcj?0122=>EummcR=>1:Aqaaos{V9TSb|?01226>EummcR=P_np345669;1H~hjftr]0[Ziu89:;>45LrdfjpvY39;1H~hjftr]7[Zgil9:;<<=4CsggmquX?013:?Ftbl`~xS8?=;Bpf`lrtWEummcR;P_`lg4567901H~hjftr]557=Dzlnbx~Q9_^cm`5678890Okkiuq\2ZYffm:;<=?<6:Aqaaos{VGjhi}zb^]b`aurj9:;2:ZKg{UTtb|?01207>EummcRCndeqvfZYflmy~n=>?0^O{kwYUmzgx1?1_^zlv5678:<0Okkiuq\Idbc{|hTSljkst`3456XEqeyS_k|umv?6;YJpfxT^h}zlu1;?Ftbl`~xS@okdrwa[Zgclzi<=>?_LzlvZTb{|f0?0PMymq[Z~hz9:;<>=4CsggmquXEhnoxlP_`fgwpd789:TAua}_Sgpqir;:7UTtb|?01202>EummcRCndeqvfZYflmy~n=>?0^O{kwYUmzgx1=1_LzlvZTb{|f?55LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:46VGscQPxnp34564;2Iyiig{s^Ob`aurjVUjhi}zb1234ZKg{UYi~{ct=1=[Z~hz9:;<>84CsggmquXEhnoxlP_`fgwpd789:TAua}_Sgpqir;<7UFtb|PRdqvhq5?3Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<5<\I}iuWVrd~=>?0218Gwcca}yTAljkst`\[dbc{|h;<=>PMymq[Wct}e~783QPxnp34564>2Iyiig{s^Ob`aurjVUjhi}zb1234ZKg{UYi~{ct=7=[H~hzVXnxb{399@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}6>2RCwos]\|jt789:8?6M}eekwwZKflmy~nRQndeqvf5678VGscQ]erwop939WVrd~=>?0248Gwcca}yTAljkst`\[dbc{|h;<=>PMymq[Wct}e~7:3QBxnp\V`urd}937N|jdhvp[HgclziSRokdrwa4567WDrd~R\jstnw838XEqeySRv`r123465?1368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34576:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;<<<=4:Aqaaos{VGjhi}zb^]b`aurj9:;?01]\kw6788<9?6M}eekwwZKflmy~nRQndeqvf5678VUd~=>?2368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34546:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;?01]\kw678;<9?6M}eekwwZKflmy~nRQndeqvf5678VUd~=>?3368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34556:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;<><=4:Aqaaos{VGjhi}zb^]b`aurj9:;?01]\kw678:<9?6M}eekwwZKflmy~nRQndeqvf5678VUd~=>?4368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34526:=1H~hjftr]Neabt}kUTmij|uc2345YXg{:;<9<=4:Aqaaos{VGjhi}zb^]b`aurj9:;?01]\kw678=<9?6M}eekwwZKflmy~nRQndeqvf5678VUd~=>?5368Gwcca}yTAljkst`\[dbc{|h;<=>P_np34536:h1H~hjftr]Neabt}kUTmij|uc2345Yhz9:;9Road12347d?0131f>EummcRCndeqvfZYflmy~n=>?0^mq4562Whdo<=>?23c8Gwcca}yTAljkst`\[dbc{|h;<=>Pos2340Yffm:;<<l5LrdfjpvYJimnxyoQPaefpqg6789Ud~=>?5^cm`567:;h0Okkiuq\Idbc{|hTSljkst`3456Xg{:;<8Qnne234775j2Iyiig{s^Ob`aurjVUjhi}zb1234Ziu89:>Sl`k01216402Iyiig{s^]b`aurj9:;<1=1179@v`bn|zUTmij|uc2345:368<0Okkiuq\[dbc{|h;<=>35?35?Ftbl`~xSRokdrwa45674?4m7N|jdhvp[dhc89:9==5LrdfjpvYffm:;0:Aqaaos{Vkeh=>?2333?Ftbl`~xSl`k01217c=Dzlnbx~Qaou2346773Jxnhdz|_omw4564981Oi6J]C^QVGFCT[O_G:6J[ABIqa>BSIJAySca{0122b>BSIJAySca{012252=Ci}k7<394D`vb84813Me~xBKk;EmvpJCXg{:;<=k4DnwwK@Yhz9:;<k4DnwwK@Yhz9:;<9k4DnwwK@Yhz9:;<8k4DnwwK@Yhz9:;<;?4E49FBdjk>2OMmab>6:GEeij5=2OX0=0:;DQ?5;3CTW9o0I^Q?_1]mkq6789l0I^Q?_1]mkq6789;o7H]P0^]bja6789o0I^Q?_^cm`56788o0I^Q?_^cm`5678;o0I^Q?_^cm`5678:o0I^Q?_^cm`5678=o0I^Q?_^cm`56780I^Q>d:GP[4YXign;<=>j;DQ\5ZYffm:;<=?j;DQ\5ZYffm:;<=<;;DQ\6a=B[V8TSl`k0123a>CTW;UTmcj?0122a>CTW;UTmcj?01210>CTW:n0I^Q<_^cm`5678l1N_R=P_`lg45679l1N_R=P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>9:GP[HgclVUjhi>?01]\ekb789::m6K\_Lcg`ZYflm:;<=QPaof34566901N_RCnde]\eab789:TSl`k01225d=B[VGjhiQPaef3456XWhdo<=>>1e9FWZWX9Vey<=>?e:GP[TY6Wfx;<=>>f:GP[TY6Wfx;<=>>0g9FWZWX9Vey<=>?10g8AVYVW8Ud~=>?03g8AVYVW8Ud~=>?02g8AVYVW8Ud~=>?05g8AVYVW8Ud~=>?04g8AVYVW8Ud~=>?07g8AVYVW8Ud~=>?06g8AVYVW8Ud~=>?09g8AVYVW8Ud~=>?08g8AVYXimn;<=>31?g8AVYXimn;<=>32?g8AVYXimn;<=>33?:8A]VFFMOO=<5JXQCM@@BXJJNTJDBJ159F\UGILLNTOB@\TSCJJQU692OS\L@KEE]JW@YAAEO=7HQFBTD1?@t23Lx7<3;4Es>2:1=BzV:?7H|P159EGIM>3OIGGRGMUG08BA56HI8:DSCDOIA]20J_AB_TAE7>@TJ81L?6IAD09J6>O7:2C:>6G=2:K06>O3:2C>>6G92:K40>OFKZ?0ELM\159JFP@53@D37D@IESPF@<=NF@^XXLZJ3:KMR`=NFVDLHROAD^@@@7=NG<1BCLM[d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=??f:KLEFRXe|r;<=>>1g9JKDESWds<=>?13d8MJGD\Vg~t=>?001e?LIFK]Ufyu>?0137b>OHIJ^Taxv?01221c=NGHI_S`{w012353`b3@EJOYQbuy2345?13@EJOY|9;HMAAWTb3@EII_\Pnnv3457a3@EII_\Pnnv34576<2CDN@:4IN@P2>OHJ\LN:6G@CNOS0>OHKZ?0EBM\179JKFU68<1BCN]=5:KLGV523@EH_9;4INAP10=NGJY=96G@CR56?LID[1?0EBM\979JKAIIMm1BCIAAE^mq4567m2CDHB@J_np34566m2CDHB@J_np34565m2CDHB@J_np34564m2CDHB@J_np34563m2CDHB@J_np34562m2CDHB@J_np34561m2CDHB@J_np34560m2CDHB@J_np3456?02CDHB@Jio58MJBHFLx?7DAC0b9JKI6Xe|r;<=>k;HMO4Zkrp9:;<k4INN3[hs89:;=9k4INN3[hs89:;=8j4INN3[hs89:;>i5FOM2\ip~789:8h6G@L1]nq}6789>o7DAC0^ov|5678m1BCA>Pmtz34560l2CD@=Qbuy2345>c3@EG_omw45669<1BCAJ\6:KLTRET12CD\Z\jae{b?LIW_[ojht?8;HMQ@WCVn2CD^I\JQ^cm`56788:0EB\KRDS\ekb789::==5FOSFQATYffm:;<=<>0:KLVATBYVkeh=>?02d8MJTCZL[Taxv?01224>OHZMXN]Rczx12344763@EYH_K^_lw{456799;:7DA]DSGR[hs89:;=;HMQ@WCVWds<=>?1332?LIUL[OZS`{w012356763@EYH_K^_lw{45679=;;7DA]DSGR[hs89:;><>4INPGV@WXe|r;<=><119JKWBUMXUfyu>?01624>OHZMXN]Rczx12340773@EYH_K^_lw{4567>8:0EB\KRDS\ip~789:<==5FOSFQATYj}q:;<=6>0:KLVATBYVg~t=>?08:8MJTCZL[y:6G@RDSq3>OHZL[y=:5FOSGRv71>0:KLV`gcqVUd~=>?0033?LIUmhnrSRa}0123646:<6G@Rdcg}ZYhz9:;<8:4INQ3g>OH[9Ujbi>?01f8MJU7Whdo<=>?1e9JKV6Xign;<=>=d:KLW5Yffm:;<==l;HMP4Zkrp9:;Pmtz34562l2CD_=Qbuy23450c3@EXOH[VLXARHId0a8MJUXNZGTJKj=f:KLWZ@TEVLMh?#Fn028MJUXNZGTJKj=-Hl255=NGZUM_@QIFe0.Mk4682CD_RH\M^DE`7+Nf:i0EB]PFRO\BCb4n2CD_RH\M^DE`6+Nf8:0EB]PFRO\BCb4%@d:==5FOR]EWHYANm9&Ec<>0:KLWZ@TEVLMh>#Fn233?LITWOYFSKHk3,Km0460:KLWZ@TEVLMh;#Fn533?LITWOYFSKHk6,Km1f=NGZUM_@QIFe5e?LITWOYFSKHk7,Km55=NGZUM_@QIFe5.Mk7682CD_RH\M^DE`2+Nf;;;7DA\_GQN[C@c?$Ce?<>4INQ\BVKXNOnk;HMV4Zkrp9:;<k4INW3[hs89:;=9k4INW3[hs89:;=8j4INW3[hs89:;>i5FOT2\ip~789:8h6G@U1]nq}6789>o7DAZ0^ov|5678m1BCX>Pmtz34560l2CDY=Qbuy2345>c3@E^_omw45669<1BCXJ\7:KLSWTBYo1BCZ\]EP]nq}6789;;7DAXRSGR[hs89:;=>0038MJQUZL[Taxv?0122547>4038MJQUZL[Taxv?0122146?5028MJQUZL[Taxv?012555=NG^XYI\Qbuy23451682CD[_\JQ^ov|56781;;7DAXRSGR[hs89:;555FOVPQATt33@Y_O85FSUA2f>OTWOYFSKHk1d9JWZ@TEVLMh<#Fng9JWZ@TEVLMh<#Fn0d8MVYA[DUMJi?"Io0a?LUXNZGTJKj=e:KP[CUJWOLo> Gaf:KP[CUJWOLo> Ga1g9JWZ@TEVLMh?#Fn3d8MVYA[DUMJi<"Io1e?LUXNZGTJKj=-Hl7b>OTWOYFSKHk2,Km1g=N[VLXARAzt2a8MVYA[DUDyy=>c:KP[CUJWF??j4IR]`iuYby9:;?h5DEDR\LLCUI[ICU:5CICPBV\089OPlkbz59556B[ilgq818>3E^bah|35?;8HQojm{6=245CThofv91912F_e`k}<9<:?IRnelx75364LUknawRD9:1GXdcjrUA\ghvXmx:;<=?;;MVji`tSKVif|Rk~0123542?01120>JSadoyXNQlmq]fu5678=;?7AZfmdpWGZejxVoz<=>?5068HQojm{^HSnc_ds3456102F_e`k}_1:8HQojm{U:56B[ilgq[46>3E^bah|P10:8HQojm{U946B[ilgq[6>JSadoyS:64LUknawY?02F_e`k}_807?IRnelxTAljk_^cg`5678VGscQ]erwop9776;>0@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0>5CThofvZKflmUTmij?012\I}iuW[oxyaz34?00?IRnelxTAljk_^cg`5678VGscQ]erwop939::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7:3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=5=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;07887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx171279OPlkbzVGjhiQPaef3456XZly~`yQ?_omw456798n0@Ygbes]NeabXWhno<=>?_^cm`56788o0@Ygbes]NeabXWhno<=>?_^cm`56788;o7AZfmdp\IdbcWVkoh=>?0^]bja6788;n7AZfmdp\IdbcWVkoh=>?0^]bja6788;:h6B[ilgq[HgclVUjhi>?01]\ekb7898:i6B[ilgq[HgclVUjhi>?01]\ekb7898:=i5CThofvZKflmUTmij?012\[dhc89:8=h5CThofvZKflmUTmij?012\[dhc89:8=d:NWmhcuWDkohRQnde2345YXign;<=8>e:NWmhcuWDkohRQnde2345YXign;<=8>1e9OPlkbzVGjhiQPaef3456XWhdo<=>81d9OPlkbzVGjhiQPaef3456XWhdo<=>810f8HQojm{UFmijP_`fg4567WVkeh=>?80g8HQojm{UFmijP_`fg4567WVkeh=>?803g?IRnelxTAljk_^cg`5678VUjbi>?083f?IRnelxTAljk_^cg`5678VUjbi>?08326>JSadoySRokd12349699:1GXdcjr^]b`a67896:<3?<;MVji`tXWhno<=>?<03=57=K\`gn~RQnde2345:56880@Ygbes]\eab789:7?3?=;MVji`tXWhno<=>?<5<26>JSadoySRokd12349399;1GXdcjr^]b`a67896=2<<4LUknawYXimn;<=>37?31?IRnelxTSljk01238=86:2F_e`k}_^cg`567853596B`ae3f?Iifl8UTmcj?01211>KflmUXXdcjr^cg`vse89:;S@v`r^Pfwpjs484h7@oeosTfvvohfj1Feca}Vdppmjh53G:87C>>7:LFPRIUC?1ECNBFP09L0>IE]O?0CO[IE29LJ@4IU<:1DYY94Owgqhd?3F|n~aov109Sg>V):9;8==?<_M18T4643Y;:?6^>229S56587]?:3:R226=W9>90\<6<;Q3:6>V5;2Z9<>5_2318T7543Y8??6^=529S625V48:1[??=4P210?U53;2Z89>5_3718T6153Y>87]:?3:R756=W<;90\9=<;Q657>V28:1[9?=4P410?U33;2Z>9>5_5718T0>43Y?2>6^93:R546=W>890\;=<;Q477>V1=:1[:;=4P751?U143Y=;?6^8229S365>87]993:R436=W?190\:7=;Q:0?U>7;2Z3=>5_8318T=343Y2=?6^7729S<<4<;Q;27>V>::1[5>=4P860?U?2;2Z2:>5_9618TDB33YKYXl5_IO]AQVOCPk1[ECQMURLBI@53:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4:4::6\N<2<12>TF4:48:6\N<2<71>TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ=0^LCLS1Z;?WGJKZ:S=55]ALAP4]4?3[KFO^>W379QEHET911YM@\jae{24>TFE[ojhtQbuy2345763[KF^hoky^ov|56788;:7_OBRdcg}Zkrp9:;;SCNV`gcqVg~t=>?0232?WGJZlkouRczx12341763[KF^hoky^ov|5678<;:7_OBRdcg}Zkrp9:;<;?>;SCNV`gcqVg~t=>?0678VDUBDm1YM^KC_lw{4567m2XJ_HBPmtz34566m2XJ_HBPmtz34565m2XJ_HBPmtz34564m2XJ_HBPmtz34563=2XJ_H@k;SCPAKYj}q:;<=k4R@QFJZkrp9:;<k4R@QFJZkrp9:;<9k4R@QFJZkrp9:;<8;4R@Qqb==UIZxmSC>6;SCPvcYI88?0^L]}re9QEVtuWds<=>?e:PBWwtXe|r;<=>>e:PBWwtXe|r;<=>=e:PBWwtXe|r;<=>;e:PBWwtXe|r;<=>:e:PBWwtXe|r;<=>99:PB[5YHJ\Lh7_OP0^ov|5678m1YMR>Pmtz34566l2XJS=Qbuy23454c3[KT?e:PB[46Xe|r;<=>>e:PB[46Xe|r;<=>=e:PB[46Xe|r;<=>;a:PB[47XGK_M56\N_0]LFP@d3[KT=Rczx1234a=UIV;Taxv?0122`>TFW8Ufyu>?010g?WGX9Vg~t=>?02f8VDY6Wds<=>?489QEZ4XGK_Mo6\N_3]nq}6789n0^LQ=_lw{45679m1YMRRczx12341?SBLZFb9QEZ3Xe|r;<=>k;SC\1Zkrp9:;<i5]A^7\ip~789:8h6\N_4]nq}6789>27_OP6^MAQCeTFW?Ufyu>?013g?WGX>Vg~t=>?03f8VDY1Wds<=>?3e9QEZ0Xe|r;<=>;9:PB[2YHJ\Lh7_OP7^ov|5678m1YMR9Pmtz34566l2XJS:Qbuy23454c3[KT;Rczx12346b?00f8VDY>Wds<=>?2e9QEZ?Xe|r;<=>THEJY::6\@MBQ13>THEJY?T55]OLAP0]7?3[EFO^:W299QKHET{oSge55g45]S1vlV``412XX01Y_=z`Rdd4=>TT8}eYik66;SQ3pjTbn090^^Zm;SQ\BVKXNOn:n6\\_GQN[C@c:k1Y_RH\M^DE`6dTTWOYFSKHk5d9QWZ@TEVLMh8#Fng9QWZ@TEVLMh8#Fn0d8VVYA[DUMJi;"Io0e?WUXNZGTJKj:-Hl0f>TTWOYFSKHk6d9QWZ@TEVLMh;#Fng9QWZ@TEVLMh;#Fn0d8VVYA[DUMJi8"Io0e?WUXNZGTJKj9-Hl0f>TTWOYFSKHk7d9QWZ@TEVLMh:#Fng9QWZ@TEVLMh:#Fn0d8VVYA[DUMJi9"Io0e?WUXNZGTJKj8-Hl0f>TTWOYFSKHk8d9QWZ@TEVLMh5#Fng9QWZ@TEVLMh5#Fn033?WUXNZGTJKj7-Hl24c=U[VLXARHId9/Jj7`*Ag=m7_]PFRO\BCb?%@d3j6\\_GQN[C@c0$Ce585]e`fz2>Tbims::6\jae{12>Tbims8:6\jae{72>Tbims>:6\jae{52>Tbims<:6\jae{;2>TbnJf`:6\jfSgra>Tbn[ozSRa}0123a>Tbn[ozSRa}0122b>Tbn[ozSRa}01225c=UmoXn}RQ`r12357c2XnjX|he:PfbPt`Wds<=>?f:PfbPt`Wds<=>?1d9QacSuoVddx=>?1g9QacSuoVddx=>?10f8V``RznUd~=>?1d9QacSuoVey<=>>1g9QacSuoVey<=>>11d8V``RznUd~=>?103e?Wca]{mTc>?0031b>Tbn\xlSb|?01327c=Umo_ykRa}012251cUSadoyS=QPos2345763Z^bah|P0^]lv56788;:7^Zfmdp\4ZYhz9:;;RVji`tX8VUd~=>?0232?VRnelxT>1038WQojm{U;SRa}0122647<[]cfiQ?_^mq4566;11XXdcjr^325>USadoyS?2008WQojm{U:SRoad12346753Z^bah|P1^]bja6789>:>6][ilgq[4YXign;<=>:159PPlkbzVkoh~{m0123848692Y_e`k}_bos[`w789::>6][ilgq[fkwWl{;<=>>139PPlkbzVif|Rk~0123644<[]cfiQlmq]fu5678:?0_Z2>>`9PS979W@H^J85\W=0=e>UP4;4TEO[I7:QT86<66<1X[1=1a:QT868XAK_M86]jnua8W`hsWVey<=>?d:QfjqYXg{:;<=?k;RgmpZYhz9:;Uil[KFO^Y]1b9PjaTFEJY\^<>l;RlgVDKD[^X:=n5\nePBIFUPZ88i7^`kR@O@WRT5j2Yeh_OBCRUQ7g=TfmXJAN]XR5`8WkbUIDIX[_;m;RlgVDKD[^X=n6]adSCNGVQU?k1Xbi\NMBQTV=d<[gnYM@M\WS;;?VhcZlkouk5\nePfeaXg{:;<=??;RlgV`gcqVey<=>?1028WkbUmhnrSb|?012155=TfmXnmiwPos23455682Yeh_kndx]lv5678=;;7^`kRdcg}Ziu89:;9<>4SofQadb~Wfx;<=>9119PjaTbimsTc>?015e?VhcZlkouRa}012255=TfmXnmiwPos23447682Yeh_kndx]lv5679;;;7^`kRdcg}Ziu89::?:5\neQokr`<[gnX`byP_np3456682Yeh^b`w^]lv56788;;7^`kSmmt[Ziu89:;><>4SofPhjqXWfx;<=><119PjaUkg~UTc>?01624>UilZfd{RQ`r12340773Zdo_aax_^mq4567>81_?6Z]I99WVLUSI]O?7Y\ZEc9WWZ@TEVLMhh5[S^DPIZ@Al;'Bbk5[S^DPIZ@Al;'Bb0g9WWZ@TEVLMh?#Fn3d8PVYA[DUMJi<"Io1e?QUXNZGTJKj=-Hl7b>RTWOYFSKHk2,Km1c=S[VLXARHId3/Jj3`<\ZUM_@QIFe0.Mk1a3]YTJ^CPFGf1)Lh?n2^XSK]B_GDg6(Oi1k1__RH\M^Mvp5e<\ZUM_@Q@uu22g>RTWOYFSB{{0368P\VB02^bah|30?:8Plkbz5;546Zfmdp?6;><\`gn~1=17:Vji`tSK880XdcjrUA\ghvXmx:;<=?<;UknawRDWjg{Sh?012256=SadoyXNQlmq]fu5678;;87YgbesV@[fkwWl{;<=><129Wmhcu\JUha}Qjq12341743]cfiZL_bos[`w789:>;6Zfmdp\446<\`gn~R>P_`lg4567981_e`k}_1]\ekb789::=<5[ilgq[5YXign;<=>=109WmhcuW9UTmcj?01203>RnelxT=<>4ThofvZ7XWhdo<=>?109WmhcuW8UTmcj?012254=SadoyS=239WmhcuWDkohRQnde2345YJpfxT^h}zlu>2:74<\`gn~RCnde]\eab789:TAua}_Sgpqir;:7897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0>0>c:Vji`tXEhnoSRokd1234ZYffm:;<=?k;UknawYJimnTSljk0123[Zgil9:;<>038PlkbzVUjhi>?01>1:47<\`gn~RQnde2345:46m1_e`k}_`lg4567m2^bah|Paof34566>2_EY^KKa:WPAWYFD@KXo6[\ES]UMVOEDL90ZNM6;WKFSZR^XL>0Z]O}c:TSEwYig}:;<`=h5YiePBIFUPZ?>`>h5YiePBIFUPZ?>`?h5YiePBIFUPZ?>`8h5YiePBIFUPZ?>`9h5YiePBIFUPZ?>`:h5YiePBIFUPZ?>`;h5YiePBIFUPZ?>`4?5XS`9TWZgil9:;;5WSUNJF2=_[]ULBI94XRV\RFE23QUDBH;4X^VQM3=_WSOYC;5Wdc]J`g=_laU[~dcFnwa8\anXX{cfXt~jf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh13Qe7<3?<;Yqw0>\BZF90ocz=;bq0?cue<2cdn`:4in`p=>hFLf@H>j;oCGkprKM9UDNXH>0:lB@jssDL:Tc>?0132?kGCg|~GI=Q`r12344763gKOcxzCE1]lv5678;;:7cOKotvOA5Yhz9:;<>74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKn0bL]PIN@\KGSAMh1eM^QFOCmvp3=iIZe~x45aARmvpZH7i2dJ_b{{_O22a>hF[fSca{0122b>hF[fSca{0122546m7cO\otv\jjr789;>j6`NSnww[kis89:::k5aARmvpZhh|9:;=:h4n@QlqqYig}:;<<6i;oCPkprXff~;<=?67:lBkprHM11eMb{{OD3`?kGh}}ENSBLZF49mF@TU12dII_\PFR@f?kDBZ[UM_OQ@BTD25>hEM[XTJ^LP_np34566:2dII_\PFR@\[jt789::=<5aBDPQ[CUEWVey<=>>139mF@TUWOYISRa}01225440:lAVZOHJVg~t=>?0233?kDUW@EIS`{w0123046hDIZUDNXHl;oABWZkrp9:;hC\HI@m6`KT@AH[CUEn2dOXLMD_GQA[JDRNh1eHYOLK^KLFc=iL]KHGRG@B^KAQCc;f:lGmkIBWhdo<=>?569m@jssGL20bIaztNG2g>hCg|~DIRAMUGd8jAir|FOTmcj?01324>hCg|~DIRoad12354773gNdyyAJ_`lg4566:8:0bIaztNG\ekb789;896`FPM0b?kOWD;UBNXH9;oKSH7t33gFO_55aLEQ\BVDc3gFO_RH\B^KAQC>hKLZUjbi>?03:g?kJC[Vkeh=>?28a8jIBTWge<=>>d:lO@VYig}:;<>1b9mK@Yig}:;<<37cAyesnb}47?0038jJpbzekrSRa}0123547?4038jJpbzekrSRa}012312=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>96`]AR4:?kTF[VEIYK:4nSGPf>hUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<94nSGPkpr13gXDAN]8;oPLIFU6j2dYC@M\_N@VB3=iZFG\I:5aRNOTA4d4nSgeGimUWge<=>>109mV``DdbXTbbz?01320>hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNh5aUEQ\MJDXGK_MIo5aUEQ\MJDh}}i0bXJ\_`lg4566l2d^H^Qnne23447c3g_O_Road12357bhRLZUjbi>?007g?kSC[Vkeh=>?17f8jPBTWhdo<=>>7e9mQAUXign;<=?7d:lV@VYffm:;<<7l;oWGWZhh|9:;=i5aUEQ\jjr789;:86`ZWD78jPQB9j1eYZKPaof3456c3g_\IRoad12344bhQEH20b[CN_HMAa>hQEHUBCOQ@BTDFf>hQEHUBCOazte9mRHGXWhdo<=>?e:lUIDYXign;<=>>c:lUIDYXg{:;<=j4nWOB[Ziu89:;=i5aVLC\[jt789:9h6`YM@]\kw67899o7cXBA^]lv5678=n0b[CN_^mq4567=m1eZ@OP_np34561l2d]ALQPos23451c3g\FMRQ`r1234=ehQEHUjbi>?013g?kPJIVkeh=>?03f8jSKFWhdo<=>?3e9mRHGXign;<=>;d:lUIDYffm:;<=;;;oTSE==i^YKTJ^Lk;oTSEZ@TJVEIYK64nWRB[LIEl2d]\LQFOC]JFP@d3g\[MRoad1234a=i^YKTmcj?0122g>hQXHUecy>?00f8jSVFWge<=>>129mS@1kinv`;9c82?k2>k3<0(978:5:f?xUf<3>2i74848:017f75d2>kh96j;8e83>4<6sZk>697j:3`g>453j;9h6:omb:&;`?3??2B:=95yT075>5<6280??5u\a487=`<5jm0:?9l=3b84egd<,==n6?;l;W6:e?4|};ki6<5z2`a94>{#;9k19564$07:>5=#9<=195o4$07;>41c3k>3h7>54c8b0?d0sA>512820?{#:<218>j4+41f>5<>o3kl0;66a:3383>>i3lj0;66a;d383>>o6:;0;66g>6183>>o3mk0;66a;b083>>i3k;0;66g:0683>>i2;=0;66g:7b83>>i2>o>>3:17d7?:188k1g22900c9om:188m06e2900e9j?:188k0242900c9h<:188k06c2900c88::188k1`02900c8>?:188m0>42900c9m9:188k675290/?=m53038j66e2910c>??:18'75e=;8;0b>>m:098k67a290/?=m53038j66e2;10c>?j:18'75e=;8;0b>>m:298k67c290/?=m53038j66e2=10c>?l:18'75e=;8;0b>>m:498k67e290/?=m53038j66e2?10c>?n:18'75e=;8;0b>>m:698k672290/?=m53038j66e2110c>?;:18'75e=;8;0b>>m:898k674290/?=m53038j66e2h10c>>i:18'75e=;8;0b>>m:c98m0g=83.847>5$22`>0?56`<0c81?>o2>3:1(>>l:4;8j66e2:10e8:50;&04f<212d80=h48k0=76g:1;29 66d2<30b>>m:698m06=83.85$22`>0?56`<0c8b?>o3l3:1(>>l:4;8j66e2k10e9m50;&04f<212d8a=h48k0n76g91;29 66d2<30b>>m:g98m36=83.83g9;n7?>;:k6a?6=,::h6874n22a>44<3`?o6=4+31a91<=i;9h1=>54i4a94?"48j0>56`<0c820>=n=k0;6)=?c;7:?k57j3;>76g:5;29 66d2<30b>>m:048?l27k3:1(>>l:52a?k57j3:07d:?9;29 66d2=:i7c=?b;38?l27?3:1(>>l:52a?k57j3807o:62;295?6=8r.?9<4>6b9K0<7<@==h7):69;6:b>"5=00>445`17`94?"31009n454}c6:7?6=93:16n:188k4e12900c><=:188k17?2900e5<50;9j16c=831d=4o50;9j1=4=831d>oo50;9l777=831d?5950;9l520=831b>84?:%13g?433g9;n7>4;h00>5<#;9i1>95a31`95>=n:;0;6)=?c;07?k57j3807d!57k38?7c=?b;48?l7e290/?=m5259m75d=?21b=l4?:%13g?433g9;n764;h3:>5<#;9i1>95a31`9=>=n910;6)=?c;07?k57j3k07d?8:18'75e=:=1e?=l5b:9j53<72-9;o7<;;o13f?e<3`;>6=4+31a961=i;9h1h65f2e83>!57k38?7c=?b;g8?l4d290/?=m5259m75d=n21i84<50;394?6|,=?:6<8l;I6:5>N3?j1/847548d8 73>2<227b?9b;29 1?>2;h276sm53;94?3=:3:047?M2>92B?;n5+16`9a>"5=00>445fa183>>of:3:17d6i:188m4742900c>>j:188f66b290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:66;295?6=8r.?544;9g9l6g?=83.?544=b898yv57m3:1>vP<0d9>75c=:k30q~?>3;296~X69:16?=k516;8yvg52909wSo=;<13a?5>j2wxm=4?:3y]e5=:;9o1m=5rs9d94?4|V1l01>>j:`38yv71k3:1>v3<0d80aa=:<0<1>o74}|`1fg<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi?5650;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f6>>29086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=>4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn><::180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb205>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn>6k:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg5?m3:197>50z&714<3:2B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=n9>:1<7*;98823<=5$5;:>7d>32wi=:950;;94?6|,=?:69;4H5;2?M20k2.?544307d?80;29 1?>28=276g>7083>!2>13;<565f16094?"3100:;454i050>5<#<031=:74;h340?6=,=326<96;:k230<72->257?89:9l6g7=83.?544=b898yg2?:3:197>50z&714<3:2B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=n9>:1<7*;98823<=5$5;:>7d>32wi85=50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm49694?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj=2>6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c6;2?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th?4:4?:283>5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`7<<<72=0;6=u+443904=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898m417290/847516;8?j4e93:1(976:3`:?>{e=;n1<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi9?k50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f04a29096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo;<0;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c705?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{e<831<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi8N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c62a?6==3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9j526=83.?544>7898m416290/847516;8?j4e93:1(976:3`:?>{e<8l1<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb503>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->2579=7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`767<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi8?=50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm43694?3=83:p(9;>:508L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;h344?6=,=326<96;:k234<72->257?89:9l6g7=83.?544=b898yg26i3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=:n7>53;294~"3=809n;5G4838L11d3->257307b2;h276sm40a94?5=83:p(9;>:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a5t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`2=`<72<0;6=u+443907=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898m417290/847516;8?l7093:1(976:05:?>i5j80;6):69;0a=>=zj83m6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg7f93:1?7>50z&714<5j?1C84?4H55`?!2>138i96*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi=l<50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm1`194?5=83:p(9;>:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a5d2=8391<7>t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e90i1<7:50;2x 1362;h<7E:61:J73f=#<031>o;4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121b=:>50;&7=<<6?010c?l>:18'05;297~X6=27?=h4>6d9>04`=9?n0q~?9:180[7134>:i7?9f:?765<6>m1v<950;1xZ41<5=;n6<9?;<615?71l2wx=54?:3y]5==:<831=;h4}r3:>5<4sW;270:>e;345>;3:;0::i5rs0c94?4|V8k019?6:04f?xu6j3:18vP>b:?232<6>l1685<517g894?b28c;290~X6k27:;:4>6g9>0=4=9?l01<7j:04e?xu6l3:18vP>d:?232<6?91685<5162894?b28=;7p}>e;290~X6m27:;:4>709>0=4=9>;01<7j:052?xu583:1:vP=0:?0m16=:95160891>428;61o0::k5rs3094?0|V;801>6l:04g?870?3;40b3ty9?7>56z\17>;4::0::i5239g953c<58=<6<9;;<6;1?71l27:m>4>6d9~w73=8340c3493h7?9d:?232<6?<16858517f894?d286d9~w7b=838pR?j4=53g>40a3ty3>7>54z\;6>;2:k0::k5253f953c<5<8n6<8k;|qb5?6=:rTj=63:288;b>{t9><1<7{t90h1<77d634;j=7?9e:p5;<3b0?71m2wx=4h50;0x94?a2;h:70?n2;35a>{t9h:1<77d634;j>7?9f:p5d7=838p1:3`2?87f;3;=j6s|1`094?4|58k96?l>;<3b0?71n2wx=l=50;0x94g42;h:70?6c;35a>{t9h>1<77d634;2o7?80:p5f0=839pR>4>6d9~w7gc2909w0:62;35f>;2:00j>6s|2cc94?4|V;hj70{t;;;1<738i=6s|33094?5|V:8970==5;0a5>;4:?0::h5rs200>5<5s499?7l1v><;:181855<38i=63<24822c=z{:2<6=4={_1;3>;40009n<5rs2:;>5<5s49347o1v>6n:187[5?i278444>6d9>7=c=:k;018b28=;7p}<8e83>7}:;1n1>o?4=2:f>4163ty?=54?:3y]04><5=;h6?l>;|q75<<72;q68<752c38917f287}:<8k1>o?4=53`>40b3ty?=o4?:3y>04d=:k;019?l:04e?xu39m0;6?u240f96g7<5=886<8i;|q75`<72;q687}:<8l1>o?4=507>40b3ty?>=4?:3y>076=:k;019<;:04e?xu3:80;6?u243396g7<5=8?6<9?;|q767<72;q68?<52c38914328=:7p};2283>7}:<;91>o?4=53b>40a3ty?>94?:3y>072=:k;019?m:04e?xu30;0;6?u249096g7<5=226<8i;|q7<6<72;q685=52c3891>?287}:<1>1>o?4=5:4>40b3ty?484?:3y>0=3=:k;01968:04e?xu30?0;6?u249496g7<5=226<9?;|q7<2<72;q685952c3891>?287}:<121>o?4=5::>40b3ty>>44?:4y>17?=;9o01?lm:04g?85?03;=h63<27822c=:90n1=;j4}r71e?6=:r7>>44>129>17d=:k;0q~;=c;296~;2:00j<63:3081f4=z{<8o6=4={<71`?4e927>?<4>6d9~w04b2909w0;=e;0a5>;2;90::h5rs40e>5<5s4?9j7o1v8=?:181834838i=63:30822c=z{<9n6=4<{_70a>;2:k0::h5253d953b7>53z\6<7=:<1>1=;j4=0c3>40c3twi95850;16>g7=l;qC8:m4$572>6473S2n6?u>0;31>x"5=10??i5fa083>>o?=3:17d:j8;29?j32m3:17d:ne;29?j33>3:17b;<6;29?l55:3:17b:kc;29?l>52900c8<=:188m6e12900e8>n:188m0>52900e8:l:188m6462900c8:<:188m6>02900c><8:188k07d2900c>?=:18'75e=;8;0b>>m:198k677290/?=m53038j66e2810c>?i:18'75e=;8;0b>>m:398k67b290/?=m53038j66e2:10c>?k:18'75e=;8;0b>>m:598k67d290/?=m53038j66e2<10c>?m:18'75e=;8;0b>>m:798k67f290/?=m53038j66e2>10c>?::18'75e=;8;0b>>m:998k673290/?=m53038j66e2010c>?<:18'75e=;8;0b>>m:`98k66a290/?=m53038j66e2k10e?<50;&04f<592d84=h48k0976g>d;29 66d2;;0b>>m:298m4e=83.85$22`>77o613:1(>>l:338j66e2>10e<650;&04f<592d8<=h48k0j76g>5;29 66d2;;0b>>m:c98m7b=83.85$22`>77o5i3:1(>>l:338j66e2o10e?750;&04f<592d80:9j6=<72-9;o7<>;o13f?7632c9;7>5$22`>775<#;9i1><5a31`956=h48k0:865m48094?7=83:p(9;>:04`?M2>92B?;n5+48;90<`<,;?26866;n35f?6=,=326?l6;:a7f1=83?1>78t$572>4033A>2=6F;7b9'60?==130el>50;9je7<722c3j7>5;h327?6=3f9;i7>5;c13a?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<03184h4o3`:>5<#<031>o74;|q04`<72;qU?=k4=22f>7d>3ty:=>4?:3y]545<5::n6<96;|qb6?6=:rTj>63<0d80=g=z{h:1<7a349;i7o>;|q22f<72;q6?=k53df891?12;h27psm33594?5=:3>p(9;>:041?M2>92B?;n5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb401>5<42;0?w)::1;356>N3181C8:m4$37:>0>>3`2m6=44i030>5<5<22;0=w)::1;351>"5=00>445`31g94?=n;9n1<75f10194?=ni;0;66g7f;29?g71=3:1:7>50z&7=<<6>>1b=:750;&7=<<6?010el>50;&7=<4<729q/84752c68k7dd290/84752c;8?xu69:0;6?uQ1018940228=27p}7f;296~X?n27::84n1:pe7<72;qUm?521779752z\04a=:9??1?hj4}r13a?6=:rT852z?220t$55a><=#<<;1=;m4$5;:>1?a3-8>57;79:m22g<72->257129~w=`=838pR5h4=22f>=`66c349;i7o=;<0953d<,==i6n5r}c77=?6=;3818v*;508227=O<0;0D99l;%06=?3?12c3j7>5;h327?6=3f9;i7>5;c13a?6==381:v*;508220=#:<319574o22f>5<5<>d6><0;6;4?:1y'0307do?:18'07m:18'02;h276sm48694?7=83:p(976:3`7?j4ek3:1(976:3`:?>{t9891<77}Y0o16=;;5a09~wd4=838pRl<4=046>6?e3ty86>kk;|q04`<72;qU?=k4=046>7d>3ty?;i4?:3y>533=i91684:52ca8yxd5290:6=4?{%64f??<,=?:6<8l;%6:=?2>n2.9944:889l53d=83.?544=b898yv57m3:1>vP<0d9>75c=;9o0q~?>3;296~X69:16?=k51018yv>a2909wS6i;<13a?>a3ty>6=4<{<13a?57l27840e3->5;h327?6=3`k96=44i9d94?=e9??1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;7>5<6290;w):69;0a0>i5jj0;6):69;0a=>=z{8;86=4={_327>;6><0:;45rs9d94?4|V1l01<8::`38yvg52909wSo=;<351?5>j2wx?=j50;0xZ66c34;=97=jd:p75c=838pR>>j;<351?4e12wx8:j50;0x94022h:0197;:3``?x{e:3:1=7>50z&73g<>3->>=7?9c:&7=<<31o1/>87559;8k40e290/84752c;8?xu48l0;6?uQ31g8966b2::n7p}>1283>7}Y98901>>j:030?xu?n3:1>vP7f:?04`53z?04`<48m16?=k5a39>6?71j2.?;o4l;|a7a>=83?1>78t$572>4033A>2=6F;7b9'60?==130el>50;9je7<722c3j7>5;h327?6=3f9;i7>5;c13a?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<03184h4o3`:>5<#<031>o74;|q04`<72;qU?=k4=22f>7d>3ty:=>4?:3y]545<5::n6<96;|qb6?6=:rTj>63<0d80=g=z{h:1<7a349;i7o>;|q22f<72;q6?=k53df891?12;h27psm32294?5=:3>p(9;>:041?M2>92B?;n5+16`9a>"5=00>445f8g83>>o69:0;66a<0d83>>d48l0;684=:7y'007=9??0(?;6:4::?j57m3:17d=?d;29?l76;3:17do=:188m=`=831i=;;50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257789~w=`=838pR5h4=046>d7{t;9o1<76l>4=5;7>7dd3twi>7>51;294~"3?k027)::1;35g>"3100?5k5+24;91=?>j;|q256<72;qU=<=4=22f>4743ty3j7>52z\;b>;48l03j6s|5;297~;48l08h1i6*=5886<<=n0o0;66g>1283>>i48l0;66l<0d83>0<52?q/88?51778 73>2<227b=?e;29?l57l3:17d?>3;29?lg52900e5h50;9a533=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn97;:182>5<7s->2572573:?220<6?01v5h50;0xZ=`<58<>6l?4}rc1>5<5sWk970?95;1:f>{t;9n1<7{t<>n1<7d6<5=3?6?ll;|a6?6=93:15<#<031>o74;|q04`<72;qU?=k4=22f>66b3ty:=>4?:3y]545<5::n6{t=3:1?v3<0d804a=:;9o1m?522;35f>"3?k0h7psm32494?5=:3>p(9;>:041?M2>92B?;n5+16`9a>"5=00>445f8g83>>o69:0;66a<0d83>>d48l0;684=:7y'007=9??0(?;6:4::?j57m3:17d=?d;29?l76;3:17do=:188m=`=831i=;;50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257789~w=`=838pR5h4=046>d7{t;9o1<76l>4=5;7>7dd3twi>7>51;294~"3?k027)::1;35g>"3100?5k5+24;91=?>j;|q256<72;qU=<=4=22f>4743ty3j7>52z\;b>;48l03j6s|5;297~;48l08h1i6*=5886<<=n0o0;66g>1283>>i48l0;66l<0d83>0<52?q/88?51778 73>2<227b=?e;29?l57l3:17d?>3;29?lg52900e5h50;9a533=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn97;:182>5<7s->2572573:?220<6?01v5h50;0xZ=`<58<>6l?4}rc1>5<5sWk970?95;1:f>{t;9n1<7{t<>n1<7d6<5=3?6?ll;|a6?6=93:15<#<031>o74;|q04`<72;qU?=k4=22f>66b3ty:=>4?:3y]545<5::n6{t=3:1?v3<0d804a=:;9o1m?522;35f>"3?k0h7psm4ea94?5=:3>p(9;>:041?M2>92B?;n5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb2;`>5<42;0?w)::1;356>N3181C8:m4$05a>`=#:<319574i9d94?=n9891<75`31g94?=e;9o1<7;52;4x 13628<>7)<:9;7;=>i48l0;66g<0e83>>o69:0;66gn2;29?l>a2900n<8::185>5<7s->257?97:k23<<72->257?89:9je5<72->257o?;:kb5?6=,=326l?4;h1f`?6=,=326>kk;:k0=g<72->257=6b:9l6g?=83.?544=b898yg2><3:1=7>50z&7=<<5j=1d>om50;&7=<<5j010q~?>3;296~X69:16=;;516;8yv>a2909wS6i;<351?g63tyj>7>52z\b6>;6><085o5rs22g>5<5sW9;h63>6480aa=z{::n6=4={_13a>;6><09n45rs55g>5<5s4;=97o?;<6:0?4ek2wvn?4?:083>5}#<>h156*;50822f=#<03184h4$37:>0>>3f;=n7>5$5;:>7d>32wx?=k50;0xZ66b349;i7=?e:p545=838pR6}:;9o1?=j4=22f>d4<5;0::o5+46`9g>{zj:3o6=4<:387!2293;=>6F;909K02e<,8=i6h5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb2;f>5<42;0?w)::1;356>N3181C8:m4$05a>`=#:<319574i9d94?=n9891<75`31g94?=e;9o1<7;52;4x 13628<>7)<:9;7;=>i48l0;66g<0e83>>o69:0;66gn2;29?l>a2900n<8::185>5<7s->257?97:k23<<72->257?89:9je5<72->257o?;:kb5?6=,=326l?4;h1f`?6=,=326>kk;:k0=g<72->257=6b:9l6g?=83.?544=b898yg2><3:1=7>50z&7=<<5j=1d>om50;&7=<<5j010q~?>3;296~X69:16=;;516;8yv>a2909wS6i;<351?g63tyj>7>52z\b6>;6><085o5rs22g>5<5sW9;h63>6480aa=z{::n6=4={_13a>;6><09n45rs55g>5<5s4;=97o?;<6:0?4ek2wvn?4?:083>5}#<>h156*;50822f=#<03184h4$37:>0>>3f;=n7>5$5;:>7d>32wx?=k50;0xZ66b349;i7=?e:p545=838pR6}:;9o1?=j4=22f>d4<5;0::o5+46`9g>{zj:3m6=4<:387!2293;=>6F;909K02e<,8=i6h5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb2c1>5<42;0?w)::1;356>N3181C8:m4$05a>`=#:<319574i9d94?=n9891<75`31g94?=e;9o1<7;52;4x 13628<>7)<:9;7;=>i48l0;66g<0e83>>o69:0;66gn2;29?l>a2900n<8::185>5<7s->257?97:k23<<72->257?89:9je5<72->257o?;:kb5?6=,=326l?4;h1f`?6=,=326>kk;:k0=g<72->257=6b:9l6g?=83.?544=b898yg2><3:1=7>50z&7=<<5j=1d>om50;&7=<<5j010q~?>3;296~X69:16=;;516;8yv>a2909wS6i;<351?g63tyj>7>52z\b6>;6><085o5rs22g>5<5sW9;h63>6480aa=z{::n6=4={_13a>;6><09n45rs55g>5<5s4;=97o?;<6:0?4ek2wvn?4?:083>5}#<>h156*;50822f=#<03184h4$37:>0>>3f;=n7>5$5;:>7d>32wx?=k50;0xZ66b349;i7=?e:p545=838pR6}:;9o1?=j4=22f>d4<5;0::o5+46`9g>{zj:k<6=4<:387!2293;=>6F;909K02e<,8=i6h5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb2c`>5<42;0?w)::1;356>N3181C8:m4$05a>`=#:<319574i9d94?=n9891<75`31g94?=e;9o1<7;52;4x 13628<>7)<:9;7;=>i48l0;66g<0e83>>o69:0;66gn2;29?l>a2900n<8::185>5<7s->257?97:k23<<72->257?89:9je5<72->257o?;:kb5?6=,=326l?4;h1f`?6=,=326>kk;:k0=g<72->257=6b:9l6g?=83.?544=b898yg2><3:1=7>50z&7=<<5j=1d>om50;&7=<<5j010q~?>3;296~X69:16=;;516;8yv>a2909wS6i;<351?g63tyj>7>52z\b6>;6><085o5rs22g>5<5sW9;h63>6480aa=z{::n6=4={_13a>;6><09n45rs55g>5<5s4;=97o?;<6:0?4ek2wvn?4?:083>5}#<>h156*;50822f=#<03184h4$37:>0>>3f;=n7>5$5;:>7d>32wx?=k50;0xZ66b349;i7=?e:p545=838pR6}:;9o1?=j4=22f>d4<5;0::o5+46`9g>{zj:h:6=4<:387!2293;=>6F;909K02e<,8=i6k5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb467>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257j7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`65a<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi?nj50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f6eb29096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo=lf;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c323?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th>8o4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn>mn:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg5dj3:1?7>50z&714<5j?1C84?4H55`?!2>138i96*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi?l?50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm32d94?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj<><6=4<:183!22938i:6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'07<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e;:31<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb21b>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj:9h6=4;:183!22938i;6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010e<9?:18'0307b2;h276sm33;94?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg55i3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl<2c83>7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e;;i1<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi??j50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm33g94?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg55n3:187>50z&714<5j>1C84?4H55`?!2>138i96*=5886<<=n9?o1<7*;98823<=5$5;:>41>32e9n<4?:%6:=?4e121vn9ji:180>5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`7a5<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd3m80;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg2b;3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl;e583>6<729q/88?52c48L1?63A>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj=o=6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn9k8:186>5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;h345?6=,=326<96;:m1f4<72->25721i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo;92;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd2>:0;694?:1y'007=<81C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5$5;:>7d>32wi9N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f04729096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo;=1;290?6=8r.?9<4;1:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565f16294?"3100:;454o3`2>5<#<031>o74;|`00d<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd4i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a71b=8391<7>t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=;7>53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo=:8;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd4=00;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;1>o84H5;2?M20k2.?544=b49'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb247>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->25721i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo=96;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c153?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{e;?k1<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi?;l50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f60d29086=4?{%665?273A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj::18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`034<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi?:<50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm36194?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg50>3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`03=<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd4?00;6>4?:1y'007=:k<0D97>;I64g>"31009n85+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn>9l:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb25g>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25753;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo=8f;297?6=8r.?9<4=b79K0<7<@==h7):69;0a1>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a7=4=8391<7>t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e;191<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb2:7>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->257229086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'07<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e;;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi?;>50;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c164?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg5293:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl<5383>6<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`016<72:0;6=u+44396g0<@=3:7E:8c:&7=<<5j<1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj:;=6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn>?8:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg5603:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=53;294~"3=809n;5G4838L11d3->257307b2;h276sm3c194?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj:h>6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c1a2?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th8n:4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0=8381<7>t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn>l6:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb2`b>5<3290;w)::1;0a3>N3181C8:m4$5;:>7d23-8>57;79:k22`<72->257?89:9j53`=83.?544>7898m417290/847516;8?j4e93:1(976:3`:?>{e;kh1<7=50;2x 1362;h=7E:61:J73f=#<031>o;4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo=mc;290?6=8r.?9<4;1:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565f16294?"3100:;454o3`2>5<#<031>o74;|`0f1<72:0;6=u+44396g0<@=3:7E:8c:&7=<<5j<1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj:kn6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn>oi:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb2`3>5<4290;w)::1;0a2>N3181C8:m4$5;:>7d23-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd4i00;684?:1y'007=<;1C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5$5;:>41>32e9n<4?:%6:=?4e121vn>on:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg5fj3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`0e0<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd4i?0;6>4?:1y'007=:k<0D97>;I64g>"31009n85+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn>jn:187>5<7s->>=7:>;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276g>7183>!2>13;<565`2c394?"31009n454}c1g5?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg5c:3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e;m>1<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb2f6>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25755;294~"3=80?>6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121b=:>50;&7=<<6?010e<9>:18'0307b2;h276sm3e594?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg5>83:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl<9283>7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e;0>1<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb2;6>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->25721i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo=67;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd4110;694?:1y'007=:k=0D97>;I64g>"31009n85+24;91=?5$5;:>41>32c:;=4?:%6:=?70121d>o?50;&7=<<5j010qo=69;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c1:e?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg5>93:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=7>53;294~"3=809n;5G4838L11d3->257307b2;h276sm52594?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj<936=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c70=?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th>?l4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'01<7>t$572>7d03A>2=6F;7b9'0o6>o0;6):69;34=>=n9>:1<7*;98823<=?n4?:583>5}#<<;18<5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010e<9?:18'0307b2;h276sm53794?5=83:p(9;>:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a170=8381<7>t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn8<8:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg3503:187>50z&714<5j>1C84?4H55`?!2>138i96*=5886<<=n9?o1<7*;98823<=5$5;:>41>32e9n<4?:%6:=?4e121vn>jj:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg5b<3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e;l<1<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb2g4>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25752;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`0a<<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi?ho50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f6ce29086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`0a4<72=0;6=u+443904=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898m417290/847516;8?j4e93:1(976:3`:?>{e;l81<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi?h=50;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c106?6==3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9j526=83.?544>7898m416290/847516;8?j4e93:1(976:3`:?>{e;:91<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb217>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'01<729q/88?5409K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;n0a5?6=,=326?l6;:a712=8391<7>t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=53;294~"3=809n;5G4838L11d3->257307b2;h276sm35494?5=83:p(9;>:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a711=8391<7>t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=6=4={_36?85213;=i6s|1783>7}Y9?16?;8517g8yv702909wS?8;<15g?71m2wx=54?:3y]5==:;>81=;k4}r3:>5<5sW;270=88;35a>{t9h0;6?uQ1`9>7=2=9?o0q~?m:181[7e349>j7?9e:p5f<72;qU=n52340953c7}Y9l16?<6517g8yv7a2909wS?i;<17e?71n2wx>=4?:3y]65=:;8<1=;h4}r01>5<5sW8970=8c;35b>{t:?0;6?uQ279>701=9?l0q~<8:181[40349=87?9f:p6=<72;qU>55237c953`7}Y:h16?:8517d8yv4e2908wS6g9~w7e=838pR?m4=2:1>40a3ty9h7>52z\1`>;4|V1801>l9:04g?85f13;=i63<92822a=:;0?1=;k4=404>40c3498>7?9e:?006<6>l1v5;50;1xZ=3<5:3=6<8k;<711?71n2wxm<4?:01xZd7<5:i<65h4=204>=`<5<8965h4=46:>=`<58;>65h4=2f;>=`<5:9;65h4=263>=`<5:9=65h4=2;`>=`<5:3o65h4=2;f>=`<5:3m65h4=2c1>=`<5:k<65h4=2c`>=`<5:h:65h4=21e>40c3ty:=84?:4y>543=;9o01>o>:04f?82b83;=i63129>541=:k;0q~5<5sW9;j63<4e81f4=z{:;;6=4={_124>;4=:09n<5rs230>5<5sW9:?63<5`81f4=z{:;?6=4={_120>;4>909n<5rs236>5<4sW9:96P<139>74?=:k;0q~=>6;296~;49?09n<5230;953c52z?052<5j816?<6517d8yv5603:1>v3<1981f4=:;831=;h4}r12e?6=:rT8=l5237596g752z\05g=:;?n1>o?4}r12g?6=:rT8=n5236196g752z\05a=:;>31>o?4}r12a?6=:rT8=h5236d96g752z\05c=:;1?1>o?4}r115?6=>rT8><523c7953b<5:k26<9?;<703?71l278??4>719>715=9?l0q~==2;297~X4:;16?4>517f896?0287}Y;;=01><8:22f?xu4:10;6?u23359545<5:8m6?l>;|q06<<72;q6??752c38964a287}:;;k1>o?4=20`>40a3ty8>o4?:3y>77d=:k;01>7}:;;o1>o?4=20e>40b3ty8?=4?:0;x96572::n70;93;35b>;2:80::k5235c953c<5:>i6<8k;<163?71m278954>6e9>732=9?o01>8::04g?851i3;=i63<6c822a=:;>:1=;k4=252>40c349<:7?9e:?032<6>m16?:m517g8961c28;40:0::i5234f953c<5:?n6<8k;<164?71m2789<4>6e9>740=9?o01>?8:04g?xu4;80;6?u23229545<5:9>6?l>;|q077<72;q6?><52c389653287}:;:91>o?4=217>40a3ty8?94?:3y>762=:k;01>=::04e?xu4;?0;6:u2324975c<5:h<6<8k;<1b=?709278594>6e9>16>=9?n01>==:052?853;3;<<6s|32594?4|5:9=6650;0x965?2;h:70={t;:31<77d63498n7?9f:p76g=838p1>=n:3`2?854k3;=i6s|32`94?4|5:9i6?l>;<10g?7082wx?>j50;0x965c2::n70;{t;:o1<7=t=21g>=`<5=nh65h4=21e>7d63ty88=4?:3y>716=;9o018=6:04g?xu4<80;6?u23529545<5:><6?l>;|q007<72;q6?9<52c389623287}:;=91>o?4=266>40a3ty8894?:3y>712=:k;01>:8:04f?xu4<<0;6?u235796g7<5:>=6<8i;|q003<72;q6?9852c389620287}:;=k1>o?4=26g>40b3ty88l4?:3y>71d=:k;01>:l:04e?xu4o6<8i;|q00c<72;q6?8>52c389634287}:;<;1>o?4=271>40a3ty89<4?:3y>704=:k;01>;<:04e?xu4=?0;6?u234596g7<5:?j6<8j;|q012<72;q6?8652c38963>287}:;<31>o?4=27b>40a3ty89n4?:3y>70b=:k;01>8?:04f?xu4=m0;6?u234g96g7<5:?m6<8i;|q01`<72;q6?8h52c389607287}:;?>1>o?4=244>40b3ty8:94?:3y>733=:k;01>89:04e?xu4><0;6?u237496g7<5:<<6<8i;|q02<<72;q6?;o52c38960c287}:;?h1>o?4=24`>40a3ty8:o4?:3y>73e=:k;01>8k:04e?xu4>o0;6?u236296g7<5:=86<8j;|q035<72;q6?:?52c389615287}:;>81>o?4=250>40a3ty8;84?:3y>720=:k;01>96:04f?xu4??0;6?u236596g7<5:=36<8i;|q032<72;q6?:652c38961>287}:;>i1>o?4=25e>40b3ty8;n4?:3y>72b=:k;01>9j:04e?xu4?m0;6?u236g96g7<5:=m6<8i;|q0<4<72;q6?5<52c3896>2287}:;191>o?4=2:7>40a3ty84>4?:3y>7=2=:k;01>6::04e?xu40>0;6?uQ3958902328<}:;:31=;j4=20a>40c34>n=7?9d:?0f=<6>m16?lk517g896gf28;4;:0::i52357953c52z?0=5<5j816?46517d8yv5>93:1>v3<9081f4=:;081=;h4}r1:7?6=:r785>4=b09>7<>=9?o0q~=64;296~;41=09n<5238:952652z?0=0<5j816?4?517g8yv5>>3:1>v3<9781f4=:;031=;k4}r1:3?6=:r785:4=b09>752z?0=<<5j816?4?517d8yv5>i3:1>v3<9`81f4=:;081=;k4}r1:g?6=?r785n4<0d9>76e=9?l01>40c3ty85i4?:4y>77k:22f?82cn3;=i63129>76d9>77e=9?o019k=:04f?85e13;=i63129>7d7=:k;0q~=n2;291~;4i;086d9~w6g42909w0=n2;327>;4i?09n<5rs2c7>5<5s49j87o1v>o::18185f=38i=6377?=9?l01>o9:04f?854=3;=i6s|3`:94?4|5:k<62;h:70=nb;35a>{t;hk1<77d6349jn7?9f:p7de=837j:030?85fk39;i63;e3822c=:;m81=;j4=2g5>40c349?87?9e:p7db=838p1>ol:030?85e838i=6s|3`g94?4|5:kn6?l>;<1a4?71m2wx?lh50;0x96ga2;h:70=m0;35b>{t;k;1<77t=2`2>66b3498n7?9e:?06a<6>l168h;517g896dd28;4i00::k52320953`<5:>=6<8j;|q0f7<72;q6?o?5101896d32;h:7p}7}:;k91>o?4=2`:>40a3ty8n84?:3y>7g3=:k;01>ln:04e?xu4j?0;6?u23c496g7<5:hj6<8j;|q0f2<72;q6?o952c3896df28=;7p}7}:;k21>o?4=2``>4173ty8n44?:3y>7g?=:k;01>lm:04e?xu4jh0;6?u23cc96g7<5:hh6<8j;|q0fg<72;q6?ol52c3896d3287}:;ki1>o?4=2`7>40a3ty8o;4?:6y]7f0<5:in6<8k;<77f?71l278hl4>719>7a7=9?l01>k;:04g?85bi3;=h6s|3b594?5|5:i<6>>j;<1ge?71m278584>6g9~w6e?2908w0=l7;327>;4ko09n<523b`953c52z?0g2;4kk0::k5rs2a`>5<5s49hh7l1v>mk:18185dm38i=63;4l>0::h5rs2f1>5<5s49o>7l1v>j<:18185c;38i=63719~w6b22909w0=k5;0a5>;4l?0:;<5rs2f5>5<5s49o:7o1v>j7:18;85c039;i63<39822a=:;;k1=;j4=5g0>40c349i?7?9d:?0ec<6>l16?4o517g89625287}:;m21=<=4=2fb>7d63ty8ho4?:3y>7a>=i916?im52c38yv5cl3:1>v3o?4}r1ga?6=:r78hh4=b09>7`d=9?o0q~=kf;296~;4lo09n<523d3952652z?0a5<5j816?h=517d8yv5b93:1>v37`5=9?o0q~=j4;296~;4m=09n<523d`953`52z?0a0<5j816?h?517g8yv5b>3:1>v37a`=9?l0q~=j8;296~;4m109n<523d0953`52z?0a<<5j816?h>517g8yv5bi3:1>v37`7=9?l0q~:ne;297~X3il16999517d8905e287}Y;|q7`c<72;q68ih52c3891c0287}:o?4=5g7>40a3ty?i<4?:3y>0`7=:k;019k::04e?xu3m;0;6?u24d096g7<5=o=6<8j;|q7a6<72;q68h=52c3891c1287}:1>o?4=5g4>40a3ty?i84?:3y>0`3=:k;019k8:053?xu3m?0;6?u24d496g7<5=o<6<9>;|q7a=<72;qU8h64=034>40c3ty>7d63ty>=h4?:3y>14b=9?n018<>:3`2?xu29o0;6?u250d96g7<5<8:6<8j;|q665<72;q69?>52c38904628=;7p}:2383>7}Y=;8018<=:22f?xu2:=0;6?u25309545<5<836?l>;|q660<72;q69?;52c38904?287}:=;<1>o?4=40;>40b3ty>>:4?:3y>171=:k;018<7:053?xu2;?0;6?uQ5248905d2;h:7p}:3683>7}:=:=1>o?4=41a>40b3ty>?54?:3y>16>=:k;018=m:053?xu2;00;6?u252;96g7<5<9h6<8j;|q67d<72;q69>o52c38905d28=;7p}:3c83>7}:=:h1>o?4=41`>40a3ty>8>4?:3y]115<5<>?6?l>;|q603<72;qU9984=464>7d63ty>844?:7y>11?=;9o01>mk:04g?85ci3;=j6340c3ty>8l4?:3y>11?=989018:m:3`2?xu27}Y=90;6?u254d953b<5<<86?l>;|q624<72;q69;?52c389004287}:=?81>o?4=440>4173ty>4?4?:4y]1=4<5<><6<8j;<755?71l27>=k4>6e9>170=9?n0qpl;a583>40=0903ovF;7b9'007=90=0(?;7:51g?lg62900e<7<:188m4e12900e<9i:188m4ga2900c>;50;9l0c1=831d>?>50;9l6`<722e8o7>5;n6`2?6=3f;nm7>5;c6:6?6=93:1n2.9944:889l53d=83.?544=b898yg7bi3:197<56z&714<6>=1C84?4H55`?!4213?356gn0;29?lg52900e5h50;9j545=831d?=k50;9a75c=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn979:182>5<7s->257:6f:m1f<<72->257789~wd4=838pRl<4=22f>6?e3tyj<7>52z\b4>;48l0j<6s|8g83>7}Y0o16?=k5a09~w40d2909w0=?e;1f`>;31?09n45r}c63a?6==381:v*;508221=O<0;0D99l;%34f?c<,;?26866;hc3>5<>o69:0;66a<0d83>>d48l0;6;4?:1y'0307do?:18'07m:18'02;h276sm48494?7=83:p(976:5;e?j4e13:1(976:3`:?>{t;9o1<7{ti;0;6?uQa39>75c=;0h0q~o?:181[g7349;i7o?;|q;b?6=:rT3j63<0d8b5>{t9?i1<76cc34>2:778t$572>4033A>2=6F;7b9'60?==130el>50;9je7<722c3j7>5;h327?6=3f9;i7>5;c13a?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<03184h4o3`:>5<#<031>o74;|q04`<72;qU?=k4=22f>7d>3ty:=>4?:3y]545<5::n6<96;|qb6?6=:rTj>63<0d80=g=z{h:1<7a349;i7o>;|q22f<72;q6?=k53df891?12;h27psma983>6<52=q/88?51708L1?63A>1283>>i48l0;66l<0d83>0<52?q/88?51778 73>2<227b=?e;29?l57l3:17d?>3;29?lg52900e5h50;9a533=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn97;:182>5<7s->2572573:?220<6?01v5h50;0xZ=`<58<>6l?4}rc1>5<5sWk970?95;1:f>{t;9n1<7{t<>n1<7d6<5=3?6?ll;|a6?6=93:15<#<031>o74;|q04`<72;qU?=k4=22f>66b3ty:=>4?:3y]545<5::n6{t=3:1?v3<0d804a=:;9o1m?522;35f>"3?k0h7psm2`:94?5=:3>p(9;>:041?M2>92B?;n5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb5a5>5<22;0=w)::1;350>N3181C8:m4$37:>0>>3`k;6=44i`094?=n0o0;66g>1283>>i48l0;66l<0d83>3<729q/84751758m41>290/847516;8?lg7290/8475a198md7=83.?544n1:9j7`b=83.?544{e<0<1<7?50;2x 1?>2=3m7b2;h276s|31g94?4|V::n70=?e;0a=>{t9891<77}Yi;16?=k538`8yvg72909wSo?;<13a?g73ty3j7>52z\;b>;48l0j=6s|17a94?4|5::n6>kk;<6:2?4e12wvn?k50;196?2|,=?:6<8=;I6:5>N3?j1/>87559;8m=`=831b=<=50;9l75c=831i?=k50;796?0|,=?:6<8:;%06=?3?12e84?::kb6?6=3`2m6=44b046>5<1290;w):69;353>o6?00;6):69;34=>=ni90;6):69;c3?>of93:1(976:`38?l5bl3:1(976:2gg?>o41k0;6):69;1:f>=h:k31<7*;9881f<=287>51;294~"31009n95`2ca94?"31009n454}r327?6=:rT:=>52177952?>j:181[57m27::84=b89~w11c2909w0?95;c3?82><38io6srb383>4<729q/8:l59:&714<6>j1/847548d8 73>2<227b?9b;29 1?>2;h276s|31g94?4|V::n70=?e;13a>{t9891<77}Y0o16?=k58g9~w0<72:q6?=k531f8966b2h801?4>6c9'02d=k2wvn?7<3s->>=7?92:J7=4=O<>i0(?;6:4::?l>a2900e>j:186>7<1s->>=7?95:&11<<2001d?=k50;9j75b=831b=<=50;9je7<722c3j7>5;c351?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<031>o:4o3``>5<#<031>o74;|q256<72;qU=<=4=046>41>3ty3j7>52z\;b>;6><0j=6s|a383>7}Yi;16=;;538`8yv57l3:1>vP<0e9>533=;ln0q~=?e;296~X48l16=;;52c;8yv20l3:1>v3>648b4>;31=09nn5r}c094?7=83:p(99m:89'007=9?i0(976:5;e?!4213?356a>6c83>!2>138i565rs22f>5<5sW9;i63<0d804`=z{8;86=4={_327>;48l0:=>5rs9d94?4|V1l01>>j:9d8yv3=839p1>>j:22g?857m3k970<517`8 11e2j1vqo?m1;297?4=639K0<7<@==h7)<:9;7;=>o?n3:17d?>3;29?j57m3:17o=?e;291?4=>r.?9<4>649'60?==130c>>j:188m66c2900e=n;ln1<7*;9880aa=5$5;:>7d>32wi84:50;394?6|,=326?l;;n0ag?6=,=326?l6;:p545=838pR7}Y;9o01<8::3`:?xu3?m0;6?u21779e5=:<0>1>om4}|`1>5<6290;w):8b;;8 13628"5=00>445`17`94?"31009n454}r13a?6=:rT852z\256=:;9o1=<=4}r:e>5<5sW2m70=?e;:e?xu22908w0=?e;13`>;48l0j>63=:04a?!20j3i0qpl=3483>6<52=q/88?51708L1?63A>1283>>i48l0;66l<0d83>0<52?q/88?51778 73>2<227b=?e;29?l57l3:17d?>3;29?lg52900e5h50;9a533=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn97;:182>5<7s->2572573:?220<6?01v5h50;0xZ=`<58<>6l?4}rc1>5<5sWk970?95;1:f>{t;9n1<7{t<>n1<7d6<5=3?6?ll;|a6?6=93:15<#<031>o74;|q04`<72;qU?=k4=22f>66b3ty:=>4?:3y]545<5::n6{t=3:1?v3<0d804a=:;9o1m?522;35f>"3?k0h7psm1b;94?5=:3>p(9;>:041?M2>92B?;n5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb0`3>5<42;0?w)::1;356>N3181C8:m4$37:>0>>3`2m6=44i030>5<5<22;0=w)::1;351>"5=00>445`31g94?=n;9n1<75f10194?=ni;0;66g7f;29?g71=3:1:7>50z&7=<<6>>1b=:750;&7=<<6?010el>50;&7=<4<729q/84752c68k7dd290/84752c;8?xu69:0;6?uQ1018940228=27p}7f;296~X?n27::84n1:pe7<72;qUm?521779752z\04a=:9??1?hj4}r13a?6=:rT852z?220t$55a><=#<<;1=;m4$5;:>1?a3-8>57;79:m22g<72->257129~w=`=838pR5h4=22f>=`66c349;i7o=;<0953d<,==i6n5r}c005?6==381:v*;508221=O<0;0D99l;%06=?3?12cj<7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3=6=4>:183!2>13>2j6a=b883>!2>138i565rs22f>5<5sW9;i63<0d81f<=z{8;86=4={_327>;48l0:;45rs`094?4|Vh801>>j:2;a?xuf83:1>vPn0:?04`5<5s49;i7=jd:?7=3<5j01vqo?l2;291?4=>r.?9<4>659K0<7<@==h7)<:9;7;=>of83:17do=:188m=`=831b=<=50;9l75c=831i?=k50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257n2e9n44?:%6:=?4e121v>>j:181[57m2783:?04`<6?01vl<50;0xZd4<5::n6>7m;|qb4?6=:rTj<63<0d8b4>{t0o0;6?uQ8g9>75c=i81v<8l:181857m39nh63;9781f<=zuk;n>7>55;092~"3=80::95G4838L11d3-8>57;79:kb4?6=3`k96=44i9d94?=n9891<75`31g94?=e;9o1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;5>5<6290;w):69;6:b>i5j00;6):69;0a=>=z{::n6=4={_13a>;48l09n45rs030>5<5sW;:?63<0d823<=z{h81<7:3:1?7<54z&714<6>;1C84?4H55`?!70j3o0(?;6:4::?l>a2900e>j:186>7<1s->>=7?95:&11<<2001d?=k50;9j75b=831b=<=50;9je7<722c3j7>5;c351?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<031>o:4o3``>5<#<031>o74;|q256<72;qU=<=4=046>41>3ty3j7>52z\;b>;6><0j=6s|a383>7}Yi;16=;;538`8yv57l3:1>vP<0e9>533=;ln0q~=?e;296~X48l16=;;52c;8yv20l3:1>v3>648b4>;31=09nn5r}c094?7=83:p(99m:89'007=9?i0(976:5;e?!4213?356a>6c83>!2>138i565rs22f>5<5sW9;i63<0d804`=z{8;86=4={_327>;48l0:=>5rs9d94?4|V1l01>>j:9d8yv3=839p1>>j:22g?857m3k970<517`8 11e2j1vqo:639K0<7<@==h7)?8b;g8 73>2<227d6i:188m4742900c>>j:188f66b290>6?49{%665?71=2.9944:889l75c=831b?=j50;9j545=831bm?4?::k;b?6=3k;=97>56;294~"3100:::5f16;94?"3100:;454i`294?"3100j<65fa083>!2>13k:76g!2>139nh65f38`94?"310085o54o3`:>5<#<031>o74;|`7=1<7280;6=u+48;96g24?:3y]545<58<>6<96;|q;b?6=:rT3j63>648b5>{ti;0;6?uQa39>533=;0h0q~=?d;296~X48m16=;;53df8yv57m3:1>vP<0d9>533=:k30q~:8d;296~;6><0j<63;9581ff=zuk81<7?50;2x 11e201/88?517a8 1?>2=3m7)<:9;7;=>i6>k0;6):69;0a=>=z{::n6=4={_13a>;48l085<5sW;:?63<0d8256=z{1l1<7;1C84?4H55`?!70j3o0(?;6:4::?l>a2900e>j:186>7<1s->>=7?95:&11<<2001d?=k50;9j75b=831b=<=50;9je7<722c3j7>5;c351?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<031>o:4o3``>5<#<031>o74;|q256<72;qU=<=4=046>41>3ty3j7>52z\;b>;6><0j=6s|a383>7}Yi;16=;;538`8yv57l3:1>vP<0e9>533=;ln0q~=?e;296~X48l16=;;52c;8yv20l3:1>v3>648b4>;31=09nn5r}c094?7=83:p(99m:89'007=9?i0(976:5;e?!4213?356a>6c83>!2>138i565rs22f>5<5sW9;i63<0d804`=z{8;86=4={_327>;48l0:=>5rs9d94?4|V1l01>>j:9d8yv3=839p1>>j:22g?857m3k970<517`8 11e2j1vqo?l8;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd3n10;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj;986=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c1f>5<4290;w)::1;0a2>N3181C8:m4$5;:>7d23-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd3k00;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj=?;6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn9hm:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb5d`>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257mh7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`7b`<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd3no0;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'0cb83>7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e9jn1<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi89:50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f12129086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'07<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e<=31<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb56b>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj=>h6=4<:183!22938i:6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`700<72:0;6=u+44396g0<@=3:7E:8c:&7=<<5j<1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj=>;6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn9:>:180>5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`7gg<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd3kj0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg7bk3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`2a`<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi=hh50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm1g294?2=83:p(9;>:3`4?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9j526=83.?544>7898k7d6290/84752c;8?xd6ko0;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb0f;>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->25729096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo?ka;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd6lk0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg7c83:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo?k2;297?6=8r.?9<4=b79K0<7<@==h7):69;0a1>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a5a5=83>1<7>t$572>17<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?l7083:1(976:05:?>i5j80;6):69;0a=>=zj8n?6=4<:183!22938i:6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`17`<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi>>h50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f72729096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo<;1;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd5<;0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj;>?6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c071?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{e::21<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi>>750;694?6|,=?:69?4H5;2?M20k2.?544307d?80;29 1?>28=276a=b083>!2>138i565rb31b>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18<5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010e<9?:18'0307b2;h276sm22f94?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg7b<3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=53;294~"3=809n;5G4838L11d3->257307b2;h276sm1d494?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj8o<6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c3fN3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg7b13:187>50z&714<392B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=n9>:1<7*;98823<=4?:283>5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'0b`83>7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e9kh1<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb0``>5<4290;w)::1;0a2>N3181C8:m4$5;:>7d23-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd6jm0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj8hm6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c3`4?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg7d93:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl>b583>1<729q/88?5409K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;n0a5?6=,=326?l6;:a5g3=83>1<7>t$572>17<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?l7083:1(976:05:?>i5j80;6):69;0a=>=zj8h=6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`2f=<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd6j00;694?:1y'007=:k=0D97>;I64g>"31009n85+24;91=?5$5;:>41>32c:;=4?:%6:=?70121d>o?50;&7=<<5j010qo<=2;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c01=?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th9>l4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`16a<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi>?k50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f74a29086=4?{%665?273A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj;9;6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c017?6=<3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9j526=83.?544>7898k7d6290/84752c;8?xd5:=0;694?:1y'007=<81C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5$5;:>7d>32wi>?;50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm23494?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg45?3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=54;294~"3=809n:5G4838L11d3->257307d?80;29 1?>28=276a=b083>!2>138i565rb533>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257:=7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`757<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi8<=50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f17329086=4?{%665?273A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj=;>6=4<:183!22938i:6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'01<729q/88?5409K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;n0a5?6=,=326?l6;:a041=8391<7>t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=m16898517g894cc28;6l00::i52253953b<5;936<8j;<3f2?71l27:nk4>6e9>5f6=9?l01?40a34>ho7?9d:?2a`<6>m16=h:517g891742805c=0o16?n47f:?ba348j476i;<6`2?>a348n65h4=303>=`<58h:65h4=316>=`<58i265h4=0`3>=`<5;9:65h4=0a1>=`<58o965h4=0;1>=`<5=9n65h4=561>=`66b349n6<8j;|qb=?6=:r7j47?>3:?715<5j81v<9i:181[70n27:5?4>129~w4?5290>w0?62;13a>;3<=0::i52452953`<58n>6<8k;<00a?71l2wx=4=50;0xZ4?434>hn7?9f:p56d9>011=9?o019?9:04e?xu6io0;6?uQ1`d894d728;87p}>b183>7}:9k:1?=k4=0g`>40a3ty:n<4?:5y]5g7<58h:6>>j;<3a7?71m27:n94>6g9~w4d52909w0?m1;327>;6j009n<5rs0`0>5<5s4;i?7o1vb6822`=z{8h>6=4={<3a1?4e927:n:4>6g9~w4d12909w0?m6;0a5>;6j00:;=5rs0`4>5<5s4;i;7l1vb8822`=z{8hj6=4={<3ae?4e927:n94>6d9~w4de2909w0?mb;0a5>;6j=0:;=5rs0``>5<5s4;io7o1vb4822`=z{8hn6=4={<3aa?4e927:n84>719~w4da2909w0?mf;0a5>;6j10::k5rs0a3>5<5s4;h<7l1v:18187d938i=63>b7822c=z{8i96=4={<3`6?57m27:o=4>6d9~w4e42909w0?l2;327>;6k=09n<5rs0a5>5<3sW;h:63>eg822`=:9l>1=;h4=536>40b3ty:o:4?:3y]5f1<58i36?l>;|q2g<<72:qU=n74=0a:>66b34;hj7?9e:p5fg=83=p140a348957?9d:?16g<6>o1vce822`=z{8ih6=4={<3`g?4e927:oi4>6g9~w4eb2909w0?l9;327>;6l=09n<5rs0ae>5<5s4;hj7l1vd3822c=z{8n:6=4={<3g5?4e927:h94>6d9~w4b52909w0?k2;0a5>;6l:0::k5rs0f0>5<5s4;o?7o1vdb822`=z{8n=6=4={<3g2?4e927:hn4>6g9~w4b02909w0?k7;0a5>;6l;0::h5rs0f;>5<5s4;o47l1vd1822c=z{8nj6=4={<3ge?4e927:h>4>6d9~w4be2909w0?kb;0a5>;6l:0:;=5rs0f`>5<5s4;oo7o1vd6822c=::=:1=;j4}r3f7?6=:r7:i94=b09>5`?=9?l0q~?j4;296~;6m<09n<521d:953c52z?2a3<5j816=h6517d8yv7b>3:1>v3>e681f4=:9l31=:>4}r3f3?6=:r7:i54=b09>5`?=9?o0q~?ja;296~X6mh16=ho531g8yv7bj3:1>v3>e`8b4>;6n909n<5rs0g`>5<5s4;no7o1veg822c=z{8on6=4={<3fa?4e927:j=4>719~w4ca2909w0?jf;0a5>;6n90::h5rs303>5<3sW89<63=21804`=::;81=;k4=300>40a3ty9><4?:3y>676=98901?<7:3`2?xu5:;0;6?u223096g7<5;836<8i;|q166<72;q6>?=52c389741287}::;>1>o?4=305>40a3ty9>84?:3y>673=:k;01?<7:053?xu5:?0;6?u223496g7<5;8<6<8j;|q162<72;q6>?952c38974?287}::;31>o?4=300>40b3ty9>l4?:3y>67g=:k;01?<<:053?xu5:k0;6?u223`96g7<5;8?6<8i;|q16f<72;q6>?m52c389743287}::;n1>o?4=307>4173ty9>h4?:3y>67c=:k;01?<8:04e?xu5:o0;6?u223d96g7<5;8>6<8j;|q175<72;q6>>>52c389742287}:::;1?=k4=30e>40b3ty9??4?:3y>667=98901?=<:3`2?xu5;<0;6>uQ227897522::n70<<7;35a>{t::<1<74743488h7;<00f?71m2wx>>750;0x975>2;h:70<{t::k1<77d63488h7?9e:p66d=838p1?=m:3`2?844k3;=j6s|22a94?4|5;9h6?l>;<00`?71n2wx>>k50;0x975b2;h:70<;5;35a>{t::l1<77d6348?97?9f:p616=838p1?:?:3`2?84403;=j6s|25394?4|5;>:6?l>;<00=?71m2wx>9<50;0x97252;h:70<<9;344>{t:=91<77d63488o7?9e:p612=838p1?:;:3`2?844k3;<<6s|25794?4|5;>>6?l>;<00e?71n2wx>l650;7x96e=98901?o7:22f?84493k;70?l2;c3?85b286}::h21=<=4=0g1>d6<58o26?l>;|q1ea<721q684<517`894cf2h8019>j:`0896e=i;168n85a39>667=i;16=n<5a39>5`4=i;1v?ln:181[4ei27?ji4>6e9~w16b290=w0:?e;13a>;6kj0::i5245:953b<5=ii6<8j;<3fg?71m27:i:4>6e9~w16a2909w0:?e;c3?826?38i=6s|40294?4|5=;;6?l>;<620?71m2wx84;35b>{t<881<77d634>::7?80:p045=838p19?<:3`2?826?3;=j6s|40694?4|5=;?6?l>;<621?71n2wx8<;50;0x91722;h:70:>6;35a>{t<8<1<77d634>:;7?9e:p06c=83;;w0:;3;6<8j;<3g2?71l27:hl4>6e9>66`=9?n01?:<:04g?87b=3;=j63>b2822c=:9ko1=;j4=0a2>40c3489>7?9f:?16a<6>m16>>>517f89176287}:<:o1=<=4=562>7d63ty?8=4?:3y>016=:k;019:>:04e?xu3<;0;6<>t=3g9545<5=>96>>j;<664?71m27?8;4>6g9>01g=9?o019:>:04f?87dn3;=j63>dc822a=:::=1=;h4=367>40c34;n97?9e:?2fd<6>m16=om517g8974f28;3990::i5rs560>5<5s4>?>7?>3:?700<5j81v9:;:181823<38i=63;4`822c=z{=>=6=4={<672?4e927?8n4>6d9~w1202909w0:;7;0a5>;35<5s4>?47o1v9:6:181823138i=63;4e822c=z{=>j6=4={<67e?4e927?884>6d9~w12e2909w0:;b;0a5>;35<5s4>?o7l1v9:k:181823l38i=63;44822c=z{=>n6=4={<67b?4e927?9=4>6g9~w1gb2909wS:ne:?7bf<6>m1v9m9:181[2d>27?o;4<0d9~w1e?2909w0:l6;327>;3k009n<5rs5ab>5<5s4>h:7o?;<6``?4e92wx8nl50;0x91ee2;h:70:ld;35a>{t7d634>hh7?9f:p0c1=838pR9h8;<6e{t7d634>mj7?9e:p0ce=838p19hl:3`2?82am3;=i6s|4gf94?4|5=lo6?l>;<6ea?71n2wx8kk50;0x91`b2;h:70:if;35b>{t=9k1<7=t^42b?87d<3;=h63>be822a=z{<>h6=4<{_77g>;5;:0::i5223a953b7>55z\6<7=:9l81=<=4=5da>40a34;o47?9f:?107<6>m1vqo:n2;29b?7f2;>pD99l;%665?71i2.9954;3e9l12?=831b=n850;9l0`>=831b=n950;9j0dc=831d4?4?::k225<722e3n7>5;h611?6=3f>3m7>5;h115?6=3`93;7>5;h342?6=3`99;7>5;h3fe?6=3k2j6=4<:387!2293;=>6F;909K02e<,;?26866;h:e>5<5<>o?n3:17o?95;292?6=8r.?544>669j52?=83.?544>7898md6=83.?544n0:9je4<72->257o>;:k0aa<72->257=jd:9j7290/84752c;8?xd31=0;6<4?:1y'00c?ll:18'01283>7}Y98901<8::05:?xu?n3:1>vP7f:?22052z\04`=:9??1>o74}r64`?6=:r7::84n0:?7=1<5jj1vqo<50;394?6|,==i645+443953e<,=32697i;%06=?3?12e::o4?:%6:=?4e121v>>j:181[57m2783:?04`<69:1v5h50;0xZ=`<5::n65h4}r794?5|5::n6>>k;<13a?g53481=;l4$55a>f=zuk226=4<:387!2293;=>6F;909K02e<,;?26866;h:e>5<5<>o?n3:17o?95;292?6=8r.?544>669j52?=83.?544>7898md6=83.?544n0:9je4<72->257o>;:k0aa<72->257=jd:9j7290/84752c;8?xd31=0;6<4?:1y'00c?ll:18'01283>7}Y98901<8::05:?xu?n3:1>vP7f:?22052z\04`=:9??1>o74}r64`?6=:r7::84n0:?7=1<5jj1vqo<50;394?6|,==i645+443953e<,=32697i;%06=?3?12e::o4?:%6:=?4e121v>>j:181[57m2783:?04`<69:1v5h50;0xZ=`<5::n65h4}r794?5|5::n6>>k;<13a?g53481=;l4$55a>f=zuk236=4<:387!2293;=>6F;909K02e<,;?26866;h:e>5<5<>o?n3:17o?95;292?6=8r.?544>669j52?=83.?544>7898md6=83.?544n0:9je4<72->257o>;:k0aa<72->257=jd:9j7290/84752c;8?xd31=0;6<4?:1y'00c?ll:18'01283>7}Y98901<8::05:?xu?n3:1>vP7f:?22052z\04`=:9??1>o74}r64`?6=:r7::84n0:?7=1<5jj1vqo<50;394?6|,==i645+443953e<,=32697i;%06=?3?12e::o4?:%6:=?4e121v>>j:181[57m2783:?04`<69:1v5h50;0xZ=`<5::n65h4}r794?5|5::n6>>k;<13a?g53481=;l4$55a>f=zuk>n47>53;090~"3=80::?5G4838L11d3-8>57;79:k;b?6=3`;:?7>5;n13a?6=3k9;i7>55;092~"3=80::85+24;91=?5<6483>3<729q/84751758m41>290/847516;8?lg7290/8475a198md7=83.?544n1:9j7`b=83.?544{e<0>1<7?50;2x 1?>2;h?7b2;h276s|10194?4|V8;870?95;34=>{t0o0;6?uQ8g9>533=i81vl<50;0xZd4<58<>6>7m;|q04a<72;qU?=j4=046>6cc3ty86?l6;|q73a<72;q6=;;5a19>0<2=:ki0qpl=:182>5<7s->40d3->257:6f:&11<<2001d=;l50;&7=<<5j010q~=?e;296~X48l16?=k531g8yv76;3:1>vP>129>75c=9890q~6i:181[>a349;i76i;|q6>5<4s49;i7=?d:?04`1}#<<;1=;<4H5;2?M20k2.9944:889j4?::m04`<722h83}#<<;1=;;4$37:>0>>3f9;i7>5;h13`?6=3`;:?7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3?6=4>:183!2>138i86a=bb83>!2>138i565rs030>5<5sW;:?63>64823<=z{1l1<7>k;<351?5bl2wx?=k50;0xZ66b34;=977}Y;9o01>>j:22f?xu69:0;6?uQ1018966b28;87p}7f;296~X?n27875c=i;16>7?9b:&73g1}#<<;1=;<4H5;2?M20k2.:;o4j;%06=?3?12c3j7>5;h327?6=3f9;i7>5;c13a?6==381:v*;508220=#:<319574o22f>5<5<>d6><0;6;4?:1y'0307do?:18'07m:18'02;h276sm48694?7=83:p(976:3`7?j4ek3:1(976:3`:?>{t9891<77}Y0o16=;;5a09~wd4=838pRl<4=046>6?e3ty86>kk;|q04`<72;qU?=k4=046>7d>3ty?;i4?:3y>533=i91684:52ca8yxd5290:6=4?{%64f??<,=?:6<8l;%6:=?2>n2.9944:889l53d=83.?544=b898yv57m3:1>vP<0d9>75c=;9o0q~?>3;296~X69:16?=k51018yv>a2909wS6i;<13a?>a3ty>6=4<{<13a?57l27840e3->57;79:k;b?6=3`;:?7>5;h13`?6=3f9;i7>5;c13a?6==381:v*;508220=#:<319574o22f>5<5<>d6><0;6;4?:1y'0307do?:18'07m:18'02;h276sm48694?7=83:p(976:3`7?j4ek3:1(976:3`:?>{t9891<77}Y0o16=;;5a09~wd4=838pRl<4=046>6?e3ty86>kk;|q04`<72;qU?=k4=046>7d>3ty?;i4?:3y>533=i91684:52ca8yxd6290:6=4?{%64f?><,=?:6<8l;%6:=?2>n2.9944:889l53d=83.?544=b898yv57m3:1>vP<0d9>75c=;9o0q~=?d;296~X48m16?=k531f8yv76;3:1>vP>129>75c=9890q~6i:181[>a349;i76i;|q7>5<5s49;i7o=;<3953d<,==i6o5r}c:0>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257:18'07b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd3n;0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj==1<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb5gf>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->25721i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo:i0;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c74b?6=<3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9j526=83.?544>7898k7d6290/84752c;8?xd30j0;684?:1y'007=<;1C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5$5;:>41>32e9n<4?:%6:=?4e121vn>lj:180>5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`0fc<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd4k90;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e;j91<7=50;2x 1362;h=7E:61:J73f=#<031>o;4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo=l4;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c1`1?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{to?4}r:1>5<1sW297067:030?8>32;h:70:je;35a>;2?o0::k5249a953c7d6342?6<8i;|q;;?039;i63;8b822c=z{1<1<7=t=9c9545<5131?=k4=5:`>4173ty3;7>52z?;e?57m27?4n4>709~w4072903wS?90:?;e?>a342265h4=9:9314k5246822a=z{8==6=4<{_342>;3mo0::i5256d952653z\2g3=:;j:1=;k4=2a2>40c3ty:o:4?:3y]5f1<5:i;6<8i;|q2ad<72;qU=ho4=2`f>40a3ty8><4?:3y]777<5:hm6<8i;|q062<72;qU??94=2`e>40b3ty84:4?:3y]7=1<5:hn6<8j;|q0fa<72:q68hk517d8901a28{t;ko1<77d6349h97?9e:p7g`=838p1>li:3`2?85d<3;=i6s|3b294?4|5:i;6?l>;<1`7?71m2wx?n?50;0x96e62;h:70=l2;35b>{t;j81<77d6349h?7?9f:p7f5=838p1>m<:3`2?85d<3;=j6s|3b694?4|5:i?6?l>;<1`1?71n2wx8?;50;0xZ142349h>7?9e:p0=g=838pR96n;<6;e?57m2wx85l50;0x91>f28;870:7c;0a5>{t;3i3;=h6s|4d:94?4|V=o370:j8;13a>{t40c34>m<7;<6e4?71n2wx8k?50;0x91c?28;870:i2;0a5>{t=>31<750;0x901>28;870;71;0a5>{zj=k86=4>6;`:>f3|@==h7)::1;3:2>"5=10??i5`18194?=n=>31<75`4c694?=n>o6k00;66g60;29?j37i3:17d?l5;29?l1f2900c8:l:188m7532900e?=::188m1e22900c9h<:188k0672900c?k50;9l132=831i84<50;394?6|,=?:6<8l;I6:5>N3?j1/847548d8 73>2<227b?9b;29 1?>2;h276sm57694?3=:3:047?M2>92B?;n5+24;91=?>o?n3:17d?>3;29?j57m3:17o=?e;292?6=8r.?544>669j52?=83.?544>7898md6=83.?544n0:9je4<72->257o>;:k0aa<72->257=jd:9j7290/84752c;8?xd31?0;6<4?:1y'07}Y;9o01>>j:3`:?xu69:0;6?uQ1018966b28=27p}n2;296~Xf:2784=22f>d66b83>7}:;9o1?hj4=5;5>7d>3twi=>m50;196?2|,=?:6<8=;I6:5>N3?j1/>87559;8m=`=831b=<=50;9l75c=831i?=k50;796?0|,=?:6<8:;%06=?3?12e84?::kb6?6=3`2m6=44b046>5<1290;w):69;353>o6?00;6):69;34=>=ni90;6):69;c3?>of93:1(976:`38?l5bl3:1(976:2gg?>o41k0;6):69;1:f>=h:k31<7*;9881f<=287>51;294~"31009n95`2ca94?"31009n454}r327?6=:rT:=>52177952?>j:181[57m27::84=b89~w11c2909w0?95;c3?82><38io6srb383>4<729q/8:l59:&714<6>j1/847548d8 73>2<227b?9b;29 1?>2;h276s|31g94?4|V::n70=?e;13a>{t9891<77}Y0o16?=k58g9~w0<72:q6?=k531f8966b2h801?4>6c9'02d=k2wvn<=7:180>7<3s->>=7?92:J7=4=O<>i0(?;6:4::?l>a2900e>j:186>7<1s->>=7?95:&11<<2001d?=k50;9j75b=831b=<=50;9je7<722c3j7>5;c351?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<031>o:4o3``>5<#<031>o74;|q256<72;qU=<=4=046>41>3ty3j7>52z\;b>;6><0j=6s|a383>7}Yi;16=;;538`8yv57l3:1>vP<0e9>533=;ln0q~=?e;296~X48l16=;;52c;8yv20l3:1>v3>648b4>;31=09nn5r}c094?7=83:p(99m:89'007=9?i0(976:5;e?!4213?356a>6c83>!2>138i565rs22f>5<5sW9;i63<0d804`=z{8;86=4={_327>;48l0:=>5rs9d94?4|V1l01>>j:9d8yv3=839p1>>j:22g?857m3k970<517`8 11e2j1vqo?<4;297?4=639K0<7<@==h7)<:9;7;=>o?n3:17d?>3;29?j57m3:17o=?e;291?4=>r.?9<4>649'60?==130c>>j:188m66c2900e=n;ln1<7*;9880aa=5$5;:>7d>32wi84:50;394?6|,=326?l;;n0ag?6=,=326?l6;:p545=838pR7}Y;9o01<8::3`:?xu3?m0;6?u21779e5=:<0>1>om4}|`1>5<6290;w):8b;;8 13628"5=00>445`17`94?"31009n454}r13a?6=:rT852z\256=:;9o1=<=4}r:e>5<5sW2m70=?e;:e?xu22908w0=?e;13`>;48l0j>63=:04a?!20j3i0qpl>2`83>6<52=q/88?51708L1?63A>1283>>i48l0;66l<0d83>0<52?q/88?51778 73>2<227b=?e;29?l57l3:17d?>3;29?lg52900e5h50;9a533=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn97;:182>5<7s->2572573:?220<6?01v5h50;0xZ=`<58<>6l?4}rc1>5<5sWk970?95;1:f>{t;9n1<7{t<>n1<7d6<5=3?6?ll;|a6?6=93:15<#<031>o74;|q04`<72;qU?=k4=22f>66b3ty:=>4?:3y]545<5::n6{t=3:1?v3<0d804a=:;9o1m?522;35f>"3?k0h7psm2d83>6<52=q/88?51708L1?63A>1283>>i48l0;66l<0d83>0<52?q/88?51778 73>2<227b=?e;29?l57l3:17d?>3;29?lg52900e5h50;9a533=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn97;:182>5<7s->2572573:?220<6?01v5h50;0xZ=`<58<>6l?4}rc1>5<5sWk970?95;1:f>{t;9n1<7{t<>n1<7d6<5=3?6?ll;|a6?6=93:15<#<031>o74;|q04`<72;qU?=k4=22f>66b3ty:=>4?:3y]545<5::n6{t=3:1?v3<0d804a=:;9o1m?522;35f>"3?k0h7psm16d94?5=:3>p(9;>:041?M2>92B?;n5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb0d2>5<42;0?w)::1;356>N3181C8:m4$37:>0>>3`2m6=44i030>5<5<22;0=w)::1;351>"5=00>445`31g94?=n;9n1<75f10194?=ni;0;66g7f;29?g71=3:1:7>50z&7=<<6>>1b=:750;&7=<<6?010el>50;&7=<4<729q/84752c68k7dd290/84752c;8?xu69:0;6?uQ1018940228=27p}7f;296~X?n27::84n1:pe7<72;qUm?521779752z\04a=:9??1?hj4}r13a?6=:rT852z?220t$55a><=#<<;1=;m4$5;:>1?a3-8>57;79:m22g<72->257129~w=`=838pR5h4=22f>=`66c349;i7o=;<0953d<,==i6n5r}c072?6=;3818v*;508227=O<0;0D99l;%06=?3?12c3j7>5;h327?6=3f9;i7>5;c13a?6==381:v*;508220=#:<319574o22f>5<5<>d6><0;6;4?:1y'0307do?:18'07m:18'02;h276sm48694?7=83:p(976:3`7?j4ek3:1(976:3`:?>{t9891<77}Y0o16=;;5a09~wd4=838pRl<4=046>6?e3ty86>kk;|q04`<72;qU?=k4=046>7d>3ty?;i4?:3y>533=i91684:52ca8yxd5290:6=4?{%64f??<,=?:6<8l;%6:=?2>n2.9944:889l53d=83.?544=b898yv57m3:1>vP<0d9>75c=;9o0q~?>3;296~X69:16?=k51018yv>a2909wS6i;<13a?>a3ty>6=4<{<13a?57l27840e3->5;h327?6=3`k96=44i9d94?=e9??1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;7>5<6290;w):69;0a0>i5jj0;6):69;0a=>=z{8;86=4={_327>;6><0:;45rs9d94?4|V1l01<8::`38yvg52909wSo=;<351?5>j2wx?=j50;0xZ66c34;=97=jd:p75c=838pR>>j;<351?4e12wx8:j50;0x94022h:0197;:3``?x{e:3:1=7>50z&73g<>3->>=7?9c:&7=<<31o1/>87559;8k40e290/84752c;8?xu48l0;6?uQ31g8966b2::n7p}>1283>7}Y98901>>j:030?xu?n3:1>vP7f:?04`53z?04`<48m16?=k5a39>6?71j2.?;o4l;|a=g<72:0969u+4439534<@=3:7E:8c:&11<<2001b4k4?::k256<722e85;h327?6=3`k96=44i9d94?=e9??1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;7>5<6290;w):69;0a0>i5jj0;6):69;0a=>=z{8;86=4={_327>;6><0:;45rs9d94?4|V1l01<8::`38yvg52909wSo=;<351?5>j2wx?=j50;0xZ66c34;=97=jd:p75c=838pR>>j;<351?4e12wx8:j50;0x94022h:0197;:3``?x{e:3:1=7>50z&73g<>3->>=7?9c:&7=<<31o1/>87559;8k40e290/84752c;8?xu48l0;6?uQ31g8966b2::n7p}>1283>7}Y98901>>j:030?xu?n3:1>vP7f:?04`53z?04`<48m16?=k5a39>6?71j2.?;o4l;|a11e=8391>7:t$572>4053A>2=6F;7b9'60?==130e5h50;9j545=831d?=k50;9a75c=83?1>78t$572>4023-8>57;79:m04`<722c87>5;h:e>5<6=49:183!2>13;=;6g>7883>!2>13;<565fa183>!2>13k;76gn1;29 1?>2h;07d=jd;29 1?>2:oo76g<9c83>!2>1392n65`2c;94?"31009n454}c6:0?6=93:152z\256=:9??1=:74}r:e>5<5sW2m70?95;c2?xuf:3:1>vPn2:?220<41k1v>>k:181[57l27::84{zj;0;6<4?:1y'02d=12.?9<4>6b9'0{t;9o1<7{t0o0;6?uQ8g9>75c=0o1v84?:2y>75c=;9n01>>j:`0897<6>k1/8:l5c:~f06f29086?4;{%665?71:2B?5<5G46a8 73>2<227d6i:188m4742900c>>j:188f66b290>6?49{%665?71=2.9944:889l75c=831b?=j50;9j545=831bm?4?::k;b?6=3k;=97>56;294~"3100:::5f16;94?"3100:;454i`294?"3100j<65fa083>!2>13k:76g!2>139nh65f38`94?"310085o54o3`:>5<#<031>o74;|`7=1<7280;6=u+48;96g24?:3y]545<58<>6<96;|q;b?6=:rT3j63>648b5>{ti;0;6?uQa39>533=;0h0q~=?d;296~X48m16=;;53df8yv57m3:1>vP<0d9>533=:k30q~:8d;296~;6><0j<63;9581ff=zuk81<7?50;2x 11e201/88?517a8 1?>2=3m7)<:9;7;=>i6>k0;6):69;0a=>=z{::n6=4={_13a>;48l085<5sW;:?63<0d8256=z{1l1<7;1C84?4H55`?!4213?356g7f;29?l76;3:17b=?e;29?g57m3:197<56z&714<6><1/>87559;8k66b2900e>>k:188m4742900el<50;9j5}#<031=;94i05:>5<#<031=:74;hc3>5<#<031m=54i`394?"3100j=65f3df94?"31008ii54i2;a>5<#<031?4l4;n0a=?6=,=326?l6;:a0<2=83;1<7>t$5;:>7d33f8io7>5$5;:>7d>32wx=<=50;0xZ47434;=97?89:p7}Y;9n01<8::2gg?xu48l0;6?uQ31g894022;h27p};7e83>7}:9??1m=5248696ge:183!20j330(9;>:04`?!2>13>2j6*=5886<<=h9?h1<7*;9881f<=52z\04`=:;9o1?=k4}r327?6=:rT:=>5231g9545;5284=:5y'007=9?80D97>;I64g>"5=00>445f8g83>>o69:0;66a<0d83>>d48l0;684=:7y'007=9??0(?;6:4::?j57m3:17d=?d;29?l76;3:17do=:188m=`=831i=;;50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257789~w=`=838pR5h4=046>d7{t;9o1<76l>4=5;7>7dd3twi>7>51;294~"3?k027)::1;35g>"3100?5k5+24;91=?>j;|q256<72;qU=<=4=22f>4743ty3j7>52z\;b>;48l03j6s|5;297~;48l087)<:9;7;=>i48l0;66g<0e83>>o69:0;66gn2;29?l>a2900n<8::185>5<7s->257?97:k23<<72->257?89:9je5<72->257o?;:kb5?6=,=326l?4;h1f`?6=,=326>kk;:k0=g<72->257=6b:9l6g?=83.?544=b898yg2><3:1=7>50z&7=<<5j=1d>om50;&7=<<5j010q~?>3;296~X69:16=;;516;8yv>a2909wS6i;<351?g63tyj>7>52z\b6>;6><085o5rs22g>5<5sW9;h63>6480aa=z{::n6=4={_13a>;6><09n45rs55g>5<5s4;=97o?;<6:0?4ek2wvn?4?:083>5}#<>h156*;50822f=#<03184h4$37:>0>>3f;=n7>5$5;:>7d>32wx?=k50;0xZ66b349;i7=?e:p545=838pR6}:;9o1?=j4=22f>d4<5;0::o5+46`9g>{zj==m6=4<:387!2293;=>6F;909K02e<,;?26866;h:e>5<5<>o?n3:17o?95;292?6=8r.?544>669j52?=83.?544>7898md6=83.?544n0:9je4<72->257o>;:k0aa<72->257=jd:9j7290/84752c;8?xd31=0;6<4?:1y'00c?ll:18'01283>7}Y98901<8::05:?xu?n3:1>vP7f:?22052z\04`=:9??1>o74}r64`?6=:r7::84n0:?7=1<5jj1vqo<50;394?6|,==i645+443953e<,=32697i;%06=?3?12e::o4?:%6:=?4e121v>>j:181[57m2783:?04`<69:1v5h50;0xZ=`<5::n65h4}r794?5|5::n6>>k;<13a?g53481=;l4$55a>f=zuk;2?7>54;091~"3=80::>5G4838L11d3-8>57;79:kb6?6=3`2m6=44i030>5<5<22;0=w)::1;351>"5=00>445`31g94?=n;9n1<75f10194?=ni;0;66g7f;29?g71=3:1:7>50z&7=<<6>>1b=:750;&7=<<6?010el>50;&7=<4<729q/84752c68k7dd290/84752c;8?xu69:0;6?uQ1018940228=27p}7f;296~X?n27::84n1:pe7<72;qUm?521779752z\04a=:9??1?hj4}r13a?6=:rT852z?220t$55a>d=#<<;1=;m4$5;:>1?a3-8>57;79:m22g<72->257129~wd4=838pRl<4=22f>d4a483>1<52<1/>87559;8k66b2900e>>k:188m4742900el<50;9j5}#<031=;94i05:>5<#<031=:74;hc3>5<#<031m=54i`394?"3100j=65f3df94?"31008ii54i2;a>5<#<031?4l4;n0a=?6=,=326?l6;:a0<2=83;1<7>t$5;:>7d33f8io7>5$5;:>7d>32wx=<=50;0xZ47434;=97?89:p7}Y;9n01<8::2gg?xu48l0;6?uQ31g894022;h27p};7e83>7}:9??1m=5248696ge:183!20j3k0(9;>:04`?!2>13>2j6*=5886<<=h9?h1<7*;9881f<=52z\04`=:;9o1?=k4}r327?6=:rT:=>5231g95457?71j2.?;o4k;|a54g=8391>7:t$572>4053A>2=6F;7b9'52d=m2.9944:889j4?::m04`<722h83}#<<;1=;;4$37:>0>>3f9;i7>5;h13`?6=3`;:?7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3?6=4>:183!2>138i86a=bb83>!2>138i565rs030>5<5sW;:?63>64823<=z{1l1<7>k;<351?5bl2wx?=k50;0xZ66b34;=977}Y;9o01>>j:22f?xu69:0;6?uQ1018966b28;87p}7f;296~X?n27875c=i;16>7?9b:&73g=?50;196?2|,=?:6<8=;I6:5>N3?j1/=:l5e:&11<<2001b4k4?::k256<722e85;h327?6=3`k96=44i9d94?=e9??1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;7>5<6290;w):69;0a0>i5jj0;6):69;0a=>=z{8;86=4={_327>;6><0:;45rs9d94?4|V1l01<8::`38yvg52909wSo=;<351?5>j2wx?=j50;0xZ66c34;=97=jd:p75c=838pR>>j;<351?4e12wx8:j50;0x94022h:0197;:3``?x{e:3:1=7>50z&73g<>3->>=7?9c:&7=<<31o1/>87559;8k40e290/84752c;8?xu48l0;6?uQ31g8966b2::n7p}>1283>7}Y98901>>j:030?xu?n3:1>vP7f:?04`53z?04`<48m16?=k5a39>6?71j2.?;o4l;|a654=8391>7:t$572>4053A>2=6F;7b9'52d=m2.9944:889j4?::m04`<722h83}#<<;1=;;4$37:>0>>3f9;i7>5;h13`?6=3`;:?7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3?6=4>:183!2>138i86a=bb83>!2>138i565rs030>5<5sW;:?63>64823<=z{1l1<7>k;<351?5bl2wx?=k50;0xZ66b34;=977}Y;9o01>>j:22f?xu69:0;6?uQ1018966b28;87p}7f;296~X?n27875c=i;16>7?9b:&73g=950;196?2|,=?:6<8=;I6:5>N3?j1/=:l5e:&11<<2001b4k4?::k256<722e85;h327?6=3`k96=44i9d94?=e9??1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;7>5<6290;w):69;0a0>i5jj0;6):69;0a=>=z{8;86=4={_327>;6><0:;45rs9d94?4|V1l01<8::`38yvg52909wSo=;<351?5>j2wx?=j50;0xZ66c34;=97=jd:p75c=838pR>>j;<351?4e12wx8:j50;0x94022h:0197;:3``?x{e:3:1=7>50z&73g<>3->>=7?9c:&7=<<31o1/>87559;8k40e290/84752c;8?xu48l0;6?uQ31g8966b2::n7p}>1283>7}Y98901>>j:030?xu?n3:1>vP7f:?04`53z?04`<48m16?=k5a39>6?71j2.?;o4l;|a65`=8391>7:t$572>4053A>2=6F;7b9'52d=m2.9944:889j4?::m04`<722h83}#<<;1=;;4$37:>0>>3f9;i7>5;h13`?6=3`;:?7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3?6=4>:183!2>138i86a=bb83>!2>138i565rs030>5<5sW;:?63>64823<=z{1l1<7>k;<351?5bl2wx?=k50;0xZ66b34;=977}Y;9o01>>j:22f?xu69:0;6?uQ1018966b28;87p}7f;296~X?n27875c=i;16>7?9b:&73g<>50;196?2|,=?:6<8=;I6:5>N3?j1/=:l5e:&11<<2001b4k4?::k256<722e85;h327?6=3`k96=44i9d94?=e9??1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;7>5<6290;w):69;0a0>i5jj0;6):69;0a=>=z{8;86=4={_327>;6><0:;45rs9d94?4|V1l01<8::`38yvg52909wSo=;<351?5>j2wx?=j50;0xZ66c34;=97=jd:p75c=838pR>>j;<351?4e12wx8:j50;0x94022h:0197;:3``?x{e:3:1=7>50z&73g<>3->>=7?9c:&7=<<31o1/>87559;8k40e290/84752c;8?xu48l0;6?uQ31g8966b2::n7p}>1283>7}Y98901>>j:030?xu?n3:1>vP7f:?04`53z?04`<48m16?=k5a39>6?71j2.?;o4l;|a647=8391>7:t$572>4053A>2=6F;7b9'52d=m2.9944:889j4?::m04`<722h83}#<<;1=;;4$37:>0>>3f9;i7>5;h13`?6=3`;:?7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3?6=4>:183!2>138i86a=bb83>!2>138i565rs030>5<5sW;:?63>64823<=z{1l1<7>k;<351?5bl2wx?=k50;0xZ66b34;=977}Y;9o01>>j:22f?xu69:0;6?uQ1018966b28;87p}7f;296~X?n27875c=i;16>7?9b:&73g<650;196?2|,=?:6<8=;I6:5>N3?j1/=:l5f:&11<<2001b4k4?::k256<722e85;h327?6=3`k96=44i9d94?=e9??1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;7>5<6290;w):69;0a0>i5jj0;6):69;0a=>=z{8;86=4={_327>;6><0:;45rs9d94?4|V1l01<8::`38yvg52909wSo=;<351?5>j2wx?=j50;0xZ66c34;=97=jd:p75c=838pR>>j;<351?4e12wx8:j50;0x94022h:0197;:3``?x{e:3:1=7>50z&73g<>3->>=7?9c:&7=<<31o1/>87559;8k40e290/84752c;8?xu48l0;6?uQ31g8966b2::n7p}>1283>7}Y98901>>j:030?xu?n3:1>vP7f:?04`53z?04`<48m16?=k5a39>6?71j2.?;o4l;|a514=8391=7=tH55`?!22938>h6g>1983>>o6900;66a>d31m0;6>4?:1y'007=<0:0D97>;I64g>"3100?4k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121v6g9~w47>2909wS?>9:?7=a<6>l1v>kl:181[5bk27?5i4=b09~yg7393:1?7?53zJ73f=#<<;1>8j4i03;>5<5<2<6F;909K02e<,=32696i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'01983>7}Y9820197k:04e?xu6900;6?uQ10;891?c287}Y;li0197k:3`2?x{e9=:1<7=51;1xL11d3->>=7<:d:k25=<722c:=44?::m0af<722h?5i4?:283>5}#<<;184>4H5;2?M20k2.?544;8g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rs03;>5<5sW;:463;9e822c=z{8;26=4={_32=>;31m0::h5rs2g`>5<5sW9no63;9e81f4=zuk?;=7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`2`c<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi=h>50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f4c629086=4?{%665?273A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj=l=6=4<:183!22938i:6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'07<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e90?1<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb43a>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257h7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`62<<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi=>k50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f45a29086=4?{%665?273A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj89j6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c30f?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg74>3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl>3683>6<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`26f<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi=9:50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm15494?5=83:p(9;>:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a0g0=8381<7>t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg7a<3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl>f483>1<729q/88?5409K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;n0a5?6=,=326?l6;:a64g=8391<7>t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`15f<72:0;6=u+44396g0<@=3:7E:8c:&7=<<5j<1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj;;o6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn??j:180>5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`156<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd59=0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj;;=6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn??8:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb32:>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25752;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`14g<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd58j0;6>4?:1y'007=:k<0D97>;I64g>"31009n85+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn?>k:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb32f>5<4290;w)::1;0a2>N3181C8:m4$5;:>7d23-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd58=0;6>4?:1y'007=:k<0D97>;I64g>"31009n85+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn?>::181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg47>3:187>50z&714<392B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=n9>:1<7*;98823<=;94?:483>5}#<<;18?5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010e<9?:18'0307d?81;29 1?>28=276a=b083>!2>138i565rb456>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25752;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`632<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd2?10;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;1>o64H5;2?M20k2.?544=b49'60?==130e<8j:18'0307d?9f;29 1?>28=276g>7183>!2>13;<565f16394?"3100:;454o3`2>5<#<031>o74;|`25a<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd69l0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a576=8391<7>t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e9;;1<7=50;2x 1362;h=7E:61:J73f=#<031>o;4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo;>1;297?6=8r.?9<4=b79K0<7<@==h7):69;0a1>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a144=8381<7>t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn8?<:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb437>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25753;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo;>6;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c723?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{e=821<7:50;2x 1362;h<7E:61:J73f=#<031>o;4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121b=:>50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`616<72:0;6=u+44396g0<@=3:7E:8c:&7=<<5j<1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c761?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg32>3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl:5683>6<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`61=<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd2=00;6>4?:1y'007=:k<0D97>;I64g>"31009n85+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn8;n:187>5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;n0a5?6=,=326?l6;:a10d=8391<7>t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e=?h1<7;50;2x 1362=80D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>41>32c:;<4?:%6:=?70121d>o?50;&7=<<5j010qo;9c;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd2>m0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj<0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c744?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg3093:1?7>50z&714<5j?1C84?4H55`?!2>138i96*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi9:<50;694?6|,=?:69?4H5;2?M20k2.?544307d?80;29 1?>28=276a=b083>!2>138i565rb0c4>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25752;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`2e<<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi=lo50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f4ge29096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo?nc;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd6im0;6;4?:1y'007=<:1C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5$5;:>41>32c:;?4?:%6:=?70121d>o?50;&7=<<5j010qo?ne;290?6=8r.?9<4=b69K0<7<@==h7):69;0a1>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;h344?6=,=326<96;:m1f4<72->25721i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo?i9;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd6nh0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj8lh6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c3e`?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th:jh4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e:9:1<7650;2x 1362=>0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>41>32c:;<4?:%6:=?70121b=:<50;&7=<<6?010e<9<:18'0307d?84;29 1?>28=276a=b083>!2>138i565rb0d;>5<3290;w)::1;0a3>N3181C8:m4$5;:>7d23-8>57;79:k22`<72->257?89:9j53`=83.?544>7898m417290/847516;8?j4e93:1(976:3`:?>{e;;0;684?:1y'007=:k20D97>;I64g>"31009n85+24;91=?5$5;:>41>32c:;=4?:%6:=?70121b=:?50;&7=<<6?010c?l>:18'0i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c16>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->2570>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c14>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->2570>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c1:>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->257t$572>14<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?l7083:1(976:05:?>o6?80;6):69;34=>=h:k;1<7*;9881f<=:18'0"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a5=7=8391<7>t$572>7d13A>2=6F;7b9'0o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`27898k7d6290/84752c;8L1??32wi=5m50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm19f94?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj82n6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c3;b?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th:5=4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn<6=:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb0:0>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2573290=6=4?{%665?243A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>o6?90;6):69;34=>=n9>;1<7*;98823<=5$5;:>7d>32wi=5;50;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c3;2?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{e91=1<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi=5650;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c3;=?6=<3:17898m40a290/847516;8?l7083:1(976:05:?>i5j80;6):69;0a=>=zj=h36=4<:183!22938i:6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'07<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e5$5;:>7d>3A>2465rb5``>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257ih7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`7f`<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi8oh50;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c6`4?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg2d93:187>50z&714<392B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=n9>:1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`640<72:0;6=u+44396g0<@=3:7E:8c:&7=<<5j<1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj<:=6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn<:7:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg73j3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`20a<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi=9k50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f42a29096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo?:0;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c365?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg72:3:1?7>50z&714<5j?1C84?4H55`?!2>138i96*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi=9750;694?6|,=?:69?4H5;2?M20k2.?544307d?80;29 1?>28=276a=b083>!2>138i565rb06b>5<4290;w)::1;0a2>N3181C8:m4$5;:>7d23-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd6:l0;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:3`4?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9j526=83.?544>7898k7d6290/84752c;8?xd6;90;6?4?:1y'007=9>i0D97>;I64g>"31003o6*=5886<<=n9?n1<7*;98823<=:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a564=8391<7>t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e9:91<7=50;2x 1362;h=7E:61:J73f=#<031>o;4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010q~>j;<1b>40b3ty8=7>52z?1a?76;278?776<6>l1v>:50;0x962=:k;01>7517g8yv522909w0=::3`2?85>2838i=63{t;>0;6?u23681f4=:;h0:;=5rs2:94?4|5:21>o?4=2c95277d6349i6<8j;|q0e?6=:r78m77g<5j816?>4>6g9~w2g=83=pR:o4=0d6>40a348:n7?9d:?156<6>o16?94>6d9>5=e=9?l018>9:04f?xu>83:1==uQ919>6`a348;=76i;<036?>a348;;76i;<03b?>a348:<76i;<025?>a348:476i;<6a2?71l2wx5;4?:5y]=3=:=?>14k529c8256=:9;i1=;j4}r;a>5>j;<3e7?71l279=l4>6g9>643=9?n01?>l:04f?847=3;=h63>1d822a=:=8>1=;j4=475>40c34;397?9e:?7f=<6>o1v1g822`=:=9?1=;k4}r32f?6=:r7:=l4>129>577=:k;0q~?>c;296~;69j09n<5210d953`52z?25a<5j816=?>517g8yv76m3:1>v3>1d81f4=:9;:1=;h4}r32b?6=:r7:=k4=b09>577=9?o0q~?=0;296~;6:909n<52133953`7>54z\267=:940c34;9i7?9d:p570=83kp1<45822c=:=>>1=:>4=44a>40b34?=o7?9d:?2e2<6>m16=9l517g8942d28{t9;h1<77t=00b>=`<5==m65h4=0c6>=`<583865h4=07a>=`<589h65h4=01;>=`<589?65h4=00`>7d63ty:>i4?:3y>57g=98901<=<:3`2?xu6:l0;6?u213g96g7<589:6<8i;|q26c<72;q6=?h52c389455283183>7}:9::1>o?4=011>40a3ty:?<4?:3y>567=:k;01<=<:04f?xu6;;0;6?u212096g7<58986<8i;|q262<721q6=>:531g8942728;270?;4;35a>;2?<0::i5257d953b<58k26<8k;<37b?71l27:>k4>6d9~w4522909w0?<4;327>;6;>09n<5rs015>5<5s4;8:7o1v<<7:18:874039;i63>40825<=:9=<1=;k4=457>41634?=n7?9f:?62a<6>m16=lo517f8942b28{t9:31<747434;8n7|589h6>>j;<376?76127>;;4>6e9>13c=9?n014e822a=:9;l1=:>4}r30`?6=:r7:?n4>129>56`=:k;0q~?53z?204<69116=9:52c389421284483>7}:9=81=<64=065>7d63ty:8:4?:5y>56c=9?n01<=n:04g?874>3;=h63>4`81f4=z{8>36=4={<376g9~w42>2909w0?;9;0a5>;65<5s4;?n7l1v<:l:181873k38i=63>50822`=z{8>o6=4={<37`?4e927:9<4>6g9~w42b2909w0?;e;0a5>;6<00::h5rs06e>5<5s4;?j74`822`=z{8?:6=4={<365?4e927:9?4>6g9~w4352909w0?:2;0a5>;6<00::k5rs070>5<5s4;?<7=jc:?272<6>l1v<;;:181873939no63>3c822`=z{8?>6=4={<376?5bk27:?k4>6d9~w43e2908w0?:b;13a>;6=90::h52123953c55z\23c=:9>l1?=k4=457>40a34?=n7?80:?2<1<6>o1v<6?:181870n3;:?63>8881f4=z{82:6=4={<3;5?4e927:444>6g9~w4>52909w0?72;0a5>;60<0::k5rs0:0>5<5s4;3?7l1v<6;:18187?<38i=63>87822c=z{82>6=4={<3;1?4e927:4:4>6g9~w4>12909w0?76;0a5>;6000:;=5rs0:4>5<5s4;3;7o1v<67:18187?038i=63>88822`=z{82j6=4={<3;e?4e927:4?4>6d9~w4>e2909w0?7b;0a5>;60;0::k5rs0:`>5<5s4;3o7l1v<6k:18187?l38i=63>82822c=z{82n6=4={<3;a?4e927:494>6d9~w4>a2909w0?7f;0a5>;60=0:;=5rs0;3>5<5s4;2<7:18187>938i=63>858237=z{8386=4:{_3:7>;61:08{t9h?1<7;t^0c6?87f=39;i63=0`822a=::9>1=;h4=0:a>40c3ty:m;4?:3y>5d3=989010;6?u21`596g7<58ko6<8j;|q2e=<72;q6=l652c3894gc28=;7p}>a883>7}:9h31>o?4=0cg>4163ty:ml4?:3y>5dg=:k;01ae83>7}:9hn1>o?4=0cf>40a3ty:o84?:3y]5f3<5<;26<8j;|q2g<<72;qU=n74=0fg>4743ty:hi4?:5y>5ab=;9o016e9>64g=9?o01??;:04g?85228;3j10::h52516953c52z?2`c<5j816=h?517g8yv7b83:1>v3>e181f4=:9l;1=;h4}r3e5?6=;r7:j<4<0d9>656=9?o018>;:04e?xu6n;0;6?u21g796g7<58l<6<8k;|q2b6<72;q6=k=52c3894`228f583>7}:9o>1>o?4=0d6>4173ty:j;4?:3y>5c7=989010;6?u21g596g7<58lm6<8i;|q2b<<72;q6=k752c38976728f`83>7}:9ok1>o?4=323>4173ty:jo4?:3y>5cd=:k;01?>?:052?xu6nj0;6?u21ga96g7<5;:;6<9<;|q2ba<72;q6=kj52c38976728=97p}>fd83>7}:9oo1>o?4=323>4133ty:jk4?:3y>5c`=:k;01=?531g8977b28;4?3;=h63>90822a=z{;:96=4:{<035?76;2795ce=9?n01>8517f894?7287}::981=<=4=325>7d63ty9<94?:3y>652=:k;01?>9:04f?xu58<0;6?u221796g7<5;:=6<9?;|q142<72jq6>=9531g891`128;58?0::k5210a9527<5<;<6<8j;<76=?71m27:ji4>6e9>77<6>l16=5h517f894>028{t:921<7474348;i76:3`2?847j3;=i6s|21c94?4|5;:j6?l>;<03f?71n2wx>=l50;0x976e2;h:70{t:9i1<77d6348;h7?9f:p65b=838p1?>k:3`2?847m3;=j6s|21d94?g|5;:m6>>j;<6e2?71n279

6d9>54e=9>:018?>:04f?832;3;=i63>fc822a=:;;0::k5219:953c<5=ho6<8k;|q155<720q6>=h5101897772::n70?>c;35b>;2980::k52541953`<58lj6<8k;<11>41634;3=7?9e:?7fg<6>m1v??>:18:84683;:?63=10804`=:98i1=;k4=436>40b34?>;7?9e:?2b<<6>m16??4>719>5=7=9?l019ll:04g?xu59;0;6?u22039545<5;;<6?l>;|q156<72;q6><=52c389770287}::8>1>o?4=335>40b3ty9=84?:3y>643=:k;01??9:04e?xu59?0;6?u220496g7<5;;<6<8i;|q15=<72mq6><6531g8977c283;35a>;69m0::h52501953`<56<8i;<3ea?71l27:jk4>6d9>71<6>o16?54>6e9>5=e=9?o01<6j:04g?82ei3;=h6s|20;94?4|5;;36c;35a>{t:8h1<77d6348:o7?9f:p64e=838p1??l:3`2?846l3;=j6s|20f94?4|5;;o6?l>;<02a?71n2wx>>:50;0xZ75334?>n7?9e:p663=838pR?=:;<072?76;2wx>9850;1x97212::n70?kf;35`>;69m0::k5rs3cg>5<5s4>2>7?9b:?621;2>k0:;<5rs5:3>5<5s4>3:?7<4<5j81v9l;:187[2e<27?n94<0d9>5<5=i;16=l;5a39~w1d2290>w0:m4;:e?833k32m70;?a;:e?82a;32m70:m6;0a5>{t47434>i57=838p19l7:3`2?82en3;=i6s|4cc94?4|5=hj6?l>;<6ab?71n2wx8ol50;0x91de2;h:70:l1;35a>{t7d634>h<7?9e:p0gb=838p19lk:3`2?82d83;=j6s|4cg94?4|5=hn6?l>;<6a=?71n2wx8oh50;0x91da2;h:70:l1;35b>{t7d634>h=7?80:p0f7=838p19m>:3`2?82e13;=i6s|4b794?4|V=i>70?65;35`>{t{t47434>m:7?;<735?4e92wx9==50;0x906628{t=9>1<77d634?;97?9f:p153=838p18>::3`2?837>3;=j6s|51c94?5|V<:j70;?a;13a>;29;0::i5rs433>5<5s4?:n7?9d:?65<<5j81v8?>:181836938i=63:19822c=z{<;96=4={<726?4e927>=84>6g9~w0742909w0;>3;0a5>;29?0::h5rs437>5<5s4?:87o1v8?::181836=38i=63:16822c=z{<;=6=4={<722?4e927>=54>719~w0702909w0;>7;0a5>;2910::h5rs43;>5<5s4?:47o1v8?n:181837i3;:?63:1c81f4=z{<9n6=4={_70a>;6ij0::i5rs46`>5<4sW??o63:4b804`=:=<>1=;j4}r766?6=:r7>9i4>6e9>10d=:k;0q~;:3;296~;2=:09n<5254c953`87>52z?611<5j816989517d8yv32=3:1>v3:5481f4=:=<21=;k4}r762?6=:r7>9;4=b09>10>=9?l0q~;:7;296~;2=>09n<5254;953`47>52z?61=<5j81698o51628yv3213:1>v3:5881f4=:=9l4=b09>10d=9?l0q~;:c;296~;25254f96g753z\621=:=?>1?=k4=0c;>40c3ty>:54?:3y>132=98901886:3`2?xu2>h0;6?u25769e5=:=>81>o?4}r75f?6=:r7>:o4=b09>127=9?o0q~;9c;296~;2>j09n<52562953c52z?62a<5j8169:>517d8yv31m3:1>v3:6d81f4=:=>81=;k4}r75b?6=:r7>:k4=b09>124=9>:0q~;80;296~;2?909n<52563953`52z?634<5j8169:<517d8yv30;3:1>v3:68822a=:=>21>o?4}r740?6=:r7>;94=b09>12>=9?o0q~;85;296~;2?<09n<52565953c52z?633<5j8169:9517d8yv30?3:1>v3:7681f4=:=>21=;h4}r74=?6=:rT>;452493953b"5=10??i5fa083>>o3910;66g72;29?j2c:3:17d?=2;29?l37?3:17b:=5;29?l?12900c>m9:188k0252900c:o50;9j0=g=831i84<50;394?6|,=?:6<8l;I6:5>N3?j1/847548d8 73>2<227b?9b;29 1?>2;h276smcb83>0<52?q/88?51768L1?63A>a2900e>j:185>5<7s->257?97:k23<<72->257?89:9je5<72->257o?;:kb5?6=,=326l?4;h1f`?6=,=326>kk;:k0=g<72->257=6b:9l6g?=83.?544=b898yg2>>3:1=7>50z&7=<<31o1d>o750;&7=<<5j010q~=?e;296~X48l16?=k52c;8yv76;3:1>vP>129>75c=9>30q~o=:181[g5349;i7=6b:pe5<72;qUm=5231g9e5=z{1l1<755;092~"3=80::95G4838L11d3-8>57;79:kb4?6=3`k96=44i9d94?=n9891<75`31g94?=e;9o1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;5>5<6290;w):69;6:b>i5j00;6):69;0a=>=z{::n6=4={_13a>;48l09n45rs030>5<5sW;:?63<0d823<=z{h81<76?49{%665?71<2B?5<5G46a8 73>2<227do?:188md4=831b4k4?::k256<722e8=n;ln1<7*;9880aa=5$5;:>7d>32wi84850;394?6|,=32697i;n0a=?6=,=326?l6;:p75c=838pR>>j;<13a?4e12wx=<=50;0xZ474349;i7?89:pe7<72;qUm?5231g97>j:2gg?82>>38i56srbcd94?3=:3:047?M2>92B?;n5+24;91=?>o?n3:17d?>3;29?j57m3:17o=?e;292?6=8r.?544>669j52?=83.?544>7898md6=83.?544n0:9je4<72->257o>;:k0aa<72->257=jd:9j7290/84752c;8?xd31?0;6<4?:1y'07}Y;9o01>>j:3`:?xu69:0;6?uQ1018966b28=27p}n2;296~Xf:2784=22f>d66b83>7}:;9o1?hj4=5;5>7d>3twinn4?:481>3}#<<;1=;:4H5;2?M20k2.9944:889je5<722cj>7>5;h:e>5<5<13;=;6g>7883>!2>13;<565fa183>!2>13k;76gn1;29 1?>2h;07d=jd;29 1?>2:oo76g<9c83>!2>1392n65`2c;94?"31009n454}c6:2?6=93:152z\04`=:;9o1>o74}r327?6=:rT:=>5231g952?7}Yi916?=k5a19~w=`=838pR5h4=22f>d752z?04`<4mm1684852c;8yxde93:197<56z&714<6>=1C84?4H55`?!4213?356gn0;29?lg52900e5h50;9j545=831d?=k50;9a75c=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn979:182>5<7s->257:6f:m1f<<72->257789~wd4=838pRl<4=22f>6?e3tyj<7>52z\b4>;48l0j<6s|8g83>7}Y0o16?=k5a09~w40d2909w0=?e;1f`>;31?09n45r}c1ef?6==381:v*;508221=O<0;0D99l;%06=?3?12cj<7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3=6=4>:183!2>13>2j6a=b883>!2>138i565rs22f>5<5sW9;i63<0d81f<=z{8;86=4={_327>;48l0:;45rs`094?4|Vh801>>j:2;a?xuf83:1>vPn0:?04`5<5s49;i7=jd:?7=3<5j01vqo:9a;291?4=>r.?9<4>659K0<7<@==h7)?8b;g8 73>2<227do?:188md4=831b4k4?::k256<722e8=n;ln1<7*;9880aa=5$5;:>7d>32wi84850;394?6|,=32697i;n0a=?6=,=326?l6;:p75c=838pR>>j;<13a?4e12wx=<=50;0xZ474349;i7?89:pe7<72;qUm?5231g97>j:2gg?82>>38i56srb545>5<22;0=w)::1;350>N3181C8:m4$05a>`=#:<319574i`294?=ni;0;66g7f;29?l76;3:17b=?e;29?g57m3:1:7>50z&7=<<6>>1b=:750;&7=<<6?010el>50;&7=<4<729q/847548d8k7d>290/84752c;8?xu48l0;6?uQ31g8966b2;h27p}>1283>7}Y98901>>j:05:?xuf:3:1>vPn2:?04`<41k1vl>50;0xZd6<5::n6l>4}r:e>5<5sW2m70=?e;c2?xu6>j0;6?u231g97`b<5=3=6?l6;|a034=83?1>78t$572>4033A>2=6F;7b9'52d=m2.9944:889je5<722cj>7>5;h:e>5<5<13;=;6g>7883>!2>13;<565fa183>!2>13k;76gn1;29 1?>2h;07d=jd;29 1?>2:oo76g<9c83>!2>1392n65`2c;94?"31009n454}c6:2?6=93:152z\04`=:;9o1>o74}r327?6=:rT:=>5231g952?7}Yi916?=k5a19~w=`=838pR5h4=22f>d752z?04`<4mm1684852c;8yxd3=m0;684=:7y'007=9?>0D97>;I64g>"6?k0n7)<:9;7;=>of83:17do=:188m=`=831b=<=50;9l75c=831i?=k50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257n2e9n44?:%6:=?4e121v>>j:181[57m2783:?04`<6?01vl<50;0xZd4<5::n6>7m;|qb4?6=:rTj<63<0d8b4>{t0o0;6?uQ8g9>75c=i81v<8l:181857m39nh63;9781f<=zuk9m<7>55;092~"3=80::95G4838L11d3-8>57;79:kb4?6=3`k96=44i9d94?=n9891<75`31g94?=e;9o1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;5>5<6290;w):69;6:b>i5j00;6):69;0a=>=z{::n6=4={_13a>;48l09n45rs030>5<5sW;:?63<0d823<=z{h81<7=1C84?4H55`?!4213?356gn0;29?lg52900e5h50;9j545=831d?=k50;9a75c=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn979:182>5<7s->257:6f:m1f<<72->257789~wd4=838pRl<4=22f>6?e3tyj<7>52z\b4>;48l0j<6s|8g83>7}Y0o16?=k5a09~w40d2909w0=?e;1f`>;31?09n45r}ca1>5<22;0=w)::1;350>N3181C8:m4$37:>0>>3`k;6=44i`094?=n0o0;66g>1283>>i48l0;66l<0d83>3<729q/84751758m41>290/847516;8?lg7290/8475a198md7=83.?544n1:9j7`b=83.?544{e<0<1<7?50;2x 1?>2=3m7b2;h276s|31g94?4|V::n70=?e;0a=>{t9891<77}Yi;16?=k538`8yvg72909wSo?;<13a?g73ty3j7>52z\;b>;48l0j=6s|17a94?4|5::n6>kk;<6:2?4e12wvn<;i:180>7<3s->>=7?92:J7=4=O<>i0(?;6:4::?l>a2900e>j:186>7<1s->>=7?95:&11<<2001d?=k50;9j75b=831b=<=50;9je7<722c3j7>5;c351?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<031>o:4o3``>5<#<031>o74;|q256<72;qU=<=4=046>41>3ty3j7>52z\;b>;6><0j=6s|a383>7}Yi;16=;;538`8yv57l3:1>vP<0e9>533=;ln0q~=?e;296~X48l16=;;52c;8yv20l3:1>v3>648b4>;31=09nn5r}c094?7=83:p(99m:89'007=9?i0(976:5;e?!4213?356a>6c83>!2>138i565rs22f>5<5sW9;i63<0d804`=z{8;86=4={_327>;48l0:=>5rs9d94?4|V1l01>>j:9d8yv3=839p1>>j:22g?857m3k970<517`8 11e2j1vqo?:e;297?4=639K0<7<@==h7)<:9;7;=>o?n3:17d?>3;29?j57m3:17o=?e;291?4=>r.?9<4>649'60?==130c>>j:188m66c2900e=n;ln1<7*;9880aa=5$5;:>7d>32wi84:50;394?6|,=326?l;;n0ag?6=,=326?l6;:p545=838pR7}Y;9o01<8::3`:?xu3?m0;6?u21779e5=:<0>1>om4}|`1>5<6290;w):8b;;8 13628"5=00>445`17`94?"31009n454}r13a?6=:rT852z\256=:;9o1=<=4}r:e>5<5sW2m70=?e;:e?xu22908w0=?e;13`>;48l0j>63=:04a?!20j3i0qpl6f;297?4=639K0<7<@==h7)<:9;7;=>o?n3:17d?>3;29?j57m3:17o=?e;291?4=>r.?9<4>649'60?==130c>>j:188m66c2900e=n;ln1<7*;9880aa=5$5;:>7d>32wi84:50;394?6|,=326?l;;n0ag?6=,=326?l6;:p545=838pR7}Y;9o01<8::3`:?xu3?m0;6?u21779e5=:<0>1>om4}|`1>5<6290;w):8b;;8 13628"5=00>445`17`94?"31009n454}r13a?6=:rT852z\256=:;9o1=<=4}r:e>5<5sW2m70=?e;:e?xu22908w0=?e;13`>;48l0j>63=:04a?!20j3i0qpl6e;297?4=639K0<7<@==h7)<:9;7;=>o?n3:17d?>3;29?j57m3:17o=?e;291?4=>r.?9<4>649'60?==130c>>j:188m66c2900e=n;ln1<7*;9880aa=5$5;:>7d>32wi84:50;394?6|,=326?l;;n0ag?6=,=326?l6;:p545=838pR7}Y;9o01<8::3`:?xu3?m0;6?u21779e5=:<0>1>om4}|`1>5<6290;w):8b;;8 13628"5=00>445`17`94?"31009n454}r13a?6=:rT852z\256=:;9o1=<=4}r:e>5<5sW2m70=?e;:e?xu22908w0=?e;13`>;48l0j>63=:04a?!20j3i0qpl;d383>6<52=q/88?51708L1?63A>1283>>i48l0;66l<0d83>0<52?q/88?51778 73>2<227b=?e;29?l57l3:17d?>3;29?lg52900e5h50;9a533=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn97;:182>5<7s->2572573:?220<6?01v5h50;0xZ=`<58<>6l?4}rc1>5<5sWk970?95;1:f>{t;9n1<7{t<>n1<7d6<5=3?6?ll;|a6?6=93:15<#<031>o74;|q04`<72;qU?=k4=22f>66b3ty:=>4?:3y]545<5::n6{t=3:1?v3<0d804a=:;9o1m?522;35f>"3?k0h7psm55094?5=:3>p(9;>:041?M2>92B?;n5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb6c94?5=:3>p(9;>:041?M2>92B?;n5+24;91=?:046?!4213?356a<0d83>>o48m0;66g>1283>>of:3:17d6i:188f402290=6=4?{%6:=?71?2c:;44?:%6:=?70121bm=4?:%6:=?g732cj=7>5$5;:>d7<3`9nh7>5$5;:>6cc32c85o4?:%6:=?5>j21d>o750;&7=<<5j010qo:64;295?6=8r.?544=b59l6ge=83.?544=b898yv76;3:1>vP>129>533=9>30q~6i:181[>a34;=97o>;|qb6?6=:rTj>63>6480=g=z{::o6=4={_13`>;6><08ii5rs22f>5<5sW9;i63>6481f<=z{==o6=4={<351?g734>287"3=80::n5+48;90<`<,;?26866;n35f?6=,=326?l6;:p75c=838pR>>j;<13a?57m2wx=<=50;0xZ474349;i7?>3:pu231g975b<5::n6l<4=3822g=#<>h1o6srb42:>5<42;0?w)::1;356>N3181C8:m4$37:>0>>3`2m6=44i030>5<5<22;0=w)::1;351>"5=00>445`31g94?=n;9n1<75f10194?=ni;0;66g7f;29?g71=3:1:7>50z&7=<<6>>1b=:750;&7=<<6?010el>50;&7=<4<729q/84752c68k7dd290/84752c;8?xu69:0;6?uQ1018940228=27p}7f;296~X?n27::84n1:pe7<72;qUm?521779752z\04a=:9??1?hj4}r13a?6=:rT852z?220t$55a><=#<<;1=;m4$5;:>1?a3-8>57;79:m22g<72->257129~w=`=838pR5h4=22f>=`66c349;i7o=;<0953d<,==i6n5r}c046?6==381:v*;508221=O<0;0D99l;%06=?3?12cj<7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3=6=4>:183!2>13>2j6a=b883>!2>138i565rs22f>5<5sW9;i63<0d81f<=z{8;86=4={_327>;48l0:;45rs`094?4|Vh801>>j:2;a?xuf83:1>vPn0:?04`5<5s49;i7=jd:?7=3<5j01vqo<83;291?4=>r.?9<4>659K0<7<@==h7)<:9;7;=>of83:17do=:188m=`=831b=<=50;9l75c=831i?=k50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257n2e9n44?:%6:=?4e121v>>j:181[57m2783:?04`<6?01vl<50;0xZd4<5::n6>7m;|qb4?6=:rTj<63<0d8b4>{t0o0;6?uQ8g9>75c=i81v<8l:181857m39nh63;9781f<=zuk8<:7>55;092~"3=80::95G4838L11d3-8>57;79:kb4?6=3`k96=44i9d94?=n9891<75`31g94?=e;9o1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;5>5<6290;w):69;6:b>i5j00;6):69;0a=>=z{::n6=4={_13a>;48l09n45rs030>5<5sW;:?63<0d823<=z{h81<7=1C84?4H55`?!4213?356gn0;29?lg52900e5h50;9j545=831d?=k50;9a75c=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn979:182>5<7s->257:6f:m1f<<72->257789~wd4=838pRl<4=22f>6?e3tyj<7>52z\b4>;48l0j<6s|8g83>7}Y0o16?=k5a09~w40d2909w0=?e;1f`>;31?09n45r}c045;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3=6=4>:183!2>13>2j6a=b883>!2>138i565rs22f>5<5sW9;i63<0d81f<=z{8;86=4={_327>;48l0:;45rs`094?4|Vh801>>j:2;a?xuf83:1>vPn0:?04`5<5s49;i7=jd:?7=3<5j01vqo<89;291?4=>r.?9<4>659K0<7<@==h7)<:9;7;=>of83:17do=:188m=`=831b=<=50;9l75c=831i?=k50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257n2e9n44?:%6:=?4e121v>>j:181[57m2783:?04`<6?01vl<50;0xZd4<5::n6>7m;|qb4?6=:rTj<63<0d8b4>{t0o0;6?uQ8g9>75c=i81v<8l:181857m39nh63;9781f<=zuk855;092~"3=80::95G4838L11d3-8>57;79:kb4?6=3`k96=44i9d94?=n9891<75`31g94?=e;9o1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;5>5<6290;w):69;6:b>i5j00;6):69;0a=>=z{::n6=4={_13a>;48l09n45rs030>5<5sW;:?63<0d823<=z{h81<7=1C84?4H55`?!4213?356gn0;29?lg52900e5h50;9j545=831d?=k50;9a75c=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn979:182>5<7s->257:6f:m1f<<72->257789~wd4=838pRl<4=22f>6?e3tyj<7>52z\b4>;48l0j<6s|8g83>7}Y0o16?=k5a09~w40d2909w0=?e;1f`>;31?09n45r}c04g?6==381:v*;508221=O<0;0D99l;%06=?3?12cj<7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3=6=4>:183!2>13>2j6a=b883>!2>138i565rs22f>5<5sW9;i63<0d81f<=z{8;86=4={_327>;48l0:;45rs`094?4|Vh801>>j:2;a?xuf83:1>vPn0:?04`5<5s49;i7=jd:?7=3<5j01vqo<8d;291?4=>r.?9<4>659K0<7<@==h7)<:9;7;=>of83:17do=:188m=`=831b=<=50;9l75c=831i?=k50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257n2e9n44?:%6:=?4e121v>>j:181[57m2783:?04`<6?01vl<50;0xZd4<5::n6>7m;|qb4?6=:rTj<63<0d8b4>{t0o0;6?uQ8g9>75c=i81v<8l:181857m39nh63;9781f<=zuk8<87>55;092~"3=80::95G4838L11d3-8>57;79:kb4?6=3`k96=44i9d94?=n9891<75`31g94?=e;9o1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;5>5<6290;w):69;6:b>i5j00;6):69;0a=>=z{::n6=4={_13a>;48l09n45rs030>5<5sW;:?63<0d823<=z{h81<7=1C84?4H55`?!4213?356gn0;29?lg52900e5h50;9j545=831d?=k50;9a75c=83<1<7>t$5;:>4003`;<57>5$5;:>41>32cj<7>5$5;:>d6<3`k:6=4+48;9e4=5$5;:>6?e32e9n44?:%6:=?4e121vn979:182>5<7s->257:6f:m1f<<72->257789~wd4=838pRl<4=22f>6?e3tyj<7>52z\b4>;48l0j<6s|8g83>7}Y0o16?=k5a09~w40d2909w0=?e;1f`>;31?09n45r}c05e?6==381:v*;508221=O<0;0D99l;%06=?3?12cj<7>5;hc1>5<of83:1(976:`28?lg6290/8475a098m6cc290/84753df8?l5>j3:1(976:2;a?>i5j00;6):69;0a=>=zj=3=6=4>:183!2>13>2j6a=b883>!2>138i565rs22f>5<5sW9;i63<0d81f<=z{8;86=4={_327>;48l0:;45rs`094?4|Vh801>>j:2;a?xuf83:1>vPn0:?04`5<5s49;i7=jd:?7=3<5j01vqo::6;291?4=>r.?9<4>659K0<7<@==h7)<:9;7;=>of83:17do=:188m=`=831b=<=50;9l75c=831i?=k50;494?6|,=326<88;h34=?6=,=326<96;:kb4?6=,=326l>4;hc2>5<#<031m<54i2gg>5<#<031?hj4;h1:f?6=,=326>7m;:m1f<<72->257n2e9n44?:%6:=?4e121v>>j:181[57m2783:?04`<6?01vl<50;0xZd4<5::n6>7m;|qb4?6=:rTj<63<0d8b4>{t0o0;6?uQ8g9>75c=i81v<8l:181857m39nh63;9781f<=zuk>997>55;092~"3=80::95G4838L11d3-8>57;79:kb4?6=3`k96=44i9d94?=n9891<75`31g94?=e;9o1<7850;2x 1?>28<<7d?89;29 1?>28=276gn0;29 1?>2h:07do>:18'0kk:18'02:3i76a=b883>!2>138i565rb5;5>5<6290;w):69;6:b>i5j00;6):69;0a=>=z{::n6=4={_13a>;48l09n45rs030>5<5sW;:?63<0d823<=z{h81<7;1C84?4H55`?!70j3o0(?;6:4::?l>a2900e>j:186>7<1s->>=7?95:&11<<2001d?=k50;9j75b=831b=<=50;9je7<722c3j7>5;c351?6=>3:131<7*;98823<==ni80;6):69;c2?>o4mm0;6):69;1f`>=n;0h1<7*;9880=g=5}#<031>o:4o3``>5<#<031>o74;|q256<72;qU=<=4=046>41>3ty3j7>52z\;b>;6><0j=6s|a383>7}Yi;16=;;538`8yv57l3:1>vP<0e9>533=;ln0q~=?e;296~X48l16=;;52c;8yv20l3:1>v3>648b4>;31=09nn5r}c094?7=83:p(99m:89'007=9?i0(976:5;e?!4213?356a>6c83>!2>138i565rs22f>5<5sW9;i63<0d804`=z{8;86=4={_327>;48l0:=>5rs9d94?4|V1l01>>j:9d8yv3=839p1>>j:22g?857m3k970<517`8 11e2j1vqo<;d;297?4=639K0<7<@==h7)?8b;g8 73>2<227d6i:188m4742900c>>j:188f66b290>6?49{%665?71=2.9944:889l75c=831b?=j50;9j545=831bm?4?::k;b?6=3k;=97>56;294~"3100:::5f16;94?"3100:;454i`294?"3100j<65fa083>!2>13k:76g!2>139nh65f38`94?"310085o54o3`:>5<#<031>o74;|`7=1<7280;6=u+48;96g24?:3y]545<58<>6<96;|q;b?6=:rT3j63>648b5>{ti;0;6?uQa39>533=;0h0q~=?d;296~X48m16=;;53df8yv57m3:1>vP<0d9>533=:k30q~:8d;296~;6><0j<63;9581ff=zuk81<7?50;2x 11e201/88?517a8 1?>2=3m7)<:9;7;=>i6>k0;6):69;0a=>=z{::n6=4={_13a>;48l085<5sW;:?63<0d8256=z{1l1<7"3=8099i5f10:94?=n9831<75`3da94?=e<0n1<7=50;2x 1362=3;7E:61:J73f=#<03185h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010q~?>8;296~X6911684j517d8yv7613:1>vP>189>08j4i03;>5<5<2<6F;909K02e<,=32696i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'01983>7}Y9820197k:04e?xu6900;6?uQ10;891?c287}Y;li0197k:3`2?x{el:0;6>4>:2yK02e<,=?:6?;k;h325;n1fg?6=3k>2h7>53;294~"3=80?5=5G4838L11d3->257:7f:&11<<2001b=;k50;&7=<<6?010e<8i:18'0307b2;h276s|10:94?4|V8;370:6d;35b>{t9831<7l3;=i6s|3da94?4|V:oh70:6d;0a5>{zjm81<7=51;1xL11d3->>=7<:d:k25=<722c:=44?::m0af<722h?5i4?:283>5}#<<;184>4H5;2?M20k2.?544;8g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rs03;>5<5sW;:463;9e822c=z{8;26=4={_32=>;31m0::h5rs2g`>5<5sW9no63;9e81f4=zukn=6=4<:080M20k2.?9<4=5e9j54>=831b=<750;9l7`e=831i84j50;194?6|,=?:697?;I6:5>N3?j1/847549d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}r3252z\25<=:<0n1=;k4}r1fg?6=:rT8in5248f96g753;397~N3?j1/88?524f8m47?2900e5<7s->>=7:60:J7=4=O<>i0(976:5:e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|q25=<72;qU=<64=5;g>40a3ty:=44?:3y]54?<5=3o6<8j;|q0af<72;qU?hm4=5;g>7d63twih44?:282>6}O<>i0(9;>:37g?l7603:17d?>9;29?j5bk3:17o:6d;297?6=8r.?9<4;919K0<7<@==h7):69;6;b>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:p54>=838pR34>2h7?9e:p7`e=838pR>kl;<6:`?4e92wvni650;195?5|@==h7)::1;06`>o6910;66g>1883>>i4mj0;66l;9e83>6<729q/88?54828L1?63A>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2578:?7=a<6>o1v6d9~w6cd2909wS=jc:?7=a<5j81vqojl:180>4<4sA>:5;3?M2>92B?;n5+48;90=`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yv7603:1>vP>199>09;296~X6901684j517g8yv5bk3:1>vP05<5<4290;w)::1;6:4>N3181C8:m4$5;:>1>a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xu6910;6?uQ10:891?c281883>7}Y9830197k:04f?xu4mj0;6?uQ3da891?c2;h:7psmdg83>6<62:qC8:m4$572>73c3`;:47>5;h32=?6=3f9no7>5;c6:`?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{t9821<7l3;=j6s|10;94?4|V8;270:6d;35a>{t;li1<7l38i=6srbeg94?5=939pD99l;%665?42l2c:=54?::k25<<722e8in4?::`7=a<72:0;6=u+44390<6<@=3:7E:8c:&7=<<30o1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=z{8;36=4={_32<>;31m0::k5rs03:>5<5sW;:563;9e822`=z{:oh6=4={_1fg>;31m09n<5r}c644?6=;3;1?vF;7b9'007=:2900c>kl:188f1?c29086=4?{%665?2>82B?5<5G46a8 1?>2=2m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=<5=3o6<8i;|q25<<72;qU=<74=5;g>40b3ty8in4?:3y]7`e<5=3o6?l>;|a03`=8391=7=tH55`?!22938>h6g>1983>>o6900;66a>d31m0;6>4?:1y'007=<0:0D97>;I64g>"3100?4k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121v6g9~w47>2909wS?>9:?7=a<6>l1v>kl:181[5bk27?5i4=b09~yg21m3:1?7?53zJ73f=#<<;1>8j4i03;>5<5<2<6F;909K02e<,=32696i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'01983>7}Y9820197k:04e?xu6900;6?uQ10;891?c287}Y;li0197k:3`2?x{e:>l1<7=51;1xL11d3->>=7<:d:k25=<722c:=44?::m0af<722h?5i4?:283>5}#<<;184>4H5;2?M20k2.?544;8g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rs03;>5<5sW;:463;9e822c=z{8;26=4={_32=>;31m0::h5rs2g`>5<5sW9no63;9e81f4=zuk853;397~N3?j1/88?524f8m47?2900e5<7s->>=7:60:J7=4=O<>i0(976:5:e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|q25=<72;qU=<64=5;g>40a3ty:=44?:3y]54?<5=3o6<8j;|q0af<72;qU?hm4=5;g>7d63twi>5950;195?5|@==h7)::1;06`>o6910;66g>1883>>i4mj0;66l;9e83>6<729q/88?54828L1?63A>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2578:?7=a<6>o1v6d9~w6cd2909wS=jc:?7=a<5j81vqo<76;297?7=;rB?;n5+443960b5<5<4290;w)::1;6:4>N3181C8:m4$5;:>1>a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xu6910;6?uQ10:891?c281883>7}Y9830197k:04f?xu4mj0;6?uQ3da891?c2;h:7psm29794?5=939pD99l;%665?42l2c:=54?::k25<<722e8in4?::`7=a<72:0;6=u+44390<6<@=3:7E:8c:&7=<<30o1/>87559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=z{8;36=4={_32<>;31m0::k5rs03:>5<5sW;:563;9e822`=z{:oh6=4={_1fg>;31m09n<5r}c0;0?6=;3;1?vF;7b9'007=:2900c>kl:188f1?c29086=4?{%665?2>82B?5<5G46a8 1?>2=2m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=<5=3o6<8i;|q25<<72;qU=<74=5;g>40b3ty8in4?:3y]7`e<5=3o6?l>;|a6=5=8391=7=tH55`?!22938>h6g>1983>>o6900;66a>d31m0;6>4?:1y'007=<0:0D97>;I64g>"3100?4k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121v6g9~w47>2909wS?>9:?7=a<6>l1v>kl:181[5bk27?5i4=b09~yg4?:3:1?7?53zJ73f=#<<;1>8j4i03;>5<5<2<6F;909K02e<,=32696i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'01983>7}Y9820197k:04e?xu6900;6?uQ10;891?c287}Y;li0197k:3`2?x{e:1;1<7=51;1xL11d3->>=7<:d:k25=<722c:=44?::m0af<722h?5i4?:283>5}#<<;184>4H5;2?M20k2.?544;8g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rs03;>5<5sW;:463;9e822c=z{8;26=4={_32=>;31m0::h5rs2g`>5<5sW9no63;9e81f4=zuk83<7>53;397~N3?j1/88?524f8m47?2900e5<7s->>=7:60:J7=4=O<>i0(976:5:e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|q25=<72;qU=<64=5;g>40a3ty:=44?:3y]54?<5=3o6<8j;|q0af<72;qU?hm4=5;g>7d63twi>lo50;195?5|@==h7)::1;06`>o6910;66g>1883>>i4mj0;66l;9e83>6<729q/88?54828L1?63A>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2578:?7=a<6>o1v6d9~w6cd2909wS=jc:?7=a<5j81vqo77:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg?>29086=4?{%665?273A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj88?6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c311?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg2013:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=;87>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`740<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi8=850;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm11g94?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj8:m6=4=:183!2293;0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c324?6=;3:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg25n3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl;3183>7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e<:;1<7=50;2x 1362;h=7E:61:J73f=#<031>o;4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo?>2;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd50h0;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`1=5<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd51;0;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`1==<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xd51h0;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'07<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e5$5;:>7d>3A>2465rb54g>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->25721i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo:99;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c650?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th?:84?:283>5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'07<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e5$5;:>7d>3A>2465rb542>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj==?6=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn<>?:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg7793:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo??5;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd68?0;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qomm:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rbb:94?5=83:p(9;>:528L1?63A>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:ag4<72:0;6=u+443905=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898k7d6290/84752c;8?xdem3:1?7>50z&714<382B?5<5G46a8 1?>2:l0(?;6:4::?l71m3:1(976:05:?>o6>o0;6):69;34=>=h:k;1<7*;9881f<=0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c`7>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->257t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vnn;50;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c061?6=:3:1d3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b89K0<><3th99;4?:283>5}#<<;18=5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'01<729q/88?5409K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;n0a5?6=,=326?l6;:a00>=8391<7>t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e<<31<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb57b>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257>n7>53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo::c;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c05g?6=13:1N3181C8:m4$5;:>6`<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9j526=83.?544>7898m416290/847516;8?l70:3:1(976:05:?>o6?:0;6):69;34=>=n9>>1<7*;98823<=6=4+48;952?<3f8i=7>5$5;:>7d>32wi>;j50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm27g94?4=83:p(9;>:05`?M2>92B?;n5+48;95<#<031=:74;n0a5?6=,=326?l6;I6:<>=zj;;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn?9?:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb352>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2571<7>t$572>7d03A>2=6F;7b9'0o6>o0;6):69;34=>=n9>:1<7*;98823<=5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn?;>:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rb371>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=5}#<<;18<5G4838L11d3->257=i;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010e<9?:18'0307b2;h276sm25a94?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg25?3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl;2983>7<729q/88?516a8L1?63A>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74H5;;?>{e<;31<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb50b>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->2579n7>52;294~"3=80:;n5G4838L11d3->2576l;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j01C8464;|`76f<72<0;6=u+443907=O<0;0D99l;%6:=?5a3-8>57;79:k22`<72->257?89:9j53`=83.?544>7898m417290/847516;8?l7093:1(976:05:?>i5j80;6):69;0a=>=zj=8o6=4<:183!22938i:6F;909K02e<,=326?l:;%06=?3?12c::h4?:%6:=?70121b=;h50;&7=<<6?010c?l>:18'06<729q/88?5419K0<7<@==h7):69;1e?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454o3`2>5<#<031>o74;|`770<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi8>850;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f15029086=4?{%665?273A>2=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>i5j80;6):69;0a=>=zj=936=4<:183!2293>;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn9=6:187>5<7s->>=7i0(976:3`6?!4213?356g>6d83>!2>13;<565f17d94?"3100:;454i053>5<#<031=:74;n0a5?6=,=326?l6;:a7c4=8391<7>t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e;o91<7<50;2x 13628=h7E:61:J73f=#<0314n5+24;91=?5$5;:>7d>3A>2465rb2d7>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->25753;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qo=i6;297?6=8r.?9<4=b79K0<7<@==h7):69;0a1>"5=00>445f17g94?"3100:;454i04e>5<#<031=:74;n0a5?6=,=326?l6;:a7cb=8381<7>t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vn>hj:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg5an3:1>7>50z&714<6?j1C84?4H55`?!2>132h7)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=O<0207pl;0183>6<729q/88?52c48L1?63A>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->2572=6F;7b9'087559;8m40b290/847516;8?l71n3:1(976:05:?>o6?90;6):69;34=>=h:k;1<7*;9881f<=0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e12B?5554}c5g>5<5290;w)::1;34g>N3181C8:m4$5;:>=e<,;?26866;h35`?6=,=326<96;:m1f4<72->257;7E:61:J73f=#<031?k5+24;91=?5$5;:>41>32e9n<4?:%6:=?4e121vn:h50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276sm8183>6<729q/88?52c48L1?63A>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->257t$572>16<@=3:7E:8c:&7=<<4n2.9944:889j53c=83.?544>7898m40a290/847516;8?j4e93:1(976:3`:?>{e;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi8i850;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f1b029096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo:k8;297?6=8r.?9<4;0:J7=4=O<>i0(976:2d8 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c6g=?6=;3:17898m40a290/847516;8?j4e93:1(976:3`:?>{e;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi8il50;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c1e7898m40a290/847516;8?j4e93:1(976:3`:?>{e;o31<7=50;2x 1362=:0D97>;I64g>"31008j6*=5886<<=n9?o1<7*;98823<=5$5;:>7d>32wi?ko50;194?6|,=?:6?l9;I6:5>N3?j1/84752c78 73>2<227d?9e;29 1?>28=276g>6g83>!2>13;<565`2c394?"31009n454}c`5>5<4290;w)::1;63?M2>92B?;n5+48;97c=#:<319574i04f>5<#<031=:74;h35b?6=,=326<96;:m1f4<72->257t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vno650;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~fg?=8381<7>t$572>41d3A>2=6F;7b9'087559;8m40c290/847516;8?j4e93:1(976:3`:?M2>021vnoo50;194?6|,=?:69>4H5;2?M20k2.?544307b2;h276smbc83>1<729q/88?52c58L1?63A>5<#<031=:74;h35b?6=,=326<96;:k235<72->257?89:9l6g7=83.?544=b898ygc529096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qok<:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998ygc329086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qok9:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rbd594?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898ygc>29096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qokn:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998ygce29086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qokk:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rbdg94?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg`729096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qoh>:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg`529086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qoh;:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rbg794?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yg`029096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qoh7:181>5<7s->>=7?8c:J7=4=O<>i0(976:9a8 73>2<227d?9d;29 1?>28=276a=b083>!2>138i56F;9998yg`>29086=4?{%665?4e>2B?5<5G46a8 1?>2;h>7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=53;294~"3=80?<6F;909K02e<,=326>h4$37:>0>>3`;=i7>5$5;:>41>32c::k4?:%6:=?70121d>o?50;&7=<<5j010qohm:180>5<7s->>=7:?;I6:5>N3?j1/84753g9'60?==130e<8j:18'0307d?9f;29 1?>28=276a=b083>!2>138i565rbga94?5=83:p(9;>:3`5?M2>92B?;n5+48;96g3<,;?26866;h35a?6=,=326<96;:k22c<72->257?89:9l6g7=83.?544=b898yv1f2908wS9n;<5b>66b34=n6<8j;|q4f?6=:r73:?;5?4e92wx;n4?:3y>3f<5j816;h4>6g9~w2b=838p1:j52c3892`=9?l0q~9j:18181b2;h:706?:04f?xu0n3:1>v38f;0a5>;?83;=j6s|8183>7}:0909n<5280822c=z{181<78t^908915728;4n;0::h523gf953b<5:l26<8j;|q:2?6=:rT2:636e;327>{t1>0;69u29881f4=:99o1=;j4=c4953c<5k=1=;j4}r;;>5<5s4336?l>;<;:>40a3ty2o7>53z?:b?76;272i7=?e:?:=c<48l16544>6d9~wd7=8383wSo>;=`<5j314k52c78;b>;en32m70ll:9d89g7=0o16?kl58g9>03g=0o168;858g9>034=0o1688j58g9>7c6=0o168><58g9>g7=`<5=n965h4=461>=`<5>k14k5251;9814k522619<14k522659214k5226;9k14k5226`9i14k5226f9>14k52267947434h?6?l>;|qa7?6=:r7i?7f4d6<5j<1m=52c38b4>;en3k;70ll:`289gd=:k;0q~l9:1818d12;h:70lm:04e?xue?3:1>v3m7;0a5>;ei3;=i6s|b983>7}:j109n<52b`822c=z{k31<74}r`b>5<5s4hj6?l>;<`a>40b3tyjn7>54z?ag?57m27o<7?>8:?f0?71m27n97?9e:pfa<72;q6nn4>129>f`<5j81vlm50;1x9g`=;9o01i=510:89`b=9?o0q~m?:1818da28;870m>:3`2?xufl3:18v3l2;13a>;c>3;:463;26822a=:n=0::h5rsb194?4|5j81=<=4=b796g77d634i>6<8i;|qba?6=54>6e9>bg<6>l1vn950;0x9f0=98901n652c38yvga290>w0m6:22f?8bd28;370??1;35a>;68:0::h5243;953b47434ii6?l>;|qa4?6=;r7ho7=?e:?gb?76027?>l4>6e9~wfb=838p1nm510189fc=:k;0q~j>:1818b72:oh70mi:03;?xuc<3:1>v3k3;1fg>;c:3;:46s|d683>7}:l?08in52d4825==z{mk1<75<5s4nh6>kl;47?3tyn<7>52z?gb?5bk27oi7?>8:pa4<72=q6h?4>189>a2<5j816io4>6d9>af<6>l1vh<50;0x9`4=:k;01h:517d8yvc42909w0k<:3`2?8c228{tm<0;6?u2e481f4=:m>0::k5rsd494?4|5l<1>o?4=d5953c47>34on6?l>;40b34l86<8j;|qf=?6=:r7n57ad<5j816in4>6g9~w`d=838p1hl52c389`b=9?l0q~kl:1818cd2;h:70kj:04e?xubl3:1>v3jd;0a5>;bm3;=i6s|eg83>1}:l10:=452f481f4=:n00::h52f`822`=z{o:1<75<5s4l:6?l>;40a3tym>7>52z?e6?4e927m87?9f:pb6<72;q6j>4=b09>b0<6>o1vk:50;0x9c2=:k;01k;517g8yv`1290?w0jm:03:?877;3;=j63>07822`=:nj09n<5rsg594?4|5o=1>o?4=g;953`7d634lj6<8i;|qe=?6=:r7m57bd<5j816jn4>6g9~wcd=838p1kl52c389ce=9?o0q~hk:1818bb28;270hj:3`2?xuan3:1>v3>0081f4=:nl0:;=5rs023>5<5s4;;<7o1v<>=:181877;38i=63ie;35a>{t99>1<77d634ln6<8i;|q240<72;q6==;52c389461280683>7}:ko08in52bd822c=z{8:36=4={6cd34i:6<8i;|q24<<72;q6h84g0<6>l1v<>n:1818b?2:oh70m7:04e?xu68k0;6?u2dc80af=:kk0::k5rs02`>5<5s4nn6>kl;40a3ty:546=:k;0104822a=:m;0::i52e2822a=:m00::i52e`822a=:n90::i52f0822a=:n>0::i52f9822a=z{8:n6=4={<33a?4e927:==4>6d9~w46a2909w0??f;0a5>;6990::k5rs032>5<0s4n;647>34n=647>34nh647>34;:>73k;70<87;c3?84003k;70<89;c3?840i3k;70<8b;c3?840k3k;70<8d;c3?840<3k;70<85;c3?841i3k;70::6;c3?822l3k;70:9a;c3?821>3k;70:92;c3?875=38i=63;78822c=::=21=;k4}r310?6=:r7:>94=b09>573=9?l0q~?:c;297~;6=o0:=>5214g975c<588?6<8k;|q21a<72;q6=8h531g89442281}::=21>o?4=373>40c348>=7?9e:?10g<6>l1v?:6:184843139;i63=57822`=::=l1=;j4=36`>40b342:6<8j;<6g1?71m27?h;4>6e9~w72f2909w0<;9;327>;55<5s48?n7o1v?:k:184843l39;i63=54822a=::<81=;k4=36a>41734=h6<8k;<5e>40b34>om7?9e:p61c=838p1?:k:030?842;38i=6s|25d94?4|5;>m6?l>;<065?71n2wx>8>50;0x97372;h:70<:2;35b>{t:<;1<77d6348>?7?9e:p604=838p1?;=:3`2?842;3;=j6s|24694?4|5;?>6?l>;<062?71n2wx>8950;0x902528;870<:6;0a5>{t:?k1<766b348?47?80:p63d=838p1?8n:030?840938i=6s|27a94?4|5;;<045?71m2wx>;j50;0x970c2;h:70<80;35a>{t:?o1<77d6348=j7?9f:p63`=838p1?8i:3`2?84083;=j6s|26294?4|5;=;6?l>;<045?71n2wx>8k50;4x97152::n70;50h0::k5229:953b<5;;?50;6x97142::n70;50h0::h5227a953cj7>54z?131<48l16>:k510;897?d28{t:?:1<7=t=356>66b3489:?12c<6>l1v?8=:187840>39;i63=81825<=::1i1=;k4=34`>40a3ty9:>4?:5y>621=;9o01?6>:03:?84?m3;=i63=6b8234=z{;189>6<6=9?o01?8l:053?xu5><0;69u226;975c<5;286739~w701290?w0<8a;13a>;50=0:=452286953c<5;:l531g897>228;270<66;35a>;5>j0:;>5rs34;>5<3s4846517g8970d28=>7p}=6883>1}::>n1?=k4=3:4>47>3482m7?9e:?12a<6>o1v?66:18084?83;:463=8`81f4=::1i1=;h4}r0;f?6=;r794<4>199>6=e=:k;01?6j:04e?xu50m0;6>u2290954><5;2n6?l>;<0:4?71n2wx>5h50;1x97>428;370<60;0a5>;51;0::k5rs3;2>5<4s48387?>8:?1=7<5j816>4:517d8yv4>;3:1?v3=84825==::0>1>o?4=3;5>40a3ty9584?:2y>6=0=98201?79:3`2?84>03;=j6s|28594?5|5;2<66g9~w7?>2908w0<8e;32<>;51h09n<5228a953`52z?13c<69116>4m52c38yv4>l3:1>v3=738256=::121>o?4}r0:a?6=:r79;94>129>62c=;li0q~<6f;296~;5?<0:=>5226d97`e52z?133<69:16>5>53da8yv4f93:1>v3=768256=::1;1?hm4}r0b6?6=:r79;54>129>6=4=;li0q~5229197`e52z?13d<69:16>5:53da8yv4f=3:1>v3=7c8256=::1?1?hm4}r0b2?6=:r79;n4>129>6=0=;li0q~5229597`e51dy>0<4=9?h01nm5a39>g<d4<5ki1m?52b08b6>;4nk0j>63;6`8b6>;3>?0j>63;638b6>;3=m0j>63;3;;0j>63l2;c1?840:3k970<83;c1?840>3k970<87;c1?84003k970<89;c1?840i3k970<8b;c1?840k3k970<8d;c1?840<3k970<85;c1?841i3k970::6;c1?825=3k97p}1}:;o:1?=k4=527>40c34>8:7?9d:?772<6>l1v>h>:18185a83;:?636d9~w6`42909w0=i3;0a5>;4n<0::h5rs2d7>5<5s49m87o1v>h::18185a=38i=638>7o?;<1ef?g7349mm7=838p1>h7:3`2?85ai3;=i6s|3g;94?4|5:l26?l>;<1ee?71n2wx?hh50;6x96`e2::n70:?5;35`>;3;<0::i5242:953c52z?0bg<69:168=?52c38yv5al3:1>v3056=9?l0q~=if;296~;4no09n<524139526;<7>52z?745<5j8168=?517g8yv27:3:1?v3=728256=::hk1?hm4=522>40a3ty?<>4?:5y>050=:k;01>h<:04g?85an3;=h636d9~w1622909w0:?5;0a5>;38?0::k5rs53;>5<2sW>:463;35822c=:;o81=;h4=2df>40c349m57?9f:p073=839pR9<:;<611?57m27?>k4>6e9~w1412909w0:=5;327>;3:m09n<5rs504>5<5s4>9;7l1v9<7:181825038i=63;2b822c=z{=826=4={<61=?4e927?>n4>719~w14f2909w0:=a;0a5>;3:j0:;<5rs50a>5<5s4>9n7o1v98=7;<605?71n2wx8><50;cx91552::n70mj:04f?8ee28{t<:91<747434>8576?l>;<603?71n2wx8>850;0x91512;h:70:<8;35b>{t<:=1<77d634>857?9e:p06>=838p19=7:3`2?82413;<<6s|44494?5|5=?=6>>j;<64=?71m279854>6g9~w1302909w0::6;327>;3=j09n<5rs57;>5<5s4>>47l1v9;6:181822138i=63;5c822`=z{=?j6=4={<66e?4e927?9o4>6g9~w13e2909w0::b;0a5>;3=j0::k5rs571>5<2s4>>h7=?e:?72`<691168;>517f8911528{t<47434>==7;<655?71n2wx88=50;6x91052::n70:9e;32=>;3?;0::h5244;953b=?7>52z?727<69:168;;52c38yv21<3:1>v3;6581f4=:03`=9830199;:04f?822i3;=h6s|47594?4|5=<=6{t<129>03b=:k;0q~:9c;296~;3>j09n<5247f953`<=7>53z?72c<691168:<52c389113287}:<>:1=<64=557>7d63ty?;84?:3y>03c=;li0198::04f?xu3??0;6?u247d97`e<5=<26<8j;|q732<72;q68:>53da8910c283}:<>31>o?4=54`>40c34>=47?9d:?721<6>m1688h517f896`?281}Y<1k01<>i:04g?8d128;3lk0::h5rs5f5>5<5s4>o:7l1v9j8:18182c?38i=63;d9822c=z{=n36=4={<6g6g9~w1b>2909w0:k9;0a5>;3lh0::k5rs5fb>5<5s4>om7o1v8>8:181[37?27><44>129~w06>2908w0;?9;13a>;57>52z\607=:==81?=k4}|`7ef<72;0;6=u+443952e<@=3:7E:8c:&7=<7898k7d6290/84752c;8L1??32wi8n=50;094?6|,=?:6<9l;I6:5>N3?j1/84758b9'60?==130e<8k:18'0307b2;h27E:68:9~f1g?29096=4?{%665?70k2B?5<5G46a8 1?>21i0(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>N31110qo:n9;296?6=8r.?9<4>7b9K0<7<@==h7):69;:`?!4213?356g>6e83>!2>13;<565`2c394?"31009n45G48:8?xd3ih0;6>4?:1y'007=<91C84?4H55`?!2>139m7)<:9;7;=>o6>l0;6):69;34=>=n9?l1<7*;98823<=8<4?:383>5}#<<;1=:m4H5;2?M20k2.?5447c:&11<<2001b=;j50;&7=<<6?010c?l>:18'0t$572>41?3A>2=6F;7b9'041>3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b898yg33m3:1>7>50z&714<6?11C84?4H55`?!2>132h7E?69:&15c<6?01/>87559;8m40c290/847516;8?j4e93:1(976:3`:?>{eo6>m0;6):69;34=>=h:k;1<7*;9881f<=m7>52;294~"3=80:;55G4838L11d3->2576l;I3:=>"59o0:;45+24;91=?5$5;:>7d>32wi=;?50;094?6|,=?:6<97;I6:5>N3?j1/84758b9K5o?50;&7=<<5j010qo:jc;296?6=8r.?9<4>799K0<7<@==h7):69;:`?M7>12.9=k4>789'60?==130e<8k:18'0307b2;h276sm51:94?4=83:p(9;>:05;?M2>92B?;n5+48;96e83>!2>13;<565`2c394?"31009n454}c74`?6=:3:1d3A;256*=1g823<=#:<319574i04g>5<#<031=:74;n0a5?6=,=326?l6;:a=d<72;0;6=u+443952><@=3:7E:8c:&7=<257t$572>41?3A>2=6F;7b9'041>3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b898yg37k3:1>7>50z&714<6?11C84?4H55`?!2>132h7E?69:&15c<6?01/>87559;8m40c290/847516;8?j4e93:1(976:3`:?>{e=1>1<7<50;2x 13628=37E:61:J73f=#<0314n5G18;8 77a28=27)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;55G4838L11d3->2576l;I3:=>"59o0:;45+24;91=?5$5;:>7d>32wi:k4?:383>5}#<<;1=:64H5;2?M20k2.?5447c:J2=<=#:8l1=:74$37:>0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e121vn;k50;094?6|,=?:6<97;I6:5>N3?j1/84758b9K5o?50;&7=<<5j010qo8l:181>5<7s->>=7?88:J7=4=O<>i0(976:9a8L4?>3-8:j7?89:&11<<2001b=;j50;&7=<<6?010c?l>:18'0799K0<7<@==h7):69;:`?M7>12.9=k4>789'60?==130e<8k:18'0307b2;h276sm6`83>7<729q/88?516:8L1?63A>N6101/>2<227d?9d;29 1?>28=276a=b083>!2>138i565rb7;94?4=83:p(9;>:05;?M2>92B?;n5+48;96e83>!2>13;<565`2c394?"31009n454}c4;>5<5290;w)::1;34<>N3181C8:m4$5;:>=e<@8327)<>f;34=>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74;|`53?6=:3:1d3A;256*=1g823<=#:<319574i04g>5<#<031=:74;n0a5?6=,=326?l6;:a23<72;0;6=u+443952><@=3:7E:8c:&7=<257t$572>41?3A>2=6F;7b9'041>3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b898yg0329096=4?{%665?7002B?5<5G46a8 1?>21i0D<76;%02b?7012.9944:889j53b=83.?544>7898k7d6290/84752c;8?xd1;3:1>7>50z&714<6?11C84?4H55`?!2>132h7E?69:&15c<6?01/>87559;8m40c290/847516;8?j4e93:1(976:3`:?>{e?00;6?4?:1y'007=9>20D97>;I64g>"31003o6F>989'64`=9>30(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>=zj>21<7<50;2x 13628=37E:61:J73f=#<0314n5G18;8 77a28=27)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=80:;55G4838L11d3->2576l;I3:=>"59o0:;45+24;91=?5$5;:>7d>32wi;84?:383>5}#<<;1=:64H5;2?M20k2.?5447c:J2=<=#:8l1=:74$37:>0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e121vn::50;094?6|,=?:6<97;I6:5>N3?j1/84758b9K5o?50;&7=<<5j010qo9<:181>5<7s->>=7?88:J7=4=O<>i0(976:9a8L4?>3-8:j7?89:&11<<2001b=;j50;&7=<<6?010c?l>:18'0799K0<7<@==h7):69;:`?M7>12.9=k4>789'60?==130e<8k:18'0307b2;h276sm6e83>7<729q/88?516:8L1?63A>N6101/>2<227d?9d;29 1?>28=276a=b083>!2>138i565rb41e>5<32;0?w)::1;3:<>N3181C8:m4$37:>0>>3`;=h7>5;h316?6=3f8i=7>5;n34a?6=3k;<47>52;294~"3=80:;55+48;96e83>!2>13;<565`2c394?"31009n454}c0a7?6=;3;1?v*;5081f6=O9030(??i:3`:?!4213?356g>6e83>>o6:;0;66a=b083>>d31<0;6>4?:1y'0307d:2h=07b2;h27E:m1v<<=:181[75:27?584;3`9~w7d62909wS7d9>52>=9?n01?l<:3`2?xu6>m0;6?uQ17f897d4282383>7}Y9;801?l<:001?x{eo6>m0;6):69;34=>=h:k;1<7*;9881f<=52;294~"3=809n?5G4838L11d3->2576l;I3:=>"59o09n45+24;91=?5$5;:>7d>32wi99650;094?6|,=?:6?l=;I6:5>N3?j1/84758b9K5o?50;&7=<<5j010qo7::181>5<7s->>=7i0(976:9a8L4?>3-8:j7:18'07<729q/88?52c08L1?63A>N6101/>2<227d?9d;29 1?>28=276a=b083>!2>138i565rb410>5<5290;w)::1;0a6>N3181C8:m4$5;:>=e<@8327)<>f;0a=>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74;|`7`a<72;0;6=u+44396g4<@=3:7E:8c:&7=<25721i0D<76;%02b?4e12.9944:889j53b=83.?544>7898k7d6290/84752c;8?xd3j;0;6>4>:2y'007=:k90D97>;I64g>N6101/>2<227d?9d;29?l75:3:17b=3:1?7>50z&7=<<3;k1b=;j50;&7=<<6?010e9=n:18'010c?l>:18'0297:;<6:1?4e92wvn9m;:181>5<7s->>=7i0(976:9a8L4?>3-8:j7:18'07<729q/88?52c08L1?63A>N6101/>2<227d?9d;29 1?>28=276a=b083>!2>138i565rb473>5<42808w)::1;0a7>N3181C8:m4H0;:?!46n38i56*=5886<<=n9?n1<75f13094?=h:k;1<75m48794?5=83:p(976:51a?l71l3:1(976:05:?>o3;h0;6):69;c4?>i5j80;6):69;0a=>N3;j10q~?9d;296~X6>m1684;517f8yv75:3:1>vP>239>0<3=<:k0q~;I64g>"31003o6F>989'64`=:k30(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>=zj=k=6=4=:183!22938i>6F;909K02e<,=3265m4H0;:?!46n38i56*=5886<<=n9?n1<7*;98823<=5}#<<;1>o<4H5;2?M20k2.?5447c:J2=<=#:8l1>o74$37:>0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e121vn8:::181>5<7s->>=7i0(976:9a8L4?>3-8:j7:18'07<729q/88?52c08L1?63A>N6101/>2<227d?9d;29 1?>28=276a=b083>!2>138i565rb42f>5<42808w)::1;0a7>N3181C8:m4H0;:?!46n38i56*=5886<<=n9?n1<75f13094?=h:k;1<75m48794?5=83:p(976:51a?l71l3:1(976:05:?>o3;h0;6):69;c4?>i5j80;6):69;0a=>N3;j10q~?9d;296~X6>m1684;517f8yv75:3:1>vP>239>0<3=<:k0q~?0;6>4>:2y'007=:k90D97>;I64g>N6101/>2<227d?9d;29?l75:3:17b=3:1?7>50z&7=<<3;k1b=;j50;&7=<<6?010e9=n:18'010c?l>:18'0297:;<6:1?4e92wvn9h6:181>5<7s->>=7i0(976:9a8L4?>3-8:j7:18'07<729q/88?52c08L1?63A>N6101/>2<227d?9d;29 1?>28=276a=b083>!2>138i565rb5a4>5<5290;w)::1;0a6>N3181C8:m4$5;:>=e<@8327)<>f;0a=>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74;|`011<72;0;6=u+44396g4<@=3:7E:8c:&7=<25721i0D<76;%02b?4e12.9944:889j53b=83.?544>7898k7d6290/84752c;8?xd4090;6?4?:1y'007=:k80D97>;I64g>"31003o6F>989'64`=:k30(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>=zj:=j6=4=:183!22938i>6F;909K02e<,=3265m4H0;:?!46n38i56*=5886<<=n9?n1<7*;98823<=5}#<<;1>o<4H5;2?M20k2.?5447c:J2=<=#:8l1>o74$37:>0>>3`;=h7>5$5;:>41>32e9n<4?:%6:=?4e121vn>8j:181>5<7s->>=7i0(976:9a8L4?>3-8:j7:18'07<729q/88?52c08L1?63A>N6101/>2<227d?9d;29 1?>28=276a=b083>!2>138i565rb241>5<5290;w)::1;0a6>N3181C8:m4$5;:>=e<@8327)<>f;0a=>"5=00>445f17f94?"3100:;454o3`2>5<#<031>o74;|`024<72;0;6=u+44396g4<@=3:7E:8c:&7=<25721i0D<76;%02b?4e12.9944:889j53b=83.?544>7898k7d6290/84752c;8?xd4=<0;6?4?:1y'007=:k80D97>;I64g>"31003o6F>989'64`=:k30(?;6:4::?l71l3:1(976:05:?>i5j80;6):69;0a=>=zj:>36=4=:183!22938i>6F;909K02e<,=3265m4H0;:?!46n38i56*=5886<<=n9?n1<7*;98823<=51;294~"31008<45G46a8L41f3-8:j7?89:&11g<5n11b?=750;&7=<<48010qo:l:182>5<7s->257=?9:J73f=O9>k0(??i:05:?!42j39;<6g<0883>!2>139;565rb5f94?7=83:p(976:22:?M20k2B:;l5+20d952?<,;?i6?lj;h13=?6=,=326>>6;:a0`<7280;6=u+48;975?<@==h7E?8a:&15c<6?01/>8l52cd8m66>290/847531;8?xd3n3:1=7>50z&7=<<4801C8:m4H05b?!46n3;<56*=5c81g5=n;931<7*;98804<=:183!2>139;56F;7b9K52g<,;;m6<96;%06f?4d92c8<44?:%6:=?57121vn8?50;394?6|,=326>>6;I64g>N6?h1/>2::276sm5383>4<729q/847531;8L11d3A;n=4i22:>5<#<031?=74;|`67?6=93:1i0D<9n;%02b?7012.99o4=c59j75?=83.?544<0898yg33290:6=4?{%6:=?5712B?;n5G16c8 77a28=27)<:b;0`1>o4800;6):69;13=>=zj2::27E:8c:J23d=#:8l1=:74$37a>6623`9;57>5$5;:>66>32wi9;4?:083>5}#<031?=74H55`?M70i2.9=k4>789'60d=:j<0e>>6:18'0f;34=>"5=k09o:5f31;94?"31008<454}c7;>5<6290;w):69;13=>N3?j1C=:o4$33e>41>3-8>n7257=?9:9~f0g=83;1<7>t$5;:>66>3A>7`9'64`=9>30(?;m:3a`?l5713:1(976:22:?>{e=k0;6<4?:1y'0"59o0:;45+24`9750o7>51;294~"31008<45G46a8L41f3-8:j7?89:&11g<48>1b?=750;&7=<<48010qo;k:182>5<7s->257=?9:J73f=O9>k0(??i:05:?!42j39;46g<0883>!2>139;565rb4g94?7=83:p(976:22:?M20k2B:;l5+20d952?<,;?i6?m7;h13=?6=,=326>>6;:a1c<7280;6=u+48;975?<@==h7E?8a:&15c<6?01/>8l52e38m66>290/847531;8?xd183:1=7>50z&7=<<4801C8:m4H05b?!46n3;<56*=5c81`<=n;931<7*;98804<=:183!2>139;56F;7b9K52g<,;;m6<96;%06f?4b?2c8<44?:%6:=?57121vn;<50;394?6|,=326>>6;I64g>N6?h1/>2::276sm9183>4<729q/847531;8L11d3A;no4i22:>5<#<031?=74;|`:0?6=93:1i0D<9n;%02b?4e12.99o4=e49l75?=83.?544<0898yg?1290:6=4?{%6:=?5712B?;n5G16c8 77a28=27)<:b;0`f>o4800;6):69;13=>=zj8896=4>:183!2>139;56F;7b9K52g<,;;m6<96;%06f?4dl2c8<44?:%6:=?57121vn<8?:182>5<7s->257=?9:J73f=O9>k0(??i:05:?!42j38hi6g<0883>!2>139;565rb22e>5<6290;w):69;13=>N3?j1C=:o4$33e>7d>3-8>n7257=?9:9~f677290:6=4?{%6:=?5712B?;n5G16c8 77a2;h27)<:b;0f7>i4800;6):69;13=>=zj:;96=4>:183!2>139;56F;7b9K52g<,;;m6?l6;%06f?4bi2e8<44?:%6:=?57121vn>?<:182>5<7s->257=?9:J73f=O9>k0(??i:3`:?!42j38oj6a<0883>!2>139;565rb237>5<6290;w):69;13=>N3?j1C=:o4$33e>7d>3-8>n7257=?9:9~f672290:6=4?{%6:=?5712B?;n5G16c8 77a2;h27)<:b;0gf>i4800;6):69;13=>=zj:;j6=4>:183!2>139;56F;7b9K52g<,;;m6?l6;%06f?4ci2e8<44?:%6:=?57121vn>?m:182>5<7s->257=?9:J73f=O9>k0(??i:3`:?!42j38oo6a<0883>!2>139;565rb23`>5<6290;w):69;13=>N3?j1C=:o4$33e>7d>3-8>n7257=?9:9~f67c290:6=4?{%6:=?5712B?;n5G16c8 77a2;h27)<:b;0f4>i4800;6):69;13=>=zj:;n6=4>:183!2>139;56F;7b9K52g<,;;m6?l6;%06f?4b:2e8<44?:%6:=?57121vn>?i:182>5<7s->257=?9:J73f=O9>k0(??i:3`:?!42j38n86a<0883>!2>139;565rb5c6>5<6290;w):69;13=>N3?j1C=:o4$33e>7d>3-8>n7257=?9:9~f1ge290:6=4?{%6:=?5712B?;n5G16c8 77a2;h27)<:b;0ef>i4800;6):69;13=>=zj=km6=4>:183!2>139;56F;7b9K52g<,;;m6<96;%06f?4c;2c8<44?:%6:=?57121vn9l>:182>5<7s->257=?9:J73f=O9>k0(??i:3`:?!42j38mm6a<0883>!2>139;56F;3b98yg2d:3:1=7>50z&7=<<4801C8:m4H05b?!46n38i56*=5c81b`=h;931<7*;98804<=h:7>51;294~"31008<45G46a8L41f3-8:j7f;34=>"5=k09j95f31;94?"31008<454}c6g6?6=93:1i0D<9n;%02b?4e12.99o4=f09l75?=83.?544<0898yg2ck3:1=7>50z&7=<<4801C8:m4H05b?!46n38i56*=5c81`2=h;931<7*;98804<=n57>51;294~"31008<45G46a8L41f3-8:j7f;34=>"5=k09j;5f31;94?"31008<454}c6e7?6=93:1i0D<9n;%02b?4e12.99o4=fe9l75?=83.?544<0898yg2a?3:1=7>50z&7=<<4801C8:m4H05b?!46n38i56*=5c8044=h;931<7*;98804<=51;294~"31008<45G46a8L41f3-8:j7f;34=>"5=k08<>5f31;94?"31008<454}c73f?6=93:1i0D<9n;%02b?7012.99o4=d39j75?=83.?544<0898yg37l3:1=7>50z&7=<<4801C8:m4H05b?!46n38i56*=5c81b2=h;931<7*;98804<=O<:i07pl:2383>4<729q/847531;8L11d3A;i64o22:>5<#<031?=74;|`677<7280;6=u+48;975?<@==h7E?8a:&15c<5j01/>8l52da8k66>290/847531;8?xd2;=0;6<4?:1y'0"59o09n45+24`96`b?;4?:083>5}#<031?=74H55`?M70i2.9=k4=b89'60d=:lh0c>>6:18'04<729q/847531;8L11d3A;51;294~"31008<45G46a8L41f3-8:j7f;0a=>"5=k09h85`31;94?"31008<454}c77`?6=93:1i0D<9n;%02b?7012.99o4=d59j75?=83.?544<0898yg33n3:1=7>50z&7=<<4801C8:m4H05b?!46n38i56*=5c81b<=h;931<7*;98804<=O<:i07pl:6483>4<729q/847531;8L11d3A;k=4o22:>5<#<031?=74H51`?>{e=>k1<7?50;2x 1?>2::27E:8c:J23d=#:8l1>o74$37a>6633f9;57>5$5;:>66>32wi9:m50;394?6|,=326>>6;I64g>N6?h1/>7d=?9;29 1?>2::276sm59194?7=83:p(976:22:?M20k2B:;l5+20d952?<,;?i6?j?;h13=?6=,=326>>6;:a=7<7280;6=u+48;975?<@==h7E?8a:&73d<3;m1/>2::276sm9283>7<729q/84758b9'007=9>20(??i:05:?!20i3>8h6*<87877a=O<>i0D<76;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j010qo:k0;295?6=8r.?544<089K02e<@8=j7):8a;60`>"59o0:;45+24`96c45}#<0314n5+443952><,;;m6<96;%64e?24l2.84;4;3e9K02e<@8327)<:9;7;=>o6>m0;6):69;34=>=h:k;1<7*;9881f<=;o7>51;294~"31008<45G46a8L41f3->8l52dg8m66>290/847531;8?xd38m0;6?4?:1y'0"40?0??i5G46a8L4?>3-8>57;79:k22a<72->257?89:9l6g7=83.?544=b898yg2713:1=7>50z&7=<<4801C8:m4H05b?!20i3>8h6*=1g823<=#:

h64i22:>5<#<031?=74;|`74d<72;0;6=u+48;941>3->6e83>!2>13;<565`2c394?"31009n454}c633?6=93:1i0D<9n;%64e?24l2.9=k4>789'60d=:l30e>>6:18'07<729q/84758b9'007=9>20(??i:05:?!20i3>8h6*<87877a=O<>i0D<76;%06=?3?12c::i4?:%6:=?70121d>o?50;&7=<<5j010q~{t;90;6?u24`696`=:5<5s4>j87=l;<6bg?71l2wx8o4?:2y]0g=:>:0::i524c804<=z{=i1<7=t^5a8932=9?n019m531;8yv2c2908wS:k;<46>40c34>o6>>6;|q7a?6=;rT?i6396;35`>;3m39;56s|4g83>6}Y6e9>0c<4801v8>50;1xZ06<5?21=;j4=42975?28:22:?xu2:3:1?vP:2:?5e?71l27>>7=?9:p16<72:qU9>526c822a=:=:08<45rs4694?5|V<>01;m517f8902=;930q~;::180[323466>3ty>:7>53z\62>;1m3;=h63:6;13=>{t=>0;6>uQ569>2c<6>m169:4<089~w0>=839pR864=62953b<5<21?=74}r7b>5<4sW?j709>:04g?83f2::27p}:b;297~X2j27<>7?9d:?6f?5712wx9n4?:2y]1f=:?:0::i525b804<=z{40c34?n6>>6;|q6b?6=;rT>j6386;35`>;2n39;56s|6183>6}Y>916;:4>6e9>25<4801v;?50;1xZ37<5>21=;j4=73975?28;20?0:96393;0a5>{t>=0;6>u24`3953=:=1<1=;526581f4=z{??1<7=t=5c2>41<5<2=6<94=7796g77d63ty=;7>53z?7e4<6127>4;4>9:?53?4e92wx:54?:2y>0d7=9h1695851`9>2=<5j81v;750;1x91g628h01869:0`893?=:k;0q~8n:18082f93;h70;76;3`?80f2;h:7p}9b;297~;3i80:h63:8782`>;1j38i=6s|6b83>6}:j09n<5rs7f94?4|5<2=67d63ty=j7>53z?7e4<5:27>4;4=2:?5b?4e92wx;=4?:3y>0d7=::16;=4=b09~w27=838p19o>:378927=:k;0q~9=:18183?>38=709=:3`2?xu0;3:1>v3:87813>;0;38i=6s|7583>7}:=1<1>5527581f4=z{>?1<77?<5>?1>o?4}r55>5<5s4?3:77d63ty<;7>52z?6<3<5j27<;71=0=:j16;54=b09~w2?=839p19o>:3f890>12;n01:752c38yv1f290;3j;0:>?525429574<5<:n6<<=;<752?75:2wx4?4?:4y>0d7=0;169585839>0d2=0;168l<5839>0d6=0;1v5;50;0x90>121?019o=:9`8yv?72908wS7?;<;2>40c343;6>>6;|q:5?6=:r7?m>460:?:5?4e92wx594?:2y]=1=:1<09n<5295804<=z{0?1<77dd343>6<8k;|q:2?6=;rT2:636a;35`>;>>39;56s|9`83>6}:5<4sW;9>63>5`822a=:9;81?=74}r36e?6=;r7?m>4>239>0d6=9;801<;n:3`2?xu6>90;6>uQ1728940628{t9?;1<78t=5c2>d7<5<2=6l?4=5c7>d7<5=k96<8?;<6b4?g634;==7:055?82f:3;<:6s|16d94?4|5=k?6<9i;<6b7?70n2wx=4=50;0x91g3283870:n3;3:7>{t90k1<74?f34>j87?6a:p5d3=838p19o;:0ce?82f;3;j96s|1c394?4|5=k?6;<6b7?7d=2wx=n850;1x91g628i=70:n4;3`2>;3i;0:o;5rs0a4>5<5s4>j87?l7:?7e7<6k>1ve`9~w7472909w0:n4;014>;3i:09?95rs316>5<5s4>j87<<5:?7e6<5;<1v?ok:18182>:3;=n63:3g822a=z{;kn6=4<{<70b?4e927?m<4:3d9>0d5==:o0q~53z\04c=:;=21>o?4=22e>66>3ty8==4?:2y]746<5:>n6?l>;<124?5712wx?<<50;1xZ675349>87?<:180[56;278984=b09>745=;930q~=>4;297~X49=16?8l52c3896732::27p}<1483>6}Y;8?01>8>:3`2?856=39;56s|30c94?5|V:;j70=92;0a5>;49h08<45rs23a>5<4sW9:n63<6981f4=:;8h1?=74}r12g?6=;rT8=n5237g96g7<5:;h6>>6;|q05a<72:qU?7d6349:h7=?9:p74c=839pR>?j;<14e?4e9278=h4<089~w67a2908wS=>f:?0<5<5j816?v3;a08064=:=1<1???4}r116?6=;r7?m<4<239>1=0=;;8019o=:202?xu4:>0;6?u25949771<5=k96><8;|q00=<72;q6958531d8962?287}:=1<1?<>4=26f>40c3ty8994?:3y>1=0=;8801>;;:04g?xu4=<0;6?u25949745<5:?>6<8k;|q01g<72;q695853068963e287}:=1<1?<;4=242>40c3ty8:?4?:3y>1=0=;8k01>8=:04g?xu4>10;6?u2594974d<5:<36<8k;|q02`<72;q6958530a8960b287}:=1<1?40c3ty8;l4?:3y>1=0=;8o01>9n:04g?xu4090;6?u2594974`<5:2;6<8k;|q0<2<72;q68l?5395890>12:2<7p}<8`83>7}:6>03ty8o;4?:3y>1=0=;j<019o?:2a5?xu3910;6?u24`3904><5=k;69?7;|q760<72;q68l<5437891g72=8>7p};8`83>7}:1>f3ty?m84?:2y]0d3<5=k=6?l>;<6b1?5712wx8l850;0x91gf2;h:70:n6;35`>{t7d634>jm7?9e:p0d>=838p19o6:3`2?82fi3;=j6s|4``94?5|V=ki70:nd;0a5>;3ik08<45rs5cg>5<5s4>jo7m1v9oi:180[2fn27?n=4>6e9>0d`=;930q~:m0;290~;20?0?mh524`690dc<5=k969oj;<6a4?4e92wx8o?50;1xZ1d634>i>7i863;b3822a=z{=i96=4<{_6`6>;3k=09n<524b0975?h87>52z?7g6<5j8168n:517f8yv2d>3:1?vP;c79>0f1=:k;019m9:22:?xu3k>0;6?u24`690f0<5=i<6<8k;|q7g`<72:qU8nk4=5ae>40c34>hi7=?9:p0f`=838p19o<:5a6?82dn38i=6s|4e094?5|V=n970:k3;0a5>;3l;08<45rs5f0>5<5s4>j<7:k2:?7`6<6>m1v9jl:180[2ck27?hi4=b09>0ae=;930q~:kd;296~;20?0?hn524ef953bn57>53z\7a<=:o?4=5g:>66>3ty?il4?:2y>1=0=;3mk08<45rs5g`>5<5s4>j?7:j8:?7af<5j81v9h<:180[2a;27?j94=b09>0c5=;930q~:i4;296~;3i:0?j>524g6953bm;7>53z\7b2=:o?4=5d4>66>3ty?j44?:3y>0d2=uQ512890652;h:70;?0;13=>{t=981<706734?;>7?9d:p151=839pR8>8;<73<:4<089~w06?2909w0:n0;733>;28109n<5rs42a>5<4sW?;n63:0b822a=:=9h1?=74}r73g?6=;r7>4;4:0`9>0d2==9k018>l:3`2?xu28m0;6>uQ51f8906b2;h:70;?d;13=>{t=9l1<706f34?;i7?9d:p174=839pR8<=;<717?4e927>>?4<089~w0442909w0;76;716>;2::0::i5rs411>5<4sW?8>63:3281f4=:=:81?=74}r707?6=:r7>4;4:1b9>165=9?n0q~;<4;297~X2;=169>;52c3890532::27p}:3483>7}:=1<198k4=416>40c3ty>?;4?:2y]160<5<9o6?l>;<702?5712wx9>j50;0x90>12<9=70;{t=:o1<7=t^41f?834n3;;6=4={<775?4e927>?k4>239~w0252909w0:n0;776>;2<80::i5rs460>5<4sW???63:4481f4=:==91?=74}r771?6=:r7>4;4:429>113=9?n0q~;;6;297~X27}:=1<19984=46;>40c3ty>8i4?:2y]11b<5<>n6<8k;<77`?5712wx99k50;1x90>12<>h70:n4;77g>;25<4sW??j63:5181f4=:==l1?=74}r765?6=:r7?m>4:4b9>106=9?n0q~;95;297~X2><169;852c3890022::27p}:6683>7}:40c3ty>;l4?:2y]12g<5<=i6?l>;<74e?5712wx9:l50;0x91g52<=270;8b;35`>{t=>i1<7=t^45`?830l3;=h63:7b804<=z{<=o6=4={<6b7?30127>;i4=b09~w0>42908wS;73:?6<1<6>m1695=531;8yv3?<3:18v3;a086<7=:=1<195<4=5c7>0>534?3875<4sW>o<63;d1804<=:66>34>;m7?9d:p051=839pR9>8;<633?57127?<54>6e9~w<5=83;p14=52c38yv2c93:1=v3;d081f4=z{=:o6=4>{<63`?4e92wx8=o50;3x916f2;h:7p};0983>4}:<921>o?4}|l2g=1=83>pD99l;|l2g=>=83>pD99l;|l2g=?=839pD99l;|l2g=g=83;pD99l;H13=?7|93wQ=;751z06f?{zf8i3n7>51zJ73f=N;931=v?5}[35=?7|:c9a94?7|@==h7D=?9;3x5?{]9?31=v<:b;~j4e?l3:1=vF;7b9J75?=9r;1qW?99;3x60d=utd:o5k50;3xL11d3@9;57?t1;Y53?=9r8>n7srn0a;b?6=9rB?;n5F31;95~7=uS;=57?t24`9yxh6k0:1<7?tH55`?L5713;p=7sU17;95~42j3wvb8l5}|l2g<4=83;pD99l;H13=?7|93wQ=;751z06f?{zf8i2?7>51zJ73f=N;931=v?5}[35=?7|:c8694?7|@==h7D=?9;3x5?{]9?31=v<:b;~j4e>=3:1=vF;7b9J75?=9r;1qW?99;3x60d=utd:o4850;3xL11d3@9;57?t1;Y53?=9r8>n7srn0a:3?6=9rB?;n5F31;95~7=uS;=57?t24`9yxh6k021<7?tH55`?L5713;p=7sU17;95~42j3wvb8l5}|l2g51zJ73f=N;931=v?5}[35=?7|:c8a94?7|@==h7D=?9;3x5?{]9?31=v<:b;~j4e>l3:1=vF;7b9J75?=9r;1qW?99;3x60d=utd:o4k50;3xL11d3@9;57?t1;Y53?=9r8>n7srn0a:b?6=9rB?;n5F31;95~7=uS;=57?t24`9yxh6kh:1<7?tH55`?L5713;p=7sU17;95~42j3wvb8l5}|l2gge=83;nwE:8c:m5fdc290:wE:8c:K04<<6s;0vV<86:0y11g:|X22<<6s;?i6psa1ba3>55<6sA>28q:6pT>688273e2twe=nm;:18;5~N3?j1vb8l5}|l2ga3=83;pD99l;H13=?7|:3wQ=;751z06f?{zf8io:7>51zJ73f=N;931=v<5}[35=?7|:ce594?7|@==h7D=?9;3x6?{]9?31=v<:b;~j4ec03:1=vF;7b9J75?=9r81qW?99;3x60d=utd:oi750;3xL11d3@9;57?t2;Y53?=9r8>n7srn0age?6=9rB?;n5F31;95~4=uS;=57?t24`9yxh6kmh1<7?tH55`?L5713;p>7sU17;95~42j3wvb8l5}|l2gab=83;pD99l;H13=?7|:3wQ=;751z06f?{zf8ioi7>51zJ73f=N;931=v<5}[35=?7|:ced94?7|@==h7D=?9;3x6?{]9?31=v<:b;~j4eb83:1?vF;7b9~j4eb93:18vF;7b9~j4eb:3:19vF;7b9~j4eb;3:1>vF;7b9~j4eb<3:1>vF;7b9~j4eb=3:1?vF;7b9~j4eb>3:1>vF;7b9~j4eb?3:1>vF;7b9~j4eb13:1>vF;7b9~j4ebi3:1>vF;7b9~j4ebj3:1>vF;7b9~j4ebk3:1>vF;7b9~j4ebl3:1>vF;7b9~j4ebm3:1>vF;7b9~j4ebn3:1?vF;7b9~j4ea83:1?vF;7b9~j4ea93:19vF;7b9~j4ea:3:1?vF;7b9~j4ea;3:18vF;7b9~j4ea<3:19vF;7b9~j4ea=3:1=vF;7b9J75?=9r81qW?99;3x60d=utd:ok850;3xL11d3td:ok950;3xL11d3td:ok650;3xL11d3td:ok750;3xL11d3@9;57?t2;Y53?=9r8>n7srn0aee?6=9rB?;n5rn0aef?6=9rB?;n5F31;95~7=uS;=57?t24`9yxh6koi1<78tH55`?xh6kon1<78l5}|l2`56=83;pD99l;|l2`57=83;pD99l;H13=?7|:3wQ=;751z06f?{zf8n;>7>53zJ73f=zf8n;?7>51zJ73f=N;931=v?5}[35=?7|:d1694?4|@==h7p`>d1794?7|@==h7D=?9;3x6?{]9?31=v<:b;~j4b7>3:18vF;7b9~j4b7?3:1=vF;7b9J75?=9r81qW?99;3x60d=utd:h=650;6xL11d3td:h=750;3xL11d3@9;57?t2;Y53?=9r8>n7srn0f3e?6==rB?;n5rn0f3f?6=9rB?;n5F31;95~7=uS;=57?t24`9yxh6l9i1<77sU17;95~42j3wvb8l5}|l2`46=838pD99l;|l2`47=83;pD99l;H13=?7|:3wQ=;751z06f?{zf8n:>7>52zJ73f=zf8n:?7>51zJ73f=N;931=v?5}[35=?7|:d0694?2|@==h7p`>d0794?7|@==h7D=?9;3x5?{]9?31=v<:b;~j4b6>3:1?vF;7b9~j4b6?3:1>vF;7b9J75?=9r91qW?99;3x60d=utd:h<650;7xL11d3td:h<750;3xL11d3@9;57?t2;Y53?=9r8>n7srn0f2e?6=7sU17;95~42j3wvbe;296~N3?j1vbf;295~N3?j1B?=751z09y_7113;p>8l5}|l2`76=838pD99l;|l2`77=839pD99l;H13=?5|;3;1?7sU17;95~42j3wvb8l5}|l2`73=838pD99l;|l2`70=83;pD99l;H13=?7|:3wQ=;751z06f?{zf8n9;7>52zJ73f=zf8n947>51zJ73f=N;931=v?5}[35=?7|:d3;94?5|@==h7p`>d3c94?4|@==h7D=?9;3x7?{]9?31=v<:b;~j4b5j3:19vF;7b9~j4b5k3:1>vF;7b9J75?=9r91qW?99;3x60d=utd:h?j50;6xL11d3td:h?k50;3xL11d3@9;57?t2;Y53?=9r8>n7srn0f1b?6=51zJ73f=zf8<<6=4>{I64g>{i9?21<7?tH55`?xh6>00;65<6sA>7683>4}O<>i0qc?88;295~N3?j1vb<9n:182M20k2we=:l50;3xL11d3td:;n4?:0yK02e51zJ73f=zf8=n6=4>{I64g>{i9>l1<7?tH55`?xh6090;65290:wE:8c:m5=5=83;pD99l;|l2<1<728qC8:m4}o3;1?6=9rB?;n5rn0:5>5<6sA>8983>4}O<>i0qc?79;295~N3?j1vb<6n:182M20k2we=5l50;3xL11d3td:4n4?:3yK02e52zJ73f=zf82n6=4={I64g>{i91l1<7?tH55`?xh6190;693:1=vF;7b9~j4?5290:wE:8c:m23?=839pD99l;|l52d<72:qC8:m4}o45f?6=;rB?;n5rn752>5<6sA>4}O<>i0qc88d;297~N3?j1vb;9j:182M20k2we::h50;3xL11d3td=4=4?:0yK02e51zJ73f=zf?296=4>{I64g>{i>191<7?tH55`?xh10=0;6>uG46a8yk0?=3:1?vF;7b9~j3>1290:wE:8c:m2=1=83;pD99l;|l5<=<728qC8:m4}o4;=?6=;rB?;n5rn7:b>5<4sA>4}O<>i0qc87d;295~N3?j1vb;6j:182M20k2we:5h50;1xL11d3td=5=4?:4yK02e53zJ73f=zf?396=4<{I64g>{i>091<7?tH55`?xh11=0;6=3:1=vF;7b9~j3?1290:wE:8c:m2<1=83;pD99l;|l5==<728qC8:m4}o4:=?6=9rB?;n5rn7;b>5<6sA>4}O<>i0qc86d;295~N3?j1vb;7j:182M20k2we:4h50;3xL11d3td=m=4?:0yK02e51zJ73f=zf?k96=4>{I64g>{i>h91<7?tH55`?xh1i=0;65<6sA>4}O<>i0qc8nd;295~N3?j1vb;oj:182M20k2we:lh50;3xL11d3td=n=4?:0yK02e51zJ73f=zf?h96=4>{I64g>{i>k91<7?tH55`?xh1j=0;6=83;pD99l;|l5f<<728qC8:m4}o4ae?6=9rB?;n5rn7`a>5<6sA>4}O<>i0qc8me;295~N3?j1vb;li:182M20k2we:n>50;3xL11d3td=o<4?:0yK02e7>53zJ73f=zf?i86=4:{I64g>{i>j>1<7;tH55`?xh1k<0;6>uG46a8yk0d>3:1?vF;7b9~j3e02908wE:8c:m2f>=839pD99l;|l5g<<728qC8:m4}o4`e?6=9rB?;n5rn7aa>5<2sA>4}O<>i0qc8le;295~N3?j1vb;mi:186M20k2we:i>50;1xL11d3td=h<4?:0yK02e7>51zJ73f=zf?n86=4<{I64g>{i>m>1<7=tH55`?xh1l<0;63:1=vF;7b9~j3b0290:wE:8c:m2a>=83;pD99l;|l5`<<728qC8:m4}o4ge?6=9rB?;n5rn7fa>5<6sA>4}O<>i0qc8kf;296~N3?j1vb;k?:181M20k2we:h?50;0xL11d3td=i?4?:0yK02e51zJ73f=zf?o?6=4>{I64g>{i>l?1<7?tH55`?xh1m?0;65<5sA>7}O<>i0qc8jf;295~N3?j1vb;h?:182M20k2we:k?50;3xL11d3td=j?4?:0yK02e51zJ73f=zf?l?6=4>{I64g>{i>o?1<7?tH55`?xh1n?0;65<6sA>4}O<>i0qc9?1;295~N3?j1vb:>=:182M20k2we;==50;3xL11d3td<<94?:0yK02e51zJ73f=zf>:=6=4>{I64g>{i?9=1<7?tH55`?xh08k0;65<6sA>4}O<>i0qc9>6;295~N3?j1vb:?8:182M20k2we;<650;3xL11d3td<=o4?:2yK02e53zJ73f=zf>;m6=4>{I64g>{i?;:1<7?tH55`?xh0:80;6vF;7b9~jddd2908wE:8c:megb=839pD99l;|lbfc<72:qC8:m4}oc`7?6=;rB?;n5rn`a6>5<4sA>4}O<>i0qcol8;295~N3?j1vblm6:182M20k2wemno50;3xL11d3tdjoo4?:0yK02e51zJ73f=zfhio6=4>{I64g>{iijo1<7?tH55`?xhfko0;65<5sA>4}O<>i0qcokb;295~N3?j1vbljl:180M20k2wemij50;3xL11d3tdjhh4?:0yK02e51zJ73f=zfho;6=4>{I64g>{iil;1<7?tH55`?xhfm;0;65<6sA>7}O<>i0qcojb;295~N3?j1vblkl:182M20k2wemhj50;3xL11d3tdjih4?:0yK02e51zJ73f=zfhl:6=4={I64g>{iio81<7?tH55`?xhfn:0;65<6sA>4}O<>i0qcoid;295~N3?j1vblhj:182M20k2wemkh50;3xL11d3tdi<=4?:0yK02e51zJ73f=zfk:96=4>{I64g>{ij991<7?tH55`?xhe8=0;65<6sA>4}O<>i0qcl?e;295~N3?j1vbo>i:182M20k2wen<>50;3xL11d3tdi=<4?:0yK02e7>51zJ73f=zfk;86=4>{I64g>{ij8>1<7?tH55`?xhe9<0;63:1=vF;7b9~jg70290:wE:8c:mf4>=835<5sA>4}O<>i0qcl>f;295~N3?j1vbo?4?:0yK02e51zJ73f=zfk8?6=4>{I64g>{ij;?1<7=tH55`?xhe:?0;6>uG46a8ykd5?3:1=vF;7b9~jg4?290>wE:8c:mf7?=839pD99l;|la6d<728qC8:m4}o`1f?6=9rB?;n5rnc0`>5<6sA>4}O<>i0qcl=f;295~N3?j1vbo=?:182M20k2wen>?50;3xL11d3tdi??4?:0yK02e51zJ73f=zfk9=6=4={I64g>{ij:=1<75<6sA>6}O<>i0qcm88;297~N3?j1vbn9n:182M20k2weo:m50;7xL11d3tdh;i4?:2yK02e51zJ73f=zfj2<6=4>{I64g>{ik121<7?tH55`?xhd000;6e290:wE:8c:mg=e=83;pD99l;|l`5<6sA>4}O<>i0qcm66;297~N3?j1vbn77:182M20k2weo4750;1xL11d3td:>8650;1xL11d3td:>8750;3xL11d3td:>8o50;3xL11d3td:>8l50;3xL11d3td:>8m50;3xL11d3td:>8j50;3xL11d3td:>8k50;3xL11d3td:>8h50;3xL11d3td:>;>50;3xL11d3td:>;?50;3xL11d3td:>;;50;1xL11d3td:>;850;1xL11d3td:>;950;1xL11d3td:>;650;3xL11d3td:>;750;3xL11d3td:>;o50;3xL11d3td:>;l50;3xL11d3td:>;m50;3xL11d3td:>;k50;6xL11d3td:>;h50;32M20k2we=?9?:180M20k2we=?9>:182M20k2we=?9=:182M20k2we=?9<:182M20k2we=?9;:182M20k2we=?9::182M20k2we=?99:187M20k2we=?98:180M20k2we=?97:182M20k2we=?96:187M20k2we=?9n:180M20k2we=?9m:182M20k2we=?9l:187M20k2we=?9k:180M20k2we=?9j:182M20k2we=?9i:181M20k2we=?6?:181M20k2we=?6>:182M20k2we=?6=:182M20k2we=?6<:182M20k2we=?6;:182M20k2we=?6::182M20k2we=?69:182M20k2we=?68:182M20k2we=?67:182M20k2we=?66:182M20k2we=?6n:182M20k2we=?6m:182M20k2we=?6l:181M20k2we=?6k:181M20k2we=?6j:181M20k2we=?6i:180M20k2we=?7>:180M20k2we=?7=:182M20k2we=?7<:182M20k2we=?7;:182M20k2we=?7::182M20k2we=?79:182M20k2we=?78:182M20k2we=?77:182M20k2we=?76:182M20k2we=?7n:182M20k2we=?7m:182M20k2we=?7l:182M20k2we=?7k:182M20k2we=?7j:182M20k2we=?7i:182M20k2we=?o?:182M20k2we=?o>:182M20k2we=?o<:180M20k2we=?o::180M20k2we=?o9:182M20k2we=?o8:182M20k2we=?o7:182M20k2we=?o6:182M20k2we=?on:182M20k2we=?om:182M20k2we=?ol:182M20k2we=?oi:180M20k2we=?l?:182M20k2we=?l>:182M20k2we=?l=:182M20k2we=?l<:180M20k2we=?l;:182M20k2we=?l::182M20k2we=?l9:182M20k2we=?l8:180M20k2we=?l7:182M20k2we=?l6:182M20k2we=?ln:182M20k2we=?lm:182M20k2we=?ll:182M20k2we=?lk:182M20k2we=?lj:182M20k2we=?li:182M20k2we=?m?:182M20k2we=?m>:180M20k2we=?m=:186M20k2we=?m<:180M20k2we=?m;:182M20k2we=?m::182M20k2we=?m9:180M20k2we=?m8:180M20k2we=?m7:182M20k2we=?m6:182M20k2we=?mn:182M20k2we=?mm:182M20k2we=?ml:182M20k2we=?mk:180M20k2we=?mj:186M20k2we=?mi:186M20k2we=?j?:180M20k2we=?j>:182M20k2we=?j=:182M20k2we=?j<:182M20k2we=?j;:182M20k2we=?j::180M20k2we=?j9:180M20k2we=?j8:182M20k2we=?j7:182M20k2we=?j6:182M20k2we=?jn:182M20k2we=?jk:180M20k2we=?jj:181M20k2we=?ji:180M20k2we=?k?:180M20k2we=?k=:18:M20k2we=?k<:180M20k2we=?k;:182M20k2we=?k::182M20k2we=?k9:182M20k2we=?k8:182M20k2we=?k7:182M20k2we=?k6:182M20k2we=?kn:182M20k2we=?km:182M20k2we=?kl:182M20k2we=?h?:180M20k2we=?h=:182M20k2we=?h<:182M20k2we=?h;:182M20k2we=?h9:182M20k2we=?h8:182M20k2we=?h7:182M20k2we=?h6:182M20k2we=?hn:182M20k2we=?hm:182M20k2we=?hl:182M20k2we=?hk:182M20k2we=?hj:182M20k2we=?hi:180M20k2we=>>=:182M20k2we=>><:182M20k2we=>>;:182M20k2we=>>::182M20k2we=>>9:182M20k2we=>>8:182M20k2we=>>7:182M20k2we=>>6:182M20k2we=>>n:182M20k2we=>>m:180M20k2we=>>k:181M20k2we=>>j:181M20k2we=>>i:182M20k2we=>??:182M20k2we=>?>:182M20k2we=>?=:182M20k2we=>?<:182M20k2we=>?;:182M20k2we=>?::182M20k2we=>?9:182M20k2we=>?8:182M20k2we=>?7:182M20k2we=>?6:182M20k2we=>?n:182M20k2we=4h<:180M20k2we=4h;:182M20k2we=4h::182M20k2we=4h9:182M20k2we=4h8:182M20k2we=4h7:182M20k2we=4hm:182M20k2we=4hl:182M20k2we=4hk:186M20k2we=4hj:180M20k2we=l>?:180M20k2we=l>>:181M20k2we=l>=:182M20k2we=l><:18;M20k2we=l>;:182M20k2we=l>::182M20k2we=l>9:182M20k2we=l>8:182M20k2we=l>7:182M20k2we=l>6:180M20k2we=l>n:181M20k2we=l>m:180M20k2we=l>l:181M20k2we=l>k:180M20k2we=l>j:181M20k2we=l>i:182M20k2we=l??:180M20k2we=l?>:181M20k2we=l?=:180M20k2we=l?<:181M20k2we=l?;:180M20k2we=l?::181M20k2we=l?9:180M20k2we=l?8:180M20k2we=l?7:180M20k2we=l?6:180M20k2we=l?n:180M20k2we=l?m:180M20k2we=l?l:181M20k2we=l?k:182M20k2we=l?j:182M20k2we=l?i:182M20k2we=l:182M20k2we=l<=:181M20k2we=l<<:182M20k2we=l<;:182M20k2we=l<::182M20k2we=l<9:182M20k2we=l<8:182M20k2we=l<7:181M20k2we=l<6:182M20k2we=l:182M20k2we=l==:182M20k2we=l=<:182M20k2we=l=;:181M20k2we=l=::182M20k2we=l=9:182M20k2we=l=8:182M20k2we=l=7:182M20k2we=l=6:182M20k2we=l=n:181M20k2we=l=m:181M20k2we=l=l:181M20k2we=l=k:181M20k2we=l=j:181M20k2we=l=i:181M20k2we=l:?:182M20k2we=l:>:182M20k2we=l:=:182M20k2we=l:<:184M20k2we=l:::1827~N3?j1vbi0qc?n7d83>7}O<>i0qc?n7g83>7}O<>i0qc?n8183>4}O<>i0qc?n8083>4}O<>i0qc?n8383>4}O<>i0qc?n8283>4}O<>i0qc?n8583>1}O<>i0qc?n8483>4}O<>i0qc?n8783>4}O<>i0qc?n8683>7}O<>i0qc?n8983>7}O<>i0qc?n8883>4}O<>i0qc?n8`83>4}O<>i0qc?n8c83>4}O<>i0qc?n8b83>4}O<>i0qc?n8e83>6}O<>i0qc?n8d83>4}O<>i0qc?n8g83>4}O<>i0qc?n9183>4}O<>i0qc?n9283>7}O<>i0qc?n9583>4}O<>i0qc?n9483>4}O<>i0qc?n9783>4}O<>i0qc?n9683>4}O<>i0qc?n9983>4}O<>i0qc?n9883>4}O<>i0qc?n9`83>7}O<>i0qc?n9c83>4}O<>i0qc?n9b83>4}O<>i0qc?n9e83>7}O<>i0qc?n9d83>7}O<>i0qc?n9g83>4}O<>i0qc?na183>4}O<>i0qc?na083>4}O<>i0qc?na383>4}O<>i0qc?na283>4}O<>i0qc?na583>7}O<>i0qc?na483>7}O<>i0qc?na783>4}O<>i0qc?na683>4}O<>i0qc?na983>4}O<>i0qc?na883>4}O<>i0qc?na`83>6}O<>i0qc?nac83>7}O<>i0qc?nab83>4}O<>i0qc?nae83>4}O<>i0qc?nad83>6}O<>i0qc?nag83>7}O<>i0qc?nb183>4}O<>i0qc?nb083>6}O<>i0qc?nb383>7}O<>i0qc?nb283>4}O<>i0qc?nb483>7}O<>i0qc?nb783>4}O<>i0qc?nb683>7}O<>i0qc?nb983>7}O<>i0qc?nb883>7}O<>i0qc?nb`83>7}O<>i0qc?nbc83>7}O<>i0qc?nbb83>4}O<>i0qc?nbg83>6}O<>i0qc?nc183>4}O<>i0qc?nc083>4}O<>i0qc?nc383>4}O<>i0qc?nc283>4}O<>i0qc?nc583>4}O<>i0qc?nc483>4}O<>i0qc?nc683>6}O<>i0qc6j:182xh6m80;65<4std:jl4?:0y~j77a2908wp`=1883>4}zf;9n6=4<{|l17=<728qvb?;k:180xh5=>0;65<6std95<4?:2y~j7>e290:wp`=b183>6}zf;kj6=4>{|l1gc<72:qvb?m6:182xh5ml0;6>urn3g;>5<6std86}zf:8=6=4>{|l00g<72:qvb>:::182xh4>h0;6>urn247>5<6std8444?:2y~j6>4290:wp`6}zf:k96=4>{|l0g2<72:qvb>m>:182xh4m?0;6>urn2g3>5<6std?<84?:2y~j6`a290:wp`85183>4}zf><>6=4>{|l43d<728qvb:76:180xh01:0;65<4std6}zf>o=6=4>{|l;4g<72:qvb5>::182xh?:h0;6>urn907>5<6std3844?:2y~j=24290:wp`76983>6}zf1<96=4>{|l;<2<72:qvb56>:182xh?1j0;65<6std3o;4?:0y~j=c22908wp`7dg83>4}zf0:?6=4<{|l;b`<728qvb4<<:180xh>9m0;65<4stdi:o4?:0y~jg?72908wp`m8`83>4}zfkkm6=4<{|lae<<728qvbomj:180xhek10;65<4stdii:4?:0y~jf6d2908wp`l0783>4}zfj8o6=4={|l`63<728qvbnm8:182xhdm?0;6>urnbg3>5<6stdo<84?:2y~jf`a290:wp`k2583>6}zfm;n6=4>{|lg06<72:qvbi=k:182xhc>;0;6>urne7`>5<6stdo4<4?:2y~ja1e290:wp`ka183>6}zfm3j6=4>{|lgfc<72:qvbil6:182xhcll0;6>urnef;>5<6stdoji4?:2y~ja`0290:wp`j1b83>6}zfl;=6=4>{|lf7g<72:qvbh=::182xhb=h0;6>urnd77>5<6stdn;44?:2y~j`14290:wp`j9983>6}zfl396=4>{|lff2<72:qvbhl>:182xhbl10;6?urndf2>5<6stdnj44?:3y~j``5290:wp`i1983>6}zfo;96=4>{|le72<72:qvbk=>:182xha=?0;6>urng73>5<6stdm;84?:2y~jc0a290:wp`i9583>6}zfo2n6=4>{|lef6<72:qvbkok:182xhal;0;6>urnga`>5<6stdmj<4?:2y~jcce290:wp`>32d94?7|ug;8994?:0y~j45113:1=vsa125f>5<6std:?4=50;3xyk74i10;6j=:182xh6;l=1<7?t}o30bf<728qvb<:>1;295~{i9=8=6=4>{|l206d=83;pqc?;5183>4}zf8>=j7>53zm510>290:wp`>49g94?5|ug;?454?:0y~j42fl3:1?vsa15c4>5<6std:8nm50;1xyk73k?0;6{|l211>=839pqc?:4383>4}zf8?>h7>51zm5015290:wp`>59594?7|ug;>5n4?:0y~j43e93:1=vsa14a5>5<6std:9il50;3xyk72n90;6;l1<7?t}o3501<728qvb<8:9;295~{i9?{|l22=5=83;pqc?9a383>6}zf8<2o7>51zm53e62908wp`>6c`94?7|ug;o?94?:0yY53?=9r8>n7sG2c28yk7c;<0;6o>4}o3g73<728qQ=;751z06f?{O:k:0qc?k3683>4}]9?31=v<:b;K6g6n7sG2c28yk7c;00;6;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;03b?44=L5;:6=0j;009@drfI5;:6=0>0:31>LHW]]0O07B[ilgq847=87;:7<<5OTVSQQ:1<22>752F__\XZ5Rdqvhq:693:5m6?=:P>25?69j2;96_O31083:c=6:3Xnxb{<0394;763881Sljk0123847=87k0=;4N<319<;7738<1EC^ZT;C?66:@>15?799919=7GAPTV9E946284n7?=5A^DPF944284:86<<:HLSQQ4=AGZ^X7J31;2=b>4=G\^[YY4^<083:==52X6:6=0k;38PPlkbz5;1<3?43b90>GGD\{686<07;28G87<76k186Io{a=094;753:0BB][[:CC@Pw:4284n7>4FNQWW>A:5294:=6=5IORVP?Bf|h696=0i;28JJUSS2Z]7?7?11290>JSSX\^1NLM[r=195;`<;3E^X][[:P>1>58?3:0Z0?4?>097<>2=L591<3m44;FbpdG;;3:556:5ER>0>58b3=0BB][[:E>0>586:2>1EC^ZT;FbpdG;;3:5j6:5OTVSQQ2=Y591<3j44;Pfwpjs4:0;2n5;:Uknaw:4294m794Paef3456;;3:5=6;>;7383`=02Jxnhdz|<783:==02M6=6=0l;68GeqgF4?0;2h58:HLSQQ1=G\^[YY4]erwop90=8720;7_36;2=`>1=Zly~`y29:1<26>1=Whnoxl?012?2?69922:74;4A=33:0=F48;596O313<6?D:6;7?0M1?;>49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345669l1J@H>Pmtz345659m1J@H>Pmtz34564991J@H>Pmtz3456Xadz?7LBJ159BJA413HDO>O?9;@LG6G433HDO?;5NNE1A53=FFM9I>95NNE65?DHCGTzoUecy>?00]jiuYF[{lTbbz?0132=>GXNZH7==06;@]EWG:69730MRH\B=31:<=FWOYI0<=19:C\BVD;9=427LQISC>21;?89B[CUE48=556OPFR@?5=8>3HUM_O2>9?:8EZ@TJ5;556OPFR@?658>3HUM_O2=1?;8EZ@TJ5892o5N_GQA875=9730MRH\B=00:==FWOYI0?07;@]EWG:4611JSK]M<5<;?DYA[K6>255N_GQA838?3HUM_O28>99B[CUE41437LQISC>::1=Fkex=7LjkSt`5?Dir|FOn7LaztNG\ip~789:m7LaztNG\ip~789::==5NotvLAZkrp9:;<0:ClqqIBWds<=>?20d8EjssGLUfyu>?01126>Gh}}ENS`{w0123[lkwl2KdyyAJ_np3456582KdyyAJ_np3456XadzTMb{{OD]lv56788>0M~k{4:@BGQc`9AEFRu4:0:255MABVq86833K_MI55MUR]JJCI63J>0O<8B6:A14HCI>2I99@KA3:A;I2=D0DLj`a?;;B:NBdjkW`g{SN6BF`no51=D0Dx<7N6Br=2==>E?E{6:6=08;B:Nv979:2IN?6MCK29@HW5?1g9@v`bn|zUjbi>?0007?Ftbl`~xSl`k0122[lkwWJxnhdz|_`lg45669o1H~hjftr]bja678;8?7N|jdhvp[dhc89:9Sdc_Bpf`lrtWhdo<=>=1g9@v`bn|zUecy>?0307?Ftbl`~xSca{0121[lkwWJxnhdz|_omw45659o1H~hjftr]mkq678:8?7N|jdhvp[kis89:8Sdc_Bpf`lrtWge<=><1d9@v`bn|zUd~=>?0308Gwcca}yTc>?01]jiuYDzlnbx~Q`r12344c6M}eekwwZiu89:9Sdc_Bpf`lrtWfx;<=<>1:F7?A:76<1O0<>17:F?54<76<1O0B;;7>0H1:14:F?1;0B;?7>0H1614:F?=;0?0037?Agsi>1Omyo30?58@drf48427Io{a=094;119:FbpdG;994i7Io{a@>25?6912NjxlO310<;?AgsiH6:255KaucB878f3MkmL2<:1<;?AgsiH68255KaucB818?3MkmL2:>`9GeqgF4?0;255KaucB838?3MkmL28>99GeqgF41437Io{a@>::3=Cg|~DIh5KotvLAZgil9:;?00g8@jssGLUjbi>?0001?Air|FOTmcj?013\mhvXLfCHQnne23447c3Me~xBKPos2345473Me~xBKPos2345YneyUOcxz@E^mq45679m1Ocxz@E^mq4566:91Ocxz@E^mq4566W`g{SIaztNG\kw6788;:7H;4EGcoh4669FW95=87?0I^2<>`9FWZgil9:;<?003g?@UXkdzTi|>?0103?@UXkdzTi|>?01]jiuYB[Vif|Rk~01235a=B[Vif|Rk~012265=B[Vif|Rk~0122[lkwWLYTo`~Pep23447>3LYTc>?013;?@UXg{:;<=Qfmq]FWZiu89:;=85JT@AH55=B\HI@Snc_ds34565>2O_MNEPclr\at6789Uba}QJT@AH[fkwWl{;<=>>119FPDELW`g{SHZNCJ3;?@hfjeo_~?5Jr49Fv969?2Oy0<4?>49Fv979<2LH@F<4FE18BAE33ONHI>5IDQ08BC2-Hl1f>@Al8'BbRgbp59EBa403OLo> Ga8:DE`7+Nf830JKj=-Hl25==ANm8&Ec<6;GDg6(Oi:820JKj=-Hl0=>@Al;'Bb>?6;GDg6(Oi<820JKj=-Hl6f>@Al;'BbRgbp59EBa503OLo? Ga8:DE`6+Nf830JKj<-Hl25<=ANm9&Ec<>8:DE`6+Nf:h0JKj<-Hl\mhv33OLo8:5IFe6.Mk733OLo8 Ga_hos[C@c<$Ce=95IFe74?C@c=$Ce46HId4/Jj4?!D`>199EBa3*Ag8i7KHk5,Km[lkw<2LMh;94FGf5)Lh6<2LMh;#Fn^kntZ@Al?'Bb<:4FGf43>@Al>'Bb55IFe5.Mk7>3OLo; Ga10:8BCb0%@d956HId6/Jj77?3OLo; Ga389EBa1*Ag9:46HId6/Jj1d1MJi6"Io:8BCb?%@d:56HId9/Jj47?3OLo4 Ga289EBa>*Ag8:46HId9/Jj6?*Ag>:46HId9/Jj0d#asgmp4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O2:2C=>6G84:KBGVc;HMBGQYj}q:;<=Qfmqa8MJGD\Vey<=>?1d9JKDESWfx;<=>Pilr\MJGD\Vey<=>?179JKDESz?1BCOK]Rd9JKGCUZVddx=>?1308MJDBZ[Uecy>?00]jiuYNGKOY^R``t123542Pilr\MJETWjg{Sh?0122b>OHKZUha}Qjq123572?:4INAP[fkwWl{;<=OHKZUha}Qjq123772OHKZUha}Qjq123172OHKZUha}Qjq123372OHKZUha}Qjq123=72Pilr\MJETWjg{Sh?0022<>OHKZUba}84INFLJ@bk;HMO4Zkrp9:;<f:KLH5Yj}q:;<=?=1d9JKI6Xe|r;<=>>3d9JKI6Xe|r;<=>>4e9JKI6Xe|r;<=>=e:KLH5Yj}q:;<=<>e:KLH5Yj}q:;<==>e:KLH5Yj}q:;<=:>e:KLH5Yj}q:;<=;>e:KLH5Yj}q:;<=8>d:KLH5Yj}q:;<=9j;HMO4Zkrp9:;<:?k;HMO4Zkrp9:;<5k4INN3[hs89:;4?10g8MJJ6Wge<=>>_hos[LIK9Vddx=>?1078MJJC[?1BC]YLS89JKUQUmhnr=55FOQUQadb~W`g{SDA_WSgb`|703@EYH_K^f:KLVATBYVkeh=>?0368MJTCZL[Tmcj?012\mhvXAFXO^H_Paof34566n2CD^I\JQ^ov|56788:0EB\KRDS\ip~789::=<5FOSFQATYj}q:;<=?>109JKWBUMXUfyu>?010254=NG[NYI\Qbuy234556991BC_J]EP]nq}6789>:=6G@REPFUZkrp9:;<9?>0:KLVATBYVg~t=>?0432?LIUL[OZS`{w012314763@EYH_K^_lw{4567>8;;7DA]DSGR[hs89:;;<=4INPGV@WXe|r;<=>Pilr;?LIUL[OZ~;5FOSGRv44?219JKWQ6Whdo<=>?_hos[LIU_8Ujbi>?013`?LIU_8Ud~=>?00g8MJTP9Vey<=>?_hos[LIU_8Ud~=>?0058MJTbimsm7DA]e`fz[dhc89:;>95FOSgb`|Yffm:;<=Qfmq]JKWcflpUjbi>?013e?LIUmhnrSl`k012261=NG[ojhtQnne2344YneyUBC_kndx]bja6788;87DA\4:KLW5e?00g8MJU7Wds<=>?10g8MJU7Wds<=>?20f8MJU7Wds<=>?3028MJU7Wds<=>?_hosf>OH[9Ud~=>?00a8MJU7Wfx;<=>Pilr\MJU7Wfx;<=>>e:KLWZejxVoz<=>?e:KLWZejxVoz<=>>e:KLWZejxVoz<=>=e:KLWZejxVoz<=>;e:KLWZejxVoz<=>:e:KLWZejxVoz<=>94:KLQ5eOH]9Ufyu>?013e?LIR8Vg~t=>?0022a>OH]9Ufyu>?0132b>OH]9Ufyu>?01325c=NG\:Taxv?012264cOH]9Ufyu>?0152`>OH]9Ufyu>?01:f?LIR8Vg~t=>?093f?LIR8Vg~t=>?08324>OH]9Ufyu>?01]jiu27DAZDR58MJQUZL[m7DAXRSGR[hs89:;==5FOVPQATYj}q:;<=?>1:KLSWTBYVg~t=>?00325>OH_[XN]Rczx123477692CD[_\JQ^ov|5678:;:<6G@WSPFUZkrp9:;<9?>;HMTVWCVWds<=>?4033?LIPZ[OZS`{w01231474INUQV@WXe|r;<=>8129JKRTUMXUfyu>?01]jiu>OT\Jo0E^ZL_hos[LUSK8n0E^Qlmq]fu5678m1B_Rmbp^gr4566l2CXSnc_ds3454c3@YTo`~Pep234653:Km66=Nf:90Ec:<;Hl6a>MBMYUCEH\NRBJZ3>JHO@IJ@i5CPL]B@AYT]KU:h6B_M^CG@ZURJV8o7A^B_@FG[VSEW:h0@]CPBTQWVL7c3EZFSNAZNUGQ[4bJWEVIDYCZJR^6;?IVJWMLMS45CPL]GBCY6i2F[ARJIF^33e>JWEVNMJR?>a:NSIZBANV;9m6B_M^FEBZ74i2F[ARJIF^37e>JWEVNMJR?:a:NSIZBANV;=m6B_M^FEBZ70i2F[ARJIF^3;e>JWEVNMJR?69:NSIZBANV8j7A^B_EDE[76f3EZFSIHI_33b?IVJWMLMS?f3EZFSIHI_3;:?IVJWMLMS>o4LQO\@C@X;9k0@]CPDGD\74go4LQO\@C@X;=k0@]CPDGD\70gJWEVRD^?Q>a:NSIZ^HZ:U::6B[ilgq=>JSadoy0=0n;MVji`t;994h7AZfmdp?54<76h1GXdcjr=32:<=K\`gn~1?19:NWmhcu4;427AZfmdp?7;?89OPlkbz5?556B[ilgq838>3E^bah|37?;8HQojm{63245CThofv9?902F_e`k}TB30?IRnelx_ORmbp^gr45679=1GXdcjrUA\ghvXmx:;<=?>4:NWmhcu\JUha}Qjq12347733E^bah|[C^antZcv89:;?<94LUknawRDWjg{Sh?012\mhvb3E^bah|Pnnv34575:2F_e`k}_omw4566W`g{SAZfmdp\jjr789;:h6B[ilgq[jt789:9<6B[ilgq[jt789:Te`~PLUknawYhz9:;<<;4Lncg5a=Kghn:Sca{012265=Kghn:Sca{0122[lkwWEejh4n7@v`r^Pfwpjs414n7@v`r^Pfwpjs404o7@v`r^]{kw678980B=<4N008J74IU;K887B\;5:MQ0G543FX>?6A]629LV25=?<1130[I2:1<6?T:697<0]1?50?68U979>2[7>7>14:S?6;0W;<7>0]1;16:S?2?69<2[7:3:4Q=5=0>W;07>0]171a:S\5Ziu89:;=l5^_0]lv5678Vcf|R_P1^mq4567911Zc^\jae{27>Wh[[ojhtQlmq]fu5678;i0]b]]e`fz[fkwWl{;<=>Pilr\UjUUmhnrSnc_ds34566991Zc^\jae{\jjr789;9:6_`SSgb`|Yig}:;<Wh[[ojhtQ`r123472TF4;4>7_O33?78VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X068VDKD[9RTe`~PR@O@W5^6991YM@M\_hos[WGJKZ;37_OBRdcg}46>1008VDKUmhnrS`{w012364763[KF^hoky^ov|5678:;?7_OBRdcg}Zkrp9:;TF[LDTaxv?0122b>TF[LDTaxv?01225c=UIZOES`{w012364c1:PB[46Xe|r;<=>Pilrg?WGX98Ufyu>?01g8VDY69Vg~t=>?00d8VDY69Vg~t=>?003e?WGX98Ufyu>?0102a>TFW8;Taxv?012054=UIV;:S`{w0123[lkwk2XJSRczx1234a=UIV8Taxv?0122a>TFW;Ufyu>?0132a>TFW;Ufyu>?0102`>TFW;Ufyu>?01124>TFW;Ufyu>?01]jiueTFW:Ufyu>?013f?WGX;Vg~t=>?003f?WGX;Vg~t=>?033g?WGX;Vg~t=>?0233?WGX;Vg~t=>?0^kntf=UIV>Taxv?012g?WGX?00g8VDY3Wds<=>?10g8VDY3Wds<=>?20f8VDY3Wds<=>?3028VDY3Wds<=>?_hosg>TFW?01f8VDY2Wds<=>?1d9QEZ3Xe|r;<=>>1d9QEZ3Xe|r;<=>=1e9QEZ3Xe|r;<=><119QEZ3Xe|r;<=>Pilr`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>e:PB[3Yj}q:;<=?>e:PB[3Yj}q:;<=<>d:PB[3Yj}q:;<==>0:PB[3Yj}q:;<=Qfmqa8VDY0Wds<=>?d:PB[2Yj}q:;<=?j;SC\3Zkrp9:;<??;SC\3Zkrp9:;Xe|r;<=>k;SC\4R@];[hs89:;Sdcc:PB[4:PLIFU3PVcf|R\@MBQ7\4773[EFO^Qfmq]QKHET9;1Y_55]S1vlV``682XX?01324>TT8}eYikQnne2344413[Y;xb\jf^cm`5679Vcf|R\\0umQacYffm:;<0:PP4qiUmoUjbi>?0305?WU7|fXnjRoad1236ZojxVXX<9;SQ3pjTbnVkeh=>?3^kntZTT8}eYikQnne234676>2XXd:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678m1Y_Rmbp^gr4560l2XXSnc_og3456c3[YTo`~Pnd2344bTSD<1Yiljvd:PfeaXign;<=>=0:PfeaXign;<=>Pilr\V`gcqVkeh=>?00f8V`gcqVkeh=>?1328V`gcqVkeh=>?1^kntZTbimsTmcj?0132`>TbimsTmcj?01014>TbimsTmcj?010\mhvXZlkouRoad12364773[ojhtQfmq]Qadb~9?1YikMck79QacTbyl1Yik\jq^cm`5678;80^hh]ep]bja6789Uba}Q]egPfuZgil9:;<?003f?WcaZl{Tmcj?01016>Tbn[ozSl`k0121[lkwW[om^hPaof34546m2Xnj_k~_omw4565:;1Yik\jq^llp567:Vcf|R\jfSgr[kis89:9=h5]egPfuZhh|9:;??<4RddQatYig}:;<>Qfmq]QacTbyVddx=>?30f8V``UmxUd~=>?0328V``UmxUd~=>?0^kntZTbn[ozSb|?01222>Tbn\xli6\jfTpd[dhc89:;>?5]egWqcZgil9:;?1d9QacSuoVg~t=>?0308V``RznUfyu>?01]jiuYUmo_ykRczx12344c=5]egWqcZiu89::Sdc_SgeQwaXg{:;<3[oxyaz30?c8V`urd}6:<3m4Rdqvhq:693:5m6\jstnw847912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?5n6\jstnw83<7601Yi~{ct=4==>Tb{|f0:06;Sgpqir;0730^h}zlu>::70USadoy56][ilgq858e3Z^bah|31;2=a>USadoySl`k012367=T\`gn~Road1234ZojxVY_e`k}_`lg45679<1X[1?15:QT87803Z]7?7?15:QT86833Zig~95\crv7?Vci|j1XiczPaof34566m2YnbyQnne2345YneyUXiczPaof34566j2YnbyQ`r12344e<[ldSb|?012\mhvX[ldSb|?0122e>Uil[KFO^Y]139PjaTFEJY\^Road12347g<[gnYM@M\WS]bja6789Uba}Q\nePBIFUPZVkeh=>?0031?VhcZHGH_Z\Paof34575i2Yeh_OBCRUQ[dhc89::Sdc_RlgVDKD[^XTmcj?013250=TfmXJAN]XR^antZcv89:;?=5\nePBIFUPZVif|Rk~0123[lkwWZdo^LCLSVP\ghvXmx:;<=?>5:Qm`WGJKZ]YSnc_ds3457482Yeh_OBCRUQ[fkwWl{;<=?Pilr\WkbUIDIX[_Qlmq]fu56798;>7^`kR@O@WRTXkdzTi|>?0313?VhcZHGH_Z\Pclr\at678;Uba}Q\nePBIFUPZVif|Rk~0121543<[gnYM@M\WS]`iuYby9:;?>>4SofQEHET_[Uha}Qjq1237ZojxVYeh_OBCRUQ[fkwWl{;<==>149PjaTFEJY\^Rmbp^gr4563;91Xbi\NMBQTVZejxVoz<=>;_hos[VhcZHGH_Z\Pclr\at678=;:96]adSCNGVQUWjg{Sh?01704>Uil[KFO^Y]_bos[`w789?Te`~PSofQEHET_[Uha}Qjq12314723Zdo^LCLSVP\ghvXmx:;<;=?;RlgVDKD[^XTo`~Pep2343YneyUXbi\NMBQTVZejxVoz<=>91078WkbUIDIX[_Qlmq]fu567?::0_cj]ALAPSWYdeyUn}=>?7^kntZUil[KFO^Y]_bos[`w789=:=l5\nePBIFUPZVcf|R]adSCNGVQU98;0_cj]ALAPSWYhz9:;?0^kntZUil[KFO^Y]_np34566981Xbi\NMBQTVZiu89::>55\nePBIFUPZVey<=>>_hos[VhcZHGH_Z\Pos23447692Yeh_OBCRUQ[jt7898946]adSCNGVQUWfx;<=<[gnX`byJN^cm`5678Vcf|R]adRnls@HXign;<=>>109PjaUkg~OESca{01226==TfmYgczKA_omw4566W`g{S^`kSmmtAKYig}:;<7Ygbes:8Plkbz5:546Zfmdp?5;><\`gn~1<1a:Vji`t;;3:546Zfmdp?7;1<\`gn~YM>2:Vji`tSKVif|Rk~01236d=SadoyXNQlmq]fu5678Vcf|RZfmdpWGZejxVoz<=>?1e9WmhcuWhdo<=>?219WmhcuWhdo<=>?_hos[Qojm{Ujbi>?0134?QtnLh~j:6[Dnum7>PDK01]EHYPTXRF0>PWI{i0Z]O}_omw45669l1]\L|Pnnv3457XadzTZ]O}_omw45669m1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?0122=>Pnl[KFO^Y]65i\ghvXmx:;<=?>9:Tj`WGJKZ]Y:9ePclr\at67898:56XfdSCNGVQU>=aTo`~Pep234556k2\bh_OBCRUQ21mXkdzTi|>?01]jiu473_co^LCLSVP50nYneyU]ei\NMBQTV32l9;1\_l5XS^cm`56788k0[^Qnne2345YneyU\_Road12344b<_ZUha}Qjq123476<_ZUha}Qjq1234ZojxV]XSnc_ds34566>2]j~}]J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF6:ZPPIOE?2RXXRIAD0g8\VRXADZGI@KAT@VJKKYDGGY_^LGATR33?]USW[^GS]\@PDPW]2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee96V`<1<5?]i;87;=7Ua30?05?]i;87927Ua30?]jiu5?<1<`?Zgcl9:;<1??>d9\eab789:7=<4?>b9\eab789:7=<0m;^cg`56785;5n6Qnde2345:56m1Tmij?012?7?69j2Ujhi>?01>0:g=Ximn;<=>34?`8[dbc89:;080m;^cg`56785<5n6Qnde2345:06k1Tmij?012?<;d?<8?<1?<0?<3?<2?<5?<4<24>Yflmy~n=>?0=494;c?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0735?Zgil9:;:Rgbp^]bja678?;37Road1233408_hos[Zgil9:;;<64_`lg456?9?1Tmcj?01:\mhvXWhdo<=>7199\ekb7893::6Qnne234Yj}q:;<=94_np34566<2Ud~=>?0^kntZYhz9:;<<94_np34576<2Ud~=>?1^kntZYhz9:;=<94_np34546<2Ud~=>?2^kntZYhz9:;><94_np34556<2Ud~=>?3^kntZYhz9:;?<94_np34526<2Ud~=>?4^kntZYhz9:;8<94_np34536<2Ud~=>?5^kntZYhz9:;9<64_ymq4567=2kohh|9;`fgwpdb3hdo<=>?_np34565:2keh=>?0^mq4567W`g{Sl`k0123[jt789::?6mat69`kphsm{80o~=4dgd:?aoumj~bcc=4fr`7?liee=1bco}6;oCGkprKM9;37cOKotvOA5YneyUeMIaztMG3546?189mEAir|EO:=55aAEmvpIC6W`g{ScOKotvOA4743gKX;6`NS^DPF2=iIZUBCO?=;oCP[LIEWgKXSDAMotvb?kGTW@EIcxz9;oCPkprb3gKXcxzPaof34565:2dJ_b{{_`lg4567W`g{ScO\otv\ekb789::i6`NSnww[kis89::>?5aARmvpZhh|9:;=Rgbp^lBWjssWge<=>>1e9mEVir|Vey<=>?219mEVir|Vey<=>?_hos[kGTg|~Tc>?0134?kGh}}EN=95aAnwwK@YneyUeMb{{OD36?kDBZ[30bOK]R^DPF47hEM[XTEBL8;o@D[LIE?2dI^RG@Bg9mFWYNGKUjbi>?0107?kDUW@EISl`k0123[lkwWgHYSDAM_`lg45679o1eN_QFOC]nq}6789;;7cL]_HMA[hs89:;=>1038jGTXAFHTaxv?0121546Pilr\jGTXAFHTc>?0137?kEF[j1eOL]Pmtz3456c3gIJ_Rczx12344chDIZUfyu>?0112a>hDIZUfyu>?0162`>hDIZUfyu>?01724>hDIZUfyu>?01]jiu06`KT@AH[kis89::Sdc_oFWEFMXff~;<=?>6:lGmkJBl2dOecBJ_np3456582dOecBJ_np3456XadzTbIgaLD]lv56788<0bIgaODg8jAoiGLUjbi>?0101?kBnfFOTmcj?012\mhvXfMceCHQnne234576:2dOecAJ_hos[kBnfFO:;6`KotvLAc=iLfCHQnne2345433gNdyyAJ_`lg4567W`g{ScJ`uuMF[dhc89:;=k5aDnwwK@Yffm:;<<<;;oFlqqIBWhdo<=>>_hos[kBh}}ENSl`k0122542?5aDnwwK@Yhz9:;?149mMUJ5>2dB\A<}4:lO@V>hH~lxgmt??;oMuawjfqVkeh=>?0348jJpbzekrSl`k0123[lkwWgE}ibny^cm`56788;;7cAyesnb}Zgil9:;=?84nNtfvig~Whdo<=>>_hos[kIqm{fjuRoad12354773gE}ibny^llp5679;<0bBxjrmcz[kis89::Sdc_oMuawjfqVddx=>?1058jWGJD^O<7c\NMTUF0>hUIZi0b_O\_`lg45679l1e^L]Paof3456XadzTb_O\_`lg45679l1e^L]Pilr\jWGT9k1e^L]Pos23457d3gXJ_Ra}0123[lkwWgXJ_Ra}012351=iZLYh7c\JS^llp56798o0b_K\_omw4566W`g{Sc\JS^llp56798=0b_K\otv5?kTHEJY:>6`]OLAP[lkwWgXDAN]>6:lQKHQBm2dYC@YJ_`lg4567:;1e^BCXE^cm`5678Vcf|R`]OLUF[dhc89:;=<<4nSMNS@YneyUe^BCXE0:8jWcaKeaY==5aRdd@hnTXff~;<=?=6:lQacEkc[Uecy>?00]jiuYiZllH`f\Pnnv34576<2d^H^64nTFP[CUE02d^H^QFOC37?kSC[VCDNR`ZDR]JKGir|k1eYI]PIN@lqqehRLZUjbi>?00]jiuYi]MYTmcj?0132g>hRLZUecy>?003f?kSC[Vddx=>?1^kntZhRLZUecy>?003a?kSC[Vey<=>?1b9mQAUXg{:;<=Qfmq]mQAUXg{:;<=?;;oWTAf=i]^OTmcj?0122a>hR_LUjbi>?01]jiuYi]^OTmcj?0122a>hR_LUba}QaUVG20>hQEH20b[CN_HMA51=i^DKTEBLPnWOB[LIEg|~i7cXBA^KLFjssk2d]ALQnne23457b3g\FMRoad1234ZojxVd]ALQnne23457a3g\FMRmbp^gr4567:=1eZ@OPclr\at6789Uba}QaVLC\ghvXmx:;<=?l;oTNEZhh|9:;=hQXHUM_O64nWRB[LIEk2d]\LQnne23457b3g\[MRoad1234ZojxVd]\LQnne23457d3g\[MR``t12354ciu89:;Sl`k012367=hz9:;?_`lg45679l1d~=>?0^cm`5679;80c>?01]bja6788Uba}Q`r1234Zgil9:;=Pilr\kw6788Ujbi>?013f?jt789;Tmcj?01316>iu89::Sl`k0122[lkwWfx;<=?Paof34576m2ey<=>>_`lg4565:;1d~=>?1^cm`567:Vcf|Ra}0122[dhc89:9=h5`r1236Zgil9:;?00g8kw678;Ujbi>?0001?jt7898Tmcj?013\mhvXg{:;=_`lg4565W`g{Sb|?010\ekb7898:i6a}0120[dhc89:;>?5`r1237Zgil9:;?1d9lv567;Vkeh=>?1308kw678:Ujbi>?00]jiuYhz9:;?Road12354cQnne2347453fx;<==Paof3454XadzTc>?02]bja678;;n7b|?016\ekb789:9>6a}0127[dhc89:;Sdc_np3452Xign;<=>>e:mq4563Whdo<=>>239lv567?1^kntZiu89:?Sl`k01225`=hz9:;8Road123674?4^cm`567:8o0c>?04]bja6789897b|?017\ekb789:Te`~Pos2340Yffm:;<=?j;np3453Xign;<=?=2:mq4562Whdo<=>>_hos[jt789?Tmcj?0132a>iu89:>Sl`k012167=hz9:;9Road1236ZojxVey<=>:_`lg45659h1{caQndeqvf2=wgeUh`f74pnn\gimbf11{caQ|cmp;?uikWzynxl5om]vtaipfk1{czPaefpqg>uh}{inSkgceocnaa=tg|xhiRbfndla6>sw>2{hbya9:tbhpcXk`d27{ocud]ltg3<`nd55wc8734}jb3qi29>8wlqa70(343qeyqMN1c:4?EF<9h1J7<51zQab?27i38i57?<4c00=?57m:kpb9><:09m052=>2.?o75126a66?=;9o8h6j4<6sZhm69>n:3`:>453j;926>>j3`9uB60a290:6<4?{R`e>16f2;h26<=;b31:>66b;h1/?hl522;8 7df2<9:7o=ib;290g<6:3>449;|&0`f<4nk1Qmh4<{0195c<5m3wQ?l<53z35>4c=:10v'9m>:188mg7=831d85?50;9j001=831d8ho50;9j0f`=831d8n950;9lf7<722e?no4?::k72=<722e?n44?::m730<722e?:l4?::k255<722c:>n4?::k7<7<722e?954?::m727<722c?5;4?::m7fd<722c?io4?::m7`5<722e?mo4?::ka7?6=3`h;6=44o573>5<5<5<5<5<5<6=44o3f`>5<#:ki1>il4n3`a>5=5<#:ki1>il4n3`a>7=54o3g0>5<#:ki1>il4n3`a>1=5<#:ki1>il4n3`a>3=5<#:ki1>il4n3`a>==5<#:ki1>il4n3`a>d=o?n3:1(?ll:828j7de2810e5k50;&1ff<>82d9no4=;:k;`?6=,;hh64>4n3`a>6=h5jk0?76g7a;29 7dd20:0b?lm:498m=?=83.9nn460:l1fg<132c347>5$3``><6o?>3:1(?ll:828j7de2010e5;50;&1ff<>82d9no4n;:k;0?6=,;hh64>4n3`a>g=h5jk0h76g72;29 7dd20:0b?lm:e98m5$3``><6=n1?0;6)om5919m6gd=9:10e4=50;&1ff<>82d9no4>4:9j=7<72-8io77?;o0af?7232c3o7>5$3``><6ol;o0af?6<3`9jn7>5$3``>6gd3g8in7?4;h1be?6=,;hh6>ol;o0af?4<3k9mi7>51;294~"4lj0:;?5G3gf8k4162900qo:?1;295?6=8r.8hn4;7);=9;48y!5ck3i;7Woj:0y2e?{];h81=v?6:|kaf?6=3f9>97>5;n3g6?6=3f8n47>5;n1a1?6=3`k=6=44i5a2>5<6=44i5d3>5<5<5<h5jk0;76g:b;29 7dd247>5$3``>0e54i4494?"5jj0>o6`=bc87?>o2=3:1(?ll:4a8j7de2<10e8:50;&1ff<2k2d9no49;:k67?6=,;hh68m4n3`a>2=h5jk0376g:1;29 7dd25$3``>0eo6`=bc8`?>o3l3:1(?ll:4a8j7de2m10e;;50;&1ff<2k2d9no4j;:k50?6=,;hh68m4n3`a>c=12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?65}#;mi1=?h4H2dg?I5an3;p(<9j:407?xoei3:17dll:188md`=831bhi4?::m1f`<722wi?kk50;394?6|,:nh6<9=;I1e`>i6?80;66s|c383>7}Yk;16;7oi;|q`7?6=:rTh?638:cc8yv4>;3:1>v37}:?38ii6P=bd9~w7?22909wSjk;<59`a=zuk>847>53;294~"4lj095o5G3gf8m4132900e<9::188k7?>2900q~m=:181[e534=1o?5rsb194?4|Vj9019=7:056?xu5jl0;6?uQ2cg892<5jl1v>oj:180[5fm27<6ij4=51;>4133ty??:4?:3y>3?e434>847<69:~f4d6290n6>4>0z&0`f<6j81d=4;50;9j5o6?=0;66g>7483>>o6??0;66g>7683>>o6?10;66g>7883>>o6?h0;66g>7c83>>i5100;66sm18594?0=83:p(>jl:3c1?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::m1=<<722wi=4650;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|18794?4|V83>70?68;0:=>{t90h1<7>3;<86s|18f94?4|V83o70?66;341>{t90l1<7>3;<:6s|1`394?4|V8k:70?66;343>{t9h91<7>3;<56s|1`794?4|V8k>70?66;34<>{t9h=1<7>3;{t9hh1<7>3;{t9hl1<7?3;<;6s|18;94?4|V83270?67;342>{t;ll1<7?3;<46s|18494?4|583=6?76;<3:{zj:l?6=46:28a!5ck39m86a>o2;3:17d;;:188m03=831b9;4?::k60<729q/?im5779K7cb5<5<N4nm1b=::50;9j523=831b=:850;9j521=831d>4750;9~f6`429086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{t;o:1<77}Y=:16?k?51668yv332909wS;;;<1e5?70=2wx984?:3y]10=:;o;1=:84}r75>5<5sW?=70=i1;343>{t=10;6?uQ599>7c4=9><0q~;n:181[3f349m>7?87:p1g<72;qU9o523g09522{zj;h<6=4=:183!5ck3;4750;9~f631290>6=4?{%1gg?1>3A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{e;<=1<7=50;2x 6bd2;3i7E=id:k231<722c:;84?::m1=<<722wi>h750;194?6|,:nh6:<4H2dg?l70<3:17d?85;29?j4>13:17pl=e`83>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f6ef29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e9>n1<7750;2x 6bd2>o0D>hk;h340?6=3`;<97>5;h342?6=3`;<;7>5;h345;h34e?6=3`;5;n0:=?6=3th?n94?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo=mb;291?6=8r.8hn486:J0ba=n9>>1<75f16794?=n9><1<75f16594?=h:031<75rb2`g>5<2290;w)=kc;54?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a7g`=83?1<7>t$2f`>21<@:lo7d?84;29?l70=3:17d?86;29?l70?3:17b<69;29?xd4k80;684?:1y'7ae=??1C?kj4i057>5<6=44i055>5<5<55;294~"4lj0<46Fm::186>5<7s-9oo796;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66sm3b594?3=83:p(>jl:6;8L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3f8257>5;|`0g<<72<0;6=u+3ea93==O;on0e<9;:188m4122900e<99:188m4102900c?76:188yg7>k3:197>50z&0`f<0>2B8ji5f16694?=n9>?1<75f16494?=n9>=1<75`28;94?=zj83n6=4::183!5ck3=<7E=id:k231<722c:;84?::k233<722c:;:4?::m1=<<722wi=l>50;794?6|,:nh6:94H2dg?l70<3:17d?85;29?l70>3:17d?87;29?j4>13:17pl>a383>0<729q/?im5799K7cb5<5<N4nm1b=::50;9j523=831b=:850;9j521=831d>4750;9~f4g1290>6=4?{%1gg?1?3A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{e9h21<7;50;2x 6bd2>20D>hk;h340?6=3`;<97>5;h342?6=3`;<;7>5;n0:=?6=3th:ml4?:483>5}#;mi1;45G3gf8m4132900e<9::188m4112900e<98:188k7?>2900qo?nc;291?6=8r.8hn487:J0ba=n9>>1<75f16794?=n9><1<75f16594?=h:031<75rb0cf>5<2290;w)=kc;5;?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a5g6=83?1<7>t$2f`>2><@:lo7d?84;29?l70=3:17d?86;29?l70?3:17b<69;29?xd4kj0;694?:1y'7ae=:0n0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`0f2<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg5dm3:187>50z&0`f<51m1C?kj4i057>5<6=44i055>5<N4nm1b=::50;9j523=831d>4750;9~f6b729086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=zj83j6=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi8o850;194?6|,:nh6:<4H2dg?l70<3:17d?85;29?j4>13:17pl;b983>7<729q/?im516d8L6`c3`;5;n0:=?6=3tyin7>52z\af>;3j;0h>6s|34794?3|V:?>70=:6;0:=>;4=>0:;8524c69523<583j6<9:;|q1a=<72:qU>h64=3g:>7?>348nm7?84:p7g3=838pR>l:;<1`e?4>12wxm;4?:2y]e3=:1=::4=5`5>4133ty?o<4?:3y]0f7<5=h=6<9:;|q2=0<72;qU=4;4=0`2>4?23ty?j=4?:3y]0c6<5:l>6<9;;|q1f3<72;qU>o84=3`4>7?>3ty9i:4?:3y]6`1<5;oj6?76;|q011<72;qU?8:4=274>7?>3ty:;n4?:3y]52e<58=o6?76;|q2=g<72;q6=o?518`894?d2;327p}>9e83>7}:9k;1=4j4=0;f>7?>3ty:5k4?:3y>5g7=90l01a483>7}:9k;1=l;4=0c5>7?>3ty:mk4?:3y>5g7=9hl010;6?u21c395d1<58k36?76;|q2e<<72;q6=o?51`;894gf2;327p}>ac83>7}:9k;1=ll4=0c`>7?>3ty:mi4?:3y>5g7=9hn017}:;kl1>474=2a`>4123ty8o=4?:3y>7f7=:0301>ml:055?xu4k;0;6?u23b1967}:;j=1>474=2af>4123ty8o54?:3y>7f?=:0301>mj:055?xu4j?0;6?u23c5967p};b683>7}:7?>3ty8j=4?:3y>7c2=;o:01>h::056?xu6100;6?u21c395;5mh0:;85218c952254z\2`7=:9k;1?hh4=2d6>7?>349hm7?84:p0g5=838p19l=:b1891d32;327p};b483>7}:474=5`;>4143ty8oo4?:3y>7fe=:0301>l8:056?xu4km0;6?u23bg96700=9><01?k6:056?870l3;9b8232=:90o1=:94=0c3>41034;j>7?87:?2e1<6?>16=l85165894g?28=<70?na;343>;6ij0:;9521`g9523<58h;6<9:;%1b0?3d3g9j?7>4}r7a>5<68rT>n63;4=?0:;:522d;9522<58=o6<9n;<3:g?70>27:5h4>779>5d6=9><01a78230=:9h21=:;4=0cb>41134;jo7?87:?2e`<6?>16=o>51658 6g32o<:09~w0g=83lpR8o4=2d7>0g<5:?=6<9:;<34`?70127:5n4>749>5?01a58233=:9h<1=:84=0c;>41334;jm7?85:?2ef<6??16=lk5164894d728=?7)=n4;7`?k5f;380q~;7:18e[3?349m87;7;<162?70<27:;i4>799>5>01<7j:057?87f83;<963>a38231=:9h>1=:;4=0c5>41334;j47?86:?2ed<6?=16=lm5167894gb28=?70?m0;342>"4i=0>o6`3:1?vP:6:?0b1<2>27:;i4>769'7d2==j1e?l=54:p10<72:qU98523g6910=:9>n1=:84$2c7>0e01>h;:468941c28=>7)=n4;7`?k5f;3<0q~;<:180[34349m87;<;<34`?70<2.8m94:c:l0e6<03ty>>7>53z\66>;4jk0:;:523b19521<,:k?68m4n2c0>==z{<;1<7=t^43896de28==70=l3;341>"4i=0>o6`o<:`9~w1`=839pR9h4=2`a>413349h?7?84:&0e1<2k2d8m>4m;|q7a?6=?rT?i63410349h97?86:?0g2<6??16?n751678 6g32o<:b9~w1b=83=pR9j4=2`g>411349ij7?86:?0g4<6??16?n;5167896e028=>70=l9;340>"4i=0>o6`70=l5;340>;4k>0:;:523b;9521<,:k?68m4n2c0>`=z{?>1<79t^76896dc28=>70=mf;340>;4k80:;9523b79521<5:i<6<9;;<1`=?70>2.8m94:c:l0e66b=>0qG?kh51zJ17==zD=:;6?ua53c90a=#=;31:6s+3ea96`0u>6;0;>4e=u`hi6=44i5:3>5<5<5<5<21<75f48:94?=n1<75`2d`94?=h=h:mk1<7*=bb81`g=i:kh1=65`2d794?"5jj09ho5a2c`96>=h:l>1<7*=bb81`g=i:kh1?65`2d194?"5jj09ho5a2c`90>=h:l81<7*=bb81`g=i:kh1965`2d394?"5jj09ho5a2c`92>=h:l:1<7*=bb81`g=i:kh1;65`2ed94?"5jj09ho5a2c`9<>=h:mo1<7*=bb81`g=i:kh1565`2ef94?"5jj09ho5a2c`9e>=h:m31<7*=bb81`g=i:kh1n65fab83>!4ek3ki7com5ac9m6gd=921bm44?:%0ag?ge3g8in7<4;h7b>5<#:ki1945a2c`94>=n=10;6)ol52:9j10<72-8io7;6;o0af?5<3`??6=4+2ca91<=i:kh1865f5283>!4ek3?27com5589m6gd=>21b9<4?:%0ag?3>3g8in794;h73>5<#:ki1945a2c`9<>=nol5a:9j0a<72-8io7;6;o0af?d<3`<>6=4+2ca91<=i:kh1o65f6583>!4ek3?27com5589m6gd=m21b:?4?:%0ag?3>3g8in7h4;h42>5<#:ki1945a2c`955=h5jk0:=65f5g83>!4ek3?27co2m3:1(?ll:4;8j7de28907d;8:18'6ge==01e>ol51598f63?290:?7?512yO7c`=9rB9?55rL523>4}#=;3196s+3ea953bjl:27;?l44:3:17d<<3;29?l44<3:17d<<5;29?l44>3:17d<<7;29?le22900c?o9:188k7g?2900c?ol:188k7gb2900c?l?:188k7d62900c?l=:188k7d42900c?l;:188k7d22900c?o6:188k7gf2900n<==:180>4<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f45?29086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f42f29086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f43429086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f40729086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;713>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<77<2s-9oo7?:2:k173<722c9n?4?::k1f4<722e:8h4?::`214<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg7283:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<52z\173=:9<;1=::4}r0a6?6=:rT9n?52143952352z\1f4=:9<:1=:;4}r37a?6=:rT:8h521429652z?214<51016=8>51668yxd6=o0;684<:6y'7ae=97583>>o6?<0;66a=9883>>{e9<31<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th:9o4?:283>5}#;mi1>4o4H2dg?l70<3:17d?85;29?j4>13:17p}=3683>7}Y::=01<;l:057?xu5j:0;6?uQ2c18943d28=>7p}=3383>7}Y::801<;6:057?xu5j90;6?uQ2c28943>28=>7p}>5683>7}Y9<=01<;m:3;:?xu6=10;6?u214a967psm17c94??==3np(>jl:04b?l4e83:17d<<2;29?l44=3:17d<<3;29?l44?3:17d>1<75f16794?=n9><1<75`28;94?=zj8<86=4;:183!5ck3=>7E=id:k231<722c:;84?::k233<722e9544?::a533=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd6>>0;694?:1y'7ae=?<1C?kj4i057>5<6=44i055>5<3:12900q~{t::81<7:t^311?87113;<963>628230=:9?=1=:;4}r001?6=:rT9?85217;952252z\176=:9?91=::4}r003?6=:rT9?:52177952252z\1f6=:9??1=:;4}r0be?6=:rT9ml5217:952152z\171=:9?=1=::4}r355?6=:rT::<5217:967>52z?22<<51016=;651668yv71;3:1>v3>6281=<=:9?21=:;4}r350?6=:r7::84=989>53>=9><0q~?96;296~;6>>09545217:952>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo?:5;292?6=8r.8hn48c:J0ba=n9>>1<75f16794?=n9><1<75f16594?=n9>21<75`28;94?=zj;k<6=4=:183!5ck3;4750;9~f7ge29096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb3cg>5<5290;w)=kc;34b>N4nm1b=:=50;9l65<7s-9oo7?8f:J0ba=n9>91<75`28;94?=z{;996=4;{_006>;6=o09??5217c9664<58?>6<9;;|q176<72:qU>>=4=04b>75434;>97?85:p662=839pR?=;;<35e?44<27:984>769~w7522908wS<<5:?22d<5;<16=8;516:8yv44>3:1?vP=379>504=::<01<:l:057?xu5;>0;6>uQ2258943a2;9<70?9a;003>{tk<0;64uQc49>564=k;16=>65c39>515=k;16=9o5c39>51b=k;16=8=5c39>500=k;16=;>5c39~w7g12909wSwS{t:k;1<7=t^3`2?872;38ii63>5381f4=z{;h96=4;{_0a6>;6h6<9:;|q1f6<72=qU>o=4=06b>7db34;>j74kd:?213<5jl16>l951618yv4e=3:18vP=b49>56>=lm16=9=52cg897ge28=87p}=a883>1}Y:h301<==:ef8945?2;hn70{t:hk1<7:t^3cb?874:38ii63>6`81ed=::hl1=:=4}r37f?6=:r7:8l4kd:?20f<5101v<:j:181873l3no70?:2;37a>{t9<>1<7ab<58?>6?76;|q212<72;q6=885de9>50`=9<=0q~?91;296~;6>90oh63>6`8224=zuzi96=4={_a1?871k3i>7p}=a883>7}Y:h301<8l:3c:?xu5ih0;6?uQ2`c8940d2;kj7p}=a783>7}Y:h<01<8l:3c5?xu5i10;6?uQ2`:8940d2;k37p}=ab83>7}Y:hi01<8l:3c`?xu5il0;6?uQ2`g8940d2;kn7p}=b183>7}Y:k:01<8l:3`3?xu5j80;6?uQ2c38940d2;h:7p}=b383>7}Y:k801<8l:3`1?xu5j:0;6?uQ2c18940d2;h87p}=b583>7}Y:k>01<8l:3`7?xu5j<0;6?uQ2c78940d2;h>7p}=3383>7}Y::801<8l:311?xu5;:0;6?uQ2218940d2;987p}=3583>7}Y::>01<8l:317?xu5;<0;6?uQ2278940d2;9>7p}=3783>7}Y::<01<8l:315?xu5;>0;6?uQ2258940d2;9<7psmeg83>6<62:qG?kh51zJ17==zD=:;6{#;mi1>9k4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5fag83>>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f1ee29086<4<{M1eb?7|@;937pB;0182!3513?0q)=kc;07a>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab3}K;ol1=vF=399~H1672hq/9?755:&663<3>?4=82w/?im524;8mf4=831bo>4?::kg`?6=3f8ii7>5;h1g2?6=3k=1<7;52;7xH6`a28qC>>64}%1gg?43:2coh7>5;n0aa?6=3`i96=44ib194?=njj0;66l8:186>5<7s-9oo7?=f:J0ba=njh0;66gmc;29?lga2900eij50;9l6gc=831vn>hj:182>5<7s-9oo7?82:J0ba=h9>;1<75rsb094?4|Vj801:4nf:pg6<72;qUo>527;`b?xu51:0;6?u23gg9527<5>0io6s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab5}#;mi1=:h4H2dg?l70;3:17b<69;29?xd3;h0;6>4?:1y'7ae=:0h0D>hk;h340?6=3`;<97>5;n0:=?6=3tyh>7>52z\`6>;02j80q~m<:181[e434>8m7?85:p6gc=838pR?lj;<596gc53z\0`3=:<:21=:=4=51b>4133ty??44?:3y>3?e434>8m7<69:p061=838p1:4kd:?77=<5101vqo=76;291?4==rF8jk4>{I00<>{K<9:1=v*:2886?x"4lj09:<5fde83>>i5jl0;66gl2;29?le42900eom50;9a3?6==3:15;hfg>5<:188yve52909wSm=;<59ec=z{j91<74=50;0x96`b28=:7095bb9~w7?32909w0952cg8Z7db3ty9584?:3y]`a=:?3no7psm4``94?5=939p@>hi:0yK66>;<7?t$40:>0=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg4a:3:1?7?53zN0bc<6sA8846sC41295~"2:00>7p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<7>=:180>4<4sE9mj7?tH31;?xJ3890:w);=9;78y!5ck38=?6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7A=if;3x 41b2<8?7pgnf;29?lbc2900c?lj:188yve52909wSm=;<59ec=z{;386=4={<596gc7}Ylm16;7jk;|a6cg=8391=7=tL2de>4}O::20qA:?0;3x 04>2<1v(>jl:347?lbc2900c?lj:188mf4=831i;7>53;294~"4lj0:>i5G3gf8H6`a28q/=:k55368ylga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab6}K;ol1=vF=399~H16728q/9?755:'7ae=:=o0eij50;9l6gc=831bo?4?::`4>5<4290;w)=kc;31`>N4nm1bmk4?::kg`?6=3f8ii7>5;|q`6?6=:rTh>638:`d8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srb222>5<42;0?wA=if;3xL75?3tF?<=4>{%71=?35;ha1>5<0;6>4?:1y'7ae=9;n0D>hk;M1eb?7|,8=n68<;;|kbb?6=3`no6=44o3`f>5<4750;9~wf4=838pRn<4=b4952552z?4>d`<5j<1>474}r0:0?6=:r7<6?lj;_0aa>{t:0?1<77<3sE9mj7?tH31;?xJ3890:w);=9;78y!5ck38=:6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7doi:188mab=831d>ok50;9~ff0=8381<7>t$2f`>41a3A9mh6g>7283>>i5100;66s|c383>7}Yk;16o;4>729~w7?42909w095ag9>g3<5101v?7;:18181=:ko0R?lj;|q1=0<72;qUhi527;fg?x{e:o31<7;52;4x 6bd2;l27b50z&0`f<0<2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb3d4>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~w7`22909wS8<:181[51;279j54>779~w63>2909wS=:9:?1b=<6?=1v?h<:181[4a;279j54>749~w6032909wS=94:?1b2<6?<1v?h9:18184a0382563=f68231=zuk9;n7>57;79f~"4lj08;0D>hk;h340?6=3`;<97>5;n0:=?6=3th8<84?:283>5}#;mi1>4o4H2dg?l70<3:17d?85;29?j4>13:17pl<0783>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f66>290?6=4?{%1gg?4>l2B8ji5f16694?=n9>?1<75f16494?=h:031<75rs220>5<5sW9;?63<0881=<=z{:<86=4={_157>;48?0:;95rs27:>5<4sW9>563<0`8231=:;9?1=::4}r0e7?6=:rT9j>5231c952352z\023=:;921=::4}r1;2?6=:rT84;5231:952352z\022=:;931=:84}r130?6=:r78753=9>?0q~<63;296~;48<095452314952352z?043<51016?=751668yv57?3:1>v3<0981=<=:;931=:;4}|`045<72?0865u+3ea97565<5<5<kj50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl=fd83>1<729q/?im528f8L6`c3`;<87>5;h341?6=3`;<:7>5;n0:=?6=3ty9jo4?:3y]6cd<5;ln6?76;|q026<72;qU?;=4=3de>4123ty8944?:3y]70?<5;lm6<9;;|q023<72;qU?;84=3dg>4123ty84;4?:3y]7=0<5;lo6<9;;|q02d<72;qU?;o4=3df>4113ty9jn4?:3y>6c`=:0301?hj:057?xu5nm0;6?u22gf967`63f8no7>5;h157?6=3`9>57>5;h150?6=3`9=97>5;h152?6=3`93:7>5;h15e?6=3k8m<7>53;294~"4lj0<=6F5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm2dd94?0=83:p(>jl:3c1?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::m1=<<722wx>hm50;0xZ7cd348nj7<69:p735=838pR>8<;<0e4?70=2wx?8750;0xZ63>348m<7?84:p732=838pR>8;;<0fb?70=2wx?;;50;0xZ602348nj7?86:p730=838pR>89;<0fa?70=2wx?5850;0xZ6>1348ni7?84:p73g=838pR>8n;<0fb?7002wx>hj50;0x97`72;3270{t:lo1<77?>348nj7?87:~f11a290i684i{%1gg?20n2e?;;4?::k026<722c8944?::k020<722cnj7>5;h152?6=3`93:7>5;h153?6=3`9=47>5;h15=?6=3`9=m7>5;c64a?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f11?29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e<>k1<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th?;n4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:8d;295<5<5<5<<:7>52z\733=:<>n1>474}r157?6=:rT8:>5246g952357>52z\01<=:<>o1=::4}r151?6=:rT8:85246:9522{t;1<1<7=t^2:5?820i3;<863;7b8231=z{:<<6=4={_153>;3?j0:;85rs24;>5<5sW9=463;7e823==z{:<26=4={_15=>;3?m0:;45rs24b>5<5sW9=m63;7e823d=z{==<6=4={<64a?4>127?;i4>759~w11?2909w0:88;0:=>;3?m0:;85rs55:>5<5s4>54;192~"4lj08=<5`2e;94?=n:o81<75f6483>>o2?3:17o=>0;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb22f>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a75`=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{t:m31<70;340>;48l0:;95rs7794?4|V??01>??:056?xu2?3:1>vP:7:?04`<6?<1v>>l:1818568382563<0g8231=z{::o6=4={<13a?4>1278749~yg55;3:187=56z&0`f<4::1d>ij50;9j6c4=831b8i4?::k6a?6=3k99>7>53;294~"4lj0<=6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm33394?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p6ab=838pR?jk;<115?4>12wx>k<50;1xZ7`53499>7?84:?065<6?=1v9j50;0xZ1b<5:896<9:;|q6a?6=:rT>i63<218230=z{:;n6=4={<116?4>1278><4>759~w67a2909w0==0;0:=>;4:80:;85r}c101?6=<391:v*50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj:996=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi?>=50;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|2d294?4|V;o;70=<3;0:=>{t:o81<7=t^3d1?854<3;<863<338231=z{=o1<77p}:f;296~X2n278??4>749~w6572909w0=<4;0:=>;4;:0:;95rs212>5<5s498>7<69:?076<6?<1vqo=r.8hn4<3c9l6`7=831b>k<50;9j0c<722c=<7>5;c10e?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f65?29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e;:31<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx>h?50;0xZ7c6349857<69:p6c4=839pR?h=;<10e?70<278?54>759~w1`=838pR9h4=21b>4123ty=<7>52z\54>;4;10:;85rs215>5<5s498m7<69:?07<<6?=1v>=8:1818540382563<388230=zuk9?=7>54;192~"4lj088<5`2d094?=n:o81<75f5183>>o193:17o=;0;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb21f>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a76`=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{t:l81<7;4;l0:;95rs4294?4|V<:01>:?:056?xu193:1>vP91:?07`<6?<1v>=l:1818538382563<3g8231=z{:9o6=4={<10a?4>1278?k4>749~yg53?3:187=56z&0`f<4<>1d>h=50;9j6c4=831b9<4?::k56?6=3k9?:7>53;294~"4lj0<=6F:;:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm35794?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p6`5=838pR?k<;<171?4>12wx>k<50;1xZ7`5349?:7?84:?001<6?=1v8?50;0xZ07<5:>=6<9:;|q56?6=:rT=>63<458230=z{:>96=4={<172?4>1278884>759~w6242909w0=;4;0:=>;4<<0:;85r}c17`?6=<391:v*1<75f2g094?=n=<0;66g:a;29?g53k3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj:>j6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi?9l50;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|2d694?4|V;o?70=;b;0:=>{t:o81<7=t^3d1?853k3;<863<4`8231=z{7p}:a;296~X2i2788l4>749~w62?2909w0=;c;0:=>;45<5s49?m7<69:?00g<6?<1vqo=:3;290?5=>r.8hn4<529l6`3=831b>k<50;9j17<722c=87>5;c166?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f63729086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e;<;1<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx>h;50;0xZ7c2349>=7<69:p6c4=839pR?h=;<166?70<2789=4>759~w04=838pR8<4=271>4123ty=87>52z\50>;4=90:;85rs26f>5<5s49>>7<69:?014<6?=1v>:i:1818528382563<508230=zuk9957>54;192~"4lj08>45`2eg94?=n:o81<75f5283>>o1;3:17o==8;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb205>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a771=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{t:mo1<7;4:?0:;95rs4194?4|V<901><7:056?xu1;3:1>vP93:?063<6?<1v><;:1818550382563<268231=z{:8>6=4={<112?4>1278>:4>749~yg56?3:187=56z&0`f<49>1d>io50;9j6c4=831b994?::k57?6=3k9::7>53;294~"4lj0<=6F?;:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm30794?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p6ag=838pR?jn;<121?4>12wx>k<50;1xZ7`5349::7?84:?051<6?=1v8:50;0xZ02<5:;=6<9:;|q57?6=:rT=?63<158230=z{:;96=4={<122?4>1278=84>759~w6742909w0=>4;0:=>;49<0:;85r}c11b?6=<391:v*50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj:8h6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi??j50;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|2ed94?4|V;nm70==d;0:=>{t:o81<7=t^3d1?855m3;<863<2b8231=z{<<1<77p}:8;296~X20278>n4>749~w64f2909w0==e;0:=>;4:m0:;95rs20a>5<5s499o7<69:?06a<6?<1vqo=>d;290?5=>r.8hn4<1e9l6ae=831b>k<50;9j13<722c>47>5;c12g?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f67f29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e;8h1<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx>im50;0xZ7bd349:n7<69:p6c4=839pR?h=;<12g?70<278=l4>759~w00=838pR884=23`>4123ty>47>52z\6<>;49h0:;85rs23;>5<5s49:o7<69:?05g<6?=1v>?6:181856i382563<1c8230=zuk9=>7>55;294~"4lj09m=5G3gf8m4132900e<9::188m4112900e<98:188k7?>2900qo:la;296?6=8r.8hn4>7g9K7cb5<h:7>52;294~"4lj0:;k5G3gf8m4142900c?76:188yg2cm3:1>7>50z&0`f<6?o1C?kj4i050>5<2900qo:me;296?6=8r.8hn4>7g9K7cb5<53;294~"4lj0<<6F;m:186>5<7s-9oo797;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66sm34f94?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`01c<72=0;6=u+3ea931=O;on0e<9;:188m4122900e<99:188k7?>2900qo=91;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb5a:>5<4290;w)=kc;51?M5al2c:;94?::k230<722e9544?::a0ab=83>1<7>t$2f`>23<@:lo7d?84;29?l70=3:17d?86;29?j4>13:17pl;a883>1<729q/?im5749K7cb5<5<ih7>54;294~"4lj0<86F4750;9~f1e7290?6=4?{%1gg?4>l2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb25a>5<4290;w)=kc;51?M5al2c:;94?::k230<722e9544?::a72b=8391<7>t$2f`>26<@:lo7d?84;29?l70=3:17b<69;29?xd4?o0;684?:1y'7ae=??1C?kj4i057>5<6=44i055>5<5<53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900qo=7e;297?6=8r.8hn4=9b9K7cb5<3:1N4nm1b=::50;9j523=831b=:850;9j521=831b=:650;9l67?:180>5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rb5cg>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a0d`=83>1<7>t$2f`>22<@:lo7d?84;29?l70=3:17d?86;29?j4>13:17pl=f583>1<729q/?im5729K7cb5<5<4750;9~f1ec29096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb2:2>5<5290;w)=kc;34b>N4nm1b=:=50;9l66<:181>5<7s-9oo7?8f:J0ba=n9>91<75`28;94?=zj:2h6=4=:183!5ck3;4750;9~f1d629096=4?{%1gg?70n2B8ji5f16194?=h:031<75rsc`94?b|Vkh01>;7:b089``=k;168nl5c39>72?=k;16?585c39>0dd=k;16>k<5c39>754=k;16>ko5c39>6`d=k;16?=?5c39>023=k;1v96?:181[2?827m=7?83:p0ad=838pR9jm;<6ga?4>12wx88850;1xZ13134>h57?84:?7fa<6?=1v9m8:181[2d?27?ol4=989~w1de2909wS:mb:?7f`<5101v?k7:180[4b02789o4>749>70`=9>>0q~:85;296~X3?<168:;52cg8yvg1290769>70b=9>>01>;i:056?82fn3;<:63=f58231=z{=ki6=4={_6bf>;3ik09nh5rs25;>5<2sW9<463<7c8230=:;>n1=:;4=2:f>41234>hh7?83:p0<>=838pR977;<6b=?70<2wx8k>50;7xZ1`734>h57?85:?7`a<6?<168l75167891ga28=>7p};cd83>7}Y0;69uQ2d58963?2;9?70:md;341>;5n=0:;85rs5a6>5<5sW>h963;c781=<=z{:??6=4={_160>;3k?0:;>5rs3ga>5<5sW8nn63=ec81f`=z{=k<6=4={_6b3>;3ih09545rs2:5>5<>s49>47<<7:?0<3<5jl16?=l5394896672:2=70;3?o084;5234f9523<5:?m6<99;<155?70=2wx?==50;0x96652mn01>>m:220?xu40>0;6?u239:96{t;?i1<7=t=27;>7g?349{t;?n1<7=t=27;>7gd3498j:180852038ji63<7g8232=:;121=:94}r6bg?6=:r7?mi4=989>0d`=9>>0q~:ne;296~;3io0954524c39525oo7>52z?7``<6?:168ij528;8yv5?83:1>v3<7880`3=:;1;1>474}r1;6?6=:r78;44l3:?0<6<5101v>8<:185852038i<63=f88026=:;9h1?;=4=223>604348m=7=93:?73c<4>:1v>96:180850138ii63<8`8230=:;757=lm16>k75376897`62:6}:;<21>o<4=3d2>60234>;7:3`0?857j39=:63<018023=::o;1?;84=55e>6013ty8::4?:2y>70>=:k>01>>m:244?820n39=;6s|37:94?4|5:?36?l:;<64b?5102wx?;750;0x963?2;k270:8f;15=>{t;?k1<7:t=27;>7gf349;<7=9a:?1b4<4>h168:h537c8yv2f03:1>v3;a`8236=:474}r6ag?6=:r7?ni4=989>0f6=9>>0q~63<2281b7=:;:?1>k<4=21a>7`5349?=7;49>09j?5233d96c4<5:;o6?h=;<6g`?70>27?m44>779~w7`f290>w0=:8;001>;5nh09nh523739522<5=ho6<99;<0e0?70>2wx>k;50;0x97`52mn01?h6:3d6?xu3??0;6?u24679`a=:<>l18:84}r6`g?6=:r7?oo4kd:?7ga<5101v>66:18185?>3no70=7a;0:=>{tab<5=h:6?76;|q01<<72>q6?865220897`>2:?270=?b;16=>;4890894522g3970?<5==m6>;6;<156?4>12wx?:o50;1x961e2;3270=60;340>;4080:;>5rs25`>5<4s493m7?84:?03a<51016?5;51668yv52i3:1>v3<638231=:;

474}r14a?6=:r78;k4=989>7=3=9>?0q~=:c;296~;4>;0:;85234f96i7>52z?027<6??16?8h528;8yv5183:1>v3<638232=:;?;1>474}r6ab?6=:r7?nh4>729>0f6=:030q~h?:1818ca2mn01k?528;8yv5793:1>v3<0081f`=:7=5=9>90q~=?2;296~;48;09nh524b2952352z?1ag;4?k0:;95236f9522<5:2n6<9;;|q7g=<72;q68no5161891e>2;327p}=f283>6}::o31>k=4=22a>7`4348m87<69:p7=b=838p1>6j:3;:?85?03;<46s|39d94?4|5:3;6?76;<1;g?70;2wx>im50;0xZ7bd349:h7h;50;0xZ7c2349>?7h=50;0xZ7c4349?;7h?50;0xZ7c63498n7ih50;0xZ7ba3499j7ij50;0xZ7bc3499?74133-9j87om;o1b7?4d;75?!5f<3?27c=n3;08yv322909wS;:;<17`?323-9j87;6;o1b7?50q~;<:181[34349957;<;%1b0?3>3g9j?7;4}r71>5<5sW?970=:3;71?!5f<3?27c=n3;48yv362909wS;>;<173?363-9j87;6;o1b7?13g9j?774}r6f>5<5sW>n70=<5;6f?!5f<3?27c=n3;c8yv2c2909wS:k;<117?2c3-9j87;6;o1b7?d6=4={_46?85693<>7)=n4;7:?k5f;3i0q~8;:181[03349>?78;;%1b0?3>3g9j?7j4}r40>5<4sW<870==9;40?856?3<87)=n4;7:?k5f;3o0q~8=:181[05349?;78=;%1b0?3>3g9j?7h4}r42>5<5sW<:70=;1;42?!5f<3?27c=n3;33?xu183:1>vP90:?07g<182.8m94:9:l0e6<692wx9k4?:3y]1c=:;:?19k5+3`691<=i;h91=?5rs4g94?4|V<<:4g8 6g32<30b>o<:018yv302909wS;8;<125?303-9j87;6;o1b7?733twi89h50;35>72=;=qG?kh51zJ17==zD=:;6?ua53c927=#=;31:6s+3ea95<2>i6l<0;66g;9983>>o61<0;66g;f183>>o3kl0;66a>b683>>o5j?0;66a=1e83>>i30h0;66a=0583>>i1>3:17b8i:188k1022900c0q)=kc;35a>od:3:17b3:17o?9c;29f?>=mrF8jk4>{I00<>{#;mi1?i94i311>5<5<6=44i315>5<>64}%1gg?7492coh7>5;n0aa?6=3`i96=44b683>6<729q/?im513f8L6`c3E9mj7?t$05f>0433tcjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm12:94?5=939p@>hi:0yK66>5;ha1>5<0;6>4?:1y'7ae=9;n0D>hk;M1eb?7|,8=n68<;;|kbb?6=3`no6=44o3`f>5<3:17d>1<75f16794?=h:031<75rb016>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~w4552909wS?<2:?273<6?=1v?=9:181[44>27:?;4>749~w7d72909wS;6;<0:;95r}c376?6=03?1ov*;0D>hk;h340?6=3`;<97>5;n0:=?6=3th:?o4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo?>1<75f16794?=h:031<75rb01e>5<2290;w)=kc;5;?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a516=83?1<7>t$2f`>7g73A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{t::81<7;6;k0:;95212d952353z\1f5=:9:h1=:;4=01g>4123ty9?;4?:3y]660<589o6<9;;|q176<72;qU>>=4=01e>4113ty9?94?:3y]662<589m6<98;|q170<72;qU>>;4=01e>4133ty:?44?:3y]56?<58>;6?76;|q27d<72;q6=9?528;8942728=?7p}>3c83>7}:9:h1>474=063>4123ty:?n4?:3y>56b=:0301<:?:055?xu6;l0;6?u212d96;6<98;|a6d1=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd5ik0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<=6F5<7s-9oo79=;I1e`>o6?=0;66g>7483>>i5100;66s|12094?1|58996?lj;<303?74:27:8?4>339>6d1=9>>01?om:056?84fl3;<963=ag8230=z{;996=4={_006>;6<;09??5rs310>5<5sW88?63>438176=z{;9?6=4={_000>;6<;09?95rs316>5<5sW88963>438170=z{;9=6=4<{_002>;6;>09?;5215096606=4<{_a6?874:3i970?<8;a1?xu5i?0;6?uQ2`4897g02;327p}=a983>7}Y:h201?om:3;:?xu5ij0;6?uQ2`a897gc2;327p}=ad83>7}Y:ho01?oi:3;:?xu5j90;65uQ2c28945?2;hn70?<7;0a4>;6<;09n=522`59523<5;ki6<9;;<0b`?70<279mk4>759~w4542909w0?<2;fg?874?3;8?6s|12;94?4|58936ij4=061>45>3twxo?4?:3y]g7=:9?i1o85rs3c5>5<5sW8j:63>6b81e3=z{;k36=4={_0b<>;6>j09m55rs3c`>5<5sW8jo63>6b81ef=z{;kn6=4={_0ba>;6>j09mh5rs3`3>5<5sW8i<63>6b81f5=z{;996=4={_006>;6>j09??5rs310>5<5sW88?63>6b8176=z{;9?6=4={_000>;6>j09?95rs316>5<5sW88963>6b8170=z{;9=6=4={_002>;6>j09?;5r}c3;b?6=;3;1?vB51z&66<<33t.8hn4=459j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:N0bc<6s-;5;n0aa?6=3tyh>7>52z\`6>;02hl0q~<63;296~;02;hn7S{zj8h=6=4<:080I5an3;pD?=7;|N745<6s-?957:4}%1gg?43m2coh7>5;n0aa?6=3`i96=44b683>6<729q/?im513f8L6`c3`km6=44ief94?=h:ko1<75rsb094?4|Vj801:4nf:p6<5=838p1:4=bd9]6gc52z\g`>;02mn0qpl>e683>0<52{#;mi1>;?4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb3:2>5<32;0?wA=if;3xL75?3tF?<=47{%71=?2<,<8=69:m;%72`?bc3-?:j7=84>;%715?5fm2d>=:4>;|&0`f<5<<1bo?4?::kg`?6=3f8ii7>5;h1ba?6=3k=1<7=51;1xH6`a28qC>>64}%1gg?43:2coh7>5;n0aa?6=3`i96=44b683>6<729q/?im513f8L6`c3`km6=44ief94?=h:ko1<75rsb094?4|Vj801:4nf:p6<5=838p1:4=bd9]6gc52z\g`>;02mn0qpl;2283>7<729q/?im516d8L6`c3`;5;n0:=?6=3tyh>7>52z\`6>;02j80q~oj;<617?70;2wx8?<50;0x92>4=989~yge6290?6<4<{M1eb?7|@;937pB;018;!3513>0(8<9:56a?!36l3no7);>f;0aa>"29k0h>6`:1482?!35939ji6`:1683?x"4lj098;5fc383>>ocl3:17b6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7doi:188mab=831d>ok50;9~wf4=838pRn<4=68bb>{t:091<7638:b08yv4em3:1>vP=bd9>3?4em2wx?lk50;0xZ6gb34=1hi5r}c4e>5<22;0>wA=if;3xL75?3tF?<=4n{%71=?2<,<8=69:m;%72`?bc3-?:j7=84>;%72g?e43g?::7?4$401>6b13g?:47?4}%1gg?43?2ch>7>5;ha0>5<{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb51b>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wf4=838pRn<4=68`6>{tk:0;6?uQc29>06g=9>?0q~j9;<59`a=:<:k1=::4}r60=?6=:r7<6n=4=51b>7?>3twi=nk50;796?3|D:lm65;c594?3=83:p(>jl:00e?M5al2cim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqo<>9;291?4==rF8jk4>{I00<>{K<9:1=v*:2887?x"4lj09:<5fde83>>i5jl0;66gl2;29?le42900eom50;9a3?6==3:15;hfg>5<:188yve52909wSm=;<59ec=z{j91<74=50;0x96`b28=:7095bb9~w7?32909w0952cg8Z7db3ty9584?:3y]`a=:?3no7psm1e794?5=939p@>hi:0yK66>;<7?t$40:>1=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg46l3:1?7?53zN0bc<6sA8846sC41295~"2:00?7p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900q~m=:181[e534=1mk5rs3;0>5<5s4=1>ok4^3`f?xu51=0;6?uQde9>3?bc3twi=o950;195?5|D:lm6n7djk:188k7db2900en<50;9a3?6=;3:1X5jl1v?7;:181[bc34=1hi5r}c030?6=;3;1?vB51z&66<<33t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<?0;6>4>:2yO7c`=9rB9?55rL523>4}#=;3186s+3ea961c5<7s-9oo7?=d:J0ba=nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<7l?:186>7<2sE9mj7?tH31;?xJ3890jw);=9;68 0412=>i7);>d;fg?!36n38ii6*:1c8`6>h29<0:7);>c;a0?k36>3;0(8<>:2cf?k36?3:0q)=kc;07<>od:3:17dm<:188mab=831d>ok50;9j7dc=831i;7>55;091~J4no0:wE<<8:'7ae=:=80eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3E9mj7?t$05f>0433tcim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqo:<8;297?6=8r.8hn4=9c9K7cb5<5<5sWi97095c39~wf5=838pRn=4=51;>4123ty9nh4?:3y]6gc<5>09nh5rs2cf>5<4sW9ji638:ef8915?28=?7p};3683>7}:?3i870:<8;0:=>{zj8oh6=49:385I5an3;pD?=7;|N74512e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?6<,<896>j9;o72{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb51;>5<3290;w)=kc;0:a>N4nm1b=::50;9j523=831b=:850;9l60h>6s|c283>7}Yk:168>651648yv4em3:1>vP=bd9>3?4em2wx?i850;0xZ6b134>847?85:p7dc=839pR>oj;<59`a=:<:21=::4}r603?6=:r7<6n=4=51;>7?>3twi8;;50;797?0|D:lm6b;a1?k36=3;0(8?l:b18j071281/9?<53e48j07?291v(>jl:37:?le52900en=50;9j`a<722e9nh4?::k0`3<722h<6=4::386I5an3;pD?=7;|&0`f<5<;1bhi4?::m1f`<722ch>7>5;ha0>5<2g9K7cb>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi8>650;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{e<:k1<7=50;2x 6bd2;3i7E=id:k231<722c:;84?::m1=<<722wxo?4?:3y]g7=:?3i97p}l3;296~Xd;27??l4>749~w7db2909wS7db3ty8h;4?:2y]7a0<5=936<9<;<60e?70<2wx8>750;0x9206>=:030qpl>e283>d<028:p(>jl:0g0?j7c03:17d=kb;29?l0a2900e2900e<6i:188f4c529086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e9mk1<7:50;2x 6bd2>>0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`2`g<72:0;6=u+3ea964?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<<6F5<7s-9oo79;;I1e`>o6?=0;66g>7483>>o6??0;66a=9883>>{e9l;1<7:50;2x 6bd2;3o7E=id:k231<722c:;84?::k233<722e9544?::p5a>=838pR12wx?il50;0xZ6be34;oo7?84:p2c<72:qU:k521d09522<58nj6<9;;|q2a2<72;qU=h94=0g1>4123ty:h;4?:3y]5a0<58nj6<9:;|q7b5<72;qU8k>4=0fb>4113ty8h54?:3y]7a><58nn6<9;;|q2`0<72:qU=i;4=0ff>41234;n<7?85:p7a?=838pR>j6;<3f4?70>2wx=5h50;0xZ4>a34;n<7?84:p5a?=838p14=50;0x94be2;3270?kc;341>{t:0>1<77?>34;n=7?84:p5ab=838p12wvn?<6:18b>2<68r.8hn4=289l64c=831b?il50;9j2c<722c:i:4?::k2`3<722c?j=4?::k0`=<722c9=i4?::k0`<<722c:4k4?::`16=<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg4583:187>50z&0`f<0=2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb302>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~f74529086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e:;>1<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th9>;4?:583>5}#;mi1;95G3gf8m4132900e<9::188m4112900c?76:188yg45?3:187>50z&0`f<51m1C?kj4i057>5<6=44i055>5<52z\0`g=::;81=::4}r4e>5<4sW;5:90:;85rs0g4>5<5sW;n;63=298231=z{8n=6=4={_3g2>;5:90:;;5rs5d3>5<5sW>m<63=218231=z{:n36=4={_1g<>;5:=0:;95rs33g>5<4sW8:h63=258230=::;<1=:;4}r1g=?6=:rT8h452234952052z\254=989>677=9>>0q~<=0;296~;5:9095452233952352z?164<51016>?<51678yv4><3:1>v3=2381=<=::;=1=::4}r017?6=:r79>94=989>671=9>?0q~<=5;296~;5:?095452235952043|,:nh65;h4e>5<5<5<5<13:17pl>c083>6<729q/?im5709K7cb5<50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj8i?6=4<:183!5ck382m6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm1b594?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|`2g<<72:0;6=u+3ea937=O;on0e<9;:188m4122900c?76:188yg7di3:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<<6F5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm1cf94?2=83:p(>jl:3;g?M5al2c:;94?::k230<722c:;;4?::m1=<<722wx=o650;0xZ4d?34;ih7<69:p7ad=838pR>jm;<3`1?70<2wx:k4?:4y]2c=:9ko1=::4=0a0>41334;h;7?84:?2g<<6?=1v749>5f1=9>?0q~?k6;297~X6l?16=oh5167894e628=?7p};9983>6}Y<0201;6jj0:;85rs2f;>5<5sW9o463>b`8231=z{8ih6=4={_3`g>;6jj0:;95rs0`:>5<5s4;ii7<69:?2g1<6?=1vc38231=z{8i;6=4={<3`5?4>127:o?4>749~w7?42909w0?l2;0:=>;6k:0:;85rs3;7>5<5s4;h?7<69:?2g1<6?<1v?7::18187d<382563>c48230=z{;3=6=4={<3`1?4>127:ni4>759~w4e12909w0?l7;0:=>;6kh0:;95rs0a;>5<5s4;h57<69:?2gd<6?<1v?78:18187di382563>b`8230=z{;336=4={<3ae?4>127:ni4>749~w4dd2909w0?mc;0:=>;6jm0:;;5r}c026=44i2fa>5<1<75f3e:94?=n9ji1<75m21`94?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|`14f<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg47m3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj;:m6=4<:183!5ck382m6F5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm20394?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::a644=8391<7>t$2f`>26<@:lo7d?84;29?l70=3:17b<69;29?xd59=0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<>6F5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rb324>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a65?=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd58h0;694?:1y'7ae=:0n0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|q140<72;qU>=;4=32b>7?>3ty8ho4?:3y]7ad<5;;96<9;;|q5b?6==rT=j63=0c8231=::8:1=::4=337>413348::7?84:p64?=839pR??6;<03f?70=279=94>749~w4b12908wS?k6:?14f<6?<16>=k51668yv2dm3:1?vP;cd9>65e=9>>01??9:056?xu58=0;6>uQ2168976b28=>70{t;m21<7{t:9<1<77?>348:=7?84:p65>=838p1?>l:3;:?847n3;<86s|21f94?4|5;:n6?76;<03b?70=2wx>4=50;0x976a2;3270<>0;341>{t:0>1<77?>348:=7?85:p6<3=838p1??>:3;:?846:3;<96s|28494?4|5;;96?76;<03e?70<2wx><=50;0x97732;3270<>7;340>{t:8?1<77?>348:;7?85:p6<1=838p1??8:3;:?847?3;<96s|28:94?4|5;:<6?76;<03e?70=2wx>=750;0x976>2;3270{zj8n?6=4=:183!5ck3;4750;9~f1>c29096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb0g:>5<1290;w)=kc;5g?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::m1=<<722wi?o<50;694?6|,:nh6::4H2dg?l70<3:17d?85;29?l70>3:17b<69;29?xd6ml0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<=6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm49a94?3=83:p(>jl:6:8L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3f8257>5;|`2ag<72=0;6=u+3ea9613:17pl>d683>1<729q/?im5759K7cb5<5<53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900qo=m4;297?6=8r.8hn4=9`9K7cb5<2900qo?k0;296?6=8r.8hn4>7g9K7cb5<52;294~"4lj0:;k5G3gf8m4142900c?76:188yvde290:>vPmb:?0`2f4<58in6n<4=33:>f4<58n>6n<4=33g>f4<58h<6n<4=327>f4<5?<1o?523c29g7=:9li1o?524779g7=z{83;6=4={_3:4>;3>>0:;85rs0f1>5<3sW;o>63>e88232=:9o:1=:;4=2`7>4133ty:4=4?:3y]5=6<582m6ij4}r3a1?6=:rT:n8521c49`a=z{8n86=4={_3g7>;6l=09545rs575>5<5sW>>:63;8b8231=z{h<1<79t^`4896b02;9870=m0;1ba>;6mj08mh5247797a0<58o26<99;<3g3?70>2wx=i;50;1xZ4b234;o97027:oo4;999>5a6=9>90q~?65;291~X61<16?i95226896d528==70:7c;342>;6l>0:;85rs5d3>5<2sW>m<63>e68g`>;6m:0?j=5223;90c6<5=2h6<98;|q7g`<72:qU8nk4=33;>1eb348:n7?83:p5g1=839pRb69~w7d12909wS67?=:8n0q~:7a;296~X30h1685j528;8yv47<3:1?vP=059>652=:ko01??7:327?xu1>3:1>vP96:?52?4em2wx:k4?:cy]2c=:;m=1>><4=7d96gc<58o86;h4=30:>3`<58ii6;h4=33;>3`<58n?6<9<;<3f=?70=278n?4>759>5c6=9>>0q~:95;296~X3><168;;52cg8yv7bk3:1>vP>eb9>5`e=:ko0q~=ka;296~;4l>09mn521d`952052z?15a44=1d9~w6be290;6m:08ho5223;97ad<58ii6>jm;<02759>7g2=9>?0q~:7b;296~;30m0:;>5249a9652z?1415`?=9>>01d68231=z{:h:6=4={<1a4?e4349i>7<69:p5=`=83>p1>j8:315?87?n38ii63>e282v3l1;0aa>;1n3i87p}=8383>7}::1;1?lk4=3:0>7?>3ty:ii4?:3y>5`e=;m<01474}r3`b?6=:r7:oh4kd:?2`5<5101vec81=<=z{8o<6=4<{<3f3?4em27:i>4>e69>67?=9l=0q~<71;290~;50809nh526g80`3=:9jo1o>5220;9g6=z{8in6=4={<3`a?4em27:oo4>cd9~w4d?2909w0?m7;fg?87dj3;i46s|1e494?3|58o8627:oo4>d79>64>=9m<01u21b`95fe<5;;3612wx8;850;0x91022j901988:3;:?xu6j?0;6?u21c496gc<58on6<9:;|q15d<72;q6><75de9>64d=:030q~<>9;296~;59009nh5220:964?52z?0f7<6?<16?o:528;8yv5c03:14v3<5;826>j7;<3`f?5c0279=545`d=9>>01<58o86>j6;<01=?5c127:io4>749~yg23m3:1=;450;9l0<>=831b=i?50;9j=f<722e?oh4?::k15f<722c9=i4?::k721<722e?4:4?::m7<`<722e=:7>5;n6gb?6=3k;m=7>5d;39`~J4no0:wE<<8:O056=9r.>>44<;|&0`f<6>o1bo?4?::m1e3<722e9m54?::m1ef<722e9mh4?::m1f5<722e9n<4?::m1f7<722c9??4?::k176<722c9?94?::k170<722c9?;4?::`22f<72m0i64}O::20q)=kc;3e5>o5;;0;66g=3283>>o5;=0;66g=3483>>o5;?0;66gl5;29?j4f>3:17b{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg7403:1?7?53zN0bc<6sA8846s+3ea95675<7s-9oo7?=d:J0ba=K;ol1=v*>7d8661=zahl1<75fde83>>i5jl0;66s|c383>7}Yk;16;7oi;|q1=6<72;q6;7{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg73i3:1?7?53zN0bc<6sA8846s+3ea95675<7s-9oo7?=d:J0ba=K;ol1=v*>7d8661=zahl1<75fde83>>i5jl0;66s|c383>7}Yk;16;7oi;|q1=6<72;q6;7{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg72;3:1?7?53zN0bc<6sA8846s+3ea95675<7s-9oo7?=d:J0ba=K;ol1=v*>7d8661=zahl1<75fde83>>i5jl0;66s|c383>7}Yk;16;7oi;|q1=6<72;q6;7{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>:5ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg73:3:197=57z&0`f<6<;1b>o>50;9j665=831b>>;50;9j660=831d=>750;9a56c=83>1<7>t$2f`>22<@:lo7d?84;29?l70=3:17d?86;29?j4>13:17pl>3c83>1<729q/?im5759K7cb5<5<53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~k51648945e28==7p}=3283>6}Y::901<=j:057?874j3;<86s|22794?4|V;9>70?{t::<1<7{t9:k1<77?>34;8h7?84:p56d=838p1<=m:3;:?874l3;<96srb06:>5<12:03w)=kc;37=>o5j90;66g=3483>>o5;?0;66g=3283>>o5il0;66a>4583>>d6<10;694?:1y'7ae=?<1C?kj4i057>5<6=44i055>5<N4nm1b=::50;9j523=831d>4750;9~f420290?6=4?{%1gg?4>l2B8ji5f16694?=n9>?1<75f16494?=h:031<75rs3`3>5<4sW8i<63>498233=:9=<1=::4}r001?6=:rT9?85215:952352z\173=:9=21=::4}r007?6=:rT9?>52154952352z\1e`=:9==1=:84}r370?6=:rT:89521559652z?20=<51016=9951668yv73>3:1>v3>4781=<=:9==1=:;4}|`21c<72?0?64u+3ea950`5<5<5<13:17pl>5c83>6<729q/?im5719K7cb5<3:18vP=a79>50c=9>?01<;6:056?872j3;<96s|22694?4|V;9?70?:e;340>{t::81<7{t::91<7{t9<21<77?>34;>h7?84:p50?=838p1<;6:3;:?872l3;<96s|14c94?4|58?i6?76;<36`?70?2wvn<;::186>5<7s-9oo798;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66s|22094?5|V;9970?:f;006>;6=<0:;;5rs310>5<2sW88?63>438176=:9=31>>=4=07e>75434;>97?84:p662=839pR?=;;<36b?44<27:984>769~w7522908wS<<5:?207<5;<16=9752278yv44>3:1?vP=379>514=::<01<:6:315?xud=3:14vPl5:?2774l2:?213l850;6xZ7g134;>:751b=lm16=8=52cg8yv4fk3:1?vP=ab9>51g=lm16=9j52cg8yv4fm3:1?vP=ad9>51g=:ko01<:6:3cf?xu5j90;69uQ2c2894242;hn70?;2;0a4>;6<009n=5rs3`2>5<4sW8i=63>338g`>;6;109nh5rs3`1>5<4sW8i>63>3381f`=:9o<4}r30=?6=:r7:?54kd:?207<6;01v<:;:181873;3no70?;9;370>{t9<>1<7ab<58?>6?76;|q212<72;q6=885de9>50`=9<=0qp}l2;296~Xd:27::n4l5:p6d0=838pR?o9;<35g?4f>2wx>l650;0xZ7g?34;=o7lk50;0xZ7gb34;=o7o?50;0xZ7d634;=o7><50;0xZ75534;=o7<<2:p665=838pR?=<;<35g?44;2wx>>:50;0xZ75334;=o7<<4:p663=838pR?=:;<35g?44=2wx>>850;0xZ75134;=o7<<6:~fg0=8391=7=tL2de>4}O::20qA:?0;3x 04>2:1v(>jl:36f?lbc2900c?lj:188mf4=831i;7>53;294~"4lj0:>i5G3gf8md`=831bhi4?::m1f`<722wxo?4?:3y]g7=:?3km7p}=9283>7}:?38ii6P=bd9~w7?32909wSjk;<59`a=zuk;n87>53;397~J4no0:wE<<8:O056=9r.>>44<;|&0`f<57>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm23c94?5=939p@>hi:0yK66>;<7?t$40:>6=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg5bk3:1?7<54zN0bc<6sA8846sC41295~"2:0087p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900qom9:181>5<7s-9oo7?8f:J0ba=n9>91<75`28;94?=z{j81<790q~<63;296~;02hl01n8528;8yv4><3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb00;>5<42;0?wA=if;3xL75?3tF?<=4>{%71=?55;ha1>5<0;6>4?:1y'7ae=9;n0D>hk;hce>5<5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi=<=50;596?d|D:lm6h29<0;7);=1;1ba>h29>0:7p*65}[1b6?4|;h08h7sf3`d94?=nk;0;66g>i5k10;6)h5jk0;76a=c783>!4ek38h;6`=bc82?>i5k=0;6)h5jk0976a=bg83>!4ek38h;6`=bc80?>d0290i684l{M1eb?7|@;937pB;0184!35>3>?n6*:1e8g`>"29o09nh5+50`9g7=i=8?1<6*:2080e`=i=8=1=6s+3ea961gv=7:249y_5f:38p?l4<3;j7d`=831bo?4?::k0e`<722e9o54?:%0ag?4d?2d9no4?;:m1g3<72-8io75$3``>`0ob;3:1(?ll:d48j7de2;10eik50;&1ff2d9no4<;:`4>5<22=03wA=if;3xL75?3tF?<=46{%712?23j2.>=i4kd:&65c<5jl1/9><4>?4=92w/?im525`8mf4=831bhi4?::m1f`<722c8h;4?::k0e`<722h<6=4<:080I5an3;pD?=7;|&0`f<5<;1bhi4?::m1f`<722ch>7>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm43194?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`702<72:0;6=u+3ea9629096=4?{%1gg?70n2B8ji5f16194?=h:031<75rsb094?4|Vj80144>729~wab=838pRij4=564>4123ty9nh4?:3y]6gc<5>09nh5rs2f5>5<5sW9o:63;468231=z{:kn6=4={_1ba>;3::0:;95rs983>7}:?3i9707528;8yv23>3:1>v3;228230=:<==1>474}r616?6=:r7<6ij4=500>7?>3twim7>54;192~J4no0:wE<<8:O056=?r.>>;4;4c9'14b=lm1/9h7dm=:188mab=831d>ok50;9j7dc=831i;7>53;397~J4no0:wE<<8:'7ae=:=80eij50;9l6gc=831bo?4?::`4>5<4290;w)=kc;31`>N4nm1bmk4?::kg`?6=3f8ii7>5;|q`6?6=:rTh>638:`d8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srb500>5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a=?6=:3:12900q~m=:181[e53431=:=4}rfg>5<5sWno70:=3;341>{t:ko1<7=838p1:4l2:?:>7?>3ty?>?4?:3y>3?bc34>9?7<69:~f4d=83>1?78tL2de>4}O::20qA:?0;5x 0412=>i7);>d;fg?!36n38ii6*:1c8`6>h29<0;7);=1;1ba>h29>0:7p*4}O::20q)=kc;076>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab>4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo750;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{tk;0;6?uQc39>=?70;2wxhi4?:3y]`a=:<;91=:;4}r0aa?6=:rT9nh527;0aa>{t;ho1<7075=:030qpl=7;290?5=>rF8jk4>{I00<>{K<9:1;v*:27870g=#=8n1hi5+50d96gc<,<;i6n<4n436>5=#=;;1?lk4n434>4=z,:nh6?:l;ha1>5<{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5fag83>>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f14429086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e13:1>7>50z&0`f<6?o1C?kj4i050>5<5<5sWi970751618yvbc2909wSjk;<617?70=2wx>ok50;0xZ7db34=1>ok4}r1ba?6=:rT8mh524319522;>2;327p};2383>7}:?3no70:=3;0:=>{zj;n:6=4<:183!5ck382m6Foi:180[5fn27<6>j9;<0g5?70=2wxo?4?:4y]g7=:?3i970o5c39>5goj;<3a>6gb348<6>oj;<0g5?4>12wx>n650;0xZ7e?348<6?lj;%1b0?4d?2d8m>4?;|q1g3<72;qU>n84=0`96gc<,:k?6?m8;o1b7?752z\1g1=:i38ii6*6s|2cd94?4|V;hm70952cg8 6g32;i<7c=n3;18yvc02909wSk8;<04>ab<,:k?6h84n2c0>5=z{l?1<74?:3y]a6=:i3no7)=n4;g5?k5f;380q~jj:181[bb34=1hi5+3`69a3=i;h91?6srb3:7>5=h4i7:&66`7}4j39?6pa<9883>!4ek39246`=bc83?>i41>0;6)h5jk0:76a<9783>!4ek39246`=bc81?>i41;0;6)h5jk0876gif;29 7dd2oo0b?lm:198mcb=83.9nn4ie:l1fg<632cmo7>5$3``>ccd5000;6>4>:2yO7c`=9rB9?55r$2f`>7003`l86=44ig694?=h;0;1<75m41;94?5=;3?p(>jl:52:?l`42900ek:50;9l7<7=831i8=850;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;0683>6<729q/?im5709K7cb5<759~wc2=839pRk:4=525>41334>;;7?85:p7<7=838pR>7>;<6312wx8=;50;0x91612;3270:?8;340>{t<9<1<77?>34>;47?85:~wc5=838pRk=4=52:>c57}Y;0;019>6:2;2?x{e:1k1<7=51;1xH6`a28qC>>64}%1gg?41?2cm?7>5;hd7>5<5<42:0>w)=kc;63=>oa;3:17dh;:188k6?62900n9>9:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm41594?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`74=<72:0;6=u+3ea96749~w6?62909wS=61:?74=<5101v9>::181827>382563;098231=z{=:=6=4={<633?4>127?<54>749~yv`42909wSh<;<63=?`43tym87>52z\e0>;3800m86s|38394?4|V:3:70:?9;1:5>{zj;2i6=4<:080I5an3;pD?=7;|&0`f<5>>1bj>4?::ke0?6=3f92=7>5;c63=?6=;3919v*93:17o:?6;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb524>5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a05>=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{tn:0;6>uQf29>050=9>?019>8:057?xua<3:1?vPi4:?743<6?=168=951678yv5>93:1>vP<909>05>=:030q~:?5;296~;38?09545241:9522;:7>52z?742<510168=651678yxua;3:1>vPi3:?74<5<5sW92=63;0880=4=zuk>9?7>53;294~"4lj0<<6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm3`394?4=83:p(>jl:05e?M5al2c:;>4?::m1=<<722wx8?<50;1x97>f2o9019<<:3;:?825=3;<96s|43694?4|5;2i6k=4=506>7?>3ty8544?:3y]77>;%1b0?5>02d8m>4?;|q0=2<72;qU?494=3:b>6?63-9j87=68:l0e6<63ty85;4?:3y]7<0<5;226>7>;%1b0?5>02d8m>4=;|q0=7<72;qU?4<4=2c2>7?>3-9j87=68:l0e6<43tymj7>52z\eb>;50k0m86*h4i:0;7p}id;297~Xal2794l4i4:?760<6?=1/?l:5fd9m7d5=92wxjn4?:2y]bf=::131j9524319522<,:k?6kk4n2c0>7=z{o21<7:t^g:897>>2o9019<<:056?85f93;h4i:087ps|3`d94?4|V:km70953`d8yve52909wSm=;<59g7=z{:kn6=4={_1ba>;02:kn7p}=c983>6}Y:j201:4=c99>6=2=no1/?l:52b58j6g4291v?m9:180[4d>27<6?m9;<0;0?`c3-9j8709o9522969bf=#;h>1>n94n2c0>7=z{;hm6=4<{_0ab>;02;hm70<74;d;?!5f<38h;6`0n963=8580=2=#;h>18<<4n2c0>4=z{=;;6=4={<59a6=::1>1?484$2c7>1753g9j?7<4}r63g?6=:r7<6ik4=3:7>6?53-9j87:>2:l0e6<43twi8ih50;797?0|D:lm6b;a1?k36=3;0(8?l:b18j071291/9??53`g8j070281v(>jl:36g?le52900en=50;9j`a<722e9nh4?::k0e`<722h<6=4::386I5an3;pD?=7;|&0`f<5<;1bhi4?::m1f`<722ch>7>5;ha0>5<2g9K7cb>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi8?=50;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{e<:21<7=50;2x 6bd2;3i7E=id:k231<722c:;84?::m1=<<722wxo?4?:3y]g7=:?3i97p}l3;296~Xd;27??54>759~w7db2909wS7db3ty8mh4?:2y]7dc<5=886<9<;<60>4=989~w1502909w095c29>06>=:030qpl>b383>1<52{#;mi1>9h4ief94?=h:ko1<75fc383>>o>i3:17o950;694?6|,:nh6<oek3:17doi:188mab=831d>ok50;9~ff0=8381<7>t$2f`>41a3A9mh6g>7283>>i5100;66s|c383>7}Yk;16o;4>729~w7?42909w095ag9>g3<5101v4o50;0xZ0io6s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab0}K;ol1=vF=399~H16728q/9?753:'7ae=:=l0eij50;9l6gc=831bo?4?::k:e?6=3k=1<7:50;2x 6bd288n7E=id:kag?6=3`km6=44ief94?=h:ko1<75rbb494?4=83:p(>jl:05e?M5al2c:;>4?::m1=<<722wxo?4?:3y]g7=:k?0:;>5rs3;0>5<5s4=1mk52c781=<=z{0k1<74:50;0x92<5jl1U>ok4}r0:1?6=:rToh638:ef8yxd6n;0;6>4>:2yO7c`=9rB9?55rL523>4}#=;31?6s+3ea961c5<7s-9oo7?=d:J0ba=nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?xJ3890:w);=9;18y!5ck38?i6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7doi:188mab=831d>ok50;9~wf4=838pRn<4=68bb>{t:091<751z&66<<43t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<>64}M634?7|,<826>5r$2f`>7013`no6=44o3`f>5<2e9K7cb>i5jl0;66smc783>7<729q/?im516d8L6`c3`;5;n0:=?6=3tyh>7>52z\`6>;d>3;0jj63l6;0:=>{t:0>1<7uC3gd95~N5;11v@9>?:0y'17?=;2w/?im52428mab=831d>ok50;9jg7<722h<6=4<:183!5ck3;9h6F7}:?38ii6P=bd9~w7?32909wSjk;<59`a=zuk>>n7>53;090~J4no0:wE<<8:O056=9r.>>44<;|&0`f<5>?1bhi4?::m1f`<722ch>7>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5290;w)=kc;34b>N4nm1b=:=50;9l652z?4>7db3W8ii6s|28794?4|Vmn01:4kd:~f1??29086?4;{M1eb?7|@;937pB;0182!351390q)=kc;052>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vnn850;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{tk;0;6?uQc39>g3<6?:1v?7<:18181=io16o;4=989~w7?32909w0952cg8Z7db3ty9584?:3y]`a=:?3no7psm4bg94?5=:3>p@>hi:0yK66>;<7?t$40:>6=z,:nh6?89;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{ek?0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|q`6?6=:rTh>63l6;347>{t:091<7;d>38256s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab=}#;mi1=ko4o0d6>5<5<5<5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a5c1=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd6n10;694?:1y'7ae=:0n0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|q2b0<72;qU=k;4=0d;>7?>3ty:ji4?:3y]5cb<58l26<9:;|q2b6<72;qU=k=4=0d:>4133ty9<>4?:3y]655<58l36<9:;|q2bg<72;qU=kl4=0d4>4133ty:j?4?:3y]5c4<58l<6<9:;|q2b3<72;q6=k7528;894`?28=?7p}>f683>7}:9o=1>474=0d;>4113twi=5m50;c90?b|,:nh6<6l;n3;1?6=3`;mh7>5;h3;5?6=3`;mi7>5;h3eb?6=3`8;<7>5;h035?6=3`;3?7>5;h3ef?6=3`;3<7>5;c3;f?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f4>029086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e9131<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th:4l4?:683>5}#;mi1>l=4H2dg?l70<3:17d?85;29?l70>3:17d?87;29?l7003:17d?89;29?j4>13:17p}>8483>7}Y91?01<6n:3;:?xu6nm0;6?uQ1gf894>e28=?7p}>8083>7}Y91;01<6m:056?xu6nl0;6?uQ1gg894>f28=>7p}>fg83>7}Y9ol01<6n:055?xu5890;6?uQ212894>f28=<7p}=0083>7}Y:9;01<68:056?xu60:0;6?uQ191894>028=?7p}>fc83>7}Y9oh01<66:057?xu6090;6?uQ192894>>28=>7p}>8783>7}:91h1>474=0:b>4133ty:4:4?:3y>5=1=:0301<6n:05;?xu6010;6?u219;9600;66g>fe83>>o6080;66g>fd83>>o6no0;66g=0183>>o5880;66g>fc83>>o1>3:17o8k:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm6c83>6<729q/?im5709K7cb5<5<0290;w)=kc;0b7>N4nm1b=::50;9j523=831b=:850;9j521=831b=:650;9j52?=831d>4750;9~w3?=838pR;74=7a9652z\2ba=:>m0:;95rs0:2>5<5sW;3=639d;341>{t9oo1<77p}>fg83>7}Y9ol01;m51648yv4783:1>vP=019>2f<6?>1v?>>:181[47927=o7?88:p5cd=838pR4133ty=:7>52z\52>;1j3;<96s|6`83>7}:>m0954526b8231=z{?h1<713:17pl>2783>6<729q/?im5709K7cb5<50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj8;h6=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi8h:50;694?6|,:nh6:=4H2dg?l70<3:17d?85;29?l70>3:17b<69;29?xd6j=0;694?:1y'7ae=:0l0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`7<<<72:0;6=u+3ea964?:1y'7ae=:0k0D>hk;h340?6=3`;<97>5;n0:=?6=3th:>84?:483>5}#;mi1;45G3gf8m4132900e<9::188m4112900e<98:188k7?>2900qo?>e;291?6=8r.8hn48a:J0ba=n9>>1<75f16794?=n9><1<75f16594?=h:031<75rb003>5<2290;w)=kc;5;?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a0`0=83?1<7>t$2f`>2><@:lo7d?84;29?l70=3:17d?86;29?l70?3:17b<69;29?xd3190;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<297>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900qo:62;297?6=8r.8hn480:J0ba=n9>>1<75f16794?=h:031<75rb001>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~f4`3290?6=4?{%1gg?133A9mh6g>7583>>o6?<0;66g>7783>>i5100;66sm1ga94?>=83:p(>jl:3c7?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::k23<<722c:;l4?::m1=<<722wi=5<50;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl>8d83>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|`2<1<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg7713:187>50z&0`f<0<2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb02e>5<2290;w)=kc;0b4>N4nm1b=::50;9j523=831b=:850;9j521=831d>4750;9~f46e29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e<>0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`724<72?0;6=u+3ea96d4<@:lo7d?84;29?l70=3:17d?86;29?l70?3:17d?88;29?j4>13:17pl;9d83>1<729q/?im5759K7cb5<5<j<7>53;294~"4lj0<=6F5<7s-9oo7>1<75f16794?=n9><1<75f16594?=n9>21<75`28;94?=zj=k96=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi8i:50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;d`83>3<729q/?im52`08L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3`;<47>5;n0:=?6=3th?h;4?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo=je;296?6=8r.8hn4>7g9K7cb5<n47>52;294~"4lj0:;k5G3gf8m4142900c?76:188yg7>:3:1>7>50z&0`f<6?o1C?kj4i050>5<2900qo:n4;296?6=8r.8hn4>7g9K7cb5<o47>52;294~"4lj0:;k5G3gf8m4142900c?76:188yv7>83:18vP>919>5c7=::<01<7?:3`f?87?m3;<96s|4d;94?4|V=o270=je;347>{t<{t91:1<7:t^0:3?87?838ii63>8b82<5=:1=:;4}r324?6=;rT:==5213:9`a=:98i1=::4}r6`5?6=:rT?o<521c695227>54z\2f7=:9o;1>>;4=0`1>7db34;3i7?84:pf6<721qUn>52b78g`>;4mj0h>63>298`6>;69:0h>63;dg8`6>;6j;0h>63>918`6>{t9m?1<77m=;<3;4?e534<=6n<4=5:4>f4<58:<6n<4=57a>f4<5=336n<4=5af>f4247>53z\7===:<021>ok4=5c3>4133ty:h<4?:3y]5a7<5=k96<9;;|q:g?6==rT2o63>f08171=:<081=::4=0d7>41234;3>7?85:p0fc=839pR9mj;<6`a?4em27?h94>759~w77d2909wS<>c:?7`3<6?=1v??k:181[46l279>l4kd:p032=838pR98;;<3:6?70;2wx85950;0xZ1>034>3;712wx:;4?:2y]23=:>?09nh526d852>{t278231=z{88?6=4={<312?70=27:>84=989~wg0=83=p1:310?8d12;hn70?i4;340>;60=0:;95211;9522<5=?o6<9;;<6:a?70<2wx=h:50;1x94c32;hn70?j6;340>;31l0:;85rs02`>5<5s4;;;7jk;<33`?4>12wx==k50;0x946a2;3270??b;341>{t9k91<7ab<58h?6?76;|q7=1<72;q684;528;891?528=>7p}7}:;li1>ok4=5g7>4113ty?9n4?:3y>00b=:030198>:057?xu3l00;6?u24ec96{t7?>34>om7?85:p0a3=838p19j9:3;:?82c03;b2;3270?74;341>{t9831<76gb34;:m7<69:p54d=839p11b81=<=z{88:6=4={<32e?70=27:>?4=989~w1072909w0:91;0:=>;3=o0:;>5rs5g0>5<5s4>oj7=ne:?7a1<5101v<7>:18187>83no70?62;0:=>{t<0n1<7=t=5;f>7?>34>j:7?84:?7`d<6?=1v97i:18182f8382563;a78230=z{=k:6=4={<6b6?4>127?m94>729~w1>?2909w0:77;fg?82?138256s|23c94?5|5;8j6?lj;<3f2?70=27:<44>749~w4442909w0?=6;0:=>;6j=0:;;5rs0g6>5<1s4;m=7<<2:?2a3<5101684>5166894`328==70?72;340>;3=m0:;85rs02;>5<5s4;;h7?84:?24<<5101v<>n:181877l3;<963>0c81=<=z{8l>6=4={<3e6?bc34;mm7?i5:p0=`=838p197?:3;:?82>=3;<96s|48394?4|5=386<9<;<6:6?4>12wx=kl50;6x94`f28li70?7c;3ef>;1m3;mn63>fb81=<=z{:oo6=4={<1fg?bc349ni7<69:p5=3=838p1<6?:ef894>d282>7p}99;296~;1>3no708j:7;8yv76l3:1?v3>1d81=<=:9;81=::4=5g;>4143ty:=k4?:3y>576=:0301<<=:056?xu6nm0;65u21g396d0<58lj6{t9oo1<76t=0d2>7g?34;3o7?ie:?5a?7am27:jn4>749>55`=9>>0198>:056?82f83;<963;d58230=z{8lm6=47{<3e5?4fk27:4n4>fg9>2`<6no16=km51648946a28=>70:91;342>;3i?0:;;524ec952059z?2b4<5il16=5m5212893c=:9:01966:057?87ak3;<;63>0g8233=:41034>om7?87:p657=833p1:3`3?87?k38;=639e;035>;3000:;8521ga952><58:m6<98;<655?70027?m;4>799>0ag=9>20q~53z?2b4<5j;16=ko5211894`d28=j7p};5d83>7}:<o;7>52z?7g`{t91;1<7=t=0:`>4>634;<3;6?4>12wx=5=50;0x94>d282870?74;0:=>{t41334>n:7<69:p54>=83?p11d8232=:9;:1=:94=5g5>4123-9j87?>7:l0e6<73ty:=;4?:4y>545=:j<01<<::055?876m3;<:63>218230=:4703g9j?7?4}r321?6==r7:=>4=c59>573=9>?011=<94n2c0>7=z{8;?6=4:{<327?4en27:>84>759>54c=9>>01<3;<:6*5?:3ym17g=011/9?756:'7ae=jm1Q?l<56z1`>76=:;0:=7:>:349ylde2900e>l::188md0=831d8;o50;9j546=831b84850;9jf6<722e8;54?::m7g1<722e2o7>5;h1e2?6=3f8o>7>5;c01f?6=?3;1;vB51z&66<<73t.8hn4>719jg7<722e9m;4?::m1e=<722e9mn4?::m1e`<722c9??4?::k176<722h::n4?:68;>g}K;ol1=vF=399~ 6bd2;8i7d<<2;29?l44;3:17dm::188k7g12900c?o7:188k7gd2900c?oj:188f45529086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f450290>6?49{%1gg?74?2c:??4?::k177<722c9?>4?::k27=<722e:?>4?::`273<72=0;6=u+3ea936=O;on0e<9;:188m4122900e<99:188k7?>2900qo?<5;297?6=8r.8hn4=9`9K7cb5<7>52z\177=:9:<1=::4}r007?6=:rT9?>52124952352z\27==:9:<1=:84}r307?6=:rT:?>521279652z?273<51016=>;51678yxd6<;0;694<:7y'7ae=9=80e?==:188m45?2900e<==:188k45>2900n<=j:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm12`94?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`27a<72:0;6=u+3ea96uQ2208945b28=?70?{t9:21<7{t9:31<7l50;0x945e2;3270?{zj;k<6=4<:183!5ck3=97E=id:k231<722c:;84?::m1=<<722wi>ll50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl=ae83>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~w455290;6;>0:??521509564<5;k<6<9:;<0bf?70<279mi4>749>6d`=9>?0q~?<8;293~;6;109nh52125956><58>96<=7;<0b3?70<279mo4>749>6db=9>>01?oi:057?xu5;;0;6>uQ220894502;9970?;2;006>{t::91<76}Yk<16=><5c39>56>=k;1v?o9:181[4f>279m:4=989~w7g?2909wS{t9:31<7ab<58>96<=6;|pg7<72;qUo?5217a9g0=z{;k=6=4={_0b2>;6>j09m;5rs3c;>5<5sW8j463>6b81e==z{;kh6=4={_0bg>;6>j09mn5rs3cf>5<5sW8ji63>6b81e`=z{;996=4={_006>;6>j09??5rs310>5<5sW88?63>6b8176=zuk;947>55;091~J4no0:wE<<8:O056=>r.>>44?;%712?23j2.>=i4kd:&65c<5jl1/9>i5k=0;6)h5jk0;76a=bg83>!4ek38h?6`=bc82?>ob;3:1(?ll:d08j7de2910eik50;&1ff;:`4>5<42808wA=if;3xL75?3t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<5<7s-9oo7?=d:J0ba=nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<70h>63n:b08yv4d<3:1>vP=c59>e?4em2.8m94=c29m7d5=82wx>oh50;0xZ7da34=1>ok4$2c7>7e43g9j?7?4}rg0>5<5sWo870o5de9'7d2=m;1e?l=50:p``<72;qUhh527;fg?!5f<3o97c=n3;38yxde>3:197<55zN0bc<6sA8846sC41292~"2:00;7);=6;67f>"29m0oh6*:1g81f`=#=8h1o?5a50795>{#;mi1>8?4Z`g96~432;91qW=n2;0x60<593wbo?4?::m1g1<72-8io7;:kf7?6=,;hh6h<4n3`a>5=h5jk0:76l8:180>4<4sE9mj7?tH31;?x"4lj098h5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6gnf;29?lbc2900c?lj:188yve52909wSm=;<59ec=z{;386=4={<596gc7}Ylm16;7jk;|ae?6=;3;1?vBok50;9jg7<722h<6=4<:183!5ck3;9h6F5;n0aa?6=3tyh>7>52z\`6>;02hl0q~<63;296~;02;hn7S{z{j81<7=t^b0892"4i=09o>5a3`194>{t:kl1<7o;:3a0?k5f;3;0q~k<:181[c434k1hi5+3`69a7=i;h91<6s|dd83>7}Yll16;7jk;%1b0?c53g9j?7?4}|`7=2<72:0:6>uC3gd95~N5;11v@9>?:0y'17?=82w/?im525g8mab=831d>ok50;9jg7<722h<6=4<:183!5ck3;9h6F5;n0aa?6=3tyh>7>52z\`6>;02hl0q~<63;296~;02;hn7S{zj:no6=48:38aI5an3;pD?=7;|N7454$405>12>3->?o7;=b:&65c<5jl1/9=n4l3:l653<63-?9=7=ne:l652<63t.8hn4=3g9Ye`<6s:21qW=n2;0x7d<4l3wbo?4?::k`7?6=3`9ji7>5;n0`5$3``>7e03g8in7?4;n0`0?6=,;hh6?m8;o0af?4<3f8ij7>5$3``>7e03g8in7=4;c594?d=<3hp@>hi:0yK66>;<77t$405>12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?7=83.9nn4=c69m6gd=821d>n850;&1ff<5k>1e>ol51:9l6f2=83.9nn4=c69m6gd=:21d>oh50;&1ff<5k>1e>ol53:9ja2<72-8io7k9;o0af?6<3`o>6=4+2ca9a3=i:kh1=65fe283>!4ek3o=7com5e79m6gd=;21i;7>55;193~J4no0:wE<<8:O056=1r.>>;4;4c9'14b=lm1/95;n0aa?6=3`9ji7>5;c594?3=:3?p@>hi:0yK66>5;ha1>5<>d0290>6=4?{%1gg?75n2B8ji5C3gd95~"6?l0>>95ricc94?=njj0;66gnf;29?lbc2900c?lj:188yg5am3:1=7>50z&0`f<6?;1C?kj4o052>5<0im6s|28194?4|5:ln6<9>;<59ff=z{;3?6=4={<596gc7}Ylm16;7jk;|a075=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd3;10;6>4?:1y'7ae=:0k0D>hk;h340?6=3`;<97>5;n0:=?6=3tyh>7>52z\`6>;02j80q~m<:181[e434>847?85:p`a<72;qUhi52431952352z\1f`=:?38ii6s|3`g94?5|V:kn70:=3;340>;3;10:;95rs501>5<5s4=1hi52431968;7>52z?4>f5<5=936?76;|ae?6==391;vB59z&663<3><44?::kg`?6=3f8ii7>5;h1ba?6=3k=1<7;52;7xH6`a28qC>>64}%1gg?43:2coh7>5;n0aa?6=3`i96=44ib194?=njj0;66l8:186>5<7s-9oo7?=f:J0ba=K;ol1=v*>7d8661=zakk1<75fbb83>>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi8?=50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;3983>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|q`6?6=:rTh>638:b08yve42909wSm<;<60{t;ho1<7=t^2cf?825;3;<863;398231=z{=896=4={<59`a=:<;91>474}r603?6=:r7<6n=4=51;>7?>3twi=o4?:480>2}K;ol1=vF=399~H16720q/9?8545`8 07c2mn0(8?i:3`f?!36j3i97c;>5;38 07d2j90b8?9:09'177=;ho0b8?8:09~ 6bd2;?>7dm=:188mf5=831bhi4?::m1f`<722c8mh4?::`4>5<22;0>wA=if;3xL75?3t.8hn4=439j`a<722e9nh4?::k`6?6=3`i86=44ica94?=e?3:197>50z&0`f<6:o1C?kj4L2de>4}#9>o19?:4}h`b>5<>ocl3:17b80D>hk;n345?6=3tyh>7>52z\`6>;02hl0q~m<:181[e434=1nl5rs3;0>5<5s49mi7?81:?4>ge52z?4>7db3W8ii6s|28794?4|Vmn01:4kd:~f14429086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<:21<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wxo?4?:3y]g7=:?3i97p}l3;296~Xd;27??54>749~wab=838pRij4=500>4123ty9nh4?:3y]6gc<5>09nh5rs2cf>5<4sW9ji63;228231=:<:21=::4}r616?6=:r7<6ij4=500>7?>3ty??:4?:3y>3?e434>847<69:~f71=83?1?79tL2de>4}O::20qA:?0;;x 0412=>i7);>d;fg?!36n38ii6*:1c8`6>h29<0:7);>c;a0?k36>3;0(8<>:2cf?k36?3;0q)=kc;062>od:3:17dm<:188mab=831d>ok50;9j7dc=831i;7>55;091~J4no0:wE<<8:'7ae=:=80eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3E9mj7?t$05f>0433tcim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqo:=3;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb51;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wf4=838pRn<4=68`6>{tk:0;6?uQc29>06>=9>?0q~jk:181[bc34>9?7?85:p6gc=838pR?lj;<596gc53z\0e`=:<;91=::4=51;>4133ty?>?4?:3y>3?bc34>9?7<69:p061=838p1:4l3:?77=<5101vq~m=:186[e534=1o?52a;a1?87e2j801?95c39~wf5=83?pRn=4=68`7>;f2j90162;5?38ii6*4<;|qf3?6=:rTn;63=7;fg?!5f<3o=7c=n3;28yvc22909wSk:;<3a>ab<,:k?6h84n2c0>4=z{l91<752z\ga>;02mn0(>o;:d48j6g42:1vqo<74;29{I00<>{K<9:19v*:278701=#=8o1j:5+53g9e>"2:9085<5+53f93>{#;mi1>>o4Z`g96~5>2:=1qW=n2;0x7g<4<3wd?4750;&1ff<4111e>ol50:9l7<1=83.9nn4<999m6gd=921d?4850;&1ff<4111e>ol52:9l7<4=83.9nn4<999m6gd=;21bjk4?:%0ag?`b3g8in7>4;hdg>5<#:ki1jh5a2c`95>=nnj0;6)ol53:9a6=?=8391=7=tL2de>4}O::20q)=kc;053>oa;3:17dh;:188k6?62900n9>6:180>6<2s-9oo7:?9:ke7?6=3`l?6=44o2;2>5<13:17pl;0983>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|qe7?6=;rTm?63;078230=:<9=1=::4}rd7>5<4sWl?70:?6;340>;38>0:;85rs2;2>5<5sW92=63;0981=<=z{=:>6=4={<632?4>127?<54>759~w1612909w0:?7;0:=>;3810:;85r}rd0>5<5sWl870:?9;d0?xua<3:1>vPi4:?74<;57=61:~f7>f29086<4<{M1eb?7|@;937p*93:17o:?9;297?5==r.8hn4;089jb6<722cm87>5;n1:5?6=3k>;:7>53;294~"4lj0<=6F8:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm41:94?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::pb6<72:qUj>524149523<5=:<6<9;;|qe0?6=;rTm863;078231=:<9=1=:;4}r1:5?6=:rT85<5241:96;97>52z?743<510168=651668yv27>3:1>v3;0681=<=:<921=:;4}|qe7?6=:rTm?63;088e7>{tn=0;6?uQf59>05?=n=1v>7>:181[5>927?<44<909~yg4?j3:1?7?53zN0bc<6sA8846s+3ea9631>i4180;66l;0883>6<425}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?7;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb52;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wc5=839pRk=4=525>41234>;;7?84:pb1<72:qUj9524149522<5=:<6<9:;|q0=4<72;qU?4?4=52;>7?>3ty?<84?:3y>050=:03019>7:057?xu38?0;6?u2415965241;9b6=z{o>1<72o>0q~=61;296~X418168=753838yxd3::0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<997>53;294~"4lj0<<6Fo>:181>5<7s-9oo7?8f:J0ba=n9>91<75`28;94?=z{=896=4<{<0;e?`434>9?7<69:?760<6?<1v9<;:18184?j3l870:=5;0:=>{t;031<7"4i=08555a3`195>{t;0<1<76s|38094?4|V:3970=n1;0:=>"4i=08555a3`197>{tno0;6?uQfg9>6=d=n=1/?l:5fd9m7d5=82wxji4?:2y]ba=::1k1j9524379522<,:k?6kk4n2c0>4=z{oi1<7=t^ga897>>2o>019<<:057?!5f<3ln7c=n3;08yv`?290?wSh7;<0;=?`434>9?7?85:?0e4<6?:1/?l:5fd9m7d5=;2wvn<50;0xZf4<5>0h>6s|c283>7}Yk:16;7m<;|q0e`<72;qU?lk4=680e`=z{;i36=4<{_0`<>;02;i370<74;de?!5f<38h;6`uQ2b4892<5k?16>5:5fe9'7d2=:j=0b>o<:09~w7e32908wS7e3348387hl;%1b0?4d?2d8m>4=;|q1fc<72:qU>oh4=681fc=::1>1j55+3`696f15rs530>5<5s4=1i:5229697:=7>52z?4>`3<5;2?6>78;%1b0?26:2d8m>4>;|q755<72;q6;7k<;<0;0?5>>2.8m94;139m7d5=:2wx8=m50;0x92o<:29~yg5b;3:197<55zN0bc<6sA8846sC41295~"2:00;7p*>od:3:17dm<:188mge=831i;7>55;294~"4lj0:>k5G3gf8mgg=831bnn4?::kbb?6=3`no6=44o3`f>5<51;294~"4lj0:;?5G3gf8k4162900q~m=:181[e534=1mk5rsb194?4|Vj901:4ma:p6<5=838p1>hj:052?81=jj1v?7;:18181=:ko0R?lj;|q1=0<72;qUhi527;fg?x{e;h?1<7951;7xH6`a28qC>>64}M634?>|,<826=5+534901d<,<;o6ij4$43e>7db3-?:n7m=;o721?7<,<;h6n=4n435>5=z,:nh6?;8;[cf>7}5<3886pToi:188mf4=831bo>4?::m1g1<72-8io7;:kf7?6=,;hh6h<4n3`a>5=h5jk0:76l8:186>7<2sE9mj7?tH31;?xJ38902w);=6;67f>"29m0oh6*:1g81f`=#=8h1o?5a50795>"29j0h?6`:1783?!35:39o:6`:1982?x"4lj09955fc383>>od;3:17djk:188k7db2900e>j9:188f2<72<0968uC3gd95~N5;11v(>jl:361?lbc2900c?lj:188mf4=831bo>4?::kag?6=3k=1<7;50;2x 6bd288m7E=id:kae?6=3`hh6=44i`d94?=nlm0;66a=bd83>>{e;oo1<7?50;2x 6bd28=97E=id:m234<722wxo?4?:3y]g7=:?3km7p}l3;296~Xd;27<6oo4}r0:7?6=:r78jh4>709>3?dd3ty9594?:3y>3?4em2T9nh5rs3;6>5<5sWno7095de9~yg24i3:1?7>50z&0`f<51k1C?kj4i057>5<6=44o3;:>5<ok4=681f`=z{:n=6=4<{_1g2>;02mn019=n:056?xu3;00;6?u27;a0?824i38256srs2ce>5<5sW9jj638:2f5?xud:3:1>vPl2:?4>f44>;|qga?6=:rToi638:ef8 6g32l80b>o<:09~yg5c93:197=57zN0bc<6sA8846sC4129e~"2:00;7);=6;67f>"29m0oh6*:1g81f`=#=8h1o?5a50795>"29j0h?6`:1782?!35:39o:6`:1982?x"4lj098:5fc383>>od;3:17djk:188k7db2900e>j9:188f2<72<0968uC3gd95~N5;11v(>jl:361?lbc2900c?lj:188mf4=831bo>4?::kag?6=3k=1<7;50;2x 6bd288m7E=id:kae?6=3`hh6=44i`d94?=nlm0;66a=bd83>>{e;oo1<7?50;2x 6bd28=97E=id:m234<722wxo?4?:3y]g7=:?3km7p}l3;296~Xd;27<6oo4}r0:7?6=:r78jh4>709>3?dd3ty9594?:3y>3?4em2T9nh5rs3;6>5<5sWno7095de9~yg2403:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<8m7>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~m=:181[e534=1o?5rsb194?4|Vj9019=n:056?xucl3:1>vPkd:?77=<6?<1v?lj:181[4em27<6?lj;|q0`3<72:qU?i84=51;>41334>8m7?84:p06?=838p1:4l3:?77d<5101v9=8:18181=lm168>6528;8yxd5>00;6h4=:0cxH6`a28qC>>64}M634?>|,<826=5+534901?<,=>h68=o4l2:l650<63-?:o7m<;o722?7o=:3y21?7?2tch>7>5;ha0>5<5<#:ki1>n?4n3`a>4=5<#:ki1>n?4n3`a>6=5<#:ki1>n?4n3`a>0=5<#:ki1>n?4n3`a>2=5<#:ki1>n?4n3`a><=5<#:ki1>n?4n3`a>g=0;6=k4=bd9'14d=k;1e9<;51:&65f=;4>;|&0`f<5=h1Qmh4={009b?{];h81>v?::e8~mf4=831bo>4?::m1g7<72-8io7;:m1gc<72-8io74n3`a>5=h5jk0:76gje;29 7dd2l:0b?lm:398m`b=83.9nn4j0:l1fg<432cno7>5$3``>`6ob13:1(?ll:d28j7de2?10eh650;&1ff4n3`a>==h5jk0276gj3;29 7dd2l:0b?lm:`98mac=83.9nn4j0:l1fg81bhi4?::m1f`<722ch>7>5;ha0>5<2g9K7cb>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twim7>55;091~J4no0:wE<<8:'7ae=:?;0eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3`hj6=44ica94?=nio0;66gkd;29?j4em3:17pl4<729q/?im51608L6`c3f;<=7>5;|q`6?6=:rTh>638:`d8yve42909wSm<;<59fd=z{;386=4={<1ea?70927<6om4}r0:0?6=:r7<6?lj;_0aa>{t:0?1<75<2290;w)=kc;31b>N4nm1bnl4?::kag?6=3`km6=44ief94?=h:ko1<75rb2df>5<6290;w)=kc;346>N4nm1d=:?50;9~wf4=838pRn<4=68bb>{tk:0;6?uQc29>3?df3ty95>4?:3y>7cc=9>;01:4mc:p6<2=838p1:4=bd9]6gc52z\g`>;02mn0qpl=7;291?4==rF8jk4>{I00<>{#;mi1>;?4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb2094?3=:3?p@>hi:0yK66>5;ha1>5<>d0290>6=4?{%1gg?75n2B8ji5fb`83>>oek3:17doi:188mab=831d>ok50;9~f6`b290:6=4?{%1gg?70:2B8ji5`16394?=z{j81<74?:3y]g6=:?3hj7p}=9283>7}:;oo1=:?4=68ag>{t:0>1<7ok50;9jg7<722ch?7>5;h``>5<0;684?:1y'7ae=9;l0D>hk;h`b>5<>ocl3:17b80D>hk;n345?6=3tyh>7>52z\`6>;02hl0q~m<:181[e434=1nl5rs3;0>5<5s49mi7?81:?4>ge52z?4>7db3W8ii6s|28794?4|Vmn01:4kd:~f6`=83?1>7;tL2de>4}O::20q)=kc;055>ocl3:17b5;hce>5<:183!5ck3;<>6F0jj6s|c283>7}Yk:16;7ln;|q1=6<72;q6?kk51638924:50;0x92<5jl1U>ok4}r0:1?6=:rToh638:ef8yxd383:197<55zN0bc<6sA8846s+3ea96375}#;mi1=?h4H2dg?ldf2900eom50;9jec<722coh7>5;n0aa?6=3th8jh4?:083>5}#;mi1=:<4H2dg?j7093:17p}l2;296~Xd:27<6lh4}ra0>5<5sWi87095b`9~w7?42909w0=ie;345>;02ki0q~<64;296~;02;hn7S{zj=h1<7;52;7xH6`a28qC>>64}%1gg?4192coh7>5;n0aa?6=3`i96=44ib194?=njj0;66l8:186>5<7s-9oo7?=f:J0ba=njh0;66gmc;29?lga2900eij50;9l6gc=831vn>hj:182>5<7s-9oo7?82:J0ba=h9>;1<75rsb094?4|Vj801:4nf:pg6<72;qUo>527;`b?xu51:0;6?u23gg9527<5>0io6s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab55;091~J4no0:wE<<8:'7ae=:?;0eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3`hj6=44ica94?=nio0;66gkd;29?j4em3:17pl4<729q/?im51608L6`c3f;<=7>5;|q`6?6=:rTh>638:`d8yve42909wSm<;<59fd=z{;386=4={<1ea?70927<6om4}r0:0?6=:r7<6?lj;_0aa>{t:0?1<70}K;ol1=vF=399~ 6bd2;<:7djk:188k7db2900en<50;9jg6<722cio7>5;c594?3=83:p(>jl:00e?M5al2cim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqom50;796?3|D:lm65<2290;w)=kc;31b>N4nm1bnl4?::kag?6=3`km6=44ief94?=h:ko1<75rb2df>5<6290;w)=kc;346>N4nm1d=:?50;9~wf4=838pRn<4=68bb>{tk:0;6?uQc29>3?df3ty95>4?:3y>7cc=9>;01:4mc:p6<2=838p1:4=bd9]6gc52z\g`>;02mn0qp}l2;29`~Xd:27<6n<4=`8`6>;6j3i970<8:b08964=k;16?h4l2:?0b?e534>;6n<4=5`9g7=:63m:b089f4?:ey]g6=:?3i870o5c29>5gf5<5:o1o>523g8`7>;383i870:m:b1891e=k:16n7m<;;d2;hn7)=n4;0`5>h4i:0;7p}=c183>7}Y:j:01o4=bd9'7d2=:j;0b>o<:09~w7ea2909wSnk50;0xZ7eb34>i6?lj;%1b0?4d92d8m>4<;|q1ga<72;qU>nj4=5296gc<,:k?6?m>;o1b7?252z\1gf=:;o09nh5+3`696f75<5sW8hm63"4i=09o<5a3`192>{t:j31<7h4i:0<7p}=c983>7}Y:j201?952cg8 6g32;i:7c=n3;:8yv4d>3:1>vP=c79>5g<5jl1/?l:52b38j6g4201v?m;:181[4d<27j6?lj;%1b0?4d92d8m>4n;|q1fc<72;qU>oh4=681f`=#;h>1>n?4n2c0>g=z{l;1<752z\gb>;e2mn0(>o;:d28j6g4281vhk50;0xZ`c<5=i1hi5+3`69a5=i;h91>6s|ee83>7}Ymm168o4kd:&0e14<;|qfg?6=:rTno63;0;fg?!5f<3o;7c=n3;68yvce2909wSkm;<1e>ab<,:k?6h>4n2c0>0=z{l31<72wxi54?:3y]a==:;;0oh6*h4i:0<7p}j7;296~Xb?279;7jk;%1b0?c73g9j?764}rg6>5<5sWo>70?m:ef8 6g32l:0b>o<:89~w`5=838pRh=4=`8g`>"4i=0n<6`vPke:?4>ab<,:k?6h>4n2c0>g=zuk8387>519822?45sE9mj7?tH31;?xJ3890>w);=6;670>"29l0m;6*:2d8b?!358392=6*:2e84?x"4lj09?o5Uad8142=990vV>o=:3y23?c=uf9297>5$3``>6?33g8in7>4;n1:7?6=,;hh6>7;;o0af?7<3f9j<7>5$3``>6?33g8in7<4;n1:b?6=,;hh6>7;;o0af?5<3f92i7>5$3``>6?33g8in7:4;n1:`?6=,;hh6>7;;o0af?3<3f92n7>5$3``>6?33g8in784;n1:e?6=,;hh6>7;;o0af?1<3f9257>5$3``>6?33g8in764;n1:3?6=,;hh6>7;;o0af??<3f92:7>5$3``>6?33g8in7o4;n1:6?6=,;hh6>7;;o0af?d<3`li6=4+2ca9bd=i:kh1<65ff883>!4ek3lj7c3:1(?ll:gc8j7de2;10e<>::18'6ge=nh1e>ol53:9j552=83.9nn4ia:l1fg<332c:<>4?:%0ag?`f3g8in7;4;h335?6=,;hh6ko4n3`a>3=!4ek3lj7com5f`9m6gd=121bjn4?:%0ag?`f3g8in7o4;hd;>5<#:ki1jl5a2c`9f>=e:131<7=51;1xH6`a28qC>>64}%1gg?41?2cm?7>5;hd7>5<5<42:0>w)=kc;63=>oa;3:17dh;:188k6?62900n9>9:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm41594?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`74=<72:0;6=u+3ea96749~w6?62909wS=61:?74=<5101v9>::181827>382563;098231=z{=:=6=4={<633?4>127?<54>749~yv`42909wSh<;<63=?`43tym87>52z\e0>;3800m86s|38394?4|V:3:70:?9;1:5>{zj;2j6=4<:080I5an3;pD?=7;|&0`f<5>>1bj>4?::ke0?6=3f92=7>5;c63=?6=;3919v*93:17o:?6;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb524>5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a05>=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{tn:0;6>uQf29>050=9>?019>8:057?xua<3:1?vPi4:?743<6?=168=951678yv5>93:1>vP<909>05>=:030q~:?5;296~;38?09545241:9522;:7>52z?742<510168=651678yxua;3:1>vPi3:?74<5<5sW92=63;0880=4=zuk83n7>53;397~J4no0:wE<<8:'7ae=:?=0ek=50;9jb1<722e85<4?::`74<<72:0868u+3ea905?>i4180;66l;0783>6<729q/?im5709K7cb5<N4nm1b=::50;9j523=831d>4750;9~f16?29086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=z{o91<7=t^g18916128=>70:?7;340>{tn=0;6>uQf59>050=9>>019>8:056?xu4180;6?uQ3838916?2;327p};0483>7}:<9<1>474=52;>4133ty?<;4?:3y>051=:03019>7:056?x{tn:0;6?uQf29>05?=n:1vk:50;0xZc2<5=:26k:4}r1:5?6=:rT85<5241;97<76}K;ol1=vF=399~ 6bd2;<<7dh<:188mc2=831d?4?50;9a05?=8391?7;t$2f`>16>3`l86=44ig694?=h;0;1<75m41494?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`742<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg2703:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<3;<963;068231=z{o>1<7=t^g68916128=?70:?7;341>{t;0;1<7{z{o91<72o90q~h;:181[`334>;57h;;|q0=4<72;qU?4?4=52:>6?63twi>5j50;195?5|D:lm67>:188f16>29086>4:{%1gg?2712cm?7>5;hd7>5<5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a051=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd3810;6>4?:1y'7ae=:0k0D>hk;h340?6=3`;<97>5;n0:=?6=3tym?7>53z\e7>;38?0:;85241595223;<863;068230=z{:3:6=4={_1:5>;38109545rs526>5<5s4>;:7<69:?74=<6?=1v9>9:181827?382563;098230=zuzl86=4={_d0?82713l87p}i4;296~Xa<27?<44i4:p7<7=838pR>7>;<63=?5>92wvn?6j:180>4<4sE9mj7?tH31;?x"4lj09::5ff283>>oa<3:17b=61;29?g2713:1?7=55z&0`f<3801bj>4?::ke0?6=3f92=7>5;c632?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f16029086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<921<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wxj>4?:2y]b6=:<9<1=:;4=524>4133tym87>53z\e0>;38?0:;952415952352z\0=4=:<921>474}r631?6=:r7?<;4=989>05>=9>>0q~:?6;296~;38>09545241:952352z\e7>;3800m?6s|f583>7}Yn=168=75f59~w6?62909wS=61:?74<<4181vqo<7f;297?7=;rF8jk4>{I00<>{#;mi1>;94ig194?=nn=0;66a<9083>>d3800;6>4<:4y'7ae=<930ek=50;9jb1<722e85<4?::`743<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg27?3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj=:36=4<:183!5ck382m6F4123ty85<4?:3y]7<7<5=:36?76;|q740<72;q68=8528;8916?28=?7p};0783>7}:<9=1>474=52;>4123twxj>4?:3y]b6=:<931j>5rsg694?4|Vo>019>6:g68yv5>93:1>vP<909>05?=;0;0qpl=9183>6<62:qG?kh51zJ17==z,:nh6?88;hd0>5<1<75`38394?=e<931<7=53;7x 6bd2=:27dh<:188mc2=831d?4?50;9a050=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd38>0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;47>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~h<:180[`434>;:7?85:?742<6?=1vk:50;1xZc2<5=:=6<9;;<633?70=2wx?4?50;0xZ6?634>;47<69:p053=838p19>9:3;:?82703;<86s|41494?4|5=:<6?76;<635<5sWl?70:?9;d7?xu4180;6?uQ3838916>2:3:7psm28394?5=939p@>hi:0yK66>5<;56gi3;29?l`32900c>7>:188f16129086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<9=1<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th?<54?:283>5}#;mi1>4o4H2dg?l70<3:17d?85;29?j4>13:17p}i3;297~Xa;27?<;4>749>051=9>>0q~h;:180[`334>;:7?84:?742<6?<1v>7>:181[5>927?<54=989~w1622909w0:?6;0:=>;3810:;95rs525>5<5s4>;;7<69:?74=<6?<1vq~h<:181[`434>;57h<;|qe0?6=:rTm863;088e0>{t;0;1<75<42808wA=if;3xL75?3t.8hn4=669jb6<722cm87>5;n1:5?6=3k>;57>53;191~"4lj0?<45ff283>>oa<3:17b=61;29?g27>3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj=:<6=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi8=650;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|f283>6}Yn:168=851678916028=?7p}i4;297~Xa<27?<;4>759>051=9>?0q~=61;296~X418168=6528;8yv27=3:1>v3;0781=<=:<921=::4}r632?6=:r7?<:4=989>05>=9>?0qp}i3;296~Xa;27?<44i3:pb1<72;qUj95241;9b1=z{:3:6=4={_1:5>;380085<5r}c0;0}#;mi18=74ig194?=nn=0;66a<9083>>d38?0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;;7>53;294~"4lj0<=6F7:180>5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rsg194?5|Vo9019>9:056?827?3;<86s|f583>6}Yn=168=851668916028=>7p}<9083>7}Y;0;019>7:3;:?xu38<0;6?u2414967ps|f283>7}Yn:168=75f29~wc2=838pRk:4=52:>c252z\0=4=:<931?4?4}|`766<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg25=3:1?7>50z&0`f<082B8ji5f16694?=n9>?1<75`28;94?=zj=8<6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi8?750;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl;2c83>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f14a29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e<:;1<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th??>4?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo:<5;297?6=8r.8hn480:J0ba=n9>>1<75f16794?=h:031<75rb2c2>5<5290;w)=kc;34b>N4nm1b=:=50;9l6;3:<0:;85rs507>5<4s483n7h<;<611?4>127?>:4>749~w1412908w0<7c;d0?825?382563;288230=z{=836=4<{<0;`?`434>957<69:?76g<6?<1v9;3:m0:;85rs50`>5<4s483j7h<;<61`?4>127?>k4>749~w14b2908w0<60;d0?825n382563;308230=z{=9;6=4<{<0:5?`434>8=7<69:?776<6?<1v9==:18084??3l870:<3;0:=>;3;<0:;85rs517>5<5s48347h<;<601?4>12wx?4;50;0xZ6?2348347=61:&0e1<41=1e?l=50:p7<5=838pR>7<;<0;3?5>92.8m94<959m7d5=92wx?l>50;0xZ6g73482=7=61:&0e1<41=1e?l=52:p7<`=838pR>7i;<0:4?5>92.8m94<959m7d5=;2wx?4k50;0xZ6?b3483j7=61:&0e1<41=1e?l=54:p77k;<0;a?5>92.8m94<959m7d5==2wx?4l50;0xZ6?e3483h7=61:&0e1<41=1e?l=56:p77n;<0;g?5>92.8m94<959m7d5=?2wx?4750;0xZ6?>3483n7=61:&0e1<41=1e?l=58:p7<1=838pR>78;<0;e?5>92.8m94<959m7d5=12wx?4850;0xZ6?1348357=61:&0e1<41=1e?l=5a:p7<4=838pR>7=;<1b5?4>12.8m94<959m7d5=j2wxjo4?:3y]bg=::121j95+3`69bd=i;h91<6s|f883>6}Yn016>595f59>063=9>>0(>o;:gc8j6g4281v<>9:180[77>2795<4i4:?776<6?=1/?l:5f`9m7d5=:2wx==;50;1xZ4623482<7h;;<605?70<2.8m94ia:l0e6<43ty:<94?:2y]552<5;2m6k:4=50e>4133-9j87hn;o1b7?253z\246=::1o1j95243f9522<,:k?6ko4n2c0>0=z{8::6=4<{_335>;50m0m863;2c8231=#;h>1jl5a3`192>{t99:1<7=t^023?84?k3l?70:=9;340>"4i=0mm6`:4>759'7d2=nh1e?l=58:pba<72:qUji5229c9b1=:<;?1=::4$2c7>cg729'7d2=nh1e?l=5b:~wf4=838pRn<4=68`6>{tk:0;6?uQc29>3?e43ty9o?4?:2y]6f4<5>09o?522969bg=#;h>1>n?4n2c0>5=z{;i;6=4<{_0`4>;02;i;70<74;d:?!5f<38h=6`uQ2bd892<5ko16>5:51148 6g32;i:7c=n3;08yv4dm3:1?vP=cd9>3?4dm279494>049'7d2=:j;0b>o<:29~w7ec2908wS7ec348387??4:&0e1<5k81e?l=54:p6fe=839pR?ml;<596fe<5;2?6<><;%1b0?4d92d8m>4:;|q1gd<72:qU>no4=681gd=::1>1==?4$2c7>7e63g9j?784}r0`=?6=;rT9o4527;0`=>;50=0:<=5+3`696f75<4sW8h4638:3a;?84?<3lm7)=n4;0`5>h4i:037p}=c783>6}Y:j<01:4=c79>6=2=nm1/?l:52b38j6g4201v?m;:180[4d<27<6?m;;<0;0?`d3-9j8709nk522969b==#;h>1>n?4n2c0>g=z{=:m6=4={<59a4=::1>1?4;4$2c7>16b3g9j?7>4}r63`?6=:r7<6ih4=3:7>6?43-9j87:?e:l0e6<63ty?=44?:3y>3?cb348387=n0:&0e1<38l1e?l=52:p04>=838p1:4jd:?1<1<41o1/?l:541g8j6g42:1v9?8:18181=mj16>5:538g8 6g32=:n7c=n3;68yv26>3:1>v38:d`897>32:3o7)=n4;63a>h4i:0>7p};1483>7}:?3o270<74;1:f>"4i=0?{t<8>1<7;50=085l5+3`6905c5<5s4=1i:5229697j;o1b7?>:=7>52z?4>`3<5;2?6>78;%1b0?27m2d8m>46;|q755<72;q6;7k<;<0;0?5>>2.8m94;0d9m7d5=i2wx8=m50;0x92o<:c9~yg40?3:1?7?53zN0bc<6sA8846sC41295~"2:00;7p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900q~m=:181[e534=1mk5rs3;0>5<5s4=1>ok4^3`f?xu51=0;6?uQde9>3?bc3twio:4?:c81>44|D:lm6h29<0:7);>c;a0?k36>3;0(8<<:2g:?k3613:0q)=kc;075>\fm3;p8;4rZ2c1>7}303>j6pg>od:3:17dm<:188m6c>2900c?ml:18'6ge=:jh0b?lm:198k7ef290/>om52b`8j7de2810c?m6:18'6ge=:jh0b?lm:398k7e?290/>om52b`8j7de2:10c?m9:18'6ge=:jh0b?lm:598k7e3290/>om52b`8j7de2<10c?li:18'6ge=:jh0b?lm:798f2<728;1;7?>{M1eb?7|@;937pB;0184!35>3>?n6*:1e8g`>"29o09nh5+50`9g7=i=8?1=6*:1b8`7>h29?0:7p*7>5;ha0>5<5<#:ki1>nl4n3`a>4=5<#:ki1>nl4n3`a>6=5<#:ki1>nl4n3`a>0=ob13:1(?ll:dc8j7de2810eh650;&1ff6=h5jk0?76gj3;29 7dd2lk0b?lm:498mac=83.9nn4ja:l1fg<132h<6=4::284I5an3;pD?=7;|N745<>s-?9:7:;b:&65a=k4=bd9'14d=k;1e9<;51:&65f=;4>;%715?5fm2d>=:4?;|&0`f<5=j1bo?4?::k`7?6=3`no6=44o3`f>5<0<525<t$2f`>44a3A9mh6gma;29?ldd2900elh50;9j`a<722e9nh4?::a7cc=83;1<7>t$2f`>4153A9mh6a>7083>>{tk;0;6?uQc39>3?ga3tyh?7>52z\`7>;02kk0q~<63;296~;4nl0:;<527;``?xu51=0;6?u27;0aa>X5jl1v?7::181[bc34=1hi5r}c617?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f15?29086=4?{%1gg?4>j2B8ji5f16694?=n9>?1<75`28;94?=z{j81<74?:3y]g6=:<:21=:;4}rfg>5<5sWno70:=3;341>{t:ko1<77}:?3no70:=3;0:=>{t<:=1<7;3;109545r}cc94?3=;3=p@>hi:0yK66>;<77t$405>12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?65}#;mi1=?h4H2dg?ldf2900eom50;9jec<722coh7>5;n0aa?6=3th8jh4?:083>5}#;mi1=:<4H2dg?j7093:17p}l2;296~Xd:27<6lh4}ra0>5<5sWi87095b`9~w7?42909w0=ie;345>;02ki0q~<64;296~;02;hn7S{zj=886=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi8>650;194?6|,:nh6?7m;I1e`>o6?=0;66g>7483>>i5100;66s|c383>7}Yk;16;7m=;|q`7?6=:rTh?63;398230=z{mn1<77p}=bd83>7}Y:ko01:4=bd9~w6gb2908wS=ne:?766<6?=168>651668yv25:3:1>v38:ef891442;327p};3683>7}:?3i870:<8;0:=>{zj8h1<7;53;5xH6`a28qC>>64}M634??|,<8=69:m;%72`?bc3-?:j7=84>;%72g?e43g?::7?4$402>6gb3g?:;7>4}%1gg?42k2ch>7>5;ha0>5<{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb500>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a06>=8391<7>t$2f`>7?e3A9mh6g>7583>>o6?<0;66a=9883>>{tk;0;6?uQc39>3?e53tyh?7>52z\`7>;3;10:;85rsef94?4|Vmn019<<:056?xu5jl0;6?uQ2cg892<5jl1v>oj:180[5fm27?>>4>759>06>=9>>0q~:=2;296~;02mn019<<:3;:?xu3;>0;6?u27;a0?824038256srb3594?3=;3=p@>hi:0yK66>;<77t$405>12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<896>j9;o725}#;mi1=?h4H2dg?ldf2900eom50;9jec<722coh7>5;n0aa?6=3th8jh4?:083>5}#;mi1=:<4H2dg?j7093:17p}l2;296~Xd:27<6lh4}ra0>5<5sWi87095b`9~w7?42909w0=ie;345>;02ki0q~<64;296~;02;hn7S{zj=936=4<:183!5ck382n6F5<7s-9oo7<6b:J0ba=n9>>1<75f16794?=h:031<75rsb094?4|Vj801:4l2:pg6<72;qUo>5242c95233:1?vP06>=9>>019=n:057?xu3;00;6?u27;a0?824i38256s|42594?4|5>0oh63;3981=<=zuk996=4::284I5an3;pD?=7;|N745<>s-?9:7:;b:&65a=k4=bd9'14d=k;1e9<;51:&65f=;4>;%715?5fm2d>=:4?;|&0`f<5=j1bo?4?::k`7?6=3`no6=44o3`f>5<0<525<t$2f`>44a3A9mh6gma;29?ldd2900elh50;9j`a<722e9nh4?::a7cc=83;1<7>t$2f`>4153A9mh6a>7083>>{tk;0;6?uQc39>3?ga3tyh?7>52z\`7>;02kk0q~<63;296~;4nl0:;<527;``?xu51=0;6?u27;0aa>X5jl1v?7::181[bc34=1hi5r}c617?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f15?29086=4?{%1gg?4>j2B8ji5f16694?=n9>?1<75`28;94?=z{j81<74?:3y]g6=:<:21=:;4}rfg>5<5sWno70:=3;341>{t:ko1<77}:?3no70:=3;0:=>{t<:=1<7;3;109545r}c1f>5<22:0=i4kd:&65c<5jl1/9=n4l3:l653<63-?9=7=ne:l652<73t.8hn4=5b9jg7<722ch?7>5;hfg>5<5<0;684=:4yO7c`=9rB9?55r$2f`>7253`no6=44o3`f>5<>oek3:17o950;794?6|,:nh6<oei3:17dll:188md`=831bhi4?::m1f`<722wi?kk50;394?6|,:nh6<9=;I1e`>i6?80;66s|c383>7}Yk;16;7oi;|q`7?6=:rTh?638:cc8yv4>;3:1>v37}:?38ii6P=bd9~w7?22909wSjk;<59`a=zuk>9?7>53;294~"4lj0<<6F5<7s-9oo7<6b:J0ba=n9>>1<75f16794?=h:031<75rsb094?4|Vj801:4l2:pg6<72;qUo>5242:9523075=9>>019=7:057?xu3:;0;6?u27;fg?825;38256s|42594?4|5>0h?63;3981=<=zuk9m6=4::284I5an3;pD?=7;|N745<>s-?9:7:;b:&65a=k4=bd9'14d=k;1e9<;51:&65f=;4>;%715?5fm2d>=:4?;|&0`f<5=j1bo?4?::k`7?6=3`no6=44o3`f>5<0<525<t$2f`>44a3A9mh6gma;29?ldd2900elh50;9j`a<722e9nh4?::a7cc=83;1<7>t$2f`>4153A9mh6a>7083>>{tk;0;6?uQc39>3?ga3tyh?7>52z\`7>;02kk0q~<63;296~;4nl0:;<527;``?xu51=0;6?u27;0aa>X5jl1v?7::181[bc34=1hi5r}c617?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f15?29086=4?{%1gg?4>j2B8ji5f16694?=n9>?1<75`28;94?=z{j81<74?:3y]g6=:<:21=:;4}rfg>5<5sWno70:=3;341>{t:ko1<77}:?3no70:=3;0:=>{t<:=1<7;3;109545r}r1bb?6=0rT8mk527;1ba>;f2:kn70?m:2cf?8402:n=70==:2cf?85b2:kn70=i:2cf?xud:3:14vPl2:?4>f4<5h0h>63>b;a1?8402j801><5c39>7`f5<5:81o>523d8`7>;4n3i87p}=cb83>7}Y:ji01>h52cg8 6g32;ii7c=n3;28yv4di3:1>vP=c`9>7`<5jl1/?l:52b`8j6g4281v?m6:181[4d1278>7=838pR?m7;<04>7db3-9j87ok4$2c7>7ee3g9j?7:4}r0`0?6=:rT9o952a;0aa>"4i=09oo5a3`191>{t:kl1<7o;:3aa?k5f;3<0q~km:181[ce349m6ij4$2c7>`gk5de9'7d2=mh1e?l=51:pa=<72;qUi552338g`>"4i=0nm6`vPj7:?13?bc3-9j87kn;o1b7?56=4={_g6?87e2mn0(>o;:dc8j6g42=1vh=50;0xZ`5<5h0oh6*h4i:0>7p}ke;296~Xcm27<6ij4$2c7>`g4}O::20qA:?0;5x 0412=>>7);>e;d4?!35m3k0(8?n:8`8j073291/9?>53838 04c2>1v(>jl:31`?_gb2;q?;7:::|X0e7<5s=318>4ri8`94?=h;0n1<7*=bb80=f=i:kh1<65`38`94?"5jj085n5a2c`95>=h;0k1<7*=bb80=f=i:kh1>65`38;94?"5jj085n5a2c`97>=h;0=1<7*=bb80=f=i:kh1865`38494?"5jj085n5a2c`91>=h;081<7*=bb80=f=i:kh1:65f11194?"5jj0:=n99;1<7*=bb8247=i:kh1=65f11294?"5jj0:=nno0;6)h5jk0876gid;29 7dd28:97com51108j7de2<10ek650;&1ff<68;1e>ol56:9a6=?=83>1>7;tL2de>4}O::20qA:?0;7x 0412<997);>d;d1?!358392=6`:2980?k35n3;0q)=kc;05<>\fm3;p>i4rZ2c1>4}5i3wd?4?50;9jb3<72-8io7h:;o0af?6<3`l?6=4+2ca9b0=i:kh1=65ff283>!4ek3l>7c:3:1?7?53zN0bc<6sA8846s+3ea9631>i4180;66l;0883>6<425}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?7;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb52;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wc5=839pRk=4=525>41234>;;7?84:pb1<72:qUj9524149522<5=:<6<9:;|q0=4<72;qU?4?4=52;>7?>3ty?<84?:3y>050=:03019>7:057?xu38?0;6?u2415965241;9b6=z{o>1<72o>0q~=61;296~X418168=753838yxd50?0;6>4>:2yO7c`=9rB9?55r$2f`>7003`l86=44ig694?=h;0;1<75m41;94?5=;3?p(>jl:52:?l`42900ek:50;9l7<7=831i8=850;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;0683>6<729q/?im5709K7cb5<759~wc2=839pRk:4=525>41334>;;7?85:p7<7=838pR>7>;<6312wx8=;50;0x91612;3270:?8;340>{t<9<1<77?>34>;47?85:~wc5=838pRk=4=52:>c57}Y;0;019>6:2;2?x{t;0;1<7392=6s|45194?4|5;396>7>;<0;2?`43tym:7>52z\e2>;51;0m?6*h4i:0;7p}i4;296~Xa<2795?4i4:&0e14>;|qe7?6=:rTm?63=878e0>"4i=0m96`>64}M634?3|,<8=68==;%72`?`53-?9<7=61:l66=<43g?9j7?4}%1gg?4102Pji7?t2e8~^6g528q9m7s`38394?=nn?0;6)ol51:9jb6<72-8io7h:;o0af?4<3k82>7>53;397~J4no0:wE<<8:'7ae=:?=0ek=50;9jb1<722e85<4?::`74<<72:0868u+3ea905?>i4180;66l;0783>6<729q/?im5709K7cb5<N4nm1b=::50;9j523=831d>4750;9~f16?29086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=z{o91<7=t^g18916128=>70:?7;340>{tn=0;6>uQf59>050=9>>019>8:056?xu4180;6?uQ3838916?2;327p};0483>7}:<9<1>474=52;>4133ty?<;4?:3y>051=:03019>7:056?x{tn:0;6?uQf29>05?=n:1vk:50;0xZc2<5=:26k:4}r1:5?6=:rT85<5241;97<76}K;ol1=vF=399~ 6bd2;<<7dh<:188mc2=831d?4?50;9a05?=8391?7;t$2f`>16>3`l86=44ig694?=h;0;1<75m41494?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`742<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg2703:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<3;<963;068231=z{o>1<7=t^g68916128=?70:?7;341>{t;0;1<7{z{o91<72o90q~h;:181[`334>;57h;;|q0=4<72;qU?4?4=52:>6?63twx?4?50;0xZ6?63483:7=61:p015=838p1?7=:2;2?84?>3l87p}i6;296~Xa>2795?4i3:&0e14?;|qe0?6=:rTm863=938e0>"4i=0m96`vPi3:?1<35l50;696?3|D:lm6h2:1087c;=f;38y!5ck38=46Tne;3x6a4;hd7>5<#:ki1j85a2c`95>=nn:0;6){I00<>{#;mi1>;94ig194?=nn=0;66a<9083>>d3800;6>4<:4y'7ae=<930ek=50;9jb1<722e85<4?::`743<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg27?3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj=:36=4<:183!5ck382m6F4123ty85<4?:3y]7<7<5=:36?76;|q740<72;q68=8528;8916?28=?7p};0783>7}:<9=1>474=52;>4123twxj>4?:3y]b6=:<931j>5rsg694?4|Vo>019>6:g68yv5>93:1>vP<909>05?=;0;0qpl=8783>6<62:qG?kh51zJ17==z,:nh6?88;hd0>5<1<75`38394?=e<931<7=53;7x 6bd2=:27dh<:188mc2=831d?4?50;9a050=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd38>0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;47>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~h<:180[`434>;:7?85:?742<6?=1vk:50;1xZc2<5=:=6<9;;<633?70=2wx?4?50;0xZ6?634>;47<69:p053=838p19>9:3;:?82703;<86s|41494?4|5=:<6?76;<635<5sWl?70:?9;d7?xu4180;6?uQ3838916>2:3:7ps|38394?4|V:3:70<76;1:5>{t<=91<76?63483:7h<;|qe2?6=:rTm:63=938e7>"4i=0m96`vPi4:?1=752z\e7>;50?0m86*h4i:097psm29a94?2=:3?p@>hi:0yK66>;<7;t$405>0553-?:h7h=;%714?5>92d>>54<;o71b?7o=:0y1e?{h;0;1<75ff783>!4ek3l>7com5f49m6gd=921bj>4?:%0ag?`23g8in7<4;c0:6?6=;3;1?vB0}#;mi18=74ig194?=nn=0;66a<9083>>d38?0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;;7>53;294~"4lj0<=6F7:180>5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rsg194?5|Vo9019>9:056?827?3;<86s|f583>6}Yn=168=851668916028=>7p}<9083>7}Y;0;019>7:3;:?xu38<0;6?u2414967ps|f283>7}Yn:168=75f29~wc2=838pRk:4=52:>c252z\0=4=:<931?4?4}|`1<3<72:0:6>uC3gd95~N5;11v(>jl:344?l`42900ek:50;9l7<7=831i8=750;197?3|,:nh69>6;hd0>5<1<75`38394?=e<9<1<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th?<:4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?8;297?6=8r.8hn4=9`9K7cb5<5<4sWl870:?6;341>;38>0:;95rsg694?5|Vo>019>9:057?827?3;<96s|38394?4|V:3:70:?8;0:=>{t<9?1<77?>34>;47?84:p050=838p19>8:3;:?82703;<96srsg194?4|Vo9019>6:g18yv`32909wSh;;<63=?`33ty85<4?:3y]7<7<5=:26>7>;|p7<7=838pR>7>;<0;2?5>92wx89=50;0x97?52:3:70<76;d0?xua>3:1>vPi6:?1=752z\e0>;51;0m86*h4i:0:7p}i3;296~Xa;2794;4i4:&0e14=;|a6=b=83>1>7;tL2de>4}O::20qA:?0;7x 0412<997);>d;d1?!358392=6`:2980?k35n3;0q)=kc;05<>\fm3;p>i4rZ2c1>4}5i3wd?4?50;9jb3<72-8io7h:;o0af?6<3`l?6=4+2ca9b0=i:kh1=65ff283>!4ek3l>7c:3:1?7?53zN0bc<6sA8846s+3ea9631>i4180;66l;0883>6<425}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?7;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb52;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wc5=839pRk=4=525>41234>;;7?84:pb1<72:qUj9524149522<5=:<6<9:;|q0=4<72;qU?4?4=52;>7?>3ty?<84?:3y>050=:03019>7:057?xu38?0;6?u2415965241;9b6=z{o>1<72o>0q~=61;296~X418168=753838yxd50?0;6>4>:2yO7c`=9rB9?55r$2f`>7003`l86=44ig694?=h;0;1<75m41;94?5=;3?p(>jl:52:?l`42900ek:50;9l7<7=831i8=850;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;0683>6<729q/?im5709K7cb5<759~wc2=839pRk:4=525>41334>;;7?85:p7<7=838pR>7>;<6312wx8=;50;0x91612;3270:?8;340>{t<9<1<77?>34>;47?85:~wc5=838pRk=4=52:>c57}Y;0;019>6:2;2?x{t;0;1<7392=6s|45194?4|5;396>7>;<0;2?`43tym:7>52z\e2>;51;0m?6*h4i:0;7p}i4;296~Xa<2795?4i4:&0e14>;|qe7?6=:rTm?63=878e0>"4i=0m96`>64}M634?3|,<8=68==;%72`?`53-?9<7=61:l66=<43g?9j7?4}%1gg?4102Pji7?t2e8~^6g528q9m7s`38394?=nn?0;6)ol51:9jb6<72-8io7h:;o0af?4<3k82>7>53;397~J4no0:wE<<8:'7ae=:?=0ek=50;9jb1<722e85<4?::`74<<72:0868u+3ea905?>i4180;66l;0783>6<729q/?im5709K7cb5<N4nm1b=::50;9j523=831d>4750;9~f16?29086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=z{o91<7=t^g18916128=>70:?7;340>{tn=0;6>uQf59>050=9>>019>8:056?xu4180;6?uQ3838916?2;327p};0483>7}:<9<1>474=52;>4133ty?<;4?:3y>051=:03019>7:056?x{tn:0;6?uQf29>05?=n:1vk:50;0xZc2<5=:26k:4}r1:5?6=:rT85<5241;97<76}K;ol1=vF=399~ 6bd2;<<7dh<:188mc2=831d?4?50;9a05?=8391?7;t$2f`>16>3`l86=44ig694?=h;0;1<75m41494?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`742<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg2703:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<3;<963;068231=z{o>1<7=t^g68916128=?70:?7;341>{t;0;1<7{z{o91<72o90q~h;:181[`334>;57h;;|q0=4<72;qU?4?4=52:>6?63twx?4?50;0xZ6?63483:7=61:p015=838p1?7=:2;2?84?>3l87p}i6;296~Xa>2795?4i3:&0e14?;|qe0?6=:rTm863=938e0>"4i=0m96`vPi3:?1<3650;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66sm42c94?2=83:p(>jl:3;g?M5al2c:;94?::k230<722c:;;4?::m1=<<722wi8>m50;694?6|,:nh6?7k;I1e`>o6?=0;66g>7483>>o6??0;66a=9883>>{e<:o1<7:50;2x 6bd2;3o7E=id:k231<722c:;84?::k233<722e9544?::a016=83>1<7>t$2f`>7?c3A9mh6g>7583>>o6?<0;66g>7783>>i5100;66sm45094?2=83:p(>jl:3;g?M5al2c:;94?::k230<722c:;;4?::m1=<<722wi8k=50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;f483>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f1`>29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e:0D>hk;h340?6=3`;<97>5;n0:=?6=3th?ji4?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo:if;297?6=8r.8hn480:J0ba=n9>>1<75f16794?=h:031<75rb422>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a155=8391<7>t$2f`>26<@:lo7d?84;29?l70=3:17b<69;29?xd28<0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<<6F6:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm51`94?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|`64a<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg37n3:1?7>50z&0`f<082B8ji5f16694?=n9>?1<75`28;94?=zj<;:6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi9<=50;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl7<729q/?im516d8L6`c3`;5;n0:=?6=3ty2n7>512y]=g=::131j95229c9b1=::1h1j95229a9b1=::1n1j95229g9b1=:41234>m;7?85:?7bg<6?=168kj51678906628=?70;?3;341>;28>0:;95251;9523<5<:o6<9;;<73b?70=27>=>4>759~w15>290?w0<7a;d0?824i382563;fg8230=:=9;1=:;4}r60f?6=7p};3e83>1}::1i1j>5242g96h50;6x97>c2o9019:?:3;:?83693;<963:128230=z{=>:6=4={<0;a?`434>?>7<69:p15c=838p19:=:057?837n38256s|50294?4|5=>96<9:;<725?4>12wx9<<50;0x912528==70;>3;0:=>{t=921<741334?;57<69:p15g=838p19:?:056?837j38256s|51a94?4|5=>;6<99;<73`?4>12wx9=<50;0x915b28=?70;?3;0:=>{t=9>1<741234?;97<69:p150=838p19=j:055?837?38256s|4ga94?4|5=9h6<9;;<6e`?4>12wx8kk50;0x915d28=>70:if;0:=>{t=9:1<741134?;=7<69:p0c0=838p19=n:057?82a?38256s|4g:94?4|5=9j6<9:;<6e=?4>12wx8ko50;0x915f28==70:ib;0:=>{t41334>m?7<69:p0c2=838p19=7:056?82a=38256s|42594?2|5;226k=4=51;>7?>34>m57?85:?7bg<6?<1v>7k:181[5>l2794h4<909'7d2=;0i0b>o<:19~w6?e2909wS=6b:?17n:181[5>i2794n4<909'7d2=;0i0b>o<:39~w6?>2909wS=69:?178:181[5>?2794l4<909'7d2=;0i0b>o<:59~w6?12909wS=66:?1<<<4181/?l:538a8j6g42<1v>7=:181[5>:278m<4=989'7d2=;0i0b>o<:79~w4642909wS??3:?1<`2.8m94>039m7d5=82wx==?50;6xZ4663483h7h9;<73b?70<27>=<4>759'7d2=9980b>o<:09~w467290?wS??0:?127><44>759>15d=9>>0(>o;:021?k5f;380q~hi:187[`a3483n7h9;<737?70<27><84>759'7d2=9980b>o<:29~wcb=83>pRkj4=3:b>c0<5=lo6<9;;<6eb?70<2.8m94>039m7d5=<2wxjn4?:5y]bf=::131j;524g59522<5=l26<9;;%1b0?77:2d8m>4:;|qe4143-9j87??2:l0e6<13twx?lh50;0xZ6ga34=1?lh4}ra1>5<5sWi97095c39~wf5=838pRn=4=68`7>{t;l31<76}Y:ji01:4=cb9>6=3=9990(>o;:3aa?k5f;3:0q~n650;1xZ7e?34=1>n64=3:6>c`<,:k?6?mm;o1b7?553z\1g3=:?38h:63=848e`>"4i=09oo5a3`190>{t:j>1<7=t^3a7?81=:j>01?6::ga8 6g32;ii7c=n3;78yv4en3:1?vP=bg9>3?4en279484i8:&0e1<5kk1e?l=56:p077=838p1:4jb:?1<0<41m1/?l:54328j6g4291v9?i:18181=m016>5;538`8 6g32=8;7c=n3;38yv26m3:1>v38:d:897>22:3j7)=n4;614>h4i:097p};1e83>7}:?3o<70<75;1:=>"4i=0?>=5a3`197>{t<8i1<7;50<085:5+3`690765<5s4=1i>5229797<0<,:k?69:m7>52z?4>ac<5;2>6>7=;%1b0?2582d8m>49;|a6a4=83?1?78tL2de>4}O::20qA:?0;3x 04>291v(>jl:37g?lbc2900c?lj:188mf4=831bo>4?::kag?6=3k=1<7;50;2x 6bd288m7E=id:kae?6=3`hh6=44i`d94?=nlm0;66a=bd83>>{e;oo1<7?50;2x 6bd28=97E=id:m234<722wio94?:383>5}#;mi1=:h4H2dg?l70;3:17b<69;29?xud:3:1>vPl2:?4>d`7}:?3hj70m;:3;:?xu51=0;6?u23gg9527<5>0io6s|28794?4|5>09nh5Q2cg8yv4>>3:1>vPkd:?4>ab53;397~J4no0:wE<<8:O056=9r.>>44?;|&0`f<57>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm4b694?5=939p@>hi:0yK66>;<7?t$40:>5=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg21i3:1?7?53zN0bc<6sA8846sC41295~"2:00;7p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900q~m=:181[e534=1mk5rs3;0>5<5s4=1>ok4^3`f?xu51=0;6?uQde9>3?bc3twi>:m50;f97?`|,:nh6?9l;n045;h05a?6=3`8=j7>5;h044?6=3`8<=7>5;h046?6=3`85;h040?6=3`8<97>5;h042?6=3`8=n7>5;h05`?6=3k8<57>59;294~"4lj0=831b=:750;9j52g=831b=:l50;9l65<7s-9oo798;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66sm26`94?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|q13=<72;qU>:64=35a>7?>3ty9:l4?:3y]63g<5;=j6<9;;|q12`<72;qU>;k4=35:>4133ty9:k4?:3y]63`<5;=26<9:;|q135<72;qU>:>4=35:>4103ty9;<4?:3y]627<5;=26<99;|q137<72;qU>:<4=35:>41?3ty9;>4?:3y]625<5;=26<9n;|q131<72;qU>::4=35:>41>3ty9;84?:3y]623<5;=26<9m;|q133<72;qU>:84=35b>4113ty9:o4?:3y]63d<5;=j6<9:;|q12a<72;qU>;j4=35b>4103ty9;44?:3y>62?=:0301?9m:057?xu5?h0;6?u226c96>o3>h0;66gn3;29?l?d2900nl?50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl6f;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb`294?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p=a<72;qU5i52a181=<=z{;9;6=4={_004>;f93;<96s|47c94?4|V=:057?xuf;3:1>vPn3:?:b?70<2wx5n4?:3y]=f=:1o0:;85rs8g94?4|5h;1>474=`295227?>34k;6<9:;|a024=83?1?79t$2f`>1153f>=h7>5;h01b?6=3`>=n7>5;h647?6=3`>=m7>5;c645?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f10a29086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<>:1<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx8;j50;0xZ10c34><<7<69:p67`=838pR?<=7?84:p025=838pR99<;<65b?70<2wx8;o50;0xZ10f34>=j7?85:p03c=838p199>:3;:?82083;<86s|47d94?4|5=5<7s-9oo79<;I1e`>o6?=0;66g>7483>>o6??0;66a=9883>>{ej<0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<7>53;294~"4lj0<=6Fk8:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm3d794?3=83:p(>jl:6:8L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3f8257>5;|`0e<<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg4c<3:197>50z&0`f<0i2B8ji5f16694?=n9>?1<75f16494?=n9>=1<75`28;94?=zj:n86=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi>5>50;794?6|,:nh6?o>;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66smcd83>6<729q/?im5709K7cb5<5<4290;w)=kc;0:g>N4nm1b=::50;9j523=831d>4750;9~fag=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{el90;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<13:17pl=d983>6<729q/?im528a8L6`c3`;<87>5;h341?6=3f8257>5;|`b0?6=<3:12900qo:9c;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb557>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~f6b229096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb35f>5<5290;w)=kc;34b>N4nm1b=:=50;9l6o6?:0;66a=9883>>{el?0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|`1`3<72;0;6=u+3ea952`<@:lo7d?83;29?j4>13:17p}mb;2955}Yjk16>?l5c39>57>=k;16n;4l2:?7=2f4<5=;3>h09nh52a3872d=:<>818;o4=54`>4133ty:==4?:3y]546<58836ik4}r6:2?6=:rT?5;524859`a=z{k91<75<2sW9m:63le;340>;c03;<963ka;341>;c:3;<96s|2e094?5|V;n970;5l10:;85rse194?4|5j=1o>52d581=<=z{m?1<7474}r1g6?6=:r78h>4=989>7a3=9>90q~<=e;297~;5:k09m;52a58231=:<>>1=::4}r01b?6=o4=a99>024=:;l01l:51678911328=>7p}=3183>6}::;h1>lm4=`09666<5h>1=:84}r005?6=:r79>o4=ad9>0f2=lm1v99<:181820:3>1<7;t=c79652z?0`4=h6s|ce83>7}:kl095452db8231=z{jl1<75<5s4n96?76;4143ty9>n4?:3y>67d=::801?5223f9522<58;96?76;<1f3?70=2794=4>749~w6c12908w0=kd;1ba>;4m>0954523e19522ab<5h815i5rs2f2>5<5s49o=74?:3y>e7=838p1?98:ef8971d2;=37p}1}:;l91>ok4=30g>412349n;7?84:?1<5<6??1v978:180845j388?63;9681f`=:o7:18785f=39jj63474=3:3>4103tyo;7>52z?g127o<7?84:p7`2=838p1>k<:ef896c22;327p}k9;296~;ci382563k0;341>{tlk0;6?u2db81=<=:l=0:;>5rs54a>5<5s4><>7:9b:?72f<5101v?9i:18184?8382563=7d8236=z{;=<6=4={<043?4em279>i4>779~w7b02909w0;5l?0:;>5rs3f6>5<5s48o>7m<;<0g2?4>12wx>i=50;0x97b52mn01?j;:3;:?xu4m;0;6>uQ36:896bc2;i370=j5;343>"4i=08i<5a3`194>{t;l:1<77e1349n97?85:&0e1<4m81e?l=51:p7a`=838p1>jk:3a7?85b=3;<86*6s|3eg94?4|5:no6?li;<1f1?70>2.8m94f3<5k=16n84>749'7d2=j11e?l=50:pf2<72:q6n;4j3:?a2?4en27i97?84:&0e14>;|q26g<72;q6=?652b68947528=>7)=n4;31e>h4i:0;7p}>2883>6}:9;21i>5213:96g`<58;96<9;;%1b0?75i2d8m>4>;|q12a<72;q6>;752b08971d2;h4i:0;7p}=6c83>7}::?31>n>4=35`>70e3-9j87<9c:l0e6<63ty9;;4?:3y>63?=:jl01?9l:355?!5f<38=o6`4<;|q131<72;q6>;752bf8971d2;=?7)=n4;05g>h4i:0?7p}=7283>7}::?31>nm4=35`>7143-9j87<9c:l0e6<23ty9;?4?:3y>63?=:jk01?9l:351?!5f<38=o6`;%1b0?41k2d8m>48;|q135<72;q6>;752b:8971d2;=;7)=n4;05g>h4i:037p}=6g83>7}::?31>n84=35`>70a3-9j87<9c:l0e6<>3ty9:h4?:3y>63?=:j>01?9l:34f?!5f<38=o6`h0;6?u227;96g`<5;=h6?8n;%1b0?41k2d8m>4m;|q`g?6=:r7h;71/?l:5cc9m7d5=82wxol4?:3y>g2<5kh16>i:51648 6g32jh0b>o<:09~wf?=838p1n952b;897b328=>7)=n4;aa?k5f;380q~m7:1818e02;i370"4i=0hn6`6n=4=2f2>ab<5:n86<9:;<0;4?70<2.8m94hi:0yK66>;<7<2<,<826;5r$2f`>40e3Skn6>o6l;0;66a;8183>>o6l:0;66g;5783>>if>3:17d?=c;29?j5a>3:17dol50:9led<72-8io7om;o0af?7<3fk26=4+2ca9eg=i:kh1>65m7g83>6<52=qG?kh51zJ17==zD=:;6{#;mi1>8k4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{ek?0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|q`6?6=:rTh>63l6;347>{t:091<7;d>38256s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab57;193~J4no0:wE<<8:O056=>r.>>44=;%712?23j2.>=i4kd:&65c<5jl1/9>i5k?0;6)h5jk0;76a=c583>!4ek38h96`=bc82?>i5jo0;6)h5jk0976gj5;29 7dd2l>0b?lm:198m`5=83.9nn4j4:l1fg<632coi7>5$3``>`26<62:qG?kh51zJ17==z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~ygg=8391=7=tL2de>4}O::20q)=kc;07a>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab53;397~J4no0:wE<<8:'7ae=:=o0eij50;9l6gc=831bo?4?::`4>5<4290;w)=kc;31`>N4nm1bmk4?::kg`?6=3f8ii7>5;|q`6?6=:rTh>638:`d8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srsb094?2|Vj801:4l2:?b>f4<58h1o?5rs3a5>5<5sW8h:63>b;0aa>"4i=09o85a3`194>{t:j>1<7o;:3a6?k5f;3;0q~"4i=0n86`vPj3:?b>ab<,:k?6h:4n2c0>4=z{mo1<7n7djk:188k7db2900en<50;9a3?6=;3:1X5jl1v?7;:181[bc34=1hi5r}c6;4?6=;3;1?vB51z&66<<53t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<>64}M634?7|,<826?5r$2f`>7073`no6=44o3`f>5<>d0290?6=4?{%1gg?7482B8ji5fag83>>ocl3:17d5<5sWk>70952cf8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srb`594?5=83:p(>jl:3;a?M5al2c:;94?::k230<722e9544?::a721=83?1<7>t$2f`>7g73A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{e;o21<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th8jl4?:583>5}#;mi1;>5G3gf8m4132900e<9::188m4112900c?76:188yg50:3:197>50z&0`f<0?2B8ji5f16694?=n9>?1<75f16494?=n9>=1<75`28;94?=zj:=?6=4::183!5ck3==7E=id:k231<722c:;84?::k233<722c:;:4?::m1=<<722wi?:850;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl;8583>1<729q/?im5759K7cb5<5<nh7>54;294~"4lj04750;9~f=7=8381<7>t$2f`>41a3A9mh6g>7283>>i5100;66sm49494?4=83:p(>jl:05e?M5al2c:;>4?::m1=<<722wi8hh50;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{t;4?=0:;;5rs5:3>5<5sW>3<63;8181f`=z{8n86=4={_3g7>;4?=0:;:5rs575>5<3sW>>:63;e88b1>;f?3;<86371;347>{ti?0;6;uQa79>e=7p}>2b83>3}Y9;i01:h5c39>e=h9;<1e2?4em2wx>i<50;1xZ7b5349<>7?85:?033<6?=1v?k8:180[4b?278;?4>779>722=9>>0q~=:4;296~X4==16?:851678yv70k3:1?vP>7b9>0=2=9>>019kk:055?xu5mk0;6>uQ2d`8961528=<70=84;341>{t9li1<77?>3ty?ih4?:3y>0`?=lm168hh528;8yv1a2909w09i:3`f?8g028=>7p};8483>7}:<1:1hi524949652z?0b=<6?=16?ko528;8yv2bk3:1>v3;ee81=<=:0=2=9><019kk:057?xu4?80;6?u23659522<5:=96?76;|q036<72;q6?:95167896132;327p}<7483>7}:;>=1=:84=255>7?>3ty3<7>52z?4b?bc342:6?76;|q7<6<72;q685:528;891>128=87p}nc;297~Xfk27j47;4nh0:;85+3`69eg=i;h91=6s|a883>1}Yi016m54j3:?b759'7d2=ik1e?l=52:~f1e629086<4<{M1eb?7|@;937pB;0182!3513<0q)=kc;00`>o6:>0;66g>i4180;66l8:180>5<7s-9oo7ok;I1e`>o6?:0;66g>1183>>i5100;66s|13594?4|V88<70951028yv4>;3:1>v38:3;:?[5>92wx>4:50;0xZ6c?34=1=:=4}|`71<<72:0:6>uC3gd95~N5;11v@9>?:0y'17?=>2w/?im522f8m4402900e>k7:188k6?62900n:4?:283>5}#;mi1mi5G3gf8m4142900e2900q~?=7;296~X6:>16;7?>0:p6<5=838p1:4=989]7<752z\0a==:?3;5<42808wA=if;3xL75?3tF?<=4>{%71=?04}r0:7?6=:r7<6?76;_1:5>{t:0>1<790qpl;d083>6<62:qG?kh51zJ17==zD=:;6{#;mi1>>j4i004>5<5<0;6>4?:1y'7ae=im1C?kj4i050>5<5<52z\262=:?3;:<6s|28194?4|5>09545Q3838yv4><3:1>vP3?70;2wvn9k>:180>4<4sE9mj7?tH31;?xJ3890:w);=9;48y!5ck388h6g>2683>>o4m10;66a<9083>>d029086=4?{%1gg?gc3A9mh6g>7283>>o6990;66a=9883>>{t9;=1<7k7;<595255}#;mi1=:h4H2dg?l70;3:17b<69;29?xd3=<0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|`726<72;0;6=u+3ea952`<@:lo7d?83;29?j4>13:17pl;5283>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|`7g6<72;0;6=u+3ea952`<@:lo7d?83;29?j4>13:17p};c083>1}Yh=63;c080=4=z{=2:6=4<{_6;5>;2:<0?4=5245f90=6>;7>54z\712=:=;?18884=56e>13134>?h7::6:p0`g=838pR9kn;<67`?2b12wx8nh50;1xZ1ea34?997:le:?70c<3kl1v9m8:181[2d?27>>84;c69~wg4=838pRo<4=522>7d?3ty?no4?:3y]0gd<5<8>69lm;|q72=<72;qU8;64=56f>1033ty?n44?:3y]0g?<5<8>69o8;|q730<72;qU8:;4=406>1123ty?:l4?:3y]03g<5=>3698n;|q255<72:qU=<>4=56f>47734>?47?>0:p57e=83?47lm;<67`?75k2wx85<50;0xZ1>534>?i7:70:p00>=838pR9;7;<66=?5>92wx8;<50;0xZ10534>=?7<69:p0<0=838pR979;<67>2wx8oo50;0xZ1df34?997:kb:p0`d=838pR9km;<67a?2b12wx8i>50;0xZ1b734>o=7=61:p0dd=838pR9om;<711?2fj2wxn>4?:2y]f6=:<=o1n>5245:9f6=z{k:1<7vP;559>003=:030q~:69;297~X310169?;548:8912a2=337p};c483>7}Y0;6?uQ4958912b2=2<7p};9`83>7}Y<0k0197m:2;2?xu3m90;6?uQ4d2891c62:3:7p};8`83>7}Y<1k019:i:5:b?xu30l0;6?uQ49g8912b2=2n7p};f083>1}Ym<63;4g87b5=z{=<>6=4={_651>;35<5s4>?m7=:5:?70a<4==1v<7?:181823n3;2<63;4d82=5=z{8n96=4<{<67e?7c:27?8k4>d39>01b=9m80q~?70;296~;3h>7>52z?7g4<6:>168n=528;8yv7c;3:1>v3;4g82`6=:<=n1=i=4}r0f173=:l2019:k:3g4?xu4j<0;6?u245c97g3<5=>36>l:;|q53?6=:r7?8h496:?716<6?<1v;650;0x912a2?<019;<:057?xu31j0;6?u245g90<><5=3i6>k7;|qb2?6==r7?8l4n6:?66027?8k4n6:?70=27?8i4n6:p5g4=838p19:i:0`6?823m3;i>6s|1e794?4|5=>m6{t1<71e334>h?7?83:p=f<72>q689k59b9>01>=1j168875135891?e288<70:k1;313>;3m80:>:52471952552z?70d<61<1689h51878yv5a>3:1>v3;4980b3=:<=n1?k84}r6g6?6=:r7?8h4;cd9>0a7=;l20q~::2;296~;3=80:;>52441967>52z?70=<5l;1689j52e08yv7e?3:1>v3;4g82f2=:<=o1=i?4}r0a2?6=:r7?8l4=b79>01`=:k<0q~52z?70c<59m1689k520f8yv22i3:1>v3;4d871g=:<<31?h64}r160?6=:r7?8l4<559>173=;<>0q~?8c;296~;3n>7>52z?70`<3lo168h?53d:8yv4bj3:1>v3:2481ag=:<=n1>hl4}r030?6=:r7?8k4=059>01c=:8i0q~8i:181823n3{t9li1<74cd34>?h7?jc:p6<5=838p1>hj:052?82d939n46s|2ea94?4|V;nh70;=5;0gg>"4i=09ho5a3`194>{t:mk1<770;=5;0f1>"4i=09ho5a3`196>{t:l>1<7"4i=09ho5a3`190>{t:l81<76*"4i=09ho5a3`192>{t:l:1<7"4i=09ho5a3`19<>{t:mo1<7"4i=09ho5a3`19e>{t:m31<77}Y181689o55e9'7d2=191e?l=50:p15=5a3`195>{t0l0;6>uQ8d9>01g==h169?;55`9'7d2=191e?l=52:p6}Y0k1689o5579>173==?1/?l:5919m7d5=<2wx4l4?:2y]15=5a3`191>{t000;6>uQ889>01g===169?;5559'7d2=191e?l=56:p<=<72:qU455245c916=:=;?19>5+3`69=5=i;h91;6s|8683>6}Y0>1689o5539>173==;1/?l:5919m7d5=02wx4;4?:2y]<3=:<=k19<52537914=#;h>15=5a3`19=>{t0<0;6>uQ849>01g==9169?;5519'7d2=191e?l=5a:p<1<72:qU495245c90c=:=;?18k5+3`69=5=i;h91n6s|8283>6}Y0:1689o54d9>173=15=5a3`19`>{t100;6>uQ989>01g=><169?;5649'7d2=191e?l=5e:p==<72:qU555245c921=:=;?1:95+3`69=5=i;h91j6s|9683>7}Y1>169?;5629'7d2=191e?l=5119~w<0=838pR484=406>34<,:k?64>4n2c0>476=4={_;6?835=3<:7)=n4;;3?k5f;3;97p}64;296~X><27>>8490:&0e1<>82d8m>4>3:p=6<72;qU5>5253791c=#;h>15=5a3`1951=z{081<7o;:828j6g428?0q~6l:181[>d34?997;8;%1b0??73g9j?7?9;|qbg?6=:r7>>84nc:?70a52z?6604>;|qb=?6=:r7>>84n9:?70a;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;03b?44=L5;:6=0j;009@drfI5;:6=0>0:31>LHW]]0O07B[ilgq847=87;:7<<5OTVSQQ:1<22>752F__\XZ5Rdqvhq:693:5m6?=:P>25?69j2;96_O31083:c=6:3Xnxb{<0394;763881Sljk0123847=87k0=;4N<319<;7738<1EC^ZT;C?66:@>15?799919=7GAPTV9E946284n7?=5A^DPF944284:86<<:HLSQQ2>58>3;0N~1?50?g86?OIX\^1H1?50?31?72>58a3;0DYY^ZT;S?5?699=196B[[PTV9V`urd}6:6=07;38R84<76m196_k|umv?5?69l281_Ygbes>2>5860281_Ygbes]b`aurj9:;<1?50?36?74K<383:g=42Mkm1<50?g87?OIX\^1H1<50?32?60>48a3:0DYY^ZT;S?6?690291]1<50?380==32M686=0l;58GeqgF4:0;245;:DQ?7?69m2>1EC^ZT;F?7?699;1?6D@_UU8GeqgF4:0;2k5;:NWWTPR=Y591<3?;;58LQQVR\3Xnxb{<283:==32X686=0k;58Qavsk|591<3m44;Vji`t;;3:5j6:5_`fg45674:0;2<5:1:42?2c1c:59@drfI5<1<3k47;KMTPR=L5<1<3?=;68JJUSS2MkmL29:124;3G;9:4>7L2>4?78E9726<1J0<815:C?52823H6:43;4A=3::1=F484>7L2=0?58E946284>7L2=1?78E9456>1J0?=58?78E9446=1J0?0;;@>0:1=F4=4?7L2:>59B83833H6<295N<9<7?D:>6=1J@H>:;@NF4H32KEH?L>6:CM@7D5<2KEH>84AOF0F40GIL=H::6OAD5@12>GIL=H8:6OAD5@70>GIL<<0MCJ:B048EKB2J;>0MCJ74:CPvce19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;2Gh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=<>4AnwwK@Yj}q:;<=?>119BkprHMVg~t=>?033e?Dir|FOTaxv?012057=Fg|~DIRczx1234Zojxm1Jcxz@E^mq4567:91Jcxz@E^mq4567W`g{SLaztNG\kw6789;?7L}jt59AEFRb3KKHXRgbp^@BGQ723KKHX64B@AWv97902HJOY|32?c8FDESz591=364B@AWv95912HJOY|Potv7?GSAM11IY^QFNGM2?F2E5=DOE?6M7M69@19:A;Iw:6294<7N6Br=3=6>EB;2IGG>5LLS18GKR>3JEFADZ[EE58GWCF\LN97N]9;Bnfew743Jf`96MckDl2<>EkcLdTECXPilr\GimBfVCEZ<94Cmi\BVK6<2IggRGAV^kntZEkcVCEZ<94Csggmquf3Jxnhdz|<25:c=Dzlnbx~Qnne2345433Jxnhdz|_`lg4567W`g{SN|jdhvp[dhc89:;=k5LrdfjpvYffm:;<<<;;Bpf`lrtWhdo<=>>_hos[Ftbl`~xSl`k01225c=Dzlnbx~Qnne2347433Jxnhdz|_`lg4565W`g{SN|jdhvp[dhc89:9=k5LrdfjpvYig}:;=_hos[Ftbl`~xSca{01215c=Dzlnbx~Qaou2346433Jxnhdz|_omw4564W`g{SN|jdhvp[kis89:8=h5LrdfjpvYhz9:;?00g8Gwcca}yTc>?0001?Ftbl`~xSb|?013\mhvXK{ooey}Pos23447b3Jxnhdz|_np34545:2Iyiig{s^mq4565W`g{SN|jdhvp[jt7898:=6J;;E>3:0=C48:5;6J31083:0=C48;5:6J31;2=0>B;97<0H1<50?68@949>2N7?7>14:F?7;2586J35?48@90=87>0H1814:F?3;23Mkm1<50?58@drf4;4>7Io{a@:8@drfI5:556Jnt`C?558e3MkmL2>1;2==>Bf|hK7=<0n;EcweD:629437Io{a@>2:==Ci}kJ0?0n;EcweD:429437Io{a@>0:==Ci}kJ0907;EcweD:26h1OmyoN<783:==Ci}kJ0;07;EcweD:0611OmyoN<9<;?AgsiH622;5KotvLA`=Cg|~DIRoad123474?1e9GkprHMVey<=>>219GkprHMVey<=>>_hos[Air|FOTc>?0032?@34EGcohZojxVOMmab>2:GP1>CT494>7H]31?78AV:56>1N_1=50?78AV:46h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<=6:GWEFMXkdzTi|>?01]jiuYB\HI@Snc_ds34566991NXLMD_hos[@RFKB;37H`nbmgWv7=Bz<1N~1>17:Gq84<76<1N~1?14:D@HN4@Al8'Bb55IFe3.Mk7>3OLo= Ga10:8BCb6%@d9n6HId0/JjZojx=1MJi<8;GDg6(Oi02LMh?#Fn0;8BCb5%@d:=55IFe0.Mk4>3OLo> Ga20:8BCb5%@d856HId3/Jj67>3OLo> Ga40:8BCb5%@d>n6HId3/JjZojx=1MJi=8;GDg7(Oi02LMh>#Fn0;8BCb4%@d:=45IFe1.Mk4602LMh>#Fn2`8BCb4%@dTe`~;;GDg02=ANm>&Ec?;;GDg0(OiW`g{SKHk4,Km51=ANm?<7KHk5,Km<>@Al<'Bb<74FGf6)Lh6911MJi;"Io0a?C@c=$CeSdc4:DE`314:DE`3+NfVcf|RHId7/Jj42@Al>'Bb??7;GDg3(Oi;01MJi9"Io12<>@Al>'Bb9l4FGf4)LhXadz?7KHk869EBa>*Ag20JKj7-Hl2=>@Al1'Bb@Al1'Bb>74FGf;)Lh4901MJi6"Io62<>@Al1'Bb8l4FGf;)LhXadz87K]M3:DPIf=A[DU;!}o}eov`?CUJW8'{mkatb9EWHY5%ykyiczl;GQN[6+wi{oex<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^k4I@AP[lkwW@KH_<:4IOKW7>OI^<1BCLM[d:KLEFRXign;<=>=0:KLEFRXign;<=>Pilr\MJGD\Vkeh=>?00f8MJGD\Vkeh=>?1328MJGD\Vkeh=>?1^kntZOHIJ^Tmcj?0132`>OHIJ^Taxv?012f?LIFK]Ufyu>?013e?LIFK]Ufyu>?0132a>OHIJ^Taxv?0121b>OHIJ^Taxv?01215c=NGHI_S`{w012374cOHJZ>0EBM\5:KLGV723@EH_?;4INAP7f=NGJYTmcj?0122a>OHKZUjbi>?01]jiuYNGJYTmcj?0122b>OHKZUha}Qjq123472OHKZUha}Qjq123672OHKZUha}Qjq123072:j6G@CR]`iuYby9:;9?:4INAP[fkwWl{;<=;Pilr\MJETWjg{Sh?0172b>OHKZUha}Qjq123272OHKZUha}Qjq123<72XadzTEBM\_bos[`w7892:j6G@CR]`iuYby9:;5?:4INAP[fkwWl{;<=7Pilr\MJETWjg{Sh?01;2b>OHKZUha}Qjq1224724INFLJ@Yhz9:;>8:KL@JHBag=0EBJ@NDp7?LIK8j1BCA>Pmtz3456c3@EGPmtz34566;l1BCA>Pmtz34566Pmtz34565m2CD@=Qbuy234546m2CD@=Qbuy234556m2CD@=Qbuy234526m2CD@=Qbuy234536m2CD@=Qbuy234506l2CD@=Qbuy23451b3@EGPos23457d3@EG_omw4566W`g{SDAC1^llp56798?0EBBKS79JKUQD[01BC]Y]e`fz5==NGY]Yiljv_hos[LIW_[ojht?8;HMQ@WCVn2CD^I\JQ^cm`5678;>0EB\KRDS\ekb789:Te`~PINPGV@WXign;<=>>f:KLVATBYVg~t=>?0028MJTCZL[Taxv?012254=NG[NYI\Qbuy234576981BC_J]EP]nq}67898:=<5FOSFQATYj}q:;<==>119JKWBUMXUfyu>?01625>OHZMXN]Rczx123417682CD^I\JQ^ov|5678<;:7DA]DSGR[hs89:;9;HMQ@WCVWds<=>?6033?LIUL[OZS`{w0123345_`lg4567:91BC_Y>_`lg4567W`g{SDA]W0]bja6789;h7DA]W0]lv56788o0EB\X1^mq4567W`g{SDA]W0]lv56788=0EB\jae{e?LIUmhnrSl`k012361=NG[ojhtQnne2345YneyUBC_kndx]bja6789;m7DA]e`fz[dhc89::>95FOSgb`|Yffm:;<?0030?LIT<2CD_=m4INQ3[dhc89:;=h5FOR2\ekb789:Te`~PINQ3[dhc89:;=n5FOR2\ip~789:o7DA\0^ov|56788o0EB]?_lw{456798o0EB]?_lw{4567:8n0EB]?_lw{4567;8:0EB]?_lw{4567W`g{n6G@S1]lv56788i0EB]?_np3456XadzTEB]?_np34566m2CD_Rmbp^gr4567m2CD_Rmbp^gr4566m2CD_Rmbp^gr4565m2CD_Rmbp^gr4564m2CD_Rmbp^gr4563m2CD_Rmbp^gr4562m2CD_Rmbp^gr4561<2CDY=m4INW3[hs89:;h6G@U1]nq}6789;m7DAZ0^ov|56788::i6G@U1]nq}6789;:j6G@U1]nq}6789;:=k5FOT2\ip~789::>k4INW3[hs89:;=9j4INW3[hs89:;>h5FOT2\ip~789:9=h5FOT2\ip~789:8=h5FOT2\ip~789:?=h5FOT2\ip~789:>=h5FOT2\ip~789:==i5FOT2\ip~789:OH]8Uecy>?003f?LIR9Vddx=>?1^kntZOH]8Uecy>?0036?LIRLZ=0EBY]RDSe?LIPZ[OZS`{w012355=NG^XYI\Qbuy23457692CD[_\JQ^ov|56788;:=6G@WSPFUZkrp9:;1:KLSWTBYVg~t=>?02324>OH_[XN]Rczx12341763@E\^_K^_lw{4567<8;;7DAXRSGR[hs89:;9:1038MJQUZL[Taxv?0125546?0e9JWZejxVoz<=>>d:KP[fkwWl{;<==4Io20?Lh6;2Ce>>5Fn218Mk243@d>i6EJEQ]KM@TFZJBR;6B@GHABHa=KXDUJHIQ\UC]2`>JWEVKOHR]ZB^0g?IVJWHNOS^[M_2f8HUKXIMNT_XLP4c9OTHYE]Z^YEJWEVNMJR?n;MRN[A@AW8:j7A^B_EDE[47f3EZFSIHI_00b?IVJWMLMS<=n;MRN[A@AW8>j7A^B_EDE[43f3EZFSIHI_04b?IVJWMLMS<9n;MRN[A@AW82j7A^B_EDE[4?>3EZFSIHI_3c8HUKXLOLT>=o4LQO\@C@X:8k0@]CPDGD\67g9o4LQO\@C@X:5o4LQO\@C@X:030@]CPDGD\7d=KXDUOJKQ<0`9OTHYCNOU8=l5CPL]GBCY4:h1G\@QKFG]07d=KXDUOJKQ<4`9OTHYCNOU89l5CPL]GBCY4>01G\@QKFG]7=>JWEVNMJR;6;MRN[A@AW?30@]CPDGD\3<=KXDUOJKQ79:NSIZBANV3j7A^B_YMQ6Z7f3EZFSUA]3^35?IRnelx27AZfmdp?4;g0?a8HQojm{6:=7>1a:NWmhcu48;556B[ilgq848>3E^bah|32?;8HQojm{68245CThofv92912F_e`k}<4<:?IRnelx7:374LUknaw:0601GXdcjr=:==>JSadoy0407;MVji`tSK890@YgbesV@[fkwWl{;<=>>4:NWmhcu\JUha}Qjq12344733E^bah|[C^antZcv89:;><:4LUknawRDWjg{Sh?012052=K\`gn~YMPclr\at6789Uba};4Lncg5a=Kghn:Sca{012265=Kghn:Sca{0122[lkwWEejh2GjhiQ\ThofvZgclzi<=>?d:Ob`aYXimn;<=>>3:Ob`aurjVUjhi}zb1234g=JpfxT^h}zlud8I}iuW[oxyaz311Kg{UYi~{ct=3=a>Kg{UYi~{ct=0=a>Kg{UYi~{ct=1=a>Kg{UYi~{ct=6=a>Kg{UYi~{ct=7=a>Kg{UYi~{ct=4=a>Kg{UYi~{ct=5=a>Kg{UYi~{ct=:=a>Kg{UYi~{ct=;=`>Kg{UTtb|?0121?K653G;97C<=;O11?K253G?:7B=4OS06?JT5J8?0C_IU<:1D^8=4OS40?JT0;2EY495@uu2f?Jss8Vcf|RAzt137?Jss9<1Dyy??5:Mvp47b3F=Rgbp^Mvp4733F>h5@uu0\mhvXG|~9=95@uu1f?Jss;Vcf|RAzt237?Jss<=1Dyy;;;Nww21=H}}=?7B{{859Lqq?03F|n~aov159Lr`tkipUba}Q@vdpoe|763Yi0\#3:0=V48:5;6_31083:0=V48;5:6_31;2=0>W;97<0]1<50?68U949>2[7?7>14:S?7;2586_35?48U90=87>0]1814:S?3;27_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU03[KFO^>W159QEHET8QUba}Q]ALAP4]7682XJAN]Pilr\VDKD[820^LC]e`fz55=UIDXnmiwPmtz3456692XJA_kndx]nq}6789;:>6\NMSgb`|Yj}q:;<=?>139QEHTbimsTaxv?01215470^LC]e`fz[hs89:;Sdc5:PBW@Jc3[KXIAQnne2345473[KXIAQnne2345YneyUYM^KC_`lg45679m1YM^KC_lw{4567m2XJ_HBPmtz34566n2XJ_HBPmtz345669l1YM^KC_lw{4567:8;0^L]JL^ov|5678Vcf|85]ARGM`>TF[LDTaxv?012f?WGTMGUfyu>?013e?WGTMGUfyu>?0132b>TF[LDTaxv?01215`=UIZOES`{w0123747?>;SC\55Yj}q:;<=Qfmqf8VDY69Vg~t=>?0d9QEZ76Wds<=>?1g9QEZ76Wds<=>?10d8VDY69Vg~t=>?033f?WGX98Ufyu>?01125>TFW8;Taxv?012\mhvd3[KT=Rczx1234a=UIV;Taxv?0122a>TFW8Ufyu>?0132a>TFW8Ufyu>?0102`>TFW8Ufyu>?01124>TFW8Ufyu>?01]jiueTFW;Ufyu>?013f?WGX:Vg~t=>?003f?WGX:Vg~t=>?033g?WGX:Vg~t=>?0233?WGX:Vg~t=>?0^kntf=UIV9Taxv?012g?WGX;Vg~t=>?00g8VDY4Wds<=>?10g8VDY4Wds<=>?20f8VDY4Wds<=>?3028VDY4Wds<=>?_hosg>TFW=Ufyu>?01f8VDY3Wds<=>?1d9QEZ2Xe|r;<=>>1d9QEZ2Xe|r;<=>=1e9QEZ2Xe|r;<=><119QEZ2Xe|r;<=>Pilr`?WGX=Vg~t=>?0e9QEZ3Xe|r;<=>>e:PB[0Yj}q:;<=?>e:PB[0Yj}q:;<=<>d:PB[0Yj}q:;<==>0:PB[0Yj}q:;<=Qfmqa8VDY1Wds<=>?d:PB[3Yj}q:;<=?j;SC\2Zkrp9:;<??;SC\2Zkrp9:;k;SC\3Zkrp9:;<4R@]4[hs89:;Sdcc:PB[=Yj}q:;<=j4R@];[hs89:;=h5]A^:\ip~789::=h5]A^:\ip~789:9=i5]A^:\ip~789:8==5]A^:\ip~789:Te`~l;SC\=Zkrp9:;{oSge[dhc89:;Sdc_SQ3pjTbnVkeh=>?0033?WU7|fXnjRoad123570?2348VV6sg[omSl`k0121[lkwW[Y;xb\jf^cm`567:8;;7_]?tnPfbZgil9:;??84RR2wkWcaWhdo<=><_hos[WU7|fXnjRoad12374713[Y;xb\jf^kntZTT8}eYik?k;SQ\ghvXmx:;<=j4RR]`iuYby9:;=i5]S^antZcv89:9h6\\_bos[`w7899o7_]Pclr\at678=n0^^Qlmq]fu567=m1Y_Rmbp^gr4561l2XXSnc_ds3451c3[YTo`~Pnd2345bTTWjg{Sck?0110?WRK=2Xnmiwk;Sgb`|Yffm:;<=?1e9Qadb~Whdo<=>>219Qadb~Whdo<=>>_hos[WcflpUjbi>?00324>TbimsTe`~PRdcg}406\jfSgr[dhc89::Sdc_SgeV`wXign;<=?>e:PfbWcvWhdo<=>=239QacTbyVkeh=>?2^kntZTbn[ozSl`k01215`=UmoXn}R``t123674?k;SgeV`wXg{:;<=?179QacSuol1Yik[}g^cm`5678;80^hhZrf]bja6789Uba}Q]egWqcZgil9:;<Pilr\V``RznUfyu>?013f?Wca]{mTbbz?01316>Tbn\xlSca{0122[lkwW[omYiPnnv34576l2XnjX|h_np3456582XnjX|h_np3456XadzT^hhZrf]lv56788n0^hhZrf]lv5679;:0^hhZrf]lv5679Vcf|R\jfTpd[jt789;::6\jstnw=>Tb{|f0=0n;Sgpqir;994h7_k|umv?54<76h1Yi~{ct=32:g=Umzgx1?50?;8V`urd}6:245]erwop949j2Xnxb{<283:<=Umzgx1=19:Pfwpjs4=427_k|umv?1;dTb{|f040=6:PfwpjsW9Uecy>?01]jiuYUmzgxR>Pnnv34566j2YBKO[\_HLEKd=TANUIY^GKXc9PMBYE]ZDJAH84SUknaw?<[]cfi2?>c9PPlkbz5;1<374SUknaw:668>0_Ygbes]b`aurj9:;<1>1179PPlkbzVkoh~{m012384<768>0_Ygbes]b`aurj9:;<1?1e:QWmhcuWhdo<=>>239PPlkbzVkeh=>?1^kntZUSadoySl`k01225`=T\`gn~Road123674<[]cfiQnne2347YneyUXXdcjr^cm`567:8;:7^Zfmdp\ghvXmx:;<=<7;RVji`tXkdzTi|>?01]jiuYT\`gn~Rmbp^gr45679890_Ygbes]nq}67896;6<0>1:QWmhcuWds<=>?<1<1<>USadoyS`{w0123858XadzT_Ygbes]nq}67896;2;RVji`tXe|r;<=>31?0;?VRnelxTaxv?012?5;YneyUXXdcjr^ov|56785;5=h5\ThofvZhh|9:;>?<4SUknawYig}:;?2078WR:66<1X[1<17:QT86<66<1X[1=14:Q`hw2<[jy86]jnua8W`hsWhdo<=>?1d9PakrXign;<=>Pilr\W`hsWhdo<=>?1c9PakrXg{:;<=?l;RgmpZiu89:;Sdc_RgmpZiu89:;=l5\nePBIFUPZ880_cj]ALAPSWYffm:;<=6]adSCNGVQUWhdo<=>>2`9PjaTFEJY\^Road1235ZojxVYeh_OBCRUQ[dhc89::=<;4SofQEHET_[Uha}Qjq123466<[gnYM@M\WS]`iuYby9:;>319PjaTFEJY\^Rmbp^gr4566W`g{S^`kR@O@WRTXkdzTi|>?00321>Uil[KFO^Y]_bos[`w78988<6]adSCNGVQUWjg{Sh?010\mhvX[gnYM@M\WS]`iuYby9:;>Qfmq]PjaTFEJY\^Rmbp^gr456498?0_cj]ALAPSWYdeyUn}=>?4228WkbUIDIX[_Qlmq]fu567?=5\nePBIFUPZVif|Rk~0126[lkwWZdo^LCLSVP\ghvXmx:;<8?>5:Qm`WGJKZ]YSnc_ds3450482Yeh_OBCRUQ[fkwWl{;<=8Pilr\WkbUIDIX[_Qlmq]fu567>8;>7^`kR@O@WRTXkdzTi|>?0613?VhcZHGH_Z\Pclr\at678>Uba}Q\nePBIFUPZVif|Rk~012454g<[gnYM@M\WS]jiuYTfmXJAN]XR032?VhcZHGH_Z\Pos23454?3Zdo^LCLSVP\kw6789Uba}Q\nePBIFUPZVey<=>?1038WkbUIDIX[_Q`r12357><[gnYM@M\WS]lv5679Vcf|R]adSCNGVQUWfx;<=?>109PjaTFEJY\^Ra}01216==TfmXJAN]XR^mq4565W`g{S^`kR@O@WRTXg{:;=c:Qm`WcflpUha}Qjq1234ZojxVYeh_kndx]`iuYby9:;<?1348WkbUmhnrSca{0122[lkwWZdo^hoky^llp56798l0_cj]e`fz[jt789:986]adSgb`|Yhz9:;?00d8WkbUmhnrSb|?01310>Uil[ojhtQ`r1235ZojxVYeh_kndx]lv56798=0_cj\lnue?Vhc[ee|Sl`k012361=TfmYgczQnne2345YneyUXbi]cov]bja6789;m7^`kSmmt[hs89:;>95\neQokrYj}q:;<=Qfmq]PjaUkg~Ufyu>?0137?Vub|;1__i5[S^antZcv89:;h6Z\_bos[`w789;o7Y]Pclr\at678;n0X^Qlmq]fu567;=1_U]K:;Uknaw><\`gn~1>18:Vji`t;9720Xdcjr=0=e>Rnelx7?7>18:Vji`t;;7=0XdcjrUA26>Rnelx_ORmbp^gr4567:h1_e`k}TB]`iuYby9:;`=55YiePBIFUPZ?>`Snc_ds3456612\bh_OBCRUQ21mXkdzTi|>?0132=>Pnl[KFO^Y]65i\ghvXmx:;<=<>9:Tj`WGJKZ]Y:9ePclr\at67899:o6XfdSCNGVQU>=aTo`~Pep2345Yney8;7[gkR@O@WRT1`=?5XS`9TWZgil9:;<^T\ECI;6V\T^EM@4c1S_YQYCB48\adXAm;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa5:Zl85813Qe7<3?9;Ym?4;413Qe7<3=6;Ym?4;Yney90T~z6;YqwCHicmeh0Sljk0123858d3Vkoh=>?0=33:`=Ximn;<=>31083:f=Ximn;<=>310?<4720Sl`k012353=Xign;<=>Pilr\[dhc89:;=55Paof34576>2Ujbi>?00]jiuYXign;<=?>8:]bja678;;=7Road1236ZojxVUjbi>?033;?Zgil9:;?<84_`lg4564W`g{SRoad12374>;179\ekb789>Te`~P_`lg4563911Tmcj?01722>Yffm:;<8Qfmq]\ekb789?:46Qnne2343713Vkeh=>?6^kntZYffm:;<;?7;^cm`567?8<0Sl`k0124[lkwWVkeh=>?70:8[dhc89:3=;5Paof345>XadzTSl`k012;5==Xign;<=7>6:]bja6780Uba}QPaof345?602Ufyu>?0158[jt789::86Q`r1234ZojxVUd~=>?0058[jt789;:86Q`r1235ZojxVUd~=>?1058[jt7898:86Q`r1236ZojxVUd~=>?2058[jt7899:86Q`r1237ZojxVUd~=>?3058[jt789>:86Q`r1230ZojxVUd~=>?4058[jt789?:86Q`r1231ZojxVUd~=>?50:8[}iu89:;96okddp5?dbc{|hn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvd33`eia95focq:?kGCg|~GI=?7;oCGkprKM9Uba}QaAEmvpIC798:0bLJ`uuNF4Ziu89:;>;5aAEmvpIC7Wfx;<=>Pilr\jDBh}}FN199mEAir|EO:Sdc_oCGkprKM8;87cO\7:lBWZ@TJ>1eM^QFOC31?kGTW@EIScO\_HMAkprf3gKXSDAMotv5?kGTg|~n7cO\otv\ekb789:9>6`NSnww[dhc89:;Sdc_oCPkprXign;<=>>e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ8;0bOK]R^DPFZgil9:;?0^kntZhEM[XTJ^LPaof3456612dII_\PIN@4?kD@W@EI;6`MR^KLFc=iJ[UBCOQnne2345433gHYSDAM_`lg4567W`g{ScL]_HMA[dhc89:;=k5aBS]JKGYj}q:;<=??;o@Q[LIEWds<=>?1038jGTXAFHTaxv?01225475aBS]JKGYj}q:;<=Qfmqg8jGTXAFHTc>?0101?kDUW@EISb|?012\mhvXfKXTEBLPos2345733gIJ_n5aC@Q\ip~789:o7cMNS^ov|56788o0bNO\_lw{456798n0bNO\_lw{4567:l1eOL]Pmtz345659m1eOL]Pmtz34564m2dHM^Qbuy234556m2dHM^Qbuy234526l2dHM^Qbuy23453682dHM^Qbuy2345Yney<0bIZNCJc8jARFKBUM_Oo4nEVBGNYNGKo0bIZNCJ]bja6789897cJ[ABI\ekb789:Te`~PnEVBGNYffm:;<=?j;oFWEFMXff~;<=?=2:lGPDELWge<=>>_hos[kBSIJATbbz?01322>hCagFNh6`KioNF[jt789:9<6`KioNF[jt789:Te`~PnEkmH@Yhz9:;<<84nEkmK@c6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUjbi>?0007?kBh}}ENSl`k0122[lkwWgNdyyAJ_`lg456698>0bIaztNG\mhvXfMe~xBK>e:lGkprHMVey<=>?239m@jssGLUd~=>?0^kntZhCg|~DIRa}012350=iAYF9:6`FPM0q0>hKLZ20bAJ\_GQA<>hKLZUBCO?;;oNGWZOHJVdGH^QFOCmvpg=iDMYTEBL`uua8jIBTWhdo<=>?1d9mHAUXign;<=>Pilr\jIBTWhdo<=>?1b9mHAUXign;<=?>e:lO@VYffm:;<c:lO@VYffm:;Rgbp^lO@VYffm:;0bAYJc:lOS@Yffm:;<=?j;oNTAZgil9:;hHMVkeh=>?00a8jJCXign;<=>Pilr\jJCXign;<=>>b:lLAZgil9:;=?1348jJpbzekrSl`k0122[lkwWgE}ibny^cm`56798;;7cAyesnb}Zhh|9:;=?84nNtfvig~Wge<=>>_hos[kIqm{fjuR``t1235412:lQKHETW`g{Sc\@MBQ22>hUGD]Ni6`]OLUF[dhc89:;>?5aRNOTAZgil9:;?1008jWIJ_LUba}QaRNOTA4>hUmoIgg_Qaou2344YneyUe^hhLljP\jjr789;:86`ZDR:8jPBTWOYI46`ZDR]JKG733g_O_RG@B^lV@VYNGKe~xo5aUEQ\MJDh}}i0bXJ\_`lg45679l1eYI]Paof3456XadzTbXJ\_`lg45679j1eYI]Paof34576m2d^H^Qnne2344YneyUeYI]Paof34576k2d^H^Qaou23447b3g_O_R``t1235ZojxVd^H^Qaou23447e3g_O_Ra}01235f=i]MYTc>?01]jiuYi]MYTc>?0137?kSPMj1eYZKPaof34566m2d^[HQnne2345YneyUeYZKPaof34566m2d^[HQfmq]mQRC6<2d]AL64nWOB[LIE9=1eZ@OPIN@\jSKFW@EIcxzm;oTNEZOHJfo6`YM@]bja6789;n7cXBA^cm`5678Vcf|R`YM@]bja6789;m7cXBA^antZcv89:;>95aVLC\ghvXmx:;<=Qfmq]mRHGXkdzTi|>?013`?kPJIVddx=>?10g8jSKFWge<=>>_hos[kPJIVddx=>?1068jSVF02d]\LQISC:8jSVFW@EIo6`YP@]bja6789;n7cX_A^cm`5678Vcf|R`YP@]bja6789;h7cX_A^llp56798o0b[^N_omw4566W`g{ScX_A^llp5679890bZK8;oUF[CUEm2ey<=>?_`lg4567:;1d~=>?0^cm`5678Vcf|Ra}0123[dhc89:;=h5`r1234Zgil9:;=?<4os2345Yffm:;<?10g8kw6788Ujbi>?0101?jt789;Tmcj?012\mhvXg{:;<>_`lg4566W`g{Sb|?013\ekb789;:i6a}0122[dhc89:9>?5`r1235Zgil9:;>Rgbp^mq4566Whdo<=>=1d9lv567:Vkeh=>?0308kw678;Ujbi>?01]jiuYhz9:;>Road12344c?03]bja6788;n7b|?010\ekb78989>6a}0121[dhc89:9Sdc_np3454Xign;<=<>e:mq4564Whdo<=>?239lv567;Vkeh=>?0^kntZiu89:8Sl`k01235`=hz9:;?Road123574Qnne2344YneyUd~=>?3^cm`56798o0c>?02]bja678;897b|?011\ekb7898Te`~Pos2346Yffm:;=2:mq4563Whdo<=>?_hos[jt789>Tmcj?0122a>iu89:?Sl`k012267=hz9:;8Road1235ZojxVey<=>;_`lg45669l1d~=>?4^cm`567:;80c>?05]bja678;Uba}Q`r1230Zgil9:;>Pilr\kw678?013f?jt789?Tmcj?01316>iu89:>Sl`k0122[lkwWfx;<=;Paof34576m2ey<=>:_`lg4565:;1d~=>?5^cm`567:Vcf|Ra}0126[dhc89:9=l5om]b`aurj>1{caQllj;8tjjXkeanb55om]pgit?3yegS~}jt`9skiYrxme|bo5osv\eabt}k20|b|{_bnhe>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}30|b|{_raov<=wg{~T~k{b:rlvqYrxme|b<5}3:pbi==u|eUu}k>;r68wl|bn2ydymj_gkoakgjmm1xcx|le^njj`he:2{:6{dnum=>pfd|oTod`6;wcoq`Yhxk?0{dj{h99{g<378qfn7um6524{hue3<$?87ua}}ABs5g`?3IJs8:h7h39>0=7):>5;63b>{Tk:0?=i4=bb8271d5;j05<628qXo94;1e81ff<6;=h9?n48aca8rC51j3:1=7?50zQ`0?26l38io7?<4c00g?1fjj1/?kk522d8 7dc2<>?7o:?e;290g<6:32;0xL75b3-8ih7;;3:O045=9r.>?n49;|&0ac<38l1Qn>4<{0195c<4;3wQ?4j52z35>4c=u">o87>5;h`5>5<5<5<n1<75f10494?=n9:81<75f48794?=h>oe=3:17b:93;29?j21?3:17d:nc;29?l20k3:17b:k8;29?j2>i3:17b:nd;29?j2a;3:17b:6d;29?j2f93:17d;?4;29?j2003:17b3:1(?li:3f4?k4em3;07bok50:9j=6<72-8ij77;;o0aa?7<3`396=4+2cd9=1=i:ko1>65f9083>!4en33?7ca290/>oh5959m6gc=<21b4h4?:%0ab??33g8ii7;4;h:g>5<#:kl1595a2cg92>=n0j0;6)ok58:9j!4en33?7c0290/>oh5959m6gc=k21b4;4?:%0ab??33g8ii7j4;h;g>5<#:kl1595a2cg9a>=n1j0;6)ok51198m45<3`3<6=4+2cd9=1=i:ko1=954i8494?"5jo0286`=bd821>=n190;6)!4en39i:6`=bd83?>o4j<0;6)h5jl0:76g!4en39i:6`=bd81?>d3980;6<4?:1y'7``=9>20D9??;n343?6=3th?=94?:083>5}#;ll1?kj4H533?j4ej3:17pl;5e83>4b=:>08nvB;1382M44m2wG8<=52zl67a<382.>?n49;|&0ac>i4=80;66a>d983>>i5m=0;66a>ofi3:17d:k4;29?j7>j3:17d;?3;29?j4e13:17boh5619m6gc=821b9k4?:%0ab?073g8ii7?4;h7f>5<#:kl1:=5a2cg96>=n=j0;6)91e>ok54:9j1<<72-8ij78?;o0aa?3<3`?36=4+2cd925=i:ko1:65f5683>!4en3<;7coh5619m6gc=021b984?:%0ab?073g8ii774;h77>5<#:kl1:=5a2cg9e>=n=:0;6)91e>ok5c:9j14<72-8ij78?;o0aa?b<3`<26=4+2cd925=i:ko1i65f6983>!4en3<;7c"2;90n>6*:3381g4=#=;o1o:5a53:95>"2:o0h46`:2882?!34<39i46`:2`83?x"4mo098l5fc683>>od03:17dk=:188k7e62900e>l7:188f2<72<0968uC40095~N5;l1v(>ki:36:?lc52900c?m>:188mf1=831bo54?::k`5?6=3k=1<7;50;2x 6ca289>7E:>0:N757<6s-;387;<7:jfc<722ch=7>5;h`7>5<:183!5bn3;<46F;119l521=831vn950;0xZf1<5>0i86s|c983>7}Yk116;7li;|q1=2<72;q684650;0x92<5k81U>n?4}r0:=?6=:rTn>638:d08yxd34?:1y'7``=:0l0D9??;h34e?6=3`;5;n0:`?6=3tyh;7>52z\`3>;02j=0q~m7:181[e?34>?n7?8b:p6f7=838pR?m>;<596f753z\0f==:?3o970:;b;34e>{t<=k1<7;35<5<5<5<5<5<5<5<7s-9nj7k1<75f16`94?=n9>i1<75f16f94?=n9>o1<75`28f94?=zj83n6=4<:183!5bn382i6F;119j52g=831b=:l50;9l6j27:5h4=9e9~w4g62909wS?n1:?2=f<6?h1v7c9~w4g22909wS?n5:?2=f<6?j1v7e9~w4g>2909wS?n9:?2=f<6?o1v7d9~w4gc2909wS?nd:?2=f<6091v7c9~w4d62909wS?m1:?2=f<6081v7`9~w4d22909wS?m5:?2=a<6?m1v<7i:181[7>n27:5i4>7b9~w1652909wS:?2:?2=a<6?l1v<7l:18187>k382h63>9d823d=z{83o6=4={<3:`?4>l27:5h4>7c9~yg27?3:157=5bz&0ac<38>1d8==50;9j12<722c>47>5;h7:>5<>o2m3:17d;i:188m37=831i8=:50;794?6|,:om6:o4H533?l70i3:17d?8b;29?l70k3:17d?8d;29?j4>l3:17pl;0483>0<729q/?hh57b9K0465<5<N3991b=:o50;9j52d=831d>4j50;9~w1642909wS:?3:?743<51m1v8950;0xZ01<5=:?6<9n;|q6463;05823g=z{<31<77e9~w0e=838pR8m4=526>41d3ty>i7>52z\6a>;38<0:;i5rs4d94?4|V::05b?xu193:1>vP91:?740<6?k1v9>;:181827<382h63;07823d=z{=:>6=4={<631?4>l27?<;4>7c9~yg4ei3:1>7>50z&0ac<60<1C8<>4i05:>5<N3991b=:o50;9j52d=831b=:m50;9j52b=831d>4j50;9~f63429086=4?{%1fb?4>n2B?==5f16c94?=n9>h1<75`28f94?=zj;o>6=4<:183!5bn3==7E:>0:k23d<722c:;o4?::m1=a<722wi>h850;194?6|,:om6::4H533?l70i3:17d?8b;29?j4>l3:17pl;0983>6<729q/?hh5749K0465<N3991b=:o50;9j52d=831d>4j50;9~f4>429026=4?{%1fb?>53A>:<6g>7`83>>o6?k0;66g>7b83>>o6?m0;66g>7d83>>o6?o0;66g>8183>>o6080;66a=9e83>>{e>0D9??;h34e?6=3`;5;n0:`?6=3th8o84?:483>5}#;ll1;l5G4028m41f2900e<9m:188m41d2900e<9k:188k7?c2900qo=l7;291?6=8r.8ik48b:J755=n9>k1<75f16`94?=n9>i1<75f16f94?=h:0n1<75rb2a:>5<2290;w)=jf;5a?M2682c:;l4?::k23g<722c:;n4?::k23a<722e95i4?::a7fd=83?1<7>t$2ge>2g<@=;;7d?8a;29?l70j3:17d?8c;29?l70l3:17b<6d;29?xd4km0;684?:1y'7``=?j1C8<>4i05b>5<5<5<55;294~"4mo0j>:186>5<7s-9nj79k;I624>o6?h0;66g>7c83>>o6?j0;66g>7e83>>i51m0;66sm3e194?3=83:p(>ki:6a8L1773`;5;h34f?6=3`;5;h34`?6=3f82h7>5;|`2e7<72<0;6=u+3dd93d=O<8:0e<9n:188m41e2900e<9l:188m41c2900c?7k:188yg7f<3:197>50z&0ac<0j2B?==5f16c94?=n9>h1<75f16a94?=n9>n1<75`28f94?=zj8k=6=4::183!5bn3=i7E:>0:k23d<722c:;o4?::k23f<722c:;i4?::m1=a<722wi=l650;794?6|,:om6:m4H533?l70i3:17d?8b;29?l70k3:17d?8d;29?j4>l3:17pl>a`83>0<729q/?hh57c9K0465<5<N3991b=:o50;9j52d=831b=:m50;9j52b=831d>4j50;9~f4gb290>6=4?{%1fb?1d3A>:<6g>7`83>>o6?k0;66g>7b83>>o6?m0;66a=9e83>>{e9k:1<7;50;2x 6ca2>n0D9??;h34e?6=3`;5;h34g?6=3`;5;n0:`?6=3th:n?4?:483>5}#;ll1;o5G4028m41f2900e<9m:188m41d2900e<9k:188k7?c2900qo?m4;291?6=8r.8ik48c:J755=n9>k1<75f16`94?=n9>i1<75f16f94?=h:0n1<75rb0`5>5<2290;w)=jf;5`?M2682c:;l4?::k23g<722c:;n4?::k23a<722e95i4?::a7a0=83>1<7>t$2ge>7g63A>:<6g>7`83>>o6?k0;66g>7b83>>i51m0;66sm3b394?5=83:p(>ki:668L1773`;5;h34f?6=3f82h7>5;|`0`=<72=0;6=u+3dd96d7<@=;;7d?8a;29?l70j3:17d?8c;29?j4>l3:17pl6<729q/?hh5759K0465<50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj=i26=4<:183!5bn3==7E:>0:k23d<722c:;o4?::m1=a<722wi8nl50;094?6|,:om6<6:;I624>o6?00;66a=9e83>>{tk90;6?uQc19>0f3=k>1v>;>:186[5292789?4=9e9>705=9>h019m8:05a?87f83;;5m?0:;l5rs2`e>5<5sW9ij63{t1<7{t=991<7{t:l91<7382h6s|34294?4|V:?;70=:3;0:`>{t9181<7;<3b6?4>l2wx=l=50;0x94d028k870?n4;0:`>{t9h?1<74g234;j:7<6d:p5d1=838p1l2wx=ll50;0x94d028ki70?nc;0:`>{t9k?1<74d234;i:7<6d:p5db=838p1l2wx=o?50;0x94d028h:70?m2;0:`>{t9k91<74d434;i87<6d:p7f2=838p1>m::3;g?85d93;2;3o70=k6;34f>{t;jk1<77?c349o:7?8c:p7fe=838p1>mk:3;g?85d;3;50;0x96b62;3o70=k8;34f>{t;m81<77?c349o47?8c:p7f6=838p1>m>:3;g?85ci3;{t<991<716434>;47?8b:p5<`=838p16?m>;<0ae?7012789>4>7`9>6`0=9>h01;4l=0:;l5rs5a5>5<5s4>h97m7;<6`3?4>l2wx8n650;0x91e>2;3o70:lb;34=>{t;m?1<77?c349h=7?8b:p7a1=838p1>j7:3;g?85d;3;l2wx:<4?:02xZ37<5=:<6;?4=271>41d348n97?8b:?2<6<60816=l<516f894g328=o70?n6;34`>;6i10:;i521`c952b<58kh6<9k;<3ba?70l27:n=4>7e9>5g4=9>k013;h41l0;7p}:f;2955}Y=o168=955g9>704=9>n01?k::05b?87?;3;3<63>a3823f=:9h>1=:m4=0c5>41d34;j47?8c:?2ed<6?h16=lm516`894gb28=i70?m0;34g>;6j;0:;i521c6952b<58h=6<9k;%1:b?073g92i7?4}r7f>582823c=:9h81=:l4=0c7>41e34;j:7?8a:?2e=<6?k16=lo516a894gd28=h70?ne;34e>;6j90:;o521c0952e<58h?6<9l;<3a2?70i2.85k490:l0=`<53ty>o7>5fz\6g>;38>0>o63<53823d=:9191=:k4=0c1>41f34;j87?8a:?2e3<6?k16=l6516c894gf28=i70?nc;34e>;6il0:;n521c2952g<58h96<9m;<3a0?70i27:n;4>7b9'7<`=>91e?4k53:p1d<72:qU9l5241591d=:9191=:j4$2;e>368:4;894>428=h7)=6f;43?k5>m3?0q~;7:180[3?34>;;7;7;<3;7?70j2.85k490:l0=`<13ty>;7>53z\63>;38>0>;63>82823d=#;0l1:=5a38g93>{t=?0;6>uQ579>7f3=9>n01>mk:05g?!5>n3<;7c=6e;:8yv322908wS;:;<1`1?70k278oi4>7c9'7<`=>91e?4k59:p11<72:qU99523b7952d<5:io6<9l;%1:b?073g92i7o4}r70>5<4sW?870=l5;34e>;4km0:;l5+38d925=i;0o1n6s|5383>2}Y=;16?n9516f896e>28=o70=lb;34`>;4ko0:;n523e3952e<5:n86<9m;%1:b?073g92i7m4}r72>5<0sW?:70=l7;34g>;4k00:;n523b`952e<5:im6<9m;<1g5?70j278h>4>7`9'7<`=>91e?4k5d:p2<<72>qU:4523b5952g<5:i26<9m;<1`f?70j278ok4>7`9>7a7=9>n01>j<:05g?!5>n3<;7c=6e;g8yv0?2907`9>7fd=9>k01>mi:05g?85c93;{zj<936=4<5;1g>3?|D=;96ki:3g1?_d42;q:?7?k:|X0=a<5s8<1=n4rib294?=nij0;66g;9283>>i3ml0;66g;6883>>i3lh0;66a;cd83>>o5m=0;66a;8983>>ofi3:17b:me;29?l50:3:17d:nb;29?l37;3:17d:j1;29?l4b;3:17b:k8;29?l5283:17b3:1(?li:3f4?k4em3;07bok50:9j1f<72-8ij7;k;o0aa?7<3`?j6=4+2cd91a=i:ko1>65f5883>!4en3?o7coh55e9m6gc=<21b9:4?:%0ab?3c3g8ii7;4;h75>5<#:kl19i5a2cg92>=n=<0;6)ok58:9j16<72-8ij7;k;o0aa??<3`?96=4+2cd91a=i:ko1m65f5083>!4en3?o7c290/>oh55e9m6gc=k21b:54?:%0ab?3c3g8ii7j4;h44>5<#:kl19i5a2cg9a>=n>?0;6)ok51198m32=83.9nk4:d:l1f`<6921b:>4?:%0ab?3c3g8ii7?=;:k56?6=,;hm68j4n3`f>45<3`?i6=4+2cd91a=i:ko1=954b277>5<6;3;1=>uC40095~N5;l1v@9?<:0y'16e==2w/?hh51618mf1=831d>lm50;9l6db=831d>l750;9l6dd=831d>lh50;9l6g7=831d>o=50;9l6g2=831d>o;50;9l6g0=831d>o950;9l6g>=831b>>650;9j66?=831b>>o50;9j66d=831b>>m50;9j66b=831i=:<50;30>47=91qG8<<51zJ17`=z,:om6>;;;h005;h00e?6=3`88n7>5;h00g?6=3`88h7>5;hab>5<5<5<5<6=44o3`5>5<5<5<1bi?4?::m1g4<722ch;7>5;c594?5=83:p(>ki:010?M2682F?=?4>{%3;0?34?2wbn94?::kf6?6=3f8h=7>5;|q`3?6=:rTh;638:c68yv4>?3:1>v38:3a2?[4d92wx>4650;0xZ`4<5>0n>6srb01f>5<42808wA:>2;3xL75b3t.8ik4>369ja7<722e9o<4?::k`3?6=3k=1<7=50;2x 6ca28987E:>0:N757<6s-;387;<7:jf1<722cn>7>5;n0`5?6=3tyh;7>52z\`3>;02k>0q~<67;296~;02;i:7S=838pRh<4=68f6>{zj8>26=4<:080I26:3;pD?=j;|&0ac<6;>1bi?4?::m1g4<722ch;7>5;c594?5=83:p(>ki:010?M2682F?=?4>{%3;0?34?2wbn94?::kf6?6=3f8h=7>5;|q`3?6=:rTh;638:c68yv4>?3:1>v38:3a2?[4d92wx>4650;0xZ`4<5>0n>6srb073>5<42808wA:>2;3xL75b3t.8ik4>369ja7<722e9o<4?::k`3?6=3k=1<7=50;2x 6ca28987E:>0:N757<6s-;387;<7:jf1<722cn>7>5;n0`5?6=3tyh;7>52z\`3>;02k>0q~<67;296~;02;i:7S=838pRh<4=68f6>{zj8?86=4<:080I26:3;pD?=j;|&0ac<6;>1bi?4?::m1g4<722ch;7>5;c594?5=83:p(>ki:010?M2682F?=?4>{%3;0?34?2wbn94?::kf6?6=3f8h=7>5;|q`3?6=:rTh;638:c68yv4>?3:1>v38:3a2?[4d92wx>4650;0xZ`4<5>0n>6srb07:>5<42808wA:>2;3xL75b3t.8ik4>369ja7<722e9o<4?::k`3?6=3k=1<7=50;2x 6ca28987E:>0:N757<6s-;387;<7:jf1<722cn>7>5;n0`5?6=3tyh;7>52z\`3>;02k>0q~<67;296~;02;i:7S=838pRh<4=68f6>{zj8?h6=4<:080I26:3;pD?=j;|&0ac<6;>1bi?4?::m1g4<722ch;7>5;c594?5=83:p(>ki:010?M2682F?=?4>{%3;0?34?2wbn94?::kf6?6=3f8h=7>5;|q`3?6=:rTh;638:c68yv4>?3:1>v38:3a2?[4d92wx>4650;0xZ`4<5>0n>6srb045>5<42808wA:>2;3xL75b3t.8ik4>369ja7<722e9o<4?::k`3?6=3k=1<7=50;2x 6ca28987E:>0:N757<6s-;387;7>5;n0`5?6=3tyh;7>52z\`3>;02k>0q~<67;296~;02;i:7S=838pRh<4=68f6>{zj8?36=4;:386!5bn3;>46g=3b83>>o5j<0;66g=b583>>i6==0;66l>5683>6<729q/?hh5749K0465<vP=3b9>501=9>k0q~vP=b59>500=9>h0q~?:4;296~X6==16=88528f8yv72=3:1>v3>5681=a=:9<<1=:o4}|`220<72<086:u+3dd95335<5<5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a50`=8391<7>t$2ge>22<@=;;7d?8a;29?l70j3:17b<6d;29?xd6>80;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3ty9?i4?:3y]66b<58<96<9n;|q1f3<72;qU>o84=041>41e3ty9?54?:3y]66><58?m6<9n;|q1f6<72;qU>o=4=07e>41e3ty:9i4?:3y]50b<58<:6?7k;|q21`<72;q6=;<528f8940628=j7p}>5g83>7}:94j4=042>41e3twi=:>50;;91?b|,:om6<9?;h0a7?6=3`8847>5;h00f?6=3`8857>5;h00`?6=3`8i:7>5;h0b`?6=3`88m7>5;n353?6=3k;=j7>54;294~"4mo0<56F;119j52g=831b=:l50;9j52e=831d>4j50;9~f40>290?6=4?{%1fb?1>3A>:<6g>7`83>>o6?k0;66g>7b83>>i51m0;66sm17`94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`22a<72=0;6=u+3dd93<=O<8:0e<9n:188m41e2900e<9l:188k7?c2900qo?9e;292?6=8r.8ik4=a49K0465<5<5<54z\1f6=:9?l1=:m4=04:>41d34;=h7?8c:p66>=83>pR?=7;<35b?70j27::44>7c9>53b=9>h0q~<vP=389>53?=9>k0q~<3:1>vP=b79>53d=9>h0q~vP=3`9>53b=9>k0q~?97;296~X6>>16=;k528f8yv7103:1>v3>6g81=a=:9?o1=:o4}r35=?6=:r7::44=9e9>53c=9>h0q~?9a;296~;6>k095i5217g952e52z?22a<51m16=;k516g8yxd6=;0;6>4?:1y'7``=?=1C8<>4i05b>5<5<n7>56;294~"4mo03<6F;119j52g=831b=:l50;9j52e=831b=:j50;9j52c=831d>4j50;9~f7gf29096=4?{%1fb?7?=2B?==5f16;94?=h:0n1<75rb3cf>5<5290;w)=jf;3;1>N3991b=:750;9l65<7s-9nj7?75:J755=n9>31<75`28f94?=zj;h96=4=:183!5bn3;396F;119j52?=831d>4j50;9~w75?290?wS<<8:?220<5;116=:>522:8943e28=j7p}=3883>6}Y::301<9?:31:?872j3;;6=k0:;i5rs31a>5<4sW88n63>71817g=:9>j4=046>75c34;<<7<5<5sW8j563=a`81=a=z{;ki6=4={_0bf>;5il095i5rs3ce>5<5sW8jj63=b181=a=z{;h:6=4={_0a5>;5j;095i5rs3`0>5<2sW8i?63>6781g4=:9??1>o=4=053>7d434;>n7?8c:p6g2=839pR?l;;<36=?4d927:954=b59~w7d2290?wS1}Y:k<01<;?:3a2?871=38i:63>7181f3=z{;h<6=4;{_0a3>;6<00n>63>5b81g4=::hk1=:74}r0an?4=3cf>41>3ty9mn4?:5y]6de<58936h<4=01f>7e6348i<7?89:p6db=83>pR?ok;<306g4=9>30q~?:1;296~;6=90n>63>5381=a=z{8??6=4={<367?c534;>47?:4:p50g=838p1<;6:d08943e2;3o7p}>5e83>7}:952z?223669~yve02909wSm8;<346?ef3ty9mn4?:3y]6de<58=96?ol;|q1ea<72;qU>lj4=051>7gc3ty9m44?:3y]6d?<58=96?o6;|q1eg<72;qU>ll4=051>7ge3ty9mk4?:3y]6d`<58=96?oi;|q1f4<72;qU>o?4=051>7d63ty9n>4?:3y]6g5<58=96?l<;|q1f1<72;qU>o:4=051>7d33ty9n84?:3y]6g3<58=96?l:;|q1f3<72;qU>o84=051>7d13ty9n:4?:3y]6g1<58=96?l8;|q1f=<72;qU>o64=051>7d?3ty9?54?:3y]66><58=96?=7;|q17<<72;qU>>74=051>75>3ty9?l4?:3y]66g<58=96?=n;|q17g<72;qU>>l4=051>75e3ty9?n4?:3y]66e<58=96?=l;|q17a<72;qU>>j4=051>75c3twij94?:282>6}K<881=vF=3d9~H17428q/9>m55:'7``=:5<4290;w)=jf;307>N3991bn94?::kf6?6=3f8h=7>5;|q`3?6=:rTh;638:c68yv4>?3:1>v38:3a2?[4d92wx>4650;0xZ`4<5>0n>6srb5ff>5<42808wA:>2;3xL75b3tF?=>4>{%70g?35;ha4>5<0;6>4?:1y'7``=9:90D9??;h`7>5<4950;0x92<5k81U>n?4}r0:638:d08yxd4?:0;684<:7yO044=9rB9?h5rL530>d}#=:i196*:38871`=#=::1i?5+52096f7<,<8n6n94n40;>4=#=;l1o55a53;95>"2;<08i45a53`94>{#;ll1>;>4ib594?=nk10;66gj2;29?j4d93:17d=j9;29?g1=83?1>7;tL531>4}O::o0q)=jf;07=>ob:3:17b5;h`7>5<:183!5bn3;<46F;119l521=831vn950;0xZf1<5>0i86s|c983>7}Yk116;7li;|q1=2<72;q684650;0x92<5k81U>n?4}r0:=?6=:rTn>638:d08yxd35;|`70a<72:0;6=u+3dd96<`<@=;;7d?8a;29?l70j3:17b<6d;29?xud?3:1>vPl7:?4>f101d=9>3019:k:05b?xu30n>63;4c81=a=zuk93<7>55;091~J39;0:wE<?n4:;|&0ac<5>11bi?4?::m1g4<722ch;7>5;ha;>5<349K046>oe<3:17dk=:188k7e62900qo:>1;295?6=8r.8ik4>799K0465<5sWi<7095b59~wf>=838pRn64=68ab>{t:0=1<741034=1o<5rs3;;>5<5s4=1>n?4^3a2?xu5100;6?uQe39>3?c53twi8ok50;195?5|D=;967dk=:188k7e62900en950;9a3?6=;3:10;6?u27;0`5>X5k81v?77:181[c534=1i?5r}c0fa?6=;3;1?vB;1382M44m2wG8<=51z&67f<23t.8ik4=689ja7<722e9o<4?::k`3?6=3k=1<7=50;2x 6ca28987E:>0:N757<6s-;387;<7:jf1<722cn>7>5;n0`5?6=3tyh;7>52z\`3>;02k>0q~<67;296~;02;i:7S=838pRh<4=68f6>{zj;ln6=4<:080I26:3;pD?=j;|N756<6s-?8o7;4}%1fb?41i2cn>7>5;n0`5?6=3`i<6=44b683>6<729q/?hh51218L1773E>:>7?t$0:7>0503tci87>5;hg1>5<5<5sWi<7095b59~w7?02909w0952b38Z7e63ty9554?:3y]a7=:?3o97psm2g494?5=939p@9?=:0yK66c:?7?t$41`>0=z,:om6?8m;hg1>5<50z&0ac<6;:1C8<>4L531>4}#91>19>94}h`7>5<4950;0x92<5k81U>n?4}r0:638:d08yxd5m>0;6>4>:2yO044=9rB9?h5rL530>4}#=:i196s+3dd96030;66l8:180>5<7s-9nj7?<3:J755=nj=0;66gj2;29?j4d93:17p}l7;296~Xd?27<6o:4}r0:3?6=:r7<6?m>;_0`5>{t:021<77<3sE>:>7?tH31f?xJ39:0:w);2;3x 4>32<9<7pgm4;29?lc52900c?m>:188ygee29096=4?{%1fb?7?=2B?==5f16;94?=h:0n1<75rsb594?4|Vj=01nl516;8yv4>?3:1>v38:c689fd=:0n0q~<68;296~;02;i:7S{zj=236=4<:387I26:3;pD?=j;|N756<6s-?8o7;4}%1fb?41l2cn>7>5;n0`5?6=3`i<6=44b683>6<729q/?hh51218L1773`h?6=44id094?=h:j;1<75rbb`94?4=83:p(>ki:0:6?M2682c:;44?::m1=a<722wxo:4?:3y]g2=:kk0:;45rs3;4>5<5s4=1n952cc81=a=z{;336=4={<596f77}Ym;16;7k=;|a6c3=83?1>78t$2ge>7`23f8m=7>5;h16b?6=3`9>97>5;h0fb?6=3`9=<7>5;c0e0?6=<3:1N3991b=:o50;9j52d=831b=:m50;9l65<7s-9nj7<6e:J755=n9>k1<75f16`94?=h:0n1<75rs3d2>5<5sW8m=63=f281=a=z{:?m6=4={_16b>;5n=0:;n5rs276>5<5sW9>963=f5823d=z{;om6=4={_0fb>;5n=0:;o5rs243>5<5sW9=<63=f2823g=z{;l96=4={<0e0?4>l279j>4>7`9~yg57?3:1;7;5bz&0ac<48>1d>kh50;9j70`=831b?8;50;9j6``=831b?;<50;9j7=6=831b?;=50;9a750=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd4880;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3th85}#;ll1;95G4028m41f2900e<9m:188k7?c2900qo=?4;297?6=8r.8ik484:J755=n9>k1<75f16`94?=h:0n1<75rb226>5<3290;w)=jf;0b5>N3991b=:o50;9j52d=831b=:m50;9l6;::180[52=278<;4>7`9>757=9>k0q~vP<639>752=9>k0q~=70;296~X40916?=:516`8yv51;3:1>vP<629>753=9>i0q~=?0;296~;48?095i52313952d52z?044<51m16?=<516`8yv4>03:1>v3<0381=a=:;9?1=:o4}r137?6=:r78<94=9e9>753=9>h0qpl=fb83>3<421q/?hh52ga8k7`02900e>;i:188m6322900e>8=:188m6>72900e>89:188f7`e29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e:o31<7=50;2x 6ca2>?0D9??;h34e?6=3`;5;n0:`?6=3th9jl4?:583>5}#;ll1>l?4H533?l70i3:17d?8b;29?l70k3:17b<6d;29?xu5n>0;6?uQ2g5897`f2;3o7p}<5g83>7}Y;7}Y;?801?h6:05a?xu4090;6?uQ392897`>28=j7p}<6783>7}Y;?<01?hn:05`?xu5n10;6?u22g`96k7528f897`f28=i7psm2df94?>=;3kp(>ki:3gg?j4b03:17d=:f;29?l52=3:17d=90;29?l5193:17d=92;29?l5?83:17d=96;29?g4bk3:1?7>50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj;oj6=4<:183!5bn3=>7E:>0:k23d<722c:;o4?::m1=a<722wi>hl50;494?6|,:om6?o:;I624>o6?h0;66g>7c83>>o6?j0;66g>7e83>>o6?l0;66a=9e83>>{t:l21<7{t;{t;?;1<7{t;1:1<7{t:l31<77?c348nn7?8a:p6`g=838p1?kn:3;g?84bj3;5i3000;66g<5g83>>o4=<0;66g<6083>>oa<3:17d=92;29?l5?83:17d=93;29?l51<3:17d=95;29?l51>3:17o:61;297?6=8r.8ik485:J755=n9>k1<75f16`94?=h:0n1<75rb5:a>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a0=b=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd30o0;6>4?:1y'7``=?<1C8<>4i05b>5<5<2<7>58;294~"4mo09m:5G4028m41f2900e<9m:188m41d2900e<9k:188m41b2900e<9i:188m4>72900c?7k:188yv2?13:1>vP;889>0<6=:0n0q~=:f;296~X4=o1684?516`8yv52=3:1>vP<549>0<7=9>k0q~=91;296~X4>81685l516c8yv`32909wSh;;<6;f?70j2wx?;<50;0xZ60534>3h7?8b:p7=6=839pR>6?;<6;`?70i27?4k4>7`9~w6042909wS=93:?78;:181[51<27?5=4>7d9~w6022909wS=95:?7=5<6?o1v>89:181[51>27?5=4>819~w1>f2909w0:61;0:`>;3190:;l5rs5:a>5<5s4>3n7<6d:?7=5<6?k1v96l:18182?l382h63;91823f=z{=2n6=4={<6;b?4>l27?5=4>7e9~yg57l3:187=56z&0ac<48m1d>i;50;9j6`c=831b:44?::k6f?6=3k9;o7>53;294~"4mo0<96F;119j52g=831b=:l50;9l6>n:180>5<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm31`94?5=83:p(>ki:3;f?M2682c:;l4?::k23g<722e95i4?::p6a3=838pR?j:;<13f?4>l2wx>hk50;1xZ7cb349;o7?8a:?04d<6?h1v;750;0xZ3?<5::h6<9m;|q6f?6=:rT>n63<0`823g=z{::36=4={<13g?4>l2787`9~w66>2909w0=?a;0:`>;48k0:;o5r}c12b?6=<391:v*50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj:;h6=4<:183!5bn3=?7E:>0:k23d<722c:;o4?::m1=a<722wi?o6?h0;66g>7c83>>i51m0;66s|2e;94?4|V;n270=>d;0:`>{t:lo1<7=t^3gf?856m3;7c9~w67f2909w0=>e;0:`>;49m0:;l5rs23a>5<5s49:o7<6d:?05a<6?k1vqo=<1;290?5=>r.8ik4<309l6ae=831b>hk50;9j17<722c=?7>5;c104?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f64b29086=4?{%1fb?133A>:<6g>7`83>>o6?k0;66a=9e83>>{e;;l1<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wx>im50;0xZ7bd3499j7<6d:p6`c=839pR?kj;<104?70i278>h4>7`9~w04=838pR8<4=213>41e3ty=?7>52z\57>;4:l0:;o5rs20`>5<5s498<7<6d:?06c<6?h1v>54;192~"4mo08?:5`2ef94?=n:lo1<75f5283>>o1<3:17o=<6;297?6=8r.8ik485:J755=n9>k1<75f16`94?=h:0n1<75rb217>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a763=8391<7>t$2ge>7?b3A>:<6g>7`83>>o6?k0;66a=9e83>>{t:mn1<7;4;=0:;l5rs4194?4|V<901>=9:05a?xu1<3:1>vP94:?071<6?k1v>==:181854>382h63<34823d=z{:986=4={<100?4>l278?84>7c9~yg54l3:187=56z&0ac<4;m1d>ik50;9j6`c=831b994?::k51?6=3k98o7>53;294~"4mo0<96F;119j52g=831b=:l50;9l6=n:180>5<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm32`94?5=83:p(>ki:3;f?M2682c:;l4?::k23g<722e95i4?::p6ac=838pR?jj;<10f?4>l2wx>hk50;1xZ7cb3498o7?8a:?07d<6?h1v8:50;0xZ02<5:9h6<9m;|q51?6=:rT=963<3`823g=z{:936=4={<10g?4>l278?o4>7`9~w65>2909w0=;4;k0:;o5r}c177?6=<391:v*50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj:>;6=4<:183!5bn3=?7E:>0:k23d<722c:;o4?::m1=a<722wi?9?50;194?6|,:om6?7j;I624>o6?h0;66g>7c83>>i51m0;66s|2ed94?4|V;nm70=;1;0:`>{t:lo1<7=t^3gf?853:3;2788=4>7c9~w65b2909w0=;2;0:`>;4<80:;l5rs21e>5<5s49?<7<6d:?004<6?k1vqo=;9;290?5=>r.8ik4<489l6`6=831b>hk50;9j1<<722c>i7>5;c17N3991b=:o50;9j52d=831d>4j50;9~f62129086=4?{%1fb?133A>:<6g>7`83>>o6?k0;66a=9e83>>{e;==1<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wx>h>50;0xZ7c7349?;7<6d:p6`c=839pR?kj;<177`9~w0?=838pR874=26;>41e3ty>i7>52z\6a>;45<5s49?47<6d:?002<6?h1v>:::181853>382h63<46823g=zuk9?j7>54;192~"4mo088k5`2d394?=n:lo1<75f5783>>o103:17o=;e;297?6=8r.8ik485:J755=n9>k1<75f16`94?=h:0n1<75rb26`>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a71b=8391<7>t$2ge>7?b3A>:<6g>7`83>>o6?k0;66a=9e83>>{t:l;1<7;4:j:05a?xu103:1>vP98:?00f<6?k1v>:n:181853m382h63<4e823d=z{:>i6=4={<17g?4>l2788i4>7c9~yg55=3:187=56z&0ac<4:<1d>io50;9j6`c=831b9:4?::k53?6=3k9987>53;294~"4mo0<96F;119j52g=831b=:l50;9l6<=:180>5<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm33194?5=83:p(>ki:3;f?M2682c:;l4?::k23g<722e95i4?::p6ag=838pR?jn;<117?4>l2wx>hk50;1xZ7cb349987?8a:?067<6?h1v8950;0xZ01<5:8?6<9m;|q53?6=:rT=;63<23823g=z{:8;6=4={<110?4>l278>>4>7`9~w6462909w0==2;0:`>;4::0:;o5r}c127?6=<391:v*50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj:;;6=4<:183!5bn3=?7E:>0:k23d<722c:;o4?::m1=a<722wi?o6?h0;66g>7c83>>i51m0;66s|2e494?4|V;n=70=>1;0:`>{t:lo1<7=t^3gf?856:3;7c9~w66b2909w0=>2;0:`>;4980:;l5rs22e>5<5s49:<7<6d:?054<6?k1vqo==b;290?5=>r.8ik4<2c9l6ad=831b>hk50;9j1d<722c>o7>5;c11e?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f64?29086=4?{%1fb?133A>:<6g>7`83>>o6?k0;66a=9e83>>{e;;31<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wx>il50;0xZ7be349957<6d:p6`c=839pR?kj;<11e?70i278>54>7`9~w0g=838pR8o4=20b>41e3ty>o7>52z\6g>;4:10:;o5rs205>5<5s499m7<6d:?06<<6?h1v><8:1818550382h63<28823g=zuk9:57>54;192~"4mo08=45`2e:94?=n:lo1<75f5`83>>o2k3:17o=>8;297?6=8r.8ik485:J755=n9>k1<75f16`94?=h:0n1<75rb235>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a741=8391<7>t$2ge>7?b3A>:<6g>7`83>>o6?k0;66a=9e83>>{t:m21<78;34e>;49?0:;l5rs4c94?4|V?7:05a?xu2k3:1>vP:c:?053<6?k1v>?;:1818560382h63<16823d=z{:;>6=4={<122?4>l278=:4>7c9~yg52m3:197>50z&0ac<5i=1C8<>4i05b>5<5<5<oh7>52;294~"4mo0:485G4028m41>2900c?7k:188yg2c13:1>7>50z&0ac<60<1C8<>4i05:>5<849K0465<o=7>52;294~"4mo0:485G4028m41>2900c?7k:188yg5?<3:1?7>50z&0ac<0<2B?==5f16c94?=n9>h1<75`28f94?=zj:?<6=4::183!5bn3=h7E:>0:k23d<722c:;o4?::k23f<722c:;i4?::m1=a<722wi?8750;194?6|,:om6:;4H533?l70i3:17d?8b;29?j4>l3:17pl<5c83>1<729q/?hh5799K0465<5<h7>53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj799;I624>o6?h0;66g>7c83>>i51m0;66sm4g294?2=83:p(>ki:6;8L1773`;5;h34f?6=3`;5;n0:`?6=3th?nn4?:583>5}#;ll1;45G4028m41f2900e<9m:188m41d2900c?7k:188yg2c83:187>50z&0ac<002B?==5f16c94?=n9>h1<75f16a94?=h:0n1<75rb5f0>5<3290;w)=jf;0b5>N3991b=:o50;9j52d=831b=:m50;9l69::180>5<7s-9nj799;I624>o6?h0;66g>7c83>>i51m0;66sm36594?5=83:p(>ki:668L1773`;5;h34f?6=3f82h7>5;|`03<<72<0;6=u+3dd93d=O<8:0e<9n:188m41e2900e<9l:188m41c2900c?7k:188yg50n3:1?7>50z&0ac<51l1C8<>4i05b>5<5<53;294~"4mo09m=5G4028m41f2900e<9m:188k7?c2900qo=72;292?6=8r.8ik48f:J755=n9>k1<75f16`94?=n9>i1<75f16f94?=n9>o1<75`28f94?=zj:2j6=4<:183!5bn382i6F;119j52g=831b=:l50;9l65<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm4b094?2=83:p(>ki:6:8L1773`;5;h34f?6=3`;5;n0:`?6=3th9j=4?:583>5}#;ll1;:5G4028m41f2900e<9m:188m41d2900c?7k:188yg`129096=4?{%1fb?7?=2B?==5f16;94?=h:0n1<75rb5g3>5<5290;w)=jf;3;1>N3991b=:750;9l69m:181>5<7s-9nj7?75:J755=n9>31<75`28f94?=zj:=o6=4=:183!5bn3;396F;119j52?=831d>4j50;9~f6>129096=4?{%1fb?7?=2B?==5f16;94?=h:0n1<75rb5a7>5<5290;w)=jf;3;1>N3991b=:750;9l650;fxZf6<5:??6n94=g69g2=:{t<091<77}Y:3;g?xu3>00;6>uQ47;891bd28=j70:k0;34e>{t{t:l>1<7=t^3g7?852?3;;30109o<5rs`c94?1|Vhk01>;;:31:?852?3;41d348m<7?8a:p0gc=838pR9lj;<6aa?4d92wx?:<50;7xZ615349<97?8b:?032<6?k16?56516`891c728=27p};ac83>7}Y;3jj0:;o524b0952dn=7>52z\7a4=:52346966g<5=n;6<9m;<0e4?70j2wx8i650;0xZ1b?34>o57<6d:p706=838pR>;?;<6g=?7012wx>h950;0xZ7c0348n;7l2wx?5>50;;x96332;9o70=70;0`5>;48>084=522ga97=6<5;oo6>6?;<6:6?5?8278944>7c9>70d=9>i01>;k:05a?xu5no0;6?u22gg9a7=:;9=1>kh4}r1;5?6=:r784?4=9e9>7=g=9>h0q~=97;297~;4==09m45236;952g<5:296<9n;|q02=<72:q6?8:52``8961>28=i70=72;34f>{tn=0;69u2346966e<5o>1>n?4=5;1>c2<5=i;6<9m;|q02<<72:q6?8:52`d8961>28=h70=72;34g>{t;?k1<7=t=277>7d6349<57?8d:?0<7<6?m1v9li:18182d8382h63;c3823d=z{=i:6=4={<6`6?4>l27?o94>789~w1ca2909w0:i1;34=>;3n9095i5rs25b>5<5s499l:181850;3i370=8d;0:`>{t;7d4348m97=:f:?042<4=o16>km534d897cc2:?m70:62;16b>{t;>91<7=t=250>7e6349387?8b:?012<6?j1v>8?:187852<38i863=fe8f6>;5n<08:=522df973653z?011<5j<16>hj5373891?52:<:7p}<6383>0}:;<>1>o84=224>605348mo7=92:?1aa<4>;1684<53708yv51;3:1?v3<5581f2=:;9=1?;=4=5;1>6043ty8:94?:3y>702=:k20197=:247?xu4><0;6?u234696de<5=396>8:;|q023<72=q6?8:52`f897`d2:<=70;31;08:;5rs5`a>5<5s4>ih7?89:?7ff<51m1v9mi:18182c8382h63;d2823d=z{;l<6=4={<0e2?c5348mo76?:b:896>12;3o7p}=ed83>c}::lo1>n?4=22g>7cb349:j7952dg8965c2;on70=;3;0fa>;4<009ih5235d96`c<5:8>6?kj;<127?4bm278>o4=ed9>74?=:lo019h?:05`?82ek3;7`9>0a6=9>i01?h?:05`?xu5n80;6?u22dg9a7=::o?1>k?4}r6;=?6=:r7?454j2:?7=7<3001v9ji:18182cm3o970:j0;0:`>{t;191<7`4<5:2?6?7k;|q7g6<72;q68ok5e39>0f2=:0n0q~=:5;293~;4==09?5522g79703<5::<6>;:;<0eg?52=279ii4<549>0<4=;;j:3;g?xu4?=0;6>u236796328=j70=87;0:`>;4?o0:;l5rs275>5<5s49>i7?8a:?012<51m1v>97:1818501382h63<7g823g=z{:?36=4={<16a?70j278944=9e9~w63f2909w0=:e;34g>;4=k095i5rs27`>5<5s49>i7?8d:?01a<51m1v9j=:18182c93;<563;d281=a=z{o?1<75<5s48mh79j:181850n382h63<7e823<=z{;ln6=4={<0ea?4d927?h>4>7c9~w7c?2909w0;<141?70i278;:4>7`9>7=>=9>k0q~:kb;296~;3lm0:;4524ea9653z?1b0<5mo16?=952dd897`72;3o7p}<8683>7}:;121>4j4=2:1>41b3ty8444?:3y>7=g=:0n01>69:05:?xu5l10;6?uQ2e:8967>2;n37)=6f;0g3>h41l0;7p}=d783>7}Y:m<01>?<:3f5?!5>n38o;6`<9d82?xu5m80;6?uQ2d38962a2;o:7)=6f;0g3>h41l097p}=e183>7}Y:l:01>:6:3g3?!5>n38o;6`<9d80?xu5lo0;6?uQ2ed896242;nm7)=6f;0g3>h41l0?7p}=dd83>7}Y:mo01>=k:3ff?!5>n38o;6`<9d86?xu5lm0;6?uQ2ef896502;no7)=6f;0g3>h41l0=7p}=db83>7}Y:mi01>=>:3f`?!5>n38o;6`<9d84?xu5lk0;6?uQ2e`8964e2;ni7)=6f;0g3>h41l037p}=d`83>7}Y:mk01><::3fb?!5>n38o;6`<9d8:?xu5l00;6?uQ2e;8967a2;n27)=6f;0g3>h41l0j7p}=d483>7}Y:m?01>>k:3f6?!5>n38o;6`<9d8a?xu2m3:1>vP:e:?00<<2m2.85k4:d:l0=`<73ty>o7>53z\6g>;4:k0>o63<1886g>"41o0>h6`<9d82?xu2i3:1?vP:a:?06g<2i278=44:a:&0=c<2l2d85h4=;|q6=?6=:rT>563<4886=>"41o0>h6`<9d80?xu203:1>vP:8:?056<202.85k4:d:l0=`<33ty>;7>52z\63>;4:<0>;6*<9g86`>h41l0>7p}:6;296~X2>2788k4:6:&0=c<2l2d85h49;|q61?6=:rT>963<42861>"41o0>h6`<9d84?xu2<3:1>vP:4:?07a<2<2.85k4:d:l0=`?7>52z\67>;4;>0>?6*<9g86`>h41l027p}:2;296~X2:278?<4:2:&0=c<2l2d85h4n;|q65?6=:rT>=63<1g865>"41o0>h6`<9d8a?xu113:1>vP99:?04a<112.85k4:d:l0=`52z\5<>;4h41l0o7p}97;297~X1?278>8497:?056<1?2.85k4:d:l0=`52z\52>;4<:0=:6*<9g86`>h41l0m7p}95;296~X1=278?i495:&0=c<2l2d85h4>0:p21<72;qU:952325921=#;0l19i5a38g954=z{?91<77i:4f8j6?b2880q~8=:181[05349:j78=;%1:b?3c3g92i7?<;|q6f?6=:rT>n63<0e86f>"41o0>h6`<9d820>{zj=<96=4>6;07>62|D=;96ki:0;b?le72900e<79:188m4b?2900e<69:188m4de2900c2900elo50;9l5ad=831b8ll50;9j5?=50;9l0=o50;9l2d<722e5;n647>5;c1fe?6=j3;1nvB;1382M44m2wG8<=51z&67f<33t.8ik4>759jg2<722e9m44?::m1eg<722e9mk4?::m1f4<722e9n>4?::k17=<722c9?44?::k17d<722c9?o4?::k17f<722h:;?4?:c8;>`}K<881=vF=3d9~ 6ca2:oj7d<<8;29?l4413:17d<>od?3:17o950;194?6|,:om6<=<;I624>J39;0:w)?74;703>{nj=0;66gj2;29?j4d93:17p}l7;296~Xd?27<6o:4}r0:3?6=:r7<6?m>;_0`5>{t:021<74<4sE>:>7?tH31f?x"4mo0:?:5fe383>>i5k80;66gl7;29?g1=8391<7>t$2ge>4543A>:<6B;1382!7?<3?8;6sfb583>>ob:3:17bvPl7:?4>g252z?4>7e63W8h=6s|28:94?4|Vl801:4j2:~f45c290?6?4:{%1fb?74l2c:?54?::k17f<722c9n>4?::m27<<722h:?n4?:283>5}#;ll1;95G4028m41f2900e<9m:188k7?c2900qo?5<52z\17f=:9:i1=:l4}r0a7?6=:rT9n>5212`952d52z\27<=:9:h1>4j4}r30e?6=:r7:?n4=9e9>56d=9>k0qpl>4983>=<22jq/?hh515:8m75?2900e<=7:188m7d42900e?=l:188m75>2900e?=n:188m75e2900c<=i:188f42029086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e9=;1<7=50;2x 6ca2>?0D9??;h34e?6=3`;5;n0:`?6=3th:8>4?:283>5}#;ll1;85G4028m41f2900e<9m:188k7?c2900qo?;5;291?6=8r.8ik48c:J755=n9>k1<75f16`94?=n9>i1<75f16f94?=h:0n1<75rb065>5<2290;w)=jf;0b0>N3991b=:o50;9j52d=831b=:m50;9j52b=831d>4j50;9~w75?2909wS<<8:?202<6?k1v<=7:187[74027:8:4>7`9>517=9>k01<:::05a?xu5j:0;6>uQ2c18942628=i70?;3;34f>{t::i1<7{t::k1<7{t9:l1<7382h6s|15294?4|58><6?7k;<372?70i2wx=9?50;0x94262;3o70?;6;34f>{t9=81<77?c34;?:7?8c:p512=838p1<:::3;g?873>3;5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a6dc=8391<7>t$2ge>22<@=;;7d?8a;29?l70j3:17b<6d;29?xd5j90;6>4?:1y'7``=?<1C8<>4i05b>5<5<7>53;294~"4mo0<:6F;119j52g=831b=:l50;9l63e827==:9=21=>64=3cb>41f348ji7?8b:?1f5<6?k16>o<516`8yv4403:1>vP=399>51>=::20q~<<9;296~X5;016=96522;8yv44i3:1>vP=3`9>51>=::k0q~<56b=::i01<:7:31`?xudi3:1?vPla:?27=l2wx>ll50;0xZ7ge348ji7<6d:p6d`=838pR?oi;<0a4?4>l2wx>o?50;0xZ7d6348i>7<6d:p6g5=832pR?l<;<30a?4d927:?i4=b29>51>=:k901?on:05a?84fm3;{z{j=1<7vP=ac9>524=:hh0q~vP=b09>524=:k;0q~vP=399>524=::20q~<<9;296~X5;016=:<522;8yv44i3:1>vP=3`9>524=::k0q~<vP=3b9>524=::i0qpl>9483>6<62:qG8<<51zJ17`=zD=;86{#;ll1>9l4id094?=h:j;1<75fc683>>d029086=4?{%1fb?74;2B?==5C40095~"60=0>?:5ric694?=nm;0;66a=c083>>{tk>0;6?uQc69>3?d33ty95:4?:3y>3?4d92T9o<5rs3;;>5<5sWo97095e39~yg7ek3:1?7?53zN757<6sA88i6sC40195~"2;j0?7p*>od?3:17o950;194?6|,:om6<=<;I624>oe<3:17dk=:188k7e62900q~m8:181[e034=1n95rs3;4>5<5s4=1>n?4^3a2?xu5110;6?uQe39>3?c53twi=hj50;796?3|D=;965;c594?3=83:p(>ki:016?M2682cij7>5;ha2>5<1<75fe383>>i5k80;66sm40394?7=83:p(>ki:05;?M2682e:;:4?::pg2<72;qUo:527;`7?xud03:1>vPl8:?4>g`52z?754<6?>16;7m>;|q1==<72;q6;7{I00a>{K<8914v*:3b87?!3413>>i6*:318f6>"2;;09o<5+53g9g2=i=;21=6*:3580f==i=;k1=6s+3dd961e>i5k80;66g>d029086<4<{M626?7|@;9n7p*>od?3:17o950;194?6|,:om6<=<;I624>oe<3:17dk=:188k7e62900q~m8:181[e034=1n95rs3;4>5<5s4=1>n?4^3a2?xu5110;6?uQe39>3?c53twi8>850;094?6|,:om6<6:;I624>o6?00;66a=9e83>>{tk>0;6?uQc69>3?e03ty9o<4?:3y]6f7<5>09o<5rs2`;>5<5sW9i463;37823<=z{=9>6=4={<59a7=:<:<1>4j4}|``2?6=<3;1?vB;1382M44m2wG8<=58z&67f<33-?857::e:&675??4=c09'17c=k>1e9?651:&671<4j11e9?o50:'7``=:=n0en950;9ja7<722e9o<4?::k0f=<722h<6=4<:080I26:3;pD?=j;|&0ac<5<01bi?4?::m1g4<722ch;7>5;c594?5=83:p(>ki:010?M2682ci87>5;hg1>5<5<5sWi<7095b59~w7?02909w0952b38Z7e63ty9554?:3y]a7=:?3o97ps|c683>7}Yk>16;7m8;|q1g4<72;qU>n?4=681g4=z{:h36=4={_1a<>;02l80qpl83;291?4==rF?=?4>{I00a>{K<891mv*:3b87?!3413>>i6*:318f6>"2;;09o<5+53g9g2=i=;21=6*:2g8`<>h2:00:7);<5;1f=>h2:k0:7p*0;66gl8;29?lc52900c?m>:188m6c>2900n:4?:481>0}K<881=vF=3d9~ 6ca2;>27dk=:188k7e62900en950;9jg=<722ch=7>5;c594?3=83:p(>ki:016?M2682cij7>5;ha2>5<1<75fe383>>i5k80;66sm40394?7=83:p(>ki:05;?M2682e:;:4?::pg2<72;qUo:527;`7?xud03:1>vPl8:?4>g`52z?754<6?>16;7m>;|q1==<72;q6;75<5<5sWi<7095c69~wf>=838pRn64=56g>41e3ty9o<4?:3y]6f7<5>09o<5rs2g:>5<4sW9n5638:d08912c28=j7p};4b83>7}:?3i370:;d;0:`>{zj8n?6=4::386I26:3;pD?=j;|N756<6s-?8o7:4}%1fb?4102cn>7>5;n0`5?6=3`i<6=44ib:94?=nk80;66l8:186>5<7s-9nj7?<5:J755=njo0;66gl1;29?ld32900eh<50;9l6f7=831vn9?>:182>5<7s-9nj7?88:J755=h9>=1<75rsb594?4|Vj=01:4m4:pg=<72;qUo5527;`e?xu51>0;6?u24039521<5>0h=6s|28:94?4|5>09o<5Q2b38yv4>13:1>vPj2:?4>`40}K<881=vF=3d9~H17428q/9>m54:'7``=:?20eh<50;9l6f7=831bo:4?::k`0<729q/?hh51278L1773`hm6=44ib394?=nj=0;66gj2;29?j4d93:17pl;1083>4<729q/?hh516:8L1773f;<;7>5;|q`3?6=:rTh;638:c68yve?2909wSm7;<59fc=z{;3<6=4={<625?70?27<6n?4}r0:;_0`5>{t:031<74<4sE>:>7?tH31f?xJ39:0:w);96gj2;29?j4d93:17dm8:188f2<72:0;6=u+3dd9565<@=;;7dl;:188m`4=831d>n?50;9~wf1=838pRn94=68a0>{t:0=1<7uC40095~N5;l1v@9?<:0y'16e=<2w/?hh52478m`4=831d>n?50;9jg2<722h<6=4<:183!5bn3;8?6F;119jf1<722cn>7>5;n0`5?6=3tyh;7>52z\`3>;02k>0q~<67;296~;02;i:7S=838pRh<4=68f6>{zj8ho6=4<:080I26:3;pD?=j;|N756<6s-?8o7:4}%1fb?42=2cn>7>5;n0`5?6=3`i<6=44b683>6<729q/?hh51218L1773`h?6=44id094?=h:j;1<75rsb594?4|Vj=01:4m4:p6<1=838p1:4=c09]6f752z\f6>;02l80qpl=0`83>6<62:qG8<<51zJ17`=zD=;86{#;ll1>8;4id094?=h:j;1<75fc683>>d029086=4?{%1fb?74;2B?==5fb583>>ob:3:17bvPl7:?4>g252z?4>7e63W8h=6s|28:94?4|Vl801:4j2:~f3g=8391=7=tL531>4}O::o0qA:>3;3x 05d2=1v(>ki:376?lc52900c?m>:188mf1=831i;7>53;294~"4mo0:?>5G4028mg2=831bi?4?::m1g4<722wxo:4?:3y]g2=:?3h?7p}=9683>7}:?38h=6P=c09~w7??2909wSk=;<59a7=zuk9im7>55;091~J39;0:wE<?n4;;%70=?22m2.>?=4j2:&677<5k81/9?k5c69m17>=92.>>k4l8:l66<<63-?887=m8:l66d<73t.8ik4=4g9jg2<722ch47>5;hg1>5<5<0;684=:4yO044=9rB9?h5r$2ge>72>3`o96=44o3a2>5<>od93:17o950;794?6|,:om6<=:;I624>J39;0:w)?74;703>{njo0;66gl1;29?ld32900eh<50;9l6f7=831vn9?>:182>5<7s-9nj7?88:J755=h9>=1<75rsb594?4|Vj=01:4m4:pg=<72;qUo5527;`e?xu51>0;6?u24039521<5>0h=6s|28:94?4|5>09o<5Q2b38yv4>13:1>vPj2:?4>`45}#;ll1>4h4H533?l70i3:17d?8b;29?j4>l3:17p}l7;296~Xd?27<6n94}ra;>5<5sWi370:;b;34f>{t:j;1<7"2;90n>6*:3381g4=#=;o1o:5a53:95>"2:o0h46`:2882?!34<39i46`:2`83?!34=39n56`:2c82?x"4mo099=5fc683>>od03:17dk=:188k7e62900e>k6:188m6d?2900n:4?:481>0}K<881=vF=3d9~ 6ca2;>27dk=:188k7e62900en950;9jg=<722ch=7>5;c594?3=83:p(>ki:016?M2682cij7>5;ha2>5<1<75fe383>>i5k80;66sm40394?7=83:p(>ki:05;?M2682e:;:4?::pg2<72;qUo:527;`7?xud03:1>vPl8:?4>g`52z?754<6?>16;7m>;|q1==<72;q6;75<5<1vn650;0xZf><5=>i6<9l;|q1g4<72;qU>n?4=681g4=z{:o26=4={_1f=>;35<4sW9i4638:d08912e28=j7p};4`83>7}:?3i370:;b;0:`>{zj==36=4::285I26:3;pD?=j;|N75613b3-?8<7k=;%706?4d92.>>h4l7:l66=<63-?9j7m7;o71=?7<,<9>6>k6;o71f?60;66gl8;29?le62900n:4?:483>5}#;ll1=>;4H533?lda2900en?50;9jf1<722cn>7>5;n0`5?6=3th?=<4?:083>5}#;ll1=:64H533?j70?3:17p}l7;296~Xd?27<6o:4}ra;>5<5sWi37095bg9~w7?02909w0:>1;343>;02j;0q~<68;296~;02;i:7S{zj=>i6=4=:183!5bn3;396F;119j52?=831d>4j50;9~f12c29086=4?{%1fb?4>n2B?==5f16c94?=n9>h1<75`28f94?=z{j=1<7{t;l31<7=t^2g:?823j3;<563;4e823d=z{=>h6=4={<59g==:<=n1>4j4}r67e?6=:r7<6h<4=56a>7?c3twi=h750;c93?77s-9nj7?j9:m2``<722c8ih4?::k47?6=3`;nh7>5;h3gg?6=3`?;?7>5;h1ff?6=3`;on7>5;h1fg?6=3`;297>5;c3fN3991b=:o50;9j52d=831d>4j50;9~f4c7290?6=4?{%1fb?1?3A>:<6g>7`83>>o6?k0;66g>7b83>>i51m0;66sm1d394?5=83:p(>ki:3;f?M2682c:;l4?::k23g<722e95i4?::a5`4=8391<7>t$2ge>22<@=;;7d?8a;29?l70j3:17b<6d;29?xd6m=0;6>4?:1y'7``=?=1C8<>4i05b>5<5<54;294~"4mo0<46F;119j52g=831b=:l50;9j52e=831d>4j50;9~f4c0290?6=4?{%1fb?4f92B?==5f16c94?=n9>h1<75f16a94?=h:0n1<75rs0ff>5<5sW;oi63>e681=a=z{:on6=4={_1fa>;6m;0:;l5rs6194?5|V>901{t9mi1<7{t;lh1<7;6m?0:;o5rs2g`>5<5sW9no63>e7823f=z{83>6=4={_3:1>;6m?0:;l5rs0fe>5<5s4;n47<6d:?2a4<6?h1ve0823g=z{;3<6=4={<3f5?4>l27:i?4>7c9~w7??2909w0?j2;0:`>;6m>0:;l5rs0g0>5<5s4;n87<6d:?2a2<6?k1v382h63>e6823f=zuk89j7>5a;5955}#;ll1>?h4o307>5<ki:678L1773`;5;h34f?6=3f82h7>5;|`163<72=0;6=u+3dd93<=O<8:0e<9n:188m41e2900e<9l:188k7?c2900qo<=7;297?6=8r.8ik4=9d9K0465<N3991b=:o50;9j52d=831d>4j50;9~f74f29086=4?{%1fb?133A>:<6g>7`83>>o6?k0;66a=9e83>>{e:;i1<7:50;2x 6ca2>20D9??;h34e?6=3`;5;h34g?6=3f82h7>5;|`16a<72=0;6=u+3dd96d7<@=;;7d?8a;29?l70j3:17d?8c;29?j4>l3:17p}=2583>7}Y:;>01?h4>7c9>670=9>h0q~?jd;296~X6mm16>?k516c8yv7ck3:1>vP>db9>670=9>i0q~;?3;296~X28:16>?8516c8yv5bj3:1>vP67g=9>k0q~<=3;297~X5::16>?o516`8974d28=i7p}7}Y;li01?7}::;o1>4j4=304>41f3ty9>;4?:3y>670=:0n01?<8:05a?xu51>0;6?u223596?6528f8974c28=j7p}=2883>7}::;k1>4j4=30g>41e3ty9>o4?:3y>67e=:0n01?bd83>>o4ml0;66g83;29?l7c<3:17d?kc;29?l2fj3:17d?md;29?l5bj3:17d?k2;29?g7d<3:1?7>50z&0ac<0<2B?==5f16c94?=n9>h1<75`28f94?=zj8i>6=4<:183!5bn3=>7E:>0:k23d<722c:;o4?::m1=a<722wi=n950;194?6|,:om6:;4H533?l70i3:17d?8b;29?j4>l3:17pl>c983>6<729q/?hh528g8L1773`;5;h34f?6=3f82h7>5;|`2g<<72:0;6=u+3dd930=O<8:0e<9n:188m41e2900c?7k:188yg7di3:1?7>50z&0ac<51l1C8<>4i05b>5<5<53;294~"4mo0<86F;119j52g=831b=:l50;9l65<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm1bd94?5=83:p(>ki:648L1773`;5;h34f?6=3f82h7>5;|`2`5<72:0;6=u+3dd964?:1y'7``=?=1C8<>4i05b>5<5<7>53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj7k1<75f16`94?=n9>i1<75`28f94?=z{8hn6=4={_3aa>;6k:095i5rs2gf>5<5sW9ni63>cc823d=z{>91<7;t^61894e328=j70?l9;34e>;6km0:;l521bd952g53z\2`1=:9j>1=:l4=0ag>41e3ty:hn4?:2y]5ae<58i>6<9m;<3`3?70i2wx8ll50;1xZ1ge34;h97?8a:?2gc<6?k1v7c9>5f4=9>h0q~=jb;296~X4mk16=n>516c8yv7c:3:1>vP>d39>5f4=9>k0q~?mf;296~;6k=095i521bc952g52z?2g0<51m16=n6516c8yv7d>3:1>v3>c681=a=:9j21=:l4}r0:3?6=:r7:o54=9e9>5f?=9>h0q~<68;296~;6k0095i521bc952d52z?2gd<51m16=nl516`8yv4>i3:1>v3>cc81=a=:9j91=:o4}r3`g?6=:r7:oi4=9e9>5a6=9>k0q~?le;296~;6ko095i521e2952d52z?2`5<51m16=n>516`8yv4>k3:1>v3>c181=a=:9j91=:l4}r3`6?6=:r7:o?4=9e9>5f5=9>i0qpl=1d83><ki:33f?j47j3:17d=je;29?l142900e??i:188m4bd2900e9k>:188m76f2900e>km:188m4b52900n??>:180>5<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm20094?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`151<72:0;6=u+3dd930=O<8:0e<9n:188m41e2900c?7k:188yg46=3:1?7>50z&0ac<51l1C8<>4i05b>5<5<53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj7<6e:J755=n9>k1<75f16`94?=h:0n1<75rb33;>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a64g=8391<7>t$2ge>22<@=;;7d?8a;29?l70j3:17b<6d;29?xd59j0;6>4?:1y'7``=??1C8<>4i05b>5<5<53;294~"4mo095h5G4028m41f2900e<9m:188k7?c2900qok1<75f16`94?=h:0n1<75rb32e>5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a646=83>1<7>t$2ge>7g63A>:<6g>7`83>>o6?k0;66g>7b83>>i51m0;66s|21`94?4|V;:i70<>0;0:`>{t;lo1<70}Y?:16>a;34e>;59j0:;l5rs33e>5<4sW8:j63=10823g=::8k1=:l4}r3gg?6=;rT:hn52200952d<5;;?6<9n;|q7a4<72:qU8h?4=331>41f348:o7?8b:p65g=839pR?>n;<020?70j2797c9~w6ce2909wS=jb:?14a<6?h1v7`9~w76d2909w0<>1;0:`>;59>0:;l5rs32f>5<5s48:>7<6d:?150<6?h1v??<:181846<382h63=14823g=z{;3<6=4={<021?4>l279=;4>7c9~w7??2909w0<>6;0:`>;59>0:;o5rs3;:>5<5s48:;7<6d:?15=<6?k1v?7n:1818460382h63=11823d=z{;;26=4={<02e?4>l279=i4>7`9~w77e2909w0<>c;0:`>;59m0:;o5rs3;a>5<5s48:h7<6d:?14a<6?k1v?7l:181847l382h63=11823g=z{;:m6=4={<03b?4>l279==4>7b9~yg7ci3:1>7>50z&0ac<60<1C8<>4i05:>5<k1<75f16`94?=n9>i1<75f16f94?=n9>o1<75`28f94?=zj:hh6=4;:183!5bn3=37E:>0:k23d<722c:;o4?::k23f<722e95i4?::a5c2=8391<7>t$2ge>22<@=;;7d?8a;29?l70j3:17b<6d;29?xd6n?0;6>4?:1y'7``=?<1C8<>4i05b>5<5<53;294~"4mo0<86F;119j52g=831b=:l50;9l65<7s-9nj79l;I624>o6?h0;66g>7c83>>o6?j0;66g>7e83>>i51m0;66sm1g394?2=83:p(>ki:3c2?M2682c:;l4?::k23g<722c:;n4?::m1=a<722wi=ij50;694?6|,:om6:64H533?l70i3:17d?8b;29?l70k3:17b<6d;29?xd6l:0;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3th8nh4?:283>5}#;ll1>4k4H533?l70i3:17d?8b;29?j4>l3:17pl=8783>7<729q/?hh51978L1773`;<57>5;n0:`?6=3th:h;4?:383>5}#;ll1=5;4H533?l7013:17b<6d;29?xd5:80;6?4?:1y'7``=91?0D9??;h34=?6=3f82h7>5;|q`4?6=9;qUo=523dc9g2=:90?1o:521ca9g2=:9ln1o:522969g2=:k?0h;6383;a4?87c<3i<70<>f;a4?87cj3i<70<=3;a4?87el3i<70ln:b5894`52j=01997:b58yv7>>3:1>vP>979>02g=9>h0q~?k8;290~X6l116=hh516f894`128=i70=me;34e>{t91<1<7=3o97p}>bc83>7}Y9kh01vP>d89>5ag=:0n0q~:99;296~X3>01684h516c8yvgf2905c4=;k201997:2g:?87bn3;de823f=z{8ni6=4<{_3gf>;6lk09o<521d;95adjn7>53z\7eg=:9m;18ll4=0f5>41>3ty:5o4?:4y]57b9>5ab=9>h0q~;?3;291~X28:16=hj5e39>5`?==9901?n3;e;6f5>;5:80:;45rs0`g>5<4sW;ih63>be81g4=:9m;1=oj4}r0a=?6=:rT9n45248d952d53z\166=::;91>n?4=30e>7443ty?5i4?:3y]0=o4=32b>7e6348:i791<7lt^61896cf2;93709<:3a2?87b13=870<=f;50?87c93=870<>e;50?87ci3;<563>eg823g=:;ki1=:o4=0d5>41f3ty?;54?:3y]02><5==36?m>;|q2b7<72;qU=k<4=0d1>7e63ty8ii4?:3y>7`g=:hl01:05`?xu5:=0;6?u22319a7=::;l1>?:4}r1fa?6=?r78il4=b09>5`?=;lo01?41e3ty?5h4?:3y>0d6=9>30197i:3;g?xu58k0;6?u221c9a7=::8o1>=l4}r3fa?6=;r7:ii4l8:?2ac<51m16>58516;8yv5ei3:1:v3n?4=0ge>41f34;m87?8a:?73d<6?h16=ij516c8yv5ej3:1>v3;4jj095i5rs0;6>5<3s49nm7<7p}>dd83>7}:9mh1i?521d;95ac?57>52z?0ad<5j:16:l4j2:pg3<72;q6o;4=c09>365;50;0x97>32:h370<76;0:`>{t9o91<76c>34;m87<6d:p5c3=838p1d483>7}:9m>1i?521e49652z?2ac<6?l16=k?528f8yv7bl3:1?v3>ee81g4=:9l31=hj4=30e>4cc3ty9494?:5y>6=2=:j;01:=53d;894b32j201??i:b:8yv7c<3:1>v3>d581g4=:9m;1=i:4}r3aa?6=:r7:ni4j2:?2`4<6jl1v4bd34;oh7<6d:p5a4=839p1:0f1?846m3;o>63>d281=a=z{==26=4={<647?c3ty9=k4?:3y>64`=:j;01??j:33e?xu4jm0;6?u23ca952d<5:hn6?7k;|q0ag<721q6?ho52`;89f0=;k201d080ag=::8o1?hl4=0d2>41f34;o?7?8b:p7`e=83>p1>kn:3ca?87b139no63=2g80af=:9o;1=:l4}|`724<728<1?i4:2zN757<6sA88i6sC40196~h2;m02te:5;4?::k7bf<722e?:h4?::k7=6<722e:4;4?::k253<722c?h94?::m2f=<722ci47>5;h3gf?6=3`h>6=44o5ca>5<h0;66a;f383>>d6n>0;6i4>:eyO044=9rB9?h5rL530>4}#=:i1?6s+3dd95231<75`2c794?=n::21<75f22;94?=n::k1<75f22`94?=n::i1<75m16094?b=j3;:wA:>2;3xL75b3t.8ik4>f69j66>=831b>>750;9j66g=831b>>l50;9j66e=831bol4?::m1e<<722e9mo4?::m1ec<722e9n<4?::m1f6<722e9n94?::m1f0<722h:?54?:282>6}K<881=vF=3d9~ 6ca289<7dk=:188k7e62900en950;9a3?6=;3:1n?50;9~wf1=838pRn94=68a0>{t:0=1<7uC40095~N5;l1v(>ki:014?lc52900c?m>:188mf1=831i;7>53;294~"4mo0:?>5G4028H17528q/=5:55258yld32900eh<50;9l6f7=831vn950;0xZf1<5>0i86s|28594?4|5>09o<5Q2b38yv4>03:1>vPj2:?4>`46}K<881=vF=3d9~ 6ca289<7dk=:188k7e62900en950;9a3?6=;3:1n?50;9~wf1=838pRn94=68a0>{t:0=1<7uC40095~N5;l1v(>ki:014?lc52900c?m>:188mf1=831i;7>53;294~"4mo0:?>5G4028H17528q/=5:55258yld32900eh<50;9l6f7=831vn950;0xZf1<5>0i86s|28594?4|5>09o<5Q2b38yv4>03:1>vPj2:?4>`44?:282>6}K<881=vF=3d9~ 6ca289<7dk=:188k7e62900en950;9a3?6=;3:1n?50;9~wf1=838pRn94=68a0>{t:0=1<7uC40095~N5;l1v(>ki:014?lc52900c?m>:188mf1=831i;7>53;294~"4mo0:?>5G4028H17528q/=5:55258yld32900eh<50;9l6f7=831vn950;0xZf1<5>0i86s|28594?4|5>09o<5Q2b38yv4>03:1>vPj2:?4>`46}K<881=vF=3d9~ 6ca289<7dk=:188k7e62900en950;9a3?6=;3:1n?50;9~wf1=838pRn94=68a0>{t:0=1<75<5<5<3290;w)=jf;5;?M2682c:;l4?::k23g<722c:;n4?::m1=a<722wi=9?50;694?6|,:om6:64H533?l70i3:17d?8b;29?l70k3:17b<6d;29?xd6<:0;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3ty9n>4?:2y]6g5<58>?6<9l;<375?70k2wx>>750;1xZ75>34;?87?8a:?204<6?h1v?=m:181[44j27:894>7c9~w75d2909wS<4=9e9~w4272909w0?;4;0:`>;6<:0:;l5rs062>5<5s4;?=7<6d:?206<6?k1vqo?;f;292?5=0r.8ik4>4g9j6g5=831b>>l50;9j66e=831b>>750;9j6g7=831d=9o50;9a51c=83>1<7>t$2ge>2?<@=;;7d?8a;29?l70j3:17d?8c;29?j4>l3:17pl>4b83>6<729q/?hh5759K0465<7}Y::h01<:j:05a?xu5;j0;6?uQ22a8942b28=j7p}=3883>7}Y::301<:l:05a?xu5j80;6?uQ2c38942c28=h7p}>4`83>7}Y9=k01<:k:3;g?xu6o6<9n;|q20f<72;q6=9m528f8942c28=i7psm17794?0=<33p(>ki:046?l4f13:17d<50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj8?m6=4<:183!5bn3=>7E:>0:k23d<722c:;o4?::m1=a<722wi=;?50;194?6|,:om6::4H533?l70i3:17d?8b;29?j4>l3:17pl>6283>0<729q/?hh52`68L1773`;5;h34f?6=3`;5;h34`?6=3f82h7>5;|q1e<<72=qU>l74=047>41e34;>j7?8b:?224<6?k1v?=n:181[44i27::94>7`9~w75?2909wS<<8:?21c<6?h1v?l::181[4e=27::>4>7b9~w75>2909wS<<9:?224<6?h1v<;k:181[72l27::>4=9e9~w43b2909w0?94;0:`>;6>:0:;l5rs07e>5<5s4;>j7<6d:?226<6?k1v<8?:1818719382h63>62823a=zuk;>n7>55;294~"4mo050d=9>i0q~<<9;291~X5;016=96522;8942a2;9270?95;00=>;6=k0:;l5rs31b>5<4sW88m63>64817d=:9m6?=m;|q17f<72:qU>>m4=06;>75d34;?j7<;6=j09o<5217796d?<58?i6<9m;|q1eg<72:qU>ll4=070>`4<58?26?m>;|q1ec<72:qU>lh4=073>`4<58?86?m>;|q1f4<72:qU>o?4=073>7e634;?j7pR?l<;<37=?4d927:854=b29>51`=:k90q~65e39>56c=:j;0q~652b3894022;h>7p}>3g83>7}:9:o1i?5215:956`52z?20<4`9~w43f2909w0?:9;g1?872j382h6s|14f94?4|58?h6h<4=046>43c3twxo:4?:3y]g2=:9>81ol5rs3c:>5<5sW8j563>7381e<=z{;ki6=4={_0bf>;6?;09mo5rs3ce>5<5sW8jj63>7381ec=z{;h:6=4={_0a5>;6?;09n<5rs3`0>5<5sW8i?63>7381f6=z{;h?6=4={_0a0>;6?;09n95rs3`6>5<5sW8i963>7381f0=z{;936=4={_00<>;6?;09?55rs31:>5<5sW88563>73817<=z{;9j6=4={_00e>;6?;09?l5rs31a>5<5sW88n63>73817g=z{;9h6=4={_00g>;6?;09?n5r}c`a>5<42808wA:>2;3xL75b3tF?=>4>{%70g?55;ha4>5<0;6>4?:1y'7``=9:90D9??;h`7>5<4950;0x92<5k81U>n?4}r0:638:d08yxd6mh0;6>4>:2yO044=9rB9?h5rL530>4}#=:i1?6s+3dd96030;66l8:180>5<7s-9nj7?<3:J755=nj=0;66gj2;29?j4d93:17p}l7;296~Xd?27<6o:4}r0:3?6=:r7<6?m>;_0`5>{t:021<74<4sE>:>7?tH31f?xJ39:0:w);96gj2;29?j4d93:17dm8:188f2<72:0;6=u+3dd9565<@=;;7dl;:188m`4=831d>n?50;9~wf1=838pRn94=68a0>{t:0=1<7n?50;9jg2<722h<6=4<:183!5bn3;8?6F;119jf1<722cn>7>5;n0`5?6=3thhn7>52;294~"4mo0:485G4028m41>2900c?7k:188yve02909wSm8;41>3ty95:4?:3y>3?d334ii6?7k;|q1==<72;q6;7{I00a>{K<891=v*:3b80?x"4mo09:i5fe383>>i5k80;66gl7;29?g1=8391<7>t$2ge>4543A>:<6gm4;29?lc52900c?m>:188ygee29096=4?{%1fb?7?=2B?==5f16;94?=h:0n1<75rsb594?4|Vj=01nl516;8yv4>?3:1>v38:c689fd=:0n0q~<68;296~;02;i:7S{zj8;26=48:38aI26:3;pD?=j;|N75613d3->>j7;=82.>?94l6:188mf1=831b?o650;9l6fd=83.9nk4=c`9m6gc=821d>n750;&1fc<5kh1e>ok51:9l6f1=83.9nk4=c`9m6gc=:21d>n<50;&1fc<5kh1e>ok53:9a3?6=j3?1ovB;1382M44m2wG8<=57z&67<<3=l1/9>>5e39'164=:j;0(8:53c:8j04f281v(>ki:372?_d42;q8o7=n:|X0=a<5s:o1?:4ri2`:>5<=h:j=1<7*=bg81gd=i:ko1>65`2b094?"5jo09ol5a2cg97>=nmj0;6)ok51:9ja=<72-8ij7km;o0aa?4<3`o86=4+2cd9ag=i:ko1?65m7;291?2=0rF?=?4>{I00a>{K<8915v*:38871`=#=::1i?5+52096f7<,<8n6n94n40;>5=#=:>1?o64n40b>4=#=:?1?h74n40a>4=z,:om6?;=;ha4>5<0;66l8:180>5<7s-9nj7?<3:J755=nj=0;66gj2;29?j4d93:17p}l7;296~Xd?27<6o:4}r0:3?6=:r7<6?m>;_0`5>{t:021<75<7s-9nj79:;I624>o6?h0;66g>7c83>>i51m0;66sm44c94?5=83:p(>ki:3;f?M2682c:;l4?::k23g<722e95i4?::a=?6=:3:15<5sWo970::a;34f>{t:j;1<7vP060=9>k0q~650;0x928516`8913f2;3o7p};3483>7}:?3o970:<6;0:`>{zjh0;694<:7yO044=9rB9?h5rL530>2}#=:3188k4$413>`4<,<996?m>;%71a?e03g?947>4$417>6d?3g?9m7?4}%1fb?42;2ch;7>5;hg1>5<5<0;6>4>:2yO044=9rB9?h5r$2ge>72>3`o96=44o3a2>5<329K0461<75fe383>>i5k80;66s|c683>7}Yk>16;7l;;|q1=2<72;q6;7k1<75f16`94?=h:0n1<75rb883>7<729q/?hh51978L1773`;<57>5;n0:`?6=3tyh;7>52z\`3>;>28=27p}j2;296~Xb:27??;4>7c9~w7e62909wS7e63ty8n54?:3y]7g><5=9=6<9n;|q;>5<5s4=1o:529;0:`>{t<:?1<7;3;?095i5r}c3a>5<32:0=wA:>2;3xL75b3tF?=>48{%70=?22m2.>?=4j2:&677<5k81/9?k5c69m17>=82.>?945<42808wA:>2;3xL75b3t.8ik4=489ja7<722e9o<4?::k`3?6=3k=1<7=50;2x 6ca28987E:>0:ka0?6=3`o96=44o3a2>5<;|q1==<72;qUi?527;g1?x{e<:<1<7=50;2x 6ca2>?0D9??;h34e?6=3`;5;n0:`?6=3th26=4=:183!5bn3;396F;119j52?=831d>4j50;9~wf1=838pRn94=8823<=z{l81<77}Y:j;01:4=c09~w6d?2909wS=m8:?773<6?h1v54?:3y>3?e03431>4j4}r601?6=:r7<6h<4=515>7?c3twi>i4?:580>3}K<881=vF=3d9~H1742>q/9>7544g8 0572l80(8==:3a2?!35m3i<7c;=8;28 0532:h37c;=a;38y!5bn38>?6gl7;29?lc52900c?m>:188m6d?2900n:4?:282>6}K<881=vF=3d9~ 6ca2;>27dk=:188k7e62900en950;9a3?6=;3:10;6?u27;0`5>X5k81v?77:181[c534=1i?5r}c602?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f<<72;0;6=u+3dd95=3<@=;;7d?89;29?j4>l3:17p}l7;296~Xd?2726<96;|qf6?6=:rTn>63;37823g=z{;i:6=4={_0`5>;02;i:7p}7}Y;k2019=9:05b?xu?2909w095c69>=?4>l2wx8>;50;0x9250z&0ac<51l1C8<>4i05b>5<5<53z\0f<=:?39n563=d5823g=z{j=1<7;t^b58921}:i39i463>b;1a<>;5l39i463=d581=a=z{;ii6=4={_0`f>;5l38h=6*<9g81gd=i;0o1<6s|2b;94?4|V;i270?m:3a2?!5>n38hm6`<9d82?xu5k>0;6?uQ2b589d<5k81/?4h52bc8j6?b2;1v?m=:181[4d:27<6?m>;%1:b?4di2d85h4<;|qfg?6=:rTno63=d;g1?!5>n3oi7c=6e;28yvcf2909wSkn;<3a>`4<,:3m6hl4n2;f>4=z{l21<752z\f7>;02l80(>7i:d`8j6?b2:1vqo<78;29{I00a>{K<8919v*:388712=#=:;1jn5+5539e>"2;:084o5+55293>{#;ll1>9?4Zc196~5c2:h1qW=6d;0x7c<403wd?4:50;&1fc<41:1e>ok50:9l7<4=83.9nk4<929m6gc=921d?4?50;&1fc<41:1e>ok52:9l7=e=83.9nk4<929m6gc=;21b==;50;&1fc<68=1e>ok50:9j555=83.9nk4>059m6gc=921b==<50;&1fc<68=1e>ok52:9jba<72-8ij7??4:l1f`<432h94i4?:282>6}K<881=vF=3d9~ 6ca2;17d3`l36=44ig;94?=h;1h1<75m40;94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`75d<72:0;6=u+3dd930=O<8:0e<9n:188m41e2900c?7k:188yg26j3:1?7>50z&0ac<51l1C8<>4i05b>5<5<28=j70:>a;34f>{t;1h1<7b;34f>{z{o21<734>:o7h6;|q06>e3twi>5k50;195?5|D=;962900c>6m:188f17d29086>4:{%1fb?26k2cm47>5;hd:>5<5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a04g=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd39k0;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3tym47>53z\e<>;3900:;o5240c952g;39k095i5rs53;>5<5s4>:57<6d:?75g<6?h1v9?6:181826i382h63;1c823g=zuzl36=4={_d;?826k3l37p}i9;296~Xa127?=n4i9:p7=d=838pR>6m;<62g?5?j2wvn?6i:180>4<4sE>:>7?tH31f?x"4mo09:h5ff983>>oa13:17b=7b;29?g26k3:1?7=55z&0ac<39j1bj54?::ke=?6=3f93n7>5;c62=?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f17f29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e<8h1<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wxj54?:2y]b==:<831=:l4=53b>41f3tym57>53z\e=>;3900:;l5240c952d52z\04j4}r6204d=9>k0q~:>9;296~;39h095i5240`952d52z\e<>;39j0m46s|f883>7}Yn0168e2909wS=7b:?75f<40k1vqo:<6;297?6=8r.8ik484:J755=n9>k1<75f16`94?=h:0n1<75rb51;>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a7t$2ge>4>23A>:<6g>7883>>i51m0;66s|42794?5|5;2n6k64=515>7?c34>847?8b:p061=838p1?6i:g:8915?2;3o7p}<9583>7}Y;0>01?6i:2:a?!5>n392?6`<9d83?xu41;0;6?uQ380897>b2:2i7)=6f;1:7>h41l0:7p}<9083>7}Y;0;01?6k:2:a?!5>n392?6`<9d81?xu40j0;6?uQ39a896?d2;3o7)=6f;1:7>h41l087p}>0483>7}Y99?01?6i:g;8 6?a28:?7c=6e;28yv77;3:1?vP>029>6=c=n0168>6516c8 6?a28:?7c=6e;38yv77:3:1?vP>039>6=b=n0168>8516c8 6?a28:?7c=6e;08yv`c290?wShk;<0;`?`?34>8:7?8b:?0=f<6?01/?4h51168j6?b2:1vq~=m9;296~X4j016;7=m9:pg2<72;qUo:527;a4?xu4j10;6?uQ3c:892<4j11v?mm:180[4dj27<6?mm;<0;n750;1xZ7e>34=1>n74=3:;>4643-92j709o:5229:9554<,:3m6?mn;o1:a?47>53z\1g7=:?38h>63=898e`>"41o09ol5a38g97>{t<;<1<7;50108595+38d90735<5s4=1il5229:97<4<,:3m69<:;o1:a?79?7>52z?4>`><5;236>7>;%1:b?25=2d85h4=;|q75c<72;q6;7k<;<0;6<1sE>:>7?tH31f?xJ39:0jw);2=?n7);<0;g1?!34:38h=6*:2d8`3>h2:10:7);=f;a;?k3513:0(8=;:2`;?k35i3;0q)=jf;060>od?3:17dm7:188m`4=831d>n?50;9j7g>=831i;7>55;091~J39;0:wE<0<729q/?hh51278L1773`hm6=44ib394?=nj=0;66gj2;29?j4d93:17pl;1083>4<729q/?hh516:8L1773f;<;7>5;|q`3?6=:rTh;638:c68yve?2909wSm7;<59fc=z{;3<6=4={<625?70?27<6n?4}r0:;_0`5>{t:031<75<7s-9nj7?75:J755=n9>31<75`28f94?=zj=>i6=4<:183!5bn382j6F;119j52g=831b=:l50;9l60h;6s|c983>7}Yk11689l516c8yv4d93:1>vP=c09>3?4d92wx?o650;1xZ6d?34>8:7?89:?70g<6?k1v9=::18181=m;168>8528f8yv23i3:1>v38:b:8912e2;3o7psm1c:94?2=:3?p@9?=:0yK66c:?7?t$41`>6=z,:om6?;9;hg1>5<5<7s-9nj7?<4:J755=nk80;66gm4;29?lc52900c?m>:188ygee29096=4?{%1fb?7?=2B?==5f16;94?=h:0n1<75rsb594?4|Vj=01nl516;8yv4>?3:1>v38:c689fd=:0n0q~7j:181[?b34=1o<5rs3;;>5<5s4=1>n?4^3a2?xu5100;6?uQe39>3?c53twi=4850;696?3|D=;965;hg1>5<5<5290;w)=jf;3;1>N3991b=:750;9l6;|q1=<<72;qUi?527;g1?x{e9o21<7=51;1xH17528qC>>k4}M627?7|,<9h6>5r$2ge>7323`o96=44o3a2>5<329K0461<75fe383>>i5k80;66s|c683>7}Yk>16;7l;;|q1=2<72;q6;7{I00a>{K<891=v*:3b80?x"4mo09985fe383>>i5k80;66gl7;29?g1=8391<7>t$2ge>4543A>:<6gm4;29?lc52900c?m>:188yve02909wSm8;<59f1=z{;3<6=4={<596f77}Ym;16;7k=;|a2d<72:0:6>uC40095~N5;l1v@9?<:0y'16e=;2w/?hh52478m`4=831d>n?50;9jg2<722h<6=4<:183!5bn3;8?6F;119jf1<722cn>7>5;n0`5?6=3tyh;7>52z\`3>;02k>0q~<67;296~;02;i:7S=838pRh<4=68f6>{zj=3j6=4<:387I26:3;pD?=j;|N756<6s-?8o7=4}%1fb?41l2cn>7>5;n0`5?6=3`i<6=44b683>6<729q/?hh51218L1773`h?6=44id094?=h:j;1<75rbb`94?4=83:p(>ki:0:6?M2682c:;44?::m1=a<722wxo:4?:3y]g2=:kk0:;45rs3;4>5<5s4=1n952cc81=a=z{;336=4={<596f77}Ym;16;7k=;|a55b=8391=7=tL531>4}O::o0qA:>3;3x 05d2:1v(>ki:374?lc52900c?m>:188mf1=831i;7>53;294~"4mo0:?>5G4028H17528q/=5:55258yld32900eh<50;9l6f7=831vn950;0xZf1<5>0i86s|28594?4|5>09o<5Q2b38yv4>03:1>vPj2:?4>`41}K<881=vF=3d9~H17428q/9>m53:'7``=:?n0eh<50;9l6f7=831bo:4?::`4>5<4290;w)=jf;307>N3991bn94?::kf6?6=3f8h=7>5;|``f?6=:3:17?c3ty9554?:3y>3?4d92T9o<5rs3;:>5<5sWo97095e39~yg2fj3:1?7<54zN757<6sA88i6sC40195~"2;j087p*>od?3:17o950;194?6|,:om6<=<;I624>oe<3:17dk=:188k7e62900qomm:181>5<7s-9nj7?75:J755=n9>31<75`28f94?=z{j=1<730q~<67;296~;02k>01nl528f8yv4>03:1>v38:3a2?[4d92wx>4750;0xZ`4<5>0n>6srb5g2>5<42;0?wA:>2;3xL75b3tF?=>4>{%70g?55;ha4>5<0;6>4?:1y'7``=9:90D9??;h`7>5<7E:>0:k23<<722e95i4?::pg2<72;qUo:52cc823<=z{;3<6=4={<59f1=:kk095i5rs3;;>5<5s4=1>n?4^3a2?xu5100;6?uQe39>3?c53twi>=>50;497?>|,:om6?>?;n3ef?6=3`8;?7>5;h3e=?6=3`8;57>5;h035?6=3`;m47>5;c3eb?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f4`c29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e9oo1<7:50;2x 6ca2;k:7E:>0:k23d<722c:;o4?::k23f<722e95i4?::p5cd=838pRl2wx>==50;0xZ76434;mj7?8b:p5c?=838pR=750;0xZ76>34;mi7?8b:p657=838pR?>>;<3e`?70i2wx=k650;0xZ4`?34;mh7?8b:p5ce=838p114?::k2<2<722c9<94?::k140<722c9<;4?::k142<722c:444?::k144<722c:4;4?::`2=4<72:0;6=u+3dd931=O<8:0e<9n:188m41e2900c?7k:188yg7?l3:1?7>50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj82m6=4<:183!5bn3=>7E:>0:k23d<722c:;o4?::m1=a<722wi=4>50;594?6|,:om6?o9;I624>o6?h0;66g>7c83>>o6?j0;66g>7e83>>o6?l0;66g>7g83>>i51m0;66s|19`94?4|V82i70?60;0:`>{t:991<793;{t:9>1<783;70?60;34g>{t:9<1<783;{t9131<7{t91<1<7c2;3o70?60;34a>{t91o1<77?c34;2<7?8f:~f24=8331?7lt$2ge>24h0;66l81;297?6=8r.8ik484:J755=n9>k1<75f16`94?=h:0n1<75rb7d94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`44?6=?3:17?c3ty9<>4?:3y]655<5>;1=:o4}r3;3?6=:rT:4:5270823g=z{;:?6=4={_030>;083;709?:05`?xu58?0;6?uQ2148926=9>n0q~16;=4>7d9~w7662909wSo0:;o5rs7g94?4|5>;1>4j4=62952g7?c34=;6<9i;|a0d0=8381<7>t$2ge>4>23A>:<6g>7883>>i51m0;66sm13a94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`2af<72:0;6=u+3dd964?:1y'7``=?<1C8<>4i05b>5<5<7>53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj798;I624>o6?h0;66g>7c83>>o6?j0;66a=9e83>>{e9kk1<7:50;2x 6ca2;k87E:>0:k23d<722c:;o4?::k23f<722e95i4?::a0t$2ge>7?b3A>:<6g>7`83>>o6?k0;66a=9e83>>{e9891<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wi=?l50;794?6|,:om6:j4H533?l70i3:17d?8b;29?l70k3:17d?8d;29?j4>l3:17pl>2583>0<729q/?hh57d9K0465<5<N3991b=:o50;9j52d=831b=:m50;9j52b=831d>4j50;9~f1`>290>6=4?{%1fb?1d3A>:<6g>7`83>>o6?k0;66g>7b83>>o6?m0;66a=9e83>>{e?0D9??;h34e?6=3`;5;n0:`?6=3th?m54?:283>5}#;ll1>4k4H533?l70i3:17d?8b;29?j4>l3:17pl;a483>6<729q/?hh5759K0465<50z&0ac<002B?==5f16c94?=n9>h1<75f16a94?=h:0n1<75rb321>5N3991b=:o50;9j52d=831b=:m50;9j52b=831b=:k50;9j52`=831b=5>50;9l65<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm18694?5=83:p(>ki:3;f?M2682c:;l4?::k23g<722e95i4?::a5=g=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd68o0;694?:1y'7``=?11C8<>4i05b>5<5<50z&0ac<0<2B?==5f16c94?=n9>h1<75`28f94?=zj==;6=4;:183!5bn3=37E:>0:k23d<722c:;o4?::k23f<722e95i4?::a022=83<1<7>t$2ge>7g23A>:<6g>7`83>>o6?k0;66g>7b83>>o6?m0;66g>7d83>>i51m0;66sm4c394?2=83:p(>ki:6:8L1773`;5;h34f?6=3`;5;n0:`?6=3th?n>4?:283>5}#;ll1;85G4028m41f2900e<9m:188k7?c2900qo:m9;292?6=8r.8ik4=a49K0465<5<5<i97>53;294~"4mo0<86F;119j52g=831b=:l50;9l65<7s-9nj79:;I624>o6?h0;66g>7c83>>i51m0;66sm4df94?0=83:p(>ki:3c6?M2682c:;l4?::k23g<722c:;n4?::k23a<722c:;h4?::m1=a<722wi8h750;194?6|,:om6::4H533?l70i3:17d?8b;29?j4>l3:17pl;0083>7<729q/?hh51978L1773`;<57>5;n0:`?6=3th?jo4?:383>5}#;ll1=5;4H533?l7013:17b<6d;29?xd6110;6?4?:1y'7``=91?0D9??;h34=?6=3f82h7>5;|`737<72;0;6=u+3dd95=3<@=;;7d?89;29?j4>l3:17pl;b683>7<729q/?hh51978L1773`;<57>5;n0:`?6=3th?io4?:383>5}#;ll1=5;4H533?l7013:17b<6d;29?xu61?0;69uQ184894`02;9h70?66;0`5>;61=0:;o5rs5d`>5<5sW>mo63;00823<=z{=;6j102i63>978:a>;3>l09o<5rs5;0>5<5sW>2?63>b`823g=z{82=6=4;{_3;2>;60?09o<5218095=0<5=l<6<9m;|q253<72:qU=<84=00f>`4<58896<9n;|q7`1<72;qU8i:4=0`b>41f3ty:n54?:5y]5g><58l<6?=m;<3a7`9~wg>=832pRo64=c`9a7=:;ol1o:5213g9g2=:9831o:524g09g2=:9k21o:521849g2=z{8ni6=4={_3gf>;6mh0n>6s|b483>a}Yj<16=k95c69>fgjn7m8;<6f5?e03ty?mo4?:2y]0dd<5=ki6?m>;<6a7?70i2wx=i950;0xZ4b034>i97?8a:pe5<726<9n;<3ee?70j27:454>7c9~w1c62908wS:j1:?7a4<5k8168h9516c8yv45:3:1>vP=239>0`?=9>k0q~<=3;296~X5::16>>>5e39~w1102909wS:87:?2==<6?01v97n:181[2>i27?5l4=c09~w1g62909wS:n1:?7e3<51m1v;o50;1xZ3g<5?k1>n?4=6092d=z{=l96=4<{_6e6>;3n;09o<5213a952g52z?26f<6?k16=?l528f8yvde290;ej38h=63>f`823d=:91k1=:o4=02e>41f34><<7?8a:?7f4<6?h1veb823d=:10823g=z{8h26=4={<3a;<6e3?70k2wx8;h50;0x91172;3o70:84;34e>{t7?c34>n57?8b:p55b=839p1<>k:3a2?82f03;10823d=z{=o=6=4={<6f3?4>l27?ii4>7c9~w1c?2909w0:j9;0:`>;3mk0:;45rs00f>5<5s4;9i7<382h63>8`823g=z{8;m6=4={<32=?5e027:>=4=9e9~w4462908w0?>9;1a=>;6:90:;l521309652z?265<6?k16=?6528f8yv20;3:1>v3;7581=a=:<>81=:74}r6e2?6=:r7?j?40c1=:0n0q~?67;296~;61?0n>63>9981=a=z{=h;6=4<{<6a5?4>l27?n44>7`9>0`b=9>k0q~:m2;296~;3j:095i524c;952di87>52z?7f0<51m168o9516;8yv2>j3:1>v3;9`8f6>;31j095i5rs313>5<4s488<7v3>2b81=a=:9kk1=:m4}r3ff?6=>r7:j:4=399>5`e=:0n019o<:05b?87ai3;89823d=:<>:1=:l4}r33a?6=:r7:=>4>7`9>55`=:0n0q~?>0;296~;69:0:;o521039652z?2b=fc9~w1g52909w0:n3;0:`>;3i10:;o5rs5c7>5<5s4>j:7?89:?7e0<51m1v?>>:187847838;=63>938144=:?;09<<5221096;<7>52z?0bce2909w0?76;g1?87>:3;3n6s|6e83>7}:>h0n>6382;4g?xu6::0;6>u213696{t:991<76t=0d4>7g>348;<7654=9>k01<>i:05`?82083;37<58=16>=<516`8947228=j70:84;34f>;3j:0:;o524d5952d58z?2b2<5io16=4<52178924=:9?01?>=:05`?876=3;41d3ty9<;4?:8y>5c1=:k;01<7=:325?8152;:=70:6c;34e>;58;0:;i52107952e<5==?6<9k;<6a=?70l27?ii4>7e9~w7602902w0?i7;0a7>;61;09<:52738142=:<0i1=:l4=321>41b34;:97?8d:?731<6?l168o7516g891cc28=n7p}=0983>7}:9o=1>o:4=321>41a3ty9<44?:2y>5c1=:k?01?>?:32:?847:3;3<6s|46394?4|5=7?c3ty?il4?:3y>0`7=m;168hl528f8yv2ai3:1>v3;f38`<>;3nk095i5rs5`;>5<5s4>i57<6d:?7f0<6?k1v9l9:18182fj3o970:m7;0:`>{t9o31<74`>34;mm7<6d:p5c>=839p17?77:?2<=<51m1v<66:18187>:3;3563>8`81=a=z{=l36=4={<6e3?70i27?j44=9e9~w47b290>w0?>9;0`f>;6:k0:;i52136952b<588=6<9k;<6e=?70j2.85k4>1e9m72;i270?=b;34g>;6:=0:;n52134952d<5=l26<9k;%1:b?76l2d85h4>;|q25g<72;6:?0:;l524g;952g<,:3m655z?25<<5k;16=?l516c8944328=j70?=6;34g>;3n00:;n5+38d954b5r}c66f?6=k38m69ktL531>4}O::o0qA:>3;0xj05c2120(8=l:79~ 6ca2j80V>7k:9y74?4e2;i1=<4=0;00>7g=<<0ven>50;9j7g`=831bml4?::m73a<722c:=;4?::k7e<<722e8ho4?::ka7>5;n6g3?6=3fk;6=44i52:>5<4}%1fb?70>2ch;7>5;n0b=?6=3f8jn7>5;n0bb?6=3f8i=7>5;h005;c346?6=?321nvB;1382M44m2w/?hh52238m75?2900e?=6:188mfg=831d>l750;9l6dd=831d>lh50;9l6g7=831i=>650;195?5|D=;962;3x 4>32<9<7pgm4;29?lc52900c?m>:188yve02909wSm8;<59f1=z{;3<6=4={<596f77}Ym;16;7k=;|a56c=8391=7=tL531>4}O::o0q)=jf;303>ob:3:17b5}#;ll1=>=4H533?I26:3;p(<6;:414?xoe<3:17dk=:188k7e62900q~m8:181[e034=1n95rs3;4>5<5s4=1>n?4^3a2?xu5110;6?uQe39>3?c53twi=>j50;796?0|,:om6<=k;h305;h00=?6=3`;8i7>5;n30=?6=3k;8o7>54;294~"4mo0<;6F;119j52g=831b=:l50;9j52e=831d>4j50;9~f45e29086=4?{%1fb?4>m2B?==5f16c94?=n9>h1<75`28f94?=z{8936=4={_30<>;6;k0:;l5rs31;>5<5sW88463>3b823d=z{;926=4={_00=>;6;j0:;o5rs01f>5<5sW;8i63>3b823f=z{8926=4={_30=>;6;k095i5rs01b>5<5s4;8o7<6d:?27g<6?k1vqo?;8;290?5=>r.8ik4>499j66>=831b=>k50;9j56>=831d=>h50;9a512=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd6<80;6>4?:1y'7``=?<1C8<>4i05b>5<5<53;294~"4mo095h5G4028m41f2900e<9m:188k7?c2900q~<<8;297~X5;116=9:516c8942628=i7p}>3d83>7}Y9:o01<:;:05a?xu6;10;6?uQ12:8942628=j7p}>3g83>7}Y9:l01<:<:3;g?xu6<90;6?u21569686<9n;|q204<72;q6=9?528f8942428=i7psm2`c94?5=83:p(>ki:648L1773`;5;h34f?6=3f82h7>5;|`1e`<72:0;6=u+3dd930=O<8:0e<9n:188m41e2900c?7k:188yg4e83:1?7>50z&0ac<0<2B?==5f16c94?=n9>h1<75`28f94?=zj;h96=4<:183!5bn3=>7E:>0:k23d<722c:;o4?::m1=a<722wx=>650;5x945?2;i:70?;6<10:?5522`c952d<5;kn6<9n;<0a4?70j279n?4>7c9~w45b290;6;m0:?h5215:956c<5;kj6<9n;<0ba?70j279n=4>7`9>6g4=9>k0q~<<8;297~X5;116=>j522:8942?2;937p}=3883>7}Y::301<=k:31:?xudi3:1?vPla:?27=l2wx>ll50;0xZ7ge348ji7<6d:p6d`=838pR?oi;<0a4?4>l2wx>o?50;0xZ7d6348i>7<6d:p56?=838p1<=7:d08945c28927p}>3g83>7}:9:o1i?5215:956`52z\`3>;6?;0hm6s|2`;94?4|V;k270?82;0b=>{t:hh1<7{t:k;1<7{t::31<75<22;0>wA:>2;3xL75b3tF?=>49{%70g?6<,<9269;j;%704?c53-?8>7>54>;|&0ac<5=11Qn>4={34961xod?3:17b1e>ok50:9ja6<72-8ij7k8;o0aa?7<3k=1<7=51;1xH17528qC>>k4}%1fb?42=2cn>7>5;n0`5?6=3`i<6=44b683>6<729q/?hh51218L1773`h?6=44id094?=h:j;1<75rsb594?4|Vj=01:4m4:p6<1=838p1:4=c09]6f752z\f6>;02l80qpln:180>4<4sE>:>7?tH31f?x"4mo09985fe383>>i5k80;66gl7;29?g1=8391<7>t$2ge>4543A>:<6gm4;29?lc52900c?m>:188yve02909wSm8;<59f1=z{;3<6=4={<596f77}Ym;16;7k=;|pg2<72:qUo:527;a4?8g=k>1v?m8:181[4d?27j6?m>;%1:b?4d>2d85h4?;|q1g7<72;qU>n<4=681g4=#;0l1>n84n2;f>4=z{l21<752z\f7>;02l80(>7i:d58j6?b281vqolm:186>7<2sE>:>7?tH31f?xJ39:0=w);2=?n7);<0;g1?!34:38h=6*:2d8`3>h2:10:7p*:|k`3?6=3f8h;7>5$3`e>7e13g8ii7>4;n0`6?6=,;hm6?m9;o0aa?7<3`o36=4+2cd9a2=i:ko1<65fe283>!4en3o<7c4}O::o0q)=jf;061>ob:3:17b5}#;ll1=>=4H533?ld32900eh<50;9l6f7=831vn950;0xZf1<5>0i86s|28594?4|5>09o<5Q2b38yv4>03:1>vPj2:?4>`45;c594?5=83:p(>ki:010?M2682ci87>5;hg1>5<5<5sWi<7095b59~w7?02909w0952b38Z7e63ty9554?:3y]a7=:?3o97ps|c683>6}Yk>16;7m8;;f2;i:7)=6f;0`2>h41l0;7p}=c383>7}Y:j801:4=c09'7<`=:j<0b>7j:09~w`>=838pRh64=`8f6>"41o0n;6`<9d83?xub;3:1>vPj3:?4>`4<,:3m6h94n2;f>4=zuk>jm7>53;397~J39;0:wE<?n4?;|&0ac<5=<1bi?4?::m1g4<722ch;7>5;c594?5=83:p(>ki:010?M2682ci87>5;hg1>5<5<5sWi<7095b59~w7?02909w0952b38Z7e63ty9554?:3y]a7=:?3o97psm3g294?1=:3hp@9?=:0yK66c:?7ot$41`>5=#=:3188m4$57e>05b3-?8>7>54>;%71b?e?3g?957?4$417>6d?3g?9m7?4}%1fb?43>2Pi?7?t3b8~^6?c2;q8i7:>:|k`3?6=3`i36=44i2`;>5<5<#:kl1>no4n3`f>4=5<#:kl1>no4n3`f>6=0;6o4;:cyO044=9rB9?h5rL530><}#=:3188k4$413>`4<,<996?m>;%71a?e03g?947?4$40e>f>73>3Sh86?ux\41m09w>k5368~mf1=831bo54?::k0f=<722e9oo4?:%0ab?4di2d9nh4?;:m1g<<72-8ij75$3`e>`dob03:1(?li:d`8j7db2;10eh=50;&1fc5<22:02;3xL75b3tF?=>46{%70=?22m2.>?=4j2:&677<5k81/9?k5c69m17>=92.>>k4l8:l66<<63-?887=m8:l66d<63t.8ik4=5`9jg2<722ch47>5;hg1>5<5<0;684=:4yO044=9rB9?h5r$2ge>72>3`o96=44o3a2>5<>od93:17o950;794?6|,:om6<=:;I624>J39;0:w)?74;703>{njo0;66gl1;29?ld32900eh<50;9l6f7=831vn9?>:182>5<7s-9nj7?88:J755=h9>=1<75rsb594?4|Vj=01:4m4:pg=<72;qUo5527;`e?xu51>0;6?u24039521<5>0h=6s|28:94?4|5>09o<5Q2b38yv4>13:1>vPj2:?4>`45}#;ll1;85G4028m41f2900e<9m:188k7?c2900qo:;b;297?6=8r.8ik4=9d9K0465<5<5sWi<7095c69~wf>=838pRn64=56a>41e3tyn>7>52z\f6>;3;?0:;o5rs3a2>5<5sW8h=638:3a2?xu4j10;6>uQ3c:8915128=j70:;b;34e>{t<:?1<7;3;?095i5rs56b>5<5s4=1o55245`96s-?857::e:&675??4=c09'17c=k>1e9?651:&66c>44>;%700?5e02d>>l4>;|&0ac<5=k1bo:4?::k`5<0<525<t$2ge>4523A>:<6B;1382!7?<3?8;6sfbg83>>od93:17dl;:188m`4=831d>n?50;9~f176290:6=4?{%1fb?7002B?==5`16594?=z{j=1<77}:<8;1=:94=68`5>{t:021<750z&0ac<51l1C8<>4i05b>5<5<1vn650;0xZf><5=>i6<9m;|qf6?6=:rTn>63;37823g=z{;i:6=4={_0`5>;02;i:7p}6}Y;k2019=9:05b?823j3;0n>63;3781=a=z{=>j6=4={<59g==:<=h1>4j4}|`2f?6==391;vB;1382M44m2wG8<=59z&67<<3=l1/9>>5e39'164=:j;0(8?945;h1a>k4}%1fb?4312cn>7>5;n0`5?6=3`i<6=44ib:94?=nk80;66l8:186>5<7s-9nj7?<5:J755=K<881=v*>858672=zakl1<75fc083>>oe<3:17dk=:188k7e62900qo:>1;295?6=8r.8ik4>799K0465<5sWi<7095b59~wf>=838pRn64=68ab>{t:0=1<741034=1o<5rs3;;>5<5s4=1>n?4^3a2?xu5100;6?uQe39>3?c53twi8>850;194?6|,:om6:;4H533?l70i3:17d?8b;29?j4>l3:17pl;4c83>6<729q/?hh528g8L1773`;5;h34f?6=3f82h7>5;|q`3?6=:rTh;638:b58yve?2909wSm7;<67f?70j2wxi?4?:3y]a7=:<:<1=:l4}r0`5?6=:rT9o<527;0`5>{t;k21<7=t^2`;?824>3;6=4={<59a7=:<:<1>4j4}r67e?6=:r7<6n64=56a>7?c3twi>i4?:480>2}K<881=vF=3d9~H17420q/9>7544g8 0572l80(8==:3a2?!35m3i<7c;=8;38 04a2j20b8<6:09'162=;k20b8=831bi?4?::m1g4<722c8n54?::`4>5<22;0>wA:>2;3xL75b3t.8ik4=489ja7<722e9o<4?::k`3?6=3`i36=44ib394?=e?3:197>50z&0ac<6;<1C8<>4L531>4}#91>19>94}h`e>5<>ob:3:17b20D9??;n343?6=3tyh;7>52z\`3>;02k>0q~m7:181[e?34=1nk5rs3;4>5<5s4>:=7?87:?4>f752z?4>7e63W8h=6s|28;94?4|Vl801:4j2:~f15129086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e<=h1<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wxo:4?:3y]g2=:?3i<7p}l8;296~Xd027?8o4>7c9~w`4=838pRh<4=515>41e3ty9o<4?:3y]6f7<5>09o<5rs2`;>5<4sW9i463;37823d=:<=h1=:o4}r601?6=:r7<6h<4=515>7?c3ty?8l4?:3y>3?e?34>?n7<6d:~wf1=83?pRn94=68`3>;f2j=016a5gb;1a<>;5l39i46s|2b`94?4|V;ii70n38hm6`<9d83?xu5k00;6?uQ2b;894d=:j;0(>7i:3ab?k5>m3;0q~16m77i:d`8j6?b291vho50;0xZ`g<58h1i?5+38d9ag=i;0o1=6s|e983>7}Ym116m7k=;%1:b?ce3g92i7<4}rg0>5<5sWo87095e39'7<`=mk1e?4k53:~f7>?29036;4n{M626?7|@;9n7pB;1286!3413>>;6*:308eg>"2<80j7);<3;1;f>"2<90<7p*j53c8~^6?c2;q8j7=7:|m0=1<72-8ij7=63:l1f`<732e85?4?:%0ab?5>;2d9nh4>;:m0=4<72-8ij7=63:l1f`<532e84n4?:%0ab?5>;2d9nh4<;:k240<72-8ij7??4:l1f`<732c:<>4?:%0ab?77<2d9nh4>;:k247<72-8ij7??4:l1f`<532cmh7>5$3`e>4633g8ii7=4;c0;`?6=;3;1?vB;1382M44m2w/?hh527g8mc>=831bj44?::m00}#;ll18>d3900;6>4?:1y'7``=?<1C8<>4i05b>5<5<:m7>53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj7<6e:J755=n9>k1<75f16`94?=h:0n1<75rsg:94?5|Vo2019?6:05a?826i3;6}Yn0168<7516c8917f28=i7p}<8c83>7}Y;1h019?m:3;g?xu3910;6?u240;967}Yn1168c?52z\0uC40095~N5;l1v(>ki:34f?l`?2900ek750;9l7=d=831i85<?0D9??;h34e?6=3`;5;n0:`?6=3th?=l4?:283>5}#;ll1;85G4028m41f2900e<9m:188k7?c2900qo:>b;297?6=8r.8ik4=9d9K0465<5<4sWl370:>9;34f>;39h0:;l5rsg;94?5|Vo3019?6:05b?826i3;b;0:`>{t<821<77?c34>:n7?8a:p04?=838p19?n:3;g?826j3;2909wSh6;<62g?`>3ty84o4?:3y]7=d<5=;h6>6m;|a6=`=8391=7=tL531>4}O::o0q)=jf;05a>oa03:17dh6:188k6>e2900n9?l:180>6<2s-9nj7:>c:ke5<7E:>0:k23d<722c:;o4?::m1=a<722wi8l3:17pl;1c83>6<729q/?hh528g8L1773`;5;h34f?6=3f82h7>5;|qe5<4sWl270:>9;34e>;39h0:;o5rs2:a>5<5sW93n63;1c81=a=z{=;36=4={<62=?4>l27?=o4>7`9~w17>2909w0:>a;0:`>;39k0:;o5r}rd;>5<5sWl370:>c;d;?xua13:1>vPi9:?75fe34>:o7=7b:~f15129086=4?{%1fb?133A>:<6g>7`83>>o6?k0;66a=9e83>>{e<:21<7=50;2x 6ca2>>0D9??;h34e?6=3`;5;n0:`?6=3th85n4?:383>5}#;ll1=5;4H533?l7013:17b<6d;29?xu3;<0;6>u229g9b==:<:<1>4j4=51;>41e3ty??:4?:3y>6=`=n1168>6528f8yv5><3:1>vP<959>6=`=;1h0(>7i:2;0?k5>m3:0q~=62;296~X41;16>5k539`8 6?a2:387c=6e;38yv5>93:1>vP<909>6=b=;1h0(>7i:2;0?k5>m380q~=7c;296~X40j16?4m528f8 6?a2:387c=6e;18yv77=3:1>vP>049>6=`=n01/?4h51168j6?b291v<><:180[77;2794h4i9:?77=<6?h1/?4h51168j6?b281v<>=:180[77:2794i4i9:?773<6?h1/?4h51168j6?b2;1vkj50;6xZcb<5;2o6k64=515>41e3492o7?89:&0=c<68=1e?4k53:~wf1=838pRn94=68`3>{tk10;6?uQc99>3?e?3ty8n54?:3y]7g><5>08n55rs3aa>5<4sW8hn638:3aa?84?03;;96*<9g81gd=i;0o1<6s|2b;94?5|V;i270952b;897>?28:87)=6f;0`e>h41l0:7p}=c683>6}Y:j=01:4=c69>6=>=9980(>7i:3ab?k5>m380q~7j:19~w1432909w095e`9>6=>=;080(>7i:506?k5>m3;0q~:=3;296~;02l201?67:2;2?!5>n3>996`<9d81?xu39o0;6?u27;g0?84?0393o6*<9g8760=i;0o1?6srb2d5>5<22;0>wA:>2;3xL75b3tF?=>4>{%70g?65;ha4>5<>d0290>6=4?{%1fb?74=2B?==5fbg83>>od93:17dl;:188m`4=831d>n?50;9~f176290:6=4?{%1fb?7002B?==5`16594?=z{j=1<77}:<8;1=:94=68`5>{t:021<74}O::o0qA:>3;:x 05d291/9>7544a8 13a2<9n7);<2;0`5>"2:l0h;6`:2982?!35n3i37c;=9;38y!5bn38?;6Tm3;3x575;3;>xod?3:17dm7:188k7e2290/>oh52b68j7db2910c?m<:18'6g`=:j>0b?lj:098k7b5290/>oh52b68j7db2;10c?j>:18'6g`=:j>0b?lj:298k7b7290/>oh52b68j7db2=10c?mi:18'6g`=:j>0b?lj:498k7ec290/>oh52b68j7db2?10c?ml:18'6g`=:j>0b?lj:698k7ee290/>oh52b68j7db2110c?m6:18'6g`=:j>0b?lj:898k7e0290/>oh52b68j7db2h10c?m=:18'6g`=:j>0b?lj:c98f2<728k1o7?n{M626?7|@;9n7pB;1284!3413>>i6*:318f6>"2;;09o<5+53g9g2=i=;21=6*:2g8`<>h2:00:7p*6i4rib594?=nk10;66a=c483>!4en38h86`=bd83?>i5k:0;6)h5jl0:76a=d383>!4en38h86`=bd81?>i5l80;6)h5jl0876a=d183>!4en38h86`=bd87?>i5ko0;6)h5jl0>76a=ce83>!4en38h86`=bd85?>i5kj0;6)h5jl0<76a=cc83>!4en38h86`=bd8;?>i5k00;6)h5jl0276a=c683>!4en38h86`=bd8b?>i5k;0;6)h5jl0i76gj6;29 7da2l?0b?lj:198m`2=83.9nk4j5:l1f`<632cm?7>5$3`e>`3oa93:1(?li:d78j7db2=10ek>50;&1fc3=h5jl0<76gjc;29 7da2l?0b?lj:998m`g=83.9nk4j5:l1f`<>32cn47>5$3`e>`3d0290>6?4:{M626?7|@;9n7p*>od?3:17dm7:188mf7=831i;7>55;294~"4mo0:?85G4028mg`=831bo<4?::ka0?6=3`o96=44o3a2>5<:=7>51;294~"4mo0:;55G4028k4102900q~m8:181[e034=1n95rsb:94?4|Vj201:4mf:p6<1=838p19?>:054?81=k81v?77:18181=:j;0R?m>;|q1=<<72;qUi?527;g1?x{ei3:197<55zN757<6sA88i6s+3dd963>0;66gl8;29?le62900n:4?:483>5}#;ll1=>;4H533?lda2900en?50;9jf1<722cn>7>5;n0`5?6=3th?=<4?:083>5}#;ll1=:64H533?j70?3:17p}l7;296~Xd?27<6o:4}ra;>5<5sWi37095bg9~w7?02909w0:>1;343>;02j;0q~<68;296~;02;i:7S{zj8h1<7;52;7xH17528qC>>k4}%1fb?4102cn>7>5;n0`5?6=3`i<6=44ib:94?=nk80;66l8:186>5<7s-9nj7?<5:J755=njo0;66gl1;29?ld32900eh<50;9l6f7=831vn9?>:182>5<7s-9nj7?88:J755=h9>=1<75rsb594?4|Vj=01:4m4:pg=<72;qUo5527;`e?xu51>0;6?u24039521<5>0h=6s|28:94?4|5>09o<5Q2b38yv4>13:1>vPj2:?4>`455;091~J39;0:wE<0<729q/?hh51278L1773`hm6=44ib394?=nj=0;66gj2;29?j4d93:17pl;1083>4<729q/?hh516:8L1773f;<;7>5;|q`3?6=:rTh;638:c68yve?2909wSm7;<59fc=z{;3<6=4={<625?70?27<6n?4}r0:;_0`5>{t:031<7850;796?3|D=;96=831bo<4?::`4>5<2290;w)=jf;301>N3991bnk4?::k`5?6=3`h?6=44id094?=h:j;1<75rb532>5<6290;w)=jf;34<>N3991d=:950;9~wf1=838pRn94=68a0>{tk10;6?uQc99>3?da3ty95:4?:3y>047=9>=01:4l1:p6<>=838p1:4=c09]6f752z\f6>;02l80qpl;2;291?4==rF?=?4>{I00a>{#;ll1>;64id094?=h:j;1<75fc683>>od03:17dm>:188f2<72<0;6=u+3dd9563<@=;;7dli:188mf7=831bn94?::kf6?6=3f8h=7>5;|`754<7280;6=u+3dd952><@=;;7b?87;29?xud?3:1>vPl7:?4>g203:1>v38:3a2?[4d92wx>4750;0xZ`4<5>0n>6srb5194?3=:3?p@9?=:0yK66c5;ha4>5<>d0290>6=4?{%1fb?74=2B?==5fbg83>>od93:17dl;:188m`4=831d>n?50;9~f176290:6=4?{%1fb?7002B?==5`16594?=z{j=1<77}:<8;1=:94=68`5>{t:021<7n?50;9jg2<722ch47>5;ha2>5<0;684?:1y'7``=9:?0D9??;h`e>5<>ob:3:17b20D9??;n343?6=3tyh;7>52z\`3>;02k>0q~m7:181[e?34=1nk5rs3;4>5<5s4>:=7?87:?4>f752z?4>7e63W8h=6s|28;94?4|Vl801:4j2:~f1`=83?1>7;tL531>4}O::o0q)=jf;05<>ob:3:17b5;h`7>5<:183!5bn3;<46F;119l521=831vn950;0xZf1<5>0i86s|c983>7}Yk116;7li;|q1=2<72;q684650;0x92<5k81U>n?4}r0:=?6=:rTn>638:d08yxd283:197<55zN757<6sA88i6s+3dd963>0;66gl8;29?le62900n:4?:483>5}#;ll1=>;4H533?lda2900en?50;9jf1<722cn>7>5;n0`5?6=3th?=<4?:083>5}#;ll1=:64H533?j70?3:17p}l7;296~Xd?27<6o:4}ra;>5<5sWi37095bg9~w7?02909w0:>1;343>;02j;0q~<68;296~;02;i:7S{zjk0;684=:4yO044=9rB9?h5r$2ge>70?3`o96=44o3a2>5<>od93:17o950;794?6|,:om6<=:;I624>oen3:17dm>:188mg2=831bi?4?::m1g4<722wi8i6?>0;66s|c683>7}Yk>16;7l;;|q`?3:1>v3;108232=:?3i:7p}=9983>7}:?38h=6P=c09~w7?>2909wSk=;<59a7=zuki1<7;52;7xH17528qC>>k4}%1fb?4102cn>7>5;n0`5?6=3`i<6=44ib:94?=nk80;66l8:186>5<7s-9nj7?<5:J755=njo0;66gl1;29?ld32900eh<50;9l6f7=831vn9?>:182>5<7s-9nj7?88:J755=h9>=1<75rsb594?4|Vj=01:4m4:pg=<72;qUo5527;`e?xu51>0;6?u24039521<5>0h=6s|28:94?4|5>09o<5Q2b38yv4>13:1>vPj2:?4>`45dz\`3>;02j=01l4l7:?2f?e0348o6n94=249g2=:<;0h;63;3;a4?8232j=019h5c69>15{tk10;6iuQc99>3?e?34k1o5521c8`<>;5l3i370=9:b:8914=k1168>4l8:?70?e?34>m6n64=429g==:j3i370m5c99~w7e22909wS7e63-92j74?:3y]6f5<5k09o<5+38d96f25<5sW8o>63:0;0`5>"41o09o95a38g96>{t:m;1<7h41l087p}=d183>7}Y:m:019:52b38 6?a2;i?7c=6e;68yv4dn3:1>vP=cg9>06<5k81/?4h52b68j6?b2<1v?mk:181[4dl27?>77e63-92j7n?4$2;e>7e33g92i764}r0`=?6=:rT9o4521c81g4=#;0l1>n:4n2;f><=z{;i<6=4={_0`3>;f2;i:7)=6f;0`0>h41l0j7p}=c383>7}Y:j801:4=c09'7<`=:j>0b>7j:c9~w`0=838pRh84=b8f6>"41o0n96`<9d83?xub<3:1>vPj4:?a>`4<,:3m6h;4n2;f>4=z{o91<76*<9g8f1>h41l087p}i1;296~Xa927?87k=;%1:b?c23g92i7:4}rd3>5<5sWl;70:<:d08 6?a2l?0b>7j:49~w`c=838pRhk4=509a7=#;0l1i85a38g92>{tmm0;6?uQee9>7352z\fg>;5l3o97)=6f;g6?k5>m320q~kn:181[cf34;i6h<4$2;e>`37j:c9~yg4?03:1=54>6;01I26:3;pD?=j;|N756<2s-?857::7:&6748<4n;%707?5?j2.>8=48;|&0ac<5<;1Qn>4={069557;g9yj5?n3:1(?li:2:f?k4em3:07b=7d;29 7da2:2n7cj3:1(?li:2:f?k4em3807b=6a;29 7da2:2n7c13:1(?li:2:f?k4em3>07b=68;29 7da2:2n7c>3:1(?li:2:f?k4em3<07b=65;29 7da2:2n7c<3:1(?li:2:f?k4em3207b=62;29 7da2:2n7c93:1(?li:2:f?k4em3k07b=7c;29 7da2:2n7c;:k24f<72-8ij7hi;o0aa?4<3`;;n7>5$3`e>c`54i02b>5<#:kl1jk5a2cg90>=n9931<7*=bg8eb>h5jl0>76g>0683>!4en3lm7c3:1(?li:gd8j7db2>10e<>::18'6g`=no1e>ok58:9j555=83.9nk4if:l1f`<>32c:5<#:kl1jk5a2cg9f>=e:1n1<7=51;1xH17528qC>>k4}%1fb?41m2cm47>5;hd:>5<5<42:0>w)=jf;62g>oa03:17dh6:188k6>e2900n9?6:180>5<7s-9nj79:;I624>o6?h0;66g>7c83>>i51m0;66sm40c94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`75g<72:0;6=u+3dd962908wSh6;<62=?70i27?=l4>7c9~w6>e2909wS=7b:?75g<51m1v9?7:1818261382h63;1c823d=z{=;26=4={<62e?4>l27?=o4>7c9~yv`?2909wSh7;<62g?`?3tym57>52z\e=>;39j0m56s|39`94?4|V:2i70:>c;1;f>{zj;2n6=4<:080I26:3;pD?=j;|&0ac<5>l1bj54?::ke=?6=3f93n7>5;c62g?6=;3919v*9;297?6=8r.8ik485:J755=n9>k1<75f16`94?=h:0n1<75rb53b>5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a04d=8391<7>t$2ge>7?b3A>:<6g>7`83>>o6?k0;66a=9e83>>{tn10;6>uQf99>04?=9>h019?n:05b?xua13:1?vPi9:?75<<6?h168vP<8c9>04d=:0n0q~:>8;296~;390095i5240`952g:57>52z?75d<51m168vPi8:?75f5<5sW93n63;1b8053;397~J39;0:wE<>i40k0;66l;1883>6<729q/?hh5749K0465<N3991b=:o50;9j52d=831d>4j50;9~f17e29086=4?{%1fb?4>m2B?==5f16c94?=n9>h1<75`28f94?=z{o21<7=t^g:8917>28=i70:>a;34e>{tn00;6>uQf89>04?=9>k019?n:05a?xu40k0;6?uQ39`8917e2;3o7p};1983>7}:<831>4j4=53a>41f3ty?=44?:3y>04g=:0n019?m:05a?x{tn10;6?uQf99>04e=n11vk750;0xZc?<5=;h6k74}r1;f?6=:rT84o5240a97=d6}K<881=vF=3d9~ 6ca2;17d3`l36=44ig;94?=h;1h1<75m40;94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`75d<72:0;6=u+3dd930=O<8:0e<9n:188m41e2900c?7k:188yg26j3:1?7>50z&0ac<51l1C8<>4i05b>5<5<28=j70:>a;34f>{t;1h1<7b;34f>{z{o21<734>:o7h6;|q06>e3twi>4?50;195?5|D=;962900c>6m:188f17d29086>4:{%1fb?26k2cm47>5;hd:>5<5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a04g=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd39k0;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3tym47>53z\e<>;3900:;o5240c952g;39k095i5rs53;>5<5s4>:57<6d:?75g<6?h1v9?6:181826i382h63;1c823g=zuzl36=4={_d;?826k3l37p}i9;296~Xa127?=n4i9:p7=d=838pR>6m;<62g?5?j2wvn?7=:180>4<4sE>:>7?tH31f?x"4mo09:h5ff983>>oa13:17b=7b;29?g26k3:1?7=55z&0ac<39j1bj54?::ke=?6=3f93n7>5;c62=?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f17f29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e<8h1<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wxj54?:2y]b==:<831=:l4=53b>41f3tym57>53z\e=>;3900:;l5240c952d52z\04j4}r6204d=9>k0q~:>9;296~;39h095i5240`952d52z\e<>;39j0m46s|f883>7}Yn0168e2909wS=7b:?75f<40k1vqo<63;297?7=;rF?=?4>{I00a>{#;ll1>;k4ig:94?=nn00;66a<8c83>>d39j0;6>4<:4y'7``=<8i0ek650;9jb<<722e84o4?::`75<<72:0;6=u+3dd930=O<8:0e<9n:188m41e2900c?7k:188yg26i3:1?7>50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj=;i6=4<:183!5bn382i6F;119j52g=831b=:l50;9l6<5=;26<9m;<62e?70i2wxj44?:2y]b<=:<831=:o4=53b>41e3ty84o4?:3y]7=d<5=;i6?7k;|q75=<72;q68<7528f8917e28=j7p};1883>7}:<8k1>4j4=53a>41e3twxj54?:3y]b==:<8i1j55rsg;94?4|Vo3019?l:g;8yv5?j3:1>vP<8c9>04e=;1h0qpl=9583>6<62:qG8<<51zJ17`=z,:om6?8j;hd;>5<t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd39h0;6>4?:1y'7``=?<1C8<>4i05b>5<5<:n7>53;294~"4mo095h5G4028m41f2900e<9m:188k7?c2900q~h7:180[`?34>:57?8b:?75d<6?h1vk750;1xZc?<5=;26<9n;<62e?70j2wx?5l50;0xZ6>e34>:n7<6d:p04>=838p19?6:3;g?826j3;<5=;h6k64}rd:>5<5sWl270:>c;d:?xu40k0;6?uQ39`8917d2:2i7psm28794?5=939p@9?=:0yK66c5<:o6gi8;29?l`>2900c>6m:188f17>29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e<8k1<7=50;2x 6ca2>?0D9??;h34e?6=3`;5;n0:`?6=3th?=o4?:283>5}#;ll1>4k4H533?l70i3:17d?8b;29?j4>l3:17p}i8;297~Xa027?=44>7c9>04g=9>k0q~h6:180[`>34>:57?8a:?75d<6?k1v>6m:181[5?j27?=o4=9e9~w17?2909w0:>9;0:`>;39k0:;l5rs53:>5<5s4>:m7<6d:?75g<6?k1vq~h7:181[`?34>:o7h7;|qe=?6=:rTm563;1b8e=>{t;1h1<75<42808wA:>2;3xL75b3t.8ik4=6d9jb=<722cm57>5;n1;f?6=3k>:o7>53;191~"4mo0?=n5ff983>>oa13:17b=7b;29?g2613:1?7>50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj=;j6=4<:183!5bn3=>7E:>0:k23d<722c:;o4?::m1=a<722wi8o6?h0;66g>7c83>>i51m0;66s|f983>6}Yn1168<7516`8917f28=j7p}i9;297~Xa127?=44>7`9>04g=9>h0q~=7b;296~X40k168v3;1881=a=:<8h1=:o4}r62=?6=:r7?=l4=9e9>04d=9>h0qp}i8;296~Xa027?=n4i8:pb<<72;qUj45240a9b<=z{:2i6=4={_1;f>;39j084o5r}c0;g?6=;3;1?vB;1382M44m2w/?hh527g8mc>=831bj44?::m00}#;ll18>d3900;6>4?:1y'7``=?<1C8<>4i05b>5<5<:m7>53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj7<6e:J755=n9>k1<75f16`94?=h:0n1<75rsg:94?5|Vo2019?6:05a?826i3;6}Yn0168<7516c8917f28=i7p}<8c83>7}Y;1h019?m:3;g?xu3910;6?u240;967}Yn1168c?52z\050z&0ac<0<2B?==5f16c94?=n9>h1<75`28f94?=zj=9j6=4<:183!5bn3=?7E:>0:k23d<722c:;o4?::m1=a<722wi8>m50;194?6|,:om6::4H533?l70i3:17d?8b;29?j4>l3:17pl;3d83>6<729q/?hh5759K0465<N3991b=:o50;9j52d=831d>4j50;9~f12529086=4?{%1fb?133A>:<6g>7`83>>o6?k0;66a=9e83>>{e<=>1<7=50;2x 6ca2>>0D9??;h34e?6=3`;5;n0:`?6=3th?8;4?:283>5}#;ll1;95G4028m41f2900e<9m:188k7?c2900qo:;8;297?6=8r.8ik484:J755=n9>k1<75f16`94?=h:0n1<75rb2;`>5<5290;w)=jf;3;1>N3991b=:750;9l6;3;10:;o5rs514>5<4s483j7h7;<60l27??l4>7c9~w15>2908w0<60;d;?824i382h63;3b823g=z{=9i6=4<{<0:5?`?34>8o7<6d:?77`<6?k1v9=k:18084>:3l370:;3<90:;o5rs51e>5<4s482?7h7;<674?4>l27?8?4>7c9~w1262908w0<64;d;?823:382h63;45823g=z{=>86=4<{<0:1?`?34>?87<6d:?703<6?k1v9:::18084?j3l370:;6;0:`>;3<10:;o5rs564>5<5s483o7h7;<67l2wx?5h50;0xZ6>a3483o7=7b:&0=c<40l1e?4k50:p7=b=838pR>6k;<0;f?5?j2.85k4<8d9m77n;<0:0?5?j2.85k4<8d9m73482?7=7b:&0=c<40l1e?4k54:p7<>=838pR>77;<0:6?5?j2.85k4<8d9m77:;<0:4?5?j2.85k4<8d9m77=;<0;a?5?j2.85k4<8d9m76l;<1:g?4>l2.85k4<8d9m750;0xZ4673483o7h6;%1:b?`a3g92i7>4}rdf>5<4sWln70<7b;d:?82303;h41l0:7p}>0b83>6}Y99i01?7::g;8912128=j7)=6f;de?k5>m380q~??b;297~X68k16>4:5f89>012=9>k0(>7i:gd8j6?b2:1v<>n:180[77i2795>4i9:?707<6?h1/?4h5fg9m73482>7h6;<674?70i2.85k4if:l0=`<23ty:<:4?:2y]551<5;3:6k74=51f>41f3-92j7hi;o1:a?053z\243=::0:1j45242a952g<,:3m6kh4n2;f>2=z{8:>6=4<{_331>;50o0m563;3`823d=#;0l1jk5a38g9<>{t9991<7=t^020?84?m3l270:<8;34e>"41o0mj6`<9d8:?xu68;0;6>uQ110897>c2o3019=9:05b?!5>n3lm7c=6e;c8yv`c290?wShk;<0;`?`?34>8:7?8b:?0=f<6?01/?4h5fg9m70h;6s|c983>7}Yk116;7m7;|q1g0<72:qU>n;4=681g0=::121==>4$2;e>7e33g92i7>4}r0`7?6=;rT9o>527;0`7>;5010mi6*<9g81g1=i;0o1=6s|2e094?5|V;n970952e0897>?28:h7)=6f;0`0>h41l097p}=d083>6}Y:m;01:4=d09>6=>=99h0(>7i:3a7?k5>m390q~nj50;1xZ7ec34=1>nj4=3:;>4603-92j709on5229:9550<,:3m6?m;;o1:a?153z\1gg=:?38hn63=898240=#;0l1>n:4n2;f>==z{;i26=4<{_0`=>;02;i270<78;337>"41o09o95a38g9=>{t:j=1<7=t^3a4?81=:j=01?67:021?!5>n38h86`<9d8b?xu5k;0;6>uQ2b0892<5k;16>565fe9'7<`=:j>0b>7j:c9~w1452909w095e79>6=>=;1l0(>7i:502?k5>m3:0q~:=0;296~;02l>01?67:2:g?!5>n3>9=6`<9d82?xu3:j0;6?u27;d0?84?0392n6*<9g8764=i;0o1>6s|43`94?4|5>0m>63=8980=d=#;0l18??4n2;f>6=z{=8j6=4={<59b4=::121?474$2;e>1463g92i7:4}r61=?6=:r7<6k>4=3:;>6??3-92j7:=1:l0=`<23ty?>54?:3y>3?cb348347=66:&0=c<3:81e?4k56:p071=838p1:4jd:?1<=<41<1/?4h54338j6?b2>1v9<9:18181=mj16>5653868 6?a2=8:7c=6e;:8yv25<3:1>v38:dc897>?2:397)=6f;615>h41l027p};2283>7}:?3o370<78;1:5>"41o0?><5a38g9e>{t<8l1<7;501084n5+38d907750z&0ac<6;<1C8<>4icd94?=nk80;66gm4;29?lc52900c?m>:188yg2693:1=7>50z&0ac<6?11C8<>4o054>5<<5>0ij6s|28594?4|5=;:6<98;<59g4=z{;336=4={<596f77}Ym;16;7k=;|a7d6=83=1>79tL531>4}O::o0qA:>3;:x 05d291/9>7544g8 0572l80(8==:3a2?!35m3i<7c;=8;38 04a2j20b8<6:09~ 6ca2;?m7Wl<:3y12?432tP85i4={3:964!4en38h:6`=bd83?>i5k;0;6)h5jl0:76gj8;29 7da2l=0b?lj:198m`5=83.9nk4j7:l1f`<632h<6=4::284I26:3;pD?=j;|N756<>s-?857::e:&675??4=c09'17c=k>1e9?651:&66c>44>;%701?5b12d>>o4>;|&0ac<55<0<525<t$2ge>4523A>:<6gmf;29?le62900eo:50;9ja7<722e9o<4?::a047=83;1<7>t$2ge>41?3A>:<6a>7683>>{tk>0;6?uQc69>3?d33tyh47>52z\`<>;02kl0q~<67;296~;3980:;:527;a2?xu5110;6?u27;0`5>X5k81v?76:181[c534=1i?5r}c67f?6=;3:150z&0ac<51l1C8<>4i05b>5<5<1vn650;0xZf><5=>o6<9m;|qf6?6=:rTn>63;4c823g=z{;i:6=4={_0`5>;02;i:7p}6}Y;l3019:m:05b?823l3;0h463;4e81=a=z{=>j6=4={<59a7=:<=h1>4j4}|`b>5<22:02;3xL75b3tF?=>46{%70=?22m2.>?=4j2:&677<5k81/9?k5c69m17>=92.>>k4l8:l66<<63-?887=m8:l66d<63t.8ik4=609jg2<722ch47>5;hg1>5<5<0;684=:4yO044=9rB9?h5r$2ge>72>3`o96=44o3a2>5<>od93:17o950;794?6|,:om6<=:;I624>oen3:17dm>:188mg2=831bi?4?::m1g4<722wi8i6?>0;66s|c683>7}Yk>16;7l;;|q`?3:1>v3;108232=:?3i:7p}=9983>7}:?38h=6P=c09~w7?>2909wSk=;<59a7=zuk>8:7>53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj7<6e:J755=n9>k1<75f16`94?=h:0n1<75rsb594?4|Vj=01:4l7:pg=<72;qUo55245`952d3;060=9>k019:m:05b?xu3;<0;6?u27;g1?824>382h6s|45c94?4|5>0h463;4c81=a=zuz9i57>53z\0f<=:?39n563n:2`;?xud?3:1?vPl7:?4>f1<5h0h;6s|c983>6}Yk116;7m7;;f2;i:7)=6f;0`2>h41l0;7p}=c383>7}Y:j801:4=c09'7<`=:j<0b>7j:09~w`>=838pRh64=`8f6>"41o0n;6`<9d83?xub;3:1>vPj3:?4>`4<,:3m6h94n2;f>4=zuk9n87>55;193~J39;0:wE<?n4?;%70=?22m2.>?=4j2:&677<5k81/9?k5c69m17>=92.>>k4l8:l66<<63-?897=j9:l66g<63t.8ik4=4d9jg2<722ch47>5;hg1>5<5<0;684=:4yO044=9rB9?h5r$2ge>72>3`o96=44o3a2>5<>od93:17o950;794?6|,:om6<=:;I624>oen3:17dm>:188mg2=831bi?4?::m1g4<722wi8i6?>0;66s|c683>7}Yk>16;7l;;|q`?3:1>v3;108232=:?3i:7p}=9983>7}:?38h=6P=c09~w7?>2909wSk=;<59a7=zuk>?n7>53;294~"4mo095h5G4028m41f2900e<9m:188k7?c2900qo:;d;297?6=8r.8ik4=9d9K0465<5<5sWi<7095c69~wf>=838pRn64=56g>41e3tyn>7>52z\f6>;35<5sW8h=638:3a2?xu4m00;6>uQ3d;8912e28=j70:;d;34e>{t<=i1<7;35<5s4=1i?5245`965b;0957}K<881=vF=3d9~H1742hq/9>m50:&67<<3=j1/88h552d8 0552;i:7);=e;a4?k3503;0(8281/9>853ga8j04d291v(>ki:36;?_d428q?m7sU38f96~2d2=o1qd=m9;29?le02900en650;9j7ce=831d>nh50;&1fc<5kl1e>ok50:9l6fb=83.9nk4=cd9m6gc=921d>nm50;&1fc<5kl1e>ok52:9l6fd=83.9nk4=cd9m6gc=;21d>n750;&1fc<5kl1e>ok54:9l6f1=83.9nk4=cd9m6gc==21d>n<50;&1fc<5kl1e>ok56:9a3?6=980<64}O::o0qA:>3;5x 05>2=?n7);<0;g1?!34:38h=6*:2d8`3>h2:10:7);=f;a;?k3513;0q)=jf;056>\e;38p8l4;8;Y7h6985}h1a=?6=3`i<6=44ib:94?=h:jl1<7*=bg81g`=i:ko1<65`2bf94?"5jo09oh5a2cg95>=h:ji1<7*=bg81g`=i:ko1>65`2b`94?"5jo09oh5a2cg97>=h:j31<7*=bg81g`=i:ko1865`2b594?"5jo09oh5a2cg91>=h:j81<7*=bg81g`=i:ko1:65ff183>!4en3om7coh5eg9m6gc=921bii4?:%0ab?ca3g8ii7<4;hg`>5<#:kl1ik5a2cg97>=nmh0;6)07dk7:18'6g`=mo1e>ok55:9ja6<72-8ij7ki;o0aa?0<3k=1<7;53;5xH17528qC>>k4}M627??|,<9269;j;%704?c53-?8>7>54>;%71b?e?3g?957?4$417>6d?3g?9m7>4}%1fb?41;2ch;7>5;ha;>5<{I00a>{#;ll1>974id094?=h:j;1<75fc683>>od03:17dm>:188f2<72<0;6=u+3dd9563<@=;;7dli:188mf7=831bn94?::kf6?6=3f8h=7>5;|`754<7280;6=u+3dd952><@=;;7b?87;29?xud?3:1>vPl7:?4>g203:1>v38:3a2?[4d92wx>4750;0xZ`4<5>0n>6srb515>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a01d=8391<7>t$2ge>7?a3A>:<6g>7`83>>o6?k0;66a=9e83>>{tk>0;6?uQc69>3?e03tyh47>52z\`<>;3l7:180[5e027??;4>7`9>01d=9>k0q~:<5;296~;02l8019=9:3;g?xu30<42>qG8<<51zJ17`=zD=;864u+52;900c<,<9;6h<4$411>7e63-?9i7m8;o714=#=:>1?o64n40b>5=z,:om6?8<;ha4>5<>i5k80;66g>d0290>6?4:{M626?7|@;9n7p*>od?3:17dm7:188mf7=831i;7>55;294~"4mo0:?85G4028mg`=831bo<4?::ka0?6=3`o96=44o3a2>5<:=7>51;294~"4mo0:;55G4028k4102900q~m8:181[e034=1n95rsb:94?4|Vj201:4mf:p6<1=838p19?>:054?81=k81v?77:18181=:j;0R?m>;|q1=<<72;qUi?527;g1?x{e<:<1<7=50;2x 6ca2>>0D9??;h34e?6=3`;5;n0:`?6=3th?8o4?:283>5}#;ll1>4h4H533?l70i3:17d?8b;29?j4>l3:17p}l7;296~Xd?27<6n94}ra;>5<5sWi370:;b;34f>{tm;0;6?uQe39>060=9>h0q~=839pR>l7;<602?70i27?8o4>7`9~w1522909w095e39>060=:0n0q~:;a;296~;02j2019:m:3;g?x{e9k0;684<:6yO044=9rB9?h5rL530><}#=:3188k4$413>`4<,<996?m>;%71a?e03g?947?4$40e>f>7043`i<6=44ib:94?=nm;0;66a=c083>>o4j10;66l8:186>7<2sE>:>7?tH31f?x"4mo09845fe383>>i5k80;66gl7;29?le?2900en?50;9a3?6==3:15;hg1>5<4950;0x917628=<7095c09~w7??2909w0952b38Z7e63ty9544?:3y]a7=:?3o97psm42494?5=83:p(>ki:668L1773`;5;h34f?6=3f82h7>5;|`70g<72:0;6=u+3dd96<`<@=;;7d?8a;29?l70j3:17b<6d;29?xud?3:1>vPl7:?4>f17}Ym;168>8516`8yv4d93:1>vP=c09>3?4d92wx?o650;1xZ6d?34>8:7?8a:?70g<6?h1v9=::18181=m;168>8528f8yv23i3:1>v38:b:8912e2;3o7psm2e83>0<42>qG8<<51zJ17`=zD=;864u+52;900c<,<9;6h<4$411>7e63-?9i7m8;o714=#=:?1?h74n40a>5=z,:om6?8?;ha4>5<>i5k80;66g>d0290>6?4:{M626?7|@;9n7p*>od?3:17dm7:188mf7=831i;7>55;294~"4mo0:?85G4028mg`=831bo<4?::ka0?6=3`o96=44o3a2>5<:=7>51;294~"4mo0:;55G4028k4102900q~m8:181[e034=1n95rsb:94?4|Vj201:4mf:p6<1=838p19?>:054?81=k81v?77:18181=:j;0R?m>;|q1=<<72;qUi?527;g1?x{e<=h1<7=50;2x 6ca2;3m7E:>0:k23d<722c:;o4?::m1=a<722wi89j50;194?6|,:om6?7i;I624>o6?h0;66g>7c83>>i51m0;66s|c683>7}Yk>16;7m8;|q`7}Y:j;01:4=c09~w6c>2908wS=j9:?70g<6?h1689j516c8yv23k3:1>v38:b:8912c2;3o7p};4`83>7}:?3o970:;b;0:`>{zj:<1<7;53;5xH17528qC>>k4}M627??|,<9269;j;%704?c53-?8>7>54>;%71b?e?3g?957?4$417>6d?3g?9m7>4}%1fb?41;2ch;7>5;ha;>5<{I00a>{#;ll1>974id094?=h:j;1<75fc683>>od03:17dm>:188f2<72<0;6=u+3dd9563<@=;;7dli:188mf7=831bn94?::kf6?6=3f8h=7>5;|`754<7280;6=u+3dd952><@=;;7b?87;29?xud?3:1>vPl7:?4>g203:1>v38:3a2?[4d92wx>4750;0xZ`4<5>0n>6srb515>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a01d=8391<7>t$2ge>7?a3A>:<6g>7`83>>o6?k0;66a=9e83>>{tk>0;6?uQc69>3?e03tyh47>52z\`<>;3l7:180[5e027??;4>7`9>01d=9>k0q~:<5;296~;02l8019=9:3;g?xu3:?77t$41:>13b3-?8<7k=;%706?4d92.>>h4l7:l66=<63-?9j7m7;o71=?7<,<9?6>l7;o71e?60;66gl8;29?le62900n:4?:483>5}#;ll1=>;4H533?lda2900en?50;9jf1<722cn>7>5;n0`5?6=3th?=<4?:083>5}#;ll1=:64H533?j70?3:17p}l7;296~Xd?27<6o:4}ra;>5<5sWi37095bg9~w7?02909w0:>1;343>;02j;0q~<68;296~;02;i:7S{zj=9=6=4<:183!5bn3=?7E:>0:k23d<722c:;o4?::m1=a<722wi89l50;194?6|,:om6?7i;I624>o6?h0;66g>7c83>>i51m0;66s|c683>7}Yk>16;7m8;|q`7}Y:j;01:4=c09~w6d?2908wS=m8:?773<6?h1689l516c8yv24=3:1>v38:d0891512;3o7p};4`83>7}:?3i370:;b;0:`>{zj=91<7;53;5xH17528qC>>k4}M627??|,<9269;j;%704?c53-?8>7>54>;%71b?e?3g?957?4$417>6d?3g?9m7>4}%1fb?41;2ch;7>5;ha;>5<{I00a>{#;ll1>974id094?=h:j;1<75fc683>>od03:17dm>:188f2<72<0;6=u+3dd9563<@=;;7dli:188mf7=831bn94?::kf6?6=3f8h=7>5;|`754<7280;6=u+3dd952><@=;;7b?87;29?xud?3:1>vPl7:?4>g203:1>v38:3a2?[4d92wx>4750;0xZ`4<5>0n>6srb515>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a01d=8391<7>t$2ge>7?a3A>:<6g>7`83>>o6?k0;66a=9e83>>{tk>0;6?uQc69>3?e03tyh47>52z\`<>;3l7:180[5e027??;4>7`9>01d=9>k0q~:<5;296~;02l8019=9:3;g?xu35853c:8914=;k2019=53c:8yve02903wSm8;<59g2=:i3i<70?m:b5897b=k>16?;4l7:?76?e034>86n94}ra;>5e?e?34;i6n64=3f9g==:;?0h463;2;a;?8242j20q~4=c09'7<`=:jo0b>7j:19~w7ec2909wSnm50;0xZ7ed349=6?m>;%1:b?4dm2d85h4=;|q1gg<72;qU>nl4=3f96f7<,:3m6?mj;o1:a?552z\1g<=:9k09o<5+38d96fc5<5sW8h;63n:3a2?!5>n38hi6`<9d86?xu5k;0;6?uQ2b0892<5k81/?4h52bg8j6?b2?1vk>50;0xZc6<5=91i?5+38d9ac=i;0o1<6s|ed83>7}Yml168?4j2:&0=c;|qf`?6=:rTnh63<6;g1?!5>n3om7c=6e;08yvcd2909wSkl;<0g>`4<,:3m6hh4n2;f>6=z{lk1<7m3?0q~k<:181[c434=1i?5+38d9ac=i;0o1:6srb3::>5;uC40095~N5;l1v@9?<:6y'16?=<<20(8=>:ga8 0262h1/9?j59g9m171=82.>?>4<8c9'116=?2w/?hh52518^g5=:r>i6975}[1:`?4|>i4110;6)h5jl0;76a<9783>!4en392;6`=bd82?>i41<0;6)h5jl0976a<9583>!4en392;6`=bd80?>i41;0;6)h5jl0?76a<9083>!4en392;6`=bd86?>i40j0;6)h5jl0=76g>0883>!4en3;;46`=bd83?>o68>0;6)h5jl0:76g>0783>!4en3;;46`=bd81?>o68<0;6)h5jl0876g>0283>!4en3;;46`=bd87?>o68;0;6)h5jl0>76gid;29 7da28:37c885+5229b2=#=:91?5l4n41a>6=i==81=6s+3dd963`oh5f`9m6gc=821bj44?:%0ab?`f3g8ii7?4;hd;>5<#:kl1jl5a2cg96>=e:0<1<7=51;1xH17528qC>>k4}%1fb?41m2cm47>5;hd:>5<5<42:0>w)=jf;62g>oa03:17dh6:188k6>e2900n9?6:180>5<7s-9nj79:;I624>o6?h0;66g>7c83>>i51m0;66sm40c94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`75g<72:0;6=u+3dd962908wSh6;<62=?70i27?=l4>7c9~w6>e2909wS=7b:?75g<51m1v9?7:1818261382h63;1c823d=z{=;26=4={<62e?4>l27?=o4>7c9~yv`?2909wSh7;<62g?`?3tym57>52z\e=>;39j0m56s|39`94?4|V:2i70:>c;1;f>{zj;2j6=4<:080I26:3;pD?=j;|&0ac<5>l1bj54?::ke=?6=3f93n7>5;c62g?6=;3919v*9;297?6=8r.8ik485:J755=n9>k1<75f16`94?=h:0n1<75rb53b>5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a04d=8391<7>t$2ge>7?b3A>:<6g>7`83>>o6?k0;66a=9e83>>{tn10;6>uQf99>04?=9>h019?n:05b?xua13:1?vPi9:?75<<6?h168vP<8c9>04d=:0n0q~:>8;296~;390095i5240`952g:57>52z?75d<51m168vPi8:?75f5<5sW93n63;1b8052z\06=g=n11vkl50;0xZcd<5;3=6k64$2;e>cg7j:09~wc>=838pRk64=3:b>c?<,:3m6ko4n2;f>7=zuk83i7>54;091~J39;0:wE<?44:449'166=n>1/9>=539`8j05e2:1e99<51:'7``=:?l0Vo=51z11>x\41m0:w>>5}n1;f?6=3`li6=4+2cd9bd=i:ko1<65ff883>!4en3lj7coh5f`9m6gc=:21i>4850;195?5|D=;962900c>6m:188f17d29086>4:{%1fb?26k2cm47>5;hd:>5<5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a04g=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd39k0;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3tym47>53z\e<>;3900:;o5240c952g;39k095i5rs53;>5<5s4>:57<6d:?75g<6?h1v9?6:181826i382h63;1c823g=zuzl36=4={_d;?826k3l37p}i9;296~Xa127?=n4i9:p7=d=838pR>6m;<62g?5?j2wvn?6n:180>4<4sE>:>7?tH31f?x"4mo09:h5ff983>>oa13:17b=7b;29?g26k3:1?7=55z&0ac<39j1bj54?::ke=?6=3f93n7>5;c62=?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f17f29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e<8h1<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wxj54?:2y]b==:<831=:l4=53b>41f3tym57>53z\e=>;3900:;l5240c952d52z\04j4}r6204d=9>k0q~:>9;296~;39h095i5240`952d52z\e<>;39j0m46s|f883>7}Yn0168e2909wS=7b:?75f<40k1vq~=7b;296~X40k16>5o539`8yv22>3:1>v3=97807j:19~wc?=838pRk74=3;5>c?<,:3m6ko4n2;f>4=z{o21<7f2o30(>7i:gc8j6?b2;1vqo<7f;290?4==rF?=?4>{I00a>{K<8919v*:388600=#=::1j:5+52197=d5a55095>{#;ll1>;h4Zc195~552tP85i4>{229yj5?j3:17dhm:18'6g`=nh1e>ok50:9jb<<72-8ij7hn;o0aa?7<3`l36=4+2cd9bd=i:ko1>65m28494?5=939p@9?=:0yK66c5<:o6gi8;29?l`>2900c>6m:188f17>29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e<8k1<7=50;2x 6ca2>?0D9??;h34e?6=3`;5;n0:`?6=3th?=o4?:283>5}#;ll1>4k4H533?l70i3:17d?8b;29?j4>l3:17p}i8;297~Xa027?=44>7c9>04g=9>k0q~h6:180[`>34>:57?8a:?75d<6?k1v>6m:181[5?j27?=o4=9e9~w17?2909w0:>9;0:`>;39k0:;l5rs53:>5<5s4>:m7<6d:?75g<6?k1vq~h7:181[`?34>:o7h7;|qe=?6=:rTm563;1b8e=>{t;1h1<75<42808wA:>2;3xL75b3t.8ik4=6d9jb=<722cm57>5;n1;f?6=3k>:o7>53;191~"4mo0?=n5ff983>>oa13:17b=7b;29?g2613:1?7>50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zj=;j6=4<:183!5bn3=>7E:>0:k23d<722c:;o4?::m1=a<722wi8o6?h0;66g>7c83>>i51m0;66s|f983>6}Yn1168<7516`8917f28=j7p}i9;297~Xa127?=44>7`9>04g=9>h0q~=7b;296~X40k168v3;1881=a=:<8h1=:o4}r62=?6=:r7?=l4=9e9>04d=9>h0qp}i8;296~Xa027?=n4i8:pb<<72;qUj45240a9b<=z{:2i6=4={_1;f>;39j084o5r}r1;f?6=:rT84o5229c97=d>:7>52z?1=3<40k16>5o5f99~wcd=838pRkl4=3;5>c><,:3m6ko4n2;f>5=z{o31<77i:gc8j6?b281vk650;0xZc><5;2j6k74$2;e>cg>5f69'165=;1h0b8=m:29m114=92w/?hh527d8^g5=9r996pT<9e8266=uf93n7>5;hda>5<#:kl1jl5a2cg94>=nn00;6)ok52:9a6<0=8391=7=tL531>4}O::o0q)=jf;05a>oa03:17dh6:188k6>e2900n9?l:180>6<2s-9nj7:>c:ke5<7E:>0:k23d<722c:;o4?::m1=a<722wi8l3:17pl;1c83>6<729q/?hh528g8L1773`;5;h34f?6=3f82h7>5;|qe5<4sWl270:>9;34e>;39h0:;o5rs2:a>5<5sW93n63;1c81=a=z{=;36=4={<62=?4>l27?=o4>7`9~w17>2909w0:>a;0:`>;39k0:;o5r}rd;>5<5sWl370:>c;d;?xua13:1>vPi9:?75fe34>:o7=7b:~f7>f29086<4<{M626?7|@;9n7p*c;297?5==r.8ik4;1b9jb=<722cm57>5;n1;f?6=3k>:57>53;294~"4mo0<96F;119j52g=831b=:l50;9l65<7s-9nj79:;I624>o6?h0;66g>7c83>>i51m0;66sm40`94?5=83:p(>ki:3;f?M2682c:;l4?::k23g<722e95i4?::pb=<72:qUj55240;952d<5=;j6<9n;|qe=?6=;rTm563;18823d=:<8k1=:l4}r1;f?6=:rT84o5240`96:47>52z?75<<51m168v3;1`81=a=:<8h1=:l4}|qe{tn00;6?uQf89>04e=n01v>6m:181[5?j27?=n4<8c9~yv5?j3:1>vP<8c9>6=g=;1h0q~::6;296~;51?084o5229c9b==z{oh1<77i:gc8j6?b291vk750;0xZc?<5;3=6k74$2;e>cg7j:39~yg4>93:187<55zN757<6sA88i6sC40191~"2;00>885+5229b2=#=:91?5l4n41a>6=i==81=6s+3dd963`oh5f`9m6gc=821bj44?:%0ab?`f3g8ii7?4;hd;>5<#:kl1jl5a2cg96>=e:0<1<7=51;1xH17528qC>>k4}%1fb?41m2cm47>5;hd:>5<5<42:0>w)=jf;62g>oa03:17dh6:188k6>e2900n9?6:180>5<7s-9nj79:;I624>o6?h0;66g>7c83>>i51m0;66sm40c94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`75g<72:0;6=u+3dd962908wSh6;<62=?70i27?=l4>7c9~w6>e2909wS=7b:?75g<51m1v9?7:1818261382h63;1c823d=z{=;26=4={<62e?4>l27?=o4>7c9~yv`?2909wSh7;<62g?`?3tym57>52z\e=>;39j0m56s|39`94?4|V:2i70:>c;1;f>{zj;2j6=4<:080I26:3;pD?=j;|&0ac<5>l1bj54?::ke=?6=3f93n7>5;c62g?6=;3919v*9;297?6=8r.8ik485:J755=n9>k1<75f16`94?=h:0n1<75rb53b>5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a04d=8391<7>t$2ge>7?b3A>:<6g>7`83>>o6?k0;66a=9e83>>{tn10;6>uQf99>04?=9>h019?n:05b?xua13:1?vPi9:?75<<6?h168vP<8c9>04d=:0n0q~:>8;296~;390095i5240`952g:57>52z?75d<51m168vPi8:?75f5<5sW93n63;1b8052z\06=g=n11vkl50;0xZcd<5;3=6k64$2;e>cg7j:09~wc>=838pRk64=3:b>c?<,:3m6ko4n2;f>7=zuk82>7>54;091~J39;0:wE<?44:449'166=n>1/9>=539`8j05e2:1e99<51:'7``=:?l0Vo=51z11>x\41m0:w>>5}n1;f?6=3`li6=4+2cd9bd=i:ko1<65ff883>!4en3lj7coh5f`9m6gc=:21i>4850;195?5|D=;962900c>6m:188f17d29086>4:{%1fb?26k2cm47>5;hd:>5<5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a04g=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd39k0;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3tym47>53z\e<>;3900:;o5240c952g;39k095i5rs53;>5<5s4>:57<6d:?75g<6?h1v9?6:181826i382h63;1c823g=zuzl36=4={_d;?826k3l37p}i9;296~Xa127?=n4i9:p7=d=838pR>6m;<62g?5?j2wvn?6n:180>4<4sE>:>7?tH31f?x"4mo09:h5ff983>>oa13:17b=7b;29?g26k3:1?7=55z&0ac<39j1bj54?::ke=?6=3f93n7>5;c62=?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f17f29086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{e<8h1<7=50;2x 6ca2;3n7E:>0:k23d<722c:;o4?::m1=a<722wxj54?:2y]b==:<831=:l4=53b>41f3tym57>53z\e=>;3900:;l5240c952d52z\04j4}r6204d=9>k0q~:>9;296~;39h095i5240`952d52z\e<>;39j0m46s|f883>7}Yn0168e2909wS=7b:?75f<40k1vq~=7b;296~X40k16>5o539`8yv22>3:1>v3=97807j:19~wc?=838pRk74=3;5>c?<,:3m6ko4n2;f>4=z{o21<7f2o30(>7i:gc8j6?b2;1vqo:;b;297?6=8r.8ik4=9d9K0465<5<5<>=7>54;294~"4mo09m<5G4028m41f2900e<9m:188m41d2900c?7k:188yg22;3:187>50z&0ac<5i81C8<>4i05b>5<5<k1<75f16`94?=h:0n1<75rb42;>5<4290;w)=jf;57?M2682c:;l4?::k23g<722e95i4?::a15g=8391<7>t$2ge>22<@=;;7d?8a;29?l70j3:17b<6d;29?xd28j0;6>4?:1y'7``=?=1C8<>4i05b>5<5<53;294~"4mo0<86F;119j52g=831b=:l50;9l65<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm50094?5=83:p(>ki:668L1773`;5;h34f?6=3f82h7>5;|`651<72:0;6=u+3dd931=O<8:0e<9n:188m41e2900c?7k:188yg36>3:1?7>50z&0ac<0<2B?==5f16c94?=n9>h1<75`28f94?=zj<;36=4<:183!5bn3=?7E:>0:k23d<722c:;o4?::m1=a<722wi9l3:17pl:1b83>6<729q/?hh5759K0465<N3991b=:o50;9j52d=831d>4j50;9~f04729086=4?{%1fb?133A>:<6g>7`83>>o6?k0;66a=9e83>>{e=;81<7=50;2x 6ca2>>0D9??;h34e?6=3`;5;n0:`?6=3th>>94?:283>5}#;ll1;95G4028m41f2900e<9m:188k7?c2900qo;=6;297?6=8r.8ik484:J755=n9>k1<75f16`94?=h:0n1<75rb2;`>5<5290;w)=jf;3;1>N3991b=:750;9l63483j7h6;<0:4?`>3482=7h6;<0:6?`>34?;:7?8a:?64=<6?k169=o516`8906b28=j70;>0;34f>;29=0:;l52504952d<5<;j6<9n;<72g?70j27>>=4>7`9>174=9>h018<9:05b?xu34j4=431>41e34?:87?8b:p01c=83>p1?6i:g:8912a2;3o70;>8;34f>;29h0:;o5rs573>5<3s482<7h7;<665?4>l27>=h4>7c9>176=9>h0q~::2;290~;5180m463;5281=a=:=;>1=:l4=405>41e3ty?994?:3y>6<4=n11688;528f8yv3593:1>v3;54823d=:=;81>4j4}r717?6=:r7?984>7c9>172=:0n0q~;=5;296~;3=<0:;n525349652z?716<6?h169v3;52823g=:=8o1>4j4}r72b?6=:r7?9>4>7b9>176=:0n0q~;>5;296~;3=80:;l525049652z?714<6?k169<6528f8yv3613:1>v3;50823f=:=8k1>4j4}r73b?6=:r7?8k4>7`9>146=:0n0q~;>1;296~;352z?70c<6?j169<:528f8yv3713:1>v3;4e823d=:=9k1>4j4}r73f?6=:r7?8i4>7c9>15e=:0n0q~;?d;296~;352z?70g<6?h169=8528f8yv37?3:1>v3;4c823g=:=921>4j4}r67e?6=7}Y;0201?7=:2:a?!5>n392;6`<9d83?xu41?0;6?uQ384897?62:2i7)=6f;1:3>h41l0:7p}<9483>7}Y;0?01?7?:2:a?!5>n392;6`<9d81?xu41=0;6?uQ386897>a2:2i7)=6f;1:3>h41l087p}<9383>7}Y;0801?6j:2:a?!5>n392;6`<9d87?xu4180;6?uQ383897>c2:2i7)=6f;1:3>h41l0>7p}<8b83>7}Y;1i01>7l:3;g?!5>n392;6`<9d85?xu6800;6?uQ11;897?52oh0(>7i:02;?k5>m3:0q~??7;290~X68>16>4?5fc9>174=9>k018<;:05b?!5>n3;;46`<9d82?xu68?0;69uQ114897?72oh018?l:05b?836m3;6s|11794?2|V8:>70<7f;da?836>3;6=z{8:86=4;{_337>;50l0mn63:11823d=:=881=:o4$2;e>46?3g92i7:4}r336?6=41f3-92j7??8:l0=`<23tymh7>54z\e`>;28?0:;o5251:952g<5:3h6<96;%1:b?7702d85h49;|p7g?=838pR>l6;<597g?1vn650;0xZf><5>0h46s|3ga94?4|V:lh70<79;;e?xu5ko0;6>uQ2bd892<5ko16>57511;8 6?a2;in7c=6e;28yv4dl3:1?vP=ce9>3?4dl279444>069'7<`=:jo0b>7j:09~w7ed2908wS7ed348357??6:&0=c<5kl1e?4k52:p6fd=839pR?mm;<596fd<5;226<>:;%1:b?4dm2d85h4<;|q1g<<72:qU>n74=681g<=::131===4$2;e>7eb3g92i7:4}r0`3?6=;rT9o:527;0`3>;5000:5<4sW8h>638:3a1?84?13lo7)=6f;0`a>h41l0=7p};3583>7}:?3l;70<79;1:<>"41o0??>5a38g94>{t<:81<7;500085;5+38d90655<5s4=1ii5229;97<3<,:3m69=<;o1:a?48<7>52z?4>`e<5;226>7;;%1:b?24;2d85h4<;|q76c<72;q6;7kn;<0;=?5>:2.85k4;329m77j:49~w14c2909w095e29>6=?=;1i0(>7i:510?k5>m3<0qpl0<42?qG8<<51zJ17`=zD=;86{#;ll1>;:4id094?=h:j;1<75fc683>>od03:17dm>:188f2<72<0;6=u+3dd9563<@=;;7dli:188mf7=831bn94?::kf6?6=3f8h=7>5;|`754<7280;6=u+3dd952><@=;;7b?87;29?xdd13:1>7>50z&0ac<60<1C8<>4i05:>5<5<5sWi<7095b59~wf>=838pRn64=b;952?52z?4>g`<5j31>4j4}r0:769>3?e63ty9544?:3y>3?4d92T9o<5rs3;b>5<5sWo97095e39~ygg729086<4<{M626?7|@;9n7pB;1282!34k3:0q)=jf;061>ob:3:17b5}#;ll1=>=4H533?ld32900eh<50;9l6f7=831vn950;0xZf1<5>0i86s|28594?4|5>09o<5Q2b38yv4>03:1>vPj2:?4>`46}K<881=vF=3d9~H17428q/9>m50:'7``=:5<4290;w)=jf;307>N3991bn94?::kf6?6=3f8h=7>5;|q`3?6=:rTh;638:c68yv4>?3:1>v38:3a2?[4d92wx>4650;0xZ`4<5>0n>6srb55g>5<42808wA:>2;3xL75b3tF?=>4>{%70g?65;ha4>5<0;6>4?:1y'7``=9:90D9??;h`7>5<4950;0x92<5k81U>n?4}r0:638:d08yxd50>0;694=:5yO044=9rB9?h5rL530>2}i=:n19=5+52;9001<,<9:6km4$462>d=#=:91?5l4$463>2=#=:i1<6s+3dd9616v<8:379y_5>l38p>44=2;l7<7=83.9nk4<919m6gc=821d?5m50;&1fc<4191e>ok51:9j554=83.9nk4>009m6gc=821bji4?:%0ab?7792d9nh4>;:`1uC40095~N5;l1v(>ki:34f?l`?2900ek750;9l7=d=831i85<?0D9??;h34e?6=3`;5;n0:`?6=3th?=l4?:283>5}#;ll1;85G4028m41f2900e<9m:188k7?c2900qo:>b;297?6=8r.8ik4=9d9K0465<5<4sWl370:>9;34f>;39h0:;l5rsg;94?5|Vo3019?6:05b?826i3;b;0:`>{t<821<77?c34>:n7?8a:p04?=838p19?n:3;g?826j3;2909wSh6;<62g?`>3ty84o4?:3y]7=d<5=;h6>6m;|a7t$2ge>4>23A>:<6g>7883>>i51m0;66s|38394?4|V:3:70<7d;1;f>"41o085=5a38g94>{t;1i1<7k382h6*<9g80=5=i;0o1=6s|11094?4|V8:970<7d;d:?!5>n3;;=6`<9d83?xual3:1?vPid:?1789'7<`=99;0b>7j:09~yg4?;3:1h7=5fz&0ac<50:1d>:h50;9j627=831b>:;50;9j620=831b>:950;9j62>=831b>:750;9j62g=831b>:l50;9j62e=831b>:j50;9j624=831b>::50;9a6=6=8331<7>t$2ge>=4<@=;;7d?8a;29?l70j3:17d?8c;29?l70l3:17d?8e;29?l70n3:17d?70;29?l7?93:17b<6d;29?xd5080;684?:1y'7``=?k1C8<>4i05b>5<5<5<7>53;294~"4mo0<86F;119j52g=831b=:l50;9l67`9~w7112909wS<86:?1<5<6?k1v?98:181[40?2794=4>7e9~w71?2909wS<88:?1<5<6?j1v?96:181[4012794=4>7d9~w71f2909wS<8a:?1<5<6091v?9m:181[40j2794=4>7g9~w71d2909wS<8c:?1<5<6081v?9k:181[40l2794<4>7b9~w7152909wS<82:?1<4<6?k1v?9;:181[40<2794<4>7e9~w7>72909w0<70;0:`>;50;0:;l5rs3:2>5<5s483=7<6d:?1<7<6?k1vqoo9:186>6<0s-9nj7o9;nc2>5<5<>df=3:1?7>50z&0ac<0=2B?==5f16c94?=n9>h1<75`28f94?=zjh91<7=50;2x 6ca2>?0D9??;h34e?6=3`;5;n0:`?6=3thj87>53;294~"4mo095h5G4028m41f2900e<9m:188k7?c2900q~o>:181[g634k?6?7k;|q173<72;qU>>84=`7952d52z\73a=:i<0:;l5rs`594?4|Vh=01l=516c8yvg72909wSo?;41e3tyj>7>52z?b1?4>l27j87?8a:pe6<72;q6m>4=9e9>e1<6?k1vqo:75;291?5=?r.8ik4;849l0=6=831b>>;50;9j02c=831b85850;9j02b=831i85:50;194?6|,:om6:;4H533?l70i3:17d?8b;29?j4>l3:17pl;8383>6<729q/?hh5749K0465<vP;819>0=5=:0n0q~<<5;296~X5;<1685:516`8yv20m3:1>vP;7d9>0=2=9>k0q~:76;296~X30?1685<516c8yv20l3:1>vP;7e9>0=4=9>h0q~:71;296~;30=095i52491952g3>7>52z?7<7<51m1685=516`8yxd5;:0;694?:1y'7``=?>1C8<>4i05b>5<5<5<4290;w)=jf;56?M2682c:;l4?::k23g<722e95i4?::a54>=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd4nh0;6>4?:1y'7``=?=1C8<>4i05b>5<5<55;294~"4mo0o7:180>5<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sm3`d94?5=83:p(>ki:678L1773`;5;h34f?6=3f82h7>5;|`0f4<72:0;6=u+3dd930=O<8:0e<9n:188m41e2900c?7k:188yg5b03:1?7>50z&0ac<0>2B?==5f16c94?=n9>h1<75`28f94?=zj:o:6=4<:183!5bn382j6F;119j52g=831b=:l50;9l6ol:180>5<7s-9nj799;I624>o6?h0;66g>7c83>>i51m0;66sm3ef94?3=83:p(>ki:6g8L1773`;5;h34f?6=3`;5;h34`?6=3f82h7>5;|`0ed<72:0;6=u+3dd933=O<8:0e<9n:188m41e2900c?7k:188ygb429086=4?{%1fb?123A>:<6g>7`83>>o6?k0;66a=9e83>>{elm0;6>4?:1y'7``=:h:0D9??;h34e?6=3`;5;n0:`?6=3thoj7>53;294~"4mo095h5G4028m41f2900e<9m:188k7?c2900qoj::180>5<7s-9nj79;;I624>o6?h0;66g>7c83>>i51m0;66sme083>6<729q/?hh528g8L1773`;5;h34f?6=3f82h7>5;|`g3?6=;3:1N3991b=:o50;9j52d=831d>4j50;9~f6c429086=4?{%1fb?4f82B?==5f16c94?=n9>h1<75`28f94?=zjh21<7:50;2x 6ca2;k:7E:>0:k23d<722c:;o4?::k23f<722e95i4?::a02`=8391<7>t$2ge>23<@=;;7d?8a;29?l70j3:17b<6d;29?xd30>0;6>4?:1y'7``=:0o0D9??;h34e?6=3`;5;n0:`?6=3th8i;4?:283>5}#;ll1;95G4028m41f2900e<9m:188k7?c2900qo=m3;296?6=8r.8ik4>849K0465<4j50;9~fad=8381<7>t$2ge>4>23A>:<6g>7883>>i51m0;66sm3ed94?4=83:p(>ki:0:6?M2682c:;44?::m1=a<722wxo=4?:02xZf6<5;9:6n94=00f>f1<5kh1o:524`c9g2=:;o:1o:523g49g2=::>:1o:5226g9g2=:;h:1o:523d69g2=:kj0h;63;f83i<70:k7;a4?820l3i<7p}7}Y;kl01>o7:05a?xufi3:1?vPna:?0e=<6?h16?h=516c8yv20l3:19vP;7e9>02b=:j;01l8546f891>22==o70:8f;34e>{t98<1<77}Y7ad=:j;01>k<:05a?xue03:1>vPm8:?af?c43ty?h:4?:3y]0a1<5=n<6?m>;|qb4?6=;rTj<63n0;0`5>;f>3k;7p};0883>0}Y<9301i=516c89ab=9>h01ih516`89a1=9>h0q~j7:1818ed2j201i7528f8yvbf2909w0ml:2d`?8be2;3o7p}7}:;l;1=:l4=2fg>7?c3ty8mo4?:4y>7d`=9>k01>l>:05b?85b03;l=:18085f83i370=j4;a;?85e;382h6s|22694?5|5;9:6?o6;41f34>3;7?8a:p663=83>p1?=>:3ca?82?=388963n8;34f>;30>0:;o5rs315>5<4s488=727j47?8c:p661=838p1?=>:3`2?82c?3o97p};8783>7}:<1?18584=5:4>7?c3tyi57>55z?ae?4>l27o?7?8b:?g`?70i27oj7?8a:?g3?70i2wx85>50;0x911c2l80196::5:3?xuc:3:1>v3k3;0:`>;b93;7}:l<095i52e0823g=z{m<1<77cg=9>h0q~=i9;297~;4n908n5523gc96d7d1<5h21>4j4}r04b?6=:r79;h4j2:?1<6<5?o1v>h9:18085a>38h=63=32823g=:;ok1=:o4}r6be?6=;r79?<4=389>0dg=:j;0199i:05a?xu4i>0;6>u23`297g?<5:o?6>k6;<1bl2wx?h>50;0x96be2l801>k>:3;g?xu4i00;6?u23`c966}:;l>1>n?4=ba97g?<5:o:6<9n;|qgg?6=:r7oh7<6d:?g1?70i2wx?k950;0x96`12l801>h7:3;g?xucm3:1>v3kf;0:`>;c=3;7}:m8095i52d8823<=z{==n6=4={<6;1?20m27?;k4=9e9~w6c22909w0=j8;34f>;4m?095i5rs35f>5<5s48h::180[50:278j=4=cc9>7c>=9>n0(>7i:2d7?k5>m3:0q~=i3;296~;4n909o4523g:952d<,:3m6>h;;o1:a?77>52z?0b5<5k>16?k6516c8 6?a2:l?7c=6e;08yv5a93:1>v36`33g92i7=4}r1b2?6=:r794:4<909>7d`=9>h0(>7i:2c6?k5>m3:0q~=n4;296~;50>084n523c3952d<,:3m6>o:;o1:a?752z?0e57j:19~w6d72909w0=n0;g0?85e9382h6*<9g80ea=i;0o1=6s|26694?4|5;=;6?m:;<0;7?40<2.85k4=729m7:<50;0x97172;i870<73;046>"41o09;>5a38g95>{t:>n1<77b53483?7<8d:&0=c<5?:1e?4k52:p62e=838p1?9?:3f2?84?;38:o50;0x97172;im70<73;04e>"41o09;>5a38g91>{t:>31<77ec3483?7<89:&0=c<5?:1e?4k56:p62>=838p1?9?:3a`?84?;38<46*<9g8136=i;0o1;6s|26594?4|5;=;6?mm;<0;7?40?2.85k4=729m7:850;0x97172;i270<73;042>"41o09;>5a38g9=>{t:>?1<7=t=353>7e03483;7??2:?1<6<5?<1/?4h52618j6?b2h1v?9>:180840838h>63=868e`>;50:09;<5+38d9625n94=cc952d<,:3m6oj4n2;f>5=z{ki1<7=t=c`9a==:jk09o?52b`823d=#;0l1ni5a38g95>{t9:;1<77e034;:47?8b:&0=c<6;91e?4k50:p57`=839p1<8;34e>"41o0:?=5a38g95>{t;h91<7=t=2c3>7e0349jo7?8b:?0a3<6?k1/?4h53`08j6?b291v>o>:18085f838h>636g53g92i7?4}rf2>5<5s4ih6?mi;<1g`?70l2.85k4k0:l0=`<73tyhj7>52z?`g?4dl278hi4>7b9'7<`=l91e?4k51:pg`<72;q6on4=cb9>7ab=9>h0(>7i:e28j6?b2;1vnj50;0x9fe=:jh01>jk:05b?!5>n3n;7c=6e;18yxd3>90;6k4>0;3`I26:3;pD?=j;|N756<5sg?8h77;;%70g?0h=50;9j706=831b=5<50;9j6`1=831b=k<50;9a<6<72:0969uC40095~N5;l1v@9?<:0y'16e=:2w/?hh52778m`4=831d>n?50;9jg2<722h<6=4<:183!5bn3;8?6F;119O044=9r.:494:369~mg2=831bi?4?::m1g4<722wioo4?:383>5}#;ll1=5;4H533?l7013:17b<6d;29?xud?3:1>vPl7:?`f?7012wx>4950;0x92=838p1:4=c09]6f752z\f6>;02l80qplnc;293?5=?rF?=?4>{I00a>{K<891:v*:3b81?!3413>>i6*:318f6>"2;;09o<5+53g9g2=i=;21=6s+3dd9630v=;:239y_5>l38p?84=f;jg2<722e9o44?:%0ab?4d02d9nh4?;:m1g2<72-8ij75=h5jl0:76gj3;29 7da2l30b?lj:398f2<72:0:6>uC40095~N5;l1v(>ki:376?lc52900c?m>:188mf1=831i;7>53;294~"4mo0:?>5G4028mg2=831bi?4?::m1g4<722wxo:4?:3y]g2=:?3h?7p}=9683>7}:?38h=6P=c09~w7??2909wSk=;<59a7=zukk1<7=51;1xH17528qC>>k4}%1fb?42=2cn>7>5;n0`5?6=3`i<6=44b683>6<729q/?hh51218L1773`h?6=44id094?=h:j;1<75rsb594?4|Vj=01:4m4:p6<1=838p1:4=c09]6f752z\f6>;02l80qpl>b;297?7=;rF?=?4>{I00a>{#;ll1>8;4id094?=h:j;1<75fc683>>d029086=4?{%1fb?74;2B?==5fb583>>ob:3:17bvPl7:?4>g252z?4>7e63W8h=6s|28:94?4|Vl801:4j2:~wf1=83>pRn94=68`3>;f2j=012909wSn950;0xZ7e034k1>n?4$2;e>7e?3g92i7?4}r0`6?6=:rT9o?527;0`5>"41o09o55a38g96>{tmh0;6?uQe`9>5g52z\f<>;f2l80(>7i:d;8j6?b281vh=50;0xZ`5<5>0n>6*<9g8f=>h41l097psm41;94?5=939p@9?=:0yK66c:?7?t$41`>7=z,:om6?;:;hg1>5<50z&0ac<6;:1C8<>4ic694?=nm;0;66a=c083>>{tk>0;6?uQc69>3?d33ty95:4?:3y>3?4d92T9o<5rs3;;>5<5sWo97095e39~yg2>;3:1?7?53zN757<6sA88i6sC40195~"2;j097p*>od?3:17o950;194?6|,:om6<=<;I624>oe<3:17dk=:188k7e62900q~m8:181[e034=1n95rs3;4>5<5s4=1>n?4^3a2?xu5110;6?uQe39>3?c53twi8km50;695?2|D=;967>5;h0`4?6=3f8h=7>5;|q`3?6=:rTh;638:c68yvg>2909wSo6;<596f652z?4>7e63W8h=6s|28:94?4|Vl801:4j2:~fdd=8391<7>t$2ge>7?a3A>:<6g>7`83>>o6?k0;66a=9e83>>{e;>;1<7;50;2x 6ca2;k?7E:>0:k23d<722c:;o4?::k23f<722c:;i4?::m1=a<722wi8=l50;194?6|,:om6::4H533?l70i3:17d?8b;29?j4>l3:17pl;0e83>1<729q/?hh5769K0465<5<55;294~"4mo09?:186>5<7s-9nj79n;I624>o6?h0;66g>7c83>>o6?j0;66g>7e83>>i51m0;66sm48594?2=83:p(>ki:6:8L1773`;5;h34f?6=3`;5;n0:`?6=3th><=4?:583>5}#;ll1;:5G4028m41f2900e<9m:188m41d2900c?7k:188yg>229096=4?{%1fb?7?=2B?==5f16;94?=h:0n1<75rb5;:>5<5290;w)=jf;3;1>N3991b=:750;9l6=:181>5<7s-9nj7?75:J755=n9>31<75`28f94?=z{=lh6=4={_6eg>;3nj09o<5rs0f;>5<4sW;o463<6d823d=:;>:1=:m4}r6:7?6=:rT?5>5248196f752z\2`<=:;>:1=:j4}r65=?6=05d=9>h01978:05a?83783;168=75c69>0<5=k>168km5c69~w6be2909wS=kb:?02`<6?k1v9>6:181[27127?<44=c09~w7c42908wS516c8yv5283:1>vP<519>727=9>i0q~?72;297~X60;16849516c8906728=h7p}=e683>6}Y:l=01>8j:05g?85083;{t<9k1<7`4<5=:i6?7k;|q644<72;q68km5e39>154=:0n0q~6<:1818>42;i:70om:05a?xu3110;6?u24819a7=:<031>4j4}r63g?6=:r7?7`9>05b=:0n0q~:if;296~;289095i52510952?53z?034<51m16849516a8906728=j7p}<6e83>7}:;>;1=:o4=24f>7?c3ty8:k4?:3y>727=9>h01>9?:3;g?xu?<3:1>v373;g1?8>22;3o7p};9783>7}:<0=1>4j4=5;:>41>3tyi<7>52z?bg?4d127?7b9'7<`=io1e?4k50:pe`<72:q6mn4ja:?bg?4d?27?7c9'7<`=io1e?4k51:pea<72=qUn<52ab8f<>;fk38h>63;0e823d=#;0l1mk5a38g96>{zj=n?6=4<:080I26:3;pD?=j;|N756<6s-?8o784}%1fb?43<2c:>i4?::k0bg<722e84o4?::`4>5<4290;w)=jf;`1?M2682c:;44?::k253<722e95i4?::p57b=838pR<52z?4>7?c3W93n6s|28:94?4|V:li709516;8yxd3>j0;6>4>:2yO044=9rB9?h5rL530>4}#=:i1:6s+3dd96125<6<729q/?hh5b39K0465<i527;322>{t:0=1<7o6:m0;66g>i40k0;66l8:180>5<7s-9nj7l=;I624>o6?00;66g>1783>>i51m0;66s|13f94?4|V88o70951048yv4>?3:1>v38:3;g?[5?j2wx>4650;0xZ6`e34=1=:74}|`7a1<72:0:6>uC40095~N5;l1v@9?<:0y'16e=>2w/?hh52568m44c2900e>hm:188k6>e2900n:4?:283>5}#;ll1n?5G4028m41>2900e6:p6<1=838p1:4=9e9]7=d52z\0bg=:?3;<56srb5d7>5<42808wA:>2;3xL75b3tF?=>4>{%70g?00:k23<<722c:=;4?::m1=a<722wx=?j50;0xZ44c34=1=<84}r0:3?6=:r7<6?7k;_1;f>{t:021<730qpl;6583>7<729q/?hh51978L1773`;<57>5;n0:`?6=3th?:54?:383>5}#;ll1=5;4H533?l7013:17b<6d;29?xd3??0;6?4?:1y'7``=91?0D9??;h34=?6=3f82h7>5;|`723<72:0;6=u+3dd965;|q7`1<72=qU8i:4=57g>1b334>==7:k4:?7`1<40k1v97;:180[2><27>?54;929>036=<090q~:9a;290~X3>h169>6547;891052=<270:90;65=>{tmo6s|4d094?5|V=o970;<8;6f5>;3>;0?i<5rs5fb>5<5sW>om63:3987`d=z{k=1<77}Y7}Y7}Y<>n019;m:55g?xu69?0;6>uQ1048910628;=70::b;322>{t9:81<78t^011?822l3i;70;<8;a3?821:3i;70::b;a3?82183;8>6s|48794?4|V=3>70:91;6:7>{t70:86;0:`>{tj56s|4bf94?4|V=io70;<8;6fa>{tmo6s|4d194?4|V=o870:j4;1;f>{tii6s|b983>6}Yj1168;?5b99>00d=j11vo;50;0xZg3<5=<:6o;4}r657?6=:rT?:>5247696=;7>52z\722=:4j4}r6bg?6=;rT?mn5252:90dd<5=<969om;|q7`=<72;qU8i64=41;>1b?3ty?5l4?:3y]06>e3ty?j>4?:3y]0c5<5=l?6>6m;|q7=a<72;qU84j4=541>1?c3ty?m<4?:3y]0d7<5=<:69o>;|q641<72=qU9=:4=57g>06434?847;?3:?727<28:1v997:181[20027?:?4;799~w6362909w0::d;165>;3>9089=5rs0;5>5<5s4>=>7?66:?724<61?1v5<5s4?847ol;<654?d63ty:4;4?:3y>034=91<0198>:0:5?xu3l<0;6?u24e6957b<5=n=6?7k;|q2`<<72;q68;<51e;8910728n27p}=e583>6}:<h:4=41;>7c334>=<77}:=:7?8a:p0d`=838p198>:5ca?82fm39mn6s|a`83>0}:<5<5s4>>n7=kb:?725<4lk1vdc9~w6152909w0;<8;146>;3=k08;?5rs5f4>5<5s4>>n7:k7:?7`3<6?01vl>50;5x91062h:019;m:`28910d288o70:ne;31`>;3m=0:>i524g6957b<5===6<96;|q2=g<72;q688j518`89105283i7p};0883>7}:<16>3ty?i84?:3y>037=<0;6?u2476952?<5=<=6?7k;|q2fa<72;q68;<51cf8910628n<7p}=b883>7}:<o74=541>7d>3ty9i>4?:3y>00b=:l9018=7:3g0?xu5::0;6?u24709675<5=<:6?<<;|q72a<72;q68;?547g8910d2:li7p}<5183>7}:<4=41;>6373ty:4?4?:3y>00b=9180198?:0:1?xu3n<0;6?u247390c4<5=l?6>hm;|q1a2<72;q69>652d5891072;o<7p}=0`83>7}:=o4=542>7453ty52z?727<0;27?:54>789~w4`52909w0:92;3e6>;3>90:j?5rs3;4>5<5s4>:=7?87:?7`1<4nk1v?j7:181[4c027>?54=d99'7<`=:m=0b>7j:19~w7b12909wS:181[4b927>?54=e09'7<`=:m=0b>7j:39~w7c72909wS?54=dg9'7<`=:m=0b>7j:59~w7bb2909wS?54=de9'7<`=:m=0b>7j:79~w7bd2909wS1v?jm:181[4cj27>?54=dc9'7<`=:m=0b>7j:99~w7bf2909wS?54=d89'7<`=:m=0b>7j:`9~w7b22909wS<20b>7j:09~w<4=839pR4<4=57g>0c<5<9368k4$2;e><27i:868j6?b2:1v5h50;1xZ=`<5=?o68o4=41;>0g<,:3m64:4n2;f>1=z{1o1<7=t^9g8913c2<3018=7:4;8 6?a20>0b>7j:49~w=b=839pR5j4=57g>0><5<936864$2;e><27i:868j6?b2>1v5l50;1xZ=d<5=?o6884=41;>00<,:3m64:4n2;f>==z{1k1<7=t^9c8913c20b>7j:89~w=?=839pR574=57g>02<5<9368:4$2;e><27i:868j6?b2k1v5950;1xZ=1<5=?o68<4=41;>04<,:3m64:4n2;f>f=z{1<1<7=t^948913c2<;018=7:438 6?a20>0b>7j:e9~w3?<5<936;74$2;e><27i:868j6?b2o1v4l50;0xZ<24}r;b>5<5sW3j70;<8;45?!5>n33?7c=6e;32?xu>13:1>vP69:?67=<1=2.85k464:l0=`<6:2wx554?:3y]===:=:21:95+38d9=1=i;0o1=>5rs8594?4|V0=018=7:718 6?a20>0b>7j:068yv?12909wS79;<7052z\:4>;2;10>n6*<9g8:0>h41l0::6sr}|CDF}6jo215>6<4c53yEFEs9wKL]ur@A \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad new file mode 100644 index 0000000..03761a0 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.pad @@ -0,0 +1,129 @@ +Release 8.1i - Fit P.20131013 +Copyright(c) 1995-2003 Xilinx Inc. All rights reserved + + 7-15-2023 11:14PM + +NOTE: This file is designed to be imported into a spreadsheet program +such as Microsoft Excel for viewing, printing and sorting. The pipe '|' +character is used as the data field separator. +This file is also designed to support parsing. + +Input file: WarpSE.ngd +output file: WarpSE.pad +Part type: xc95144xl +Speed grade: -10 +Package: tq100 + +Pinout by Pin Number: + +-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| +Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|{blank}|Slew Rate|Termination|{blank}|Voltage|Constraint| +P1|TIE||I/O/GTS3|||||||||| +P2|A_FSB<5>|I|I/O/GTS4|INPUT||||||||| +P3|A_FSB<6>|I|I/O/GTS1|INPUT||||||||| +P4|A_FSB<7>|I|I/O/GTS2|INPUT||||||||| +P5|VCC||VCCINT|||||||||| +P6|A_FSB<8>|I|I/O|INPUT||||||||| +P7|A_FSB<9>|I|I/O|INPUT||||||||| +P8|A_FSB<10>|I|I/O|INPUT||||||||| +P9|A_FSB<11>|I|I/O|INPUT||||||||| +P10|A_FSB<12>|I|I/O|INPUT||||||||| +P11|A_FSB<13>|I|I/O|INPUT||||||||| +P12|A_FSB<14>|I|I/O|INPUT||||||||| +P13|A_FSB<15>|I|I/O|INPUT||||||||| +P14|A_FSB<16>|I|I/O|INPUT||||||||| +P15|A_FSB<17>|I|I/O|INPUT||||||||| +P16|A_FSB<18>|I|I/O|INPUT||||||||| +P17|A_FSB<19>|I|I/O|INPUT||||||||| +P18|A_FSB<20>|I|I/O|INPUT||||||||| +P19|A_FSB<21>|I|I/O|INPUT||||||||| +P20|A_FSB<22>|I|I/O|INPUT||||||||| +P21|GND||GND|||||||||| +P22|C16M|GCK|I/O/GCK1|||||||||| +P23|C8M|GCK/I|I/O/GCK2|||||||||| +P24|A_FSB<23>|I|I/O|INPUT||||||||| +P25|E|I|I/O|INPUT||||||||| +P26|VCC||VCCIO|||||||||| +P27|FCLK|GCK|I/O/GCK3|||||||||| +P28|nDTACK_FSB|O|I/O|OUTPUT||||||||| +P29|nWE_FSB|I|I/O|INPUT||||||||| +P30|nLDS_FSB|I|I/O|INPUT||||||||| +P31|GND||GND|||||||||| +P32|nAS_FSB|I|I/O|INPUT||||||||| +P33|nUDS_FSB|I|I/O|INPUT||||||||| +P34|nROMWE|O|I/O|OUTPUT||||||||| +P35|nROMCS|O|I/O|OUTPUT||||||||| +P36|nCAS|O|I/O|OUTPUT||||||||| +P37|nOE|O|I/O|OUTPUT||||||||| +P38|VCC||VCCIO|||||||||| +P39|TIE||I/O|||||||||| +P40|RA<4>|O|I/O|OUTPUT||||||||| +P41|RA<3>|O|I/O|OUTPUT||||||||| +P42|RA<5>|O|I/O|OUTPUT||||||||| +P43|RA<2>|O|I/O|OUTPUT||||||||| +P44|GND||GND|||||||||| +P45|TDI||TDI|||||||||| +P46|RA<6>|O|I/O|OUTPUT||||||||| +P47|TMS||TMS|||||||||| +P48|TCK||TCK|||||||||| +P49|TIE||I/O|||||||||| +P50|RA<1>|O|I/O|OUTPUT||||||||| +P51|VCC||VCCIO|||||||||| +P52|RA<7>|O|I/O|OUTPUT||||||||| +P53|RA<0>|O|I/O|OUTPUT||||||||| +P54|RA<8>|O|I/O|OUTPUT||||||||| +P55|RA<10>|O|I/O|OUTPUT||||||||| +P56|RA<9>|O|I/O|OUTPUT||||||||| +P57|VCC||VCCINT|||||||||| +P58|C25MEN|O|I/O|OUTPUT||||||||| +P59|TIE||I/O|||||||||| +P60|TIE||I/O|||||||||| +P61|TIE||I/O|||||||||| +P62|GND||GND|||||||||| +P63|RA<11>|O|I/O|OUTPUT||||||||| +P64|nRAS|O|I/O|OUTPUT||||||||| +P65|nRAMLWE|O|I/O|OUTPUT||||||||| +P66|nRAMUWE|O|I/O|OUTPUT||||||||| +P67|TIE||I/O|||||||||| +P68|TIE||I/O|||||||||| +P69|GND||GND|||||||||| +P70|nBERR_FSB|O|I/O|OUTPUT||||||||| +P71|TIE||I/O|||||||||| +P72|nBR_IOB|O|I/O|OUTPUT||||||||| +P73|TIE||I/O|||||||||| +P74|nVMA_IOB|O|I/O|OUTPUT||||||||| +P75|GND||GND|||||||||| +P76|nBERR_IOB|I|I/O|INPUT||||||||| +P77|nVPA_IOB|I|I/O|INPUT||||||||| +P78|nDTACK_IOB|I|I/O|INPUT||||||||| +P79|nLDS_IOB|O|I/O|OUTPUT||||||||| +P80|nUDS_IOB|O|I/O|OUTPUT||||||||| +P81|nAS_IOB|O|I/O|OUTPUT||||||||| +P82|nADoutLE1|O|I/O|OUTPUT||||||||| +P83|TDO||TDO|||||||||| +P84|GND||GND|||||||||| +P85|nADoutLE0|O|I/O|OUTPUT||||||||| +P86|nDinLE|O|I/O|OUTPUT||||||||| +P87|nAoutOE|O|I/O|OUTPUT||||||||| +P88|VCC||VCCIO|||||||||| +P89|nDoutOE|O|I/O|OUTPUT||||||||| +P90|nDinOE|O|I/O|OUTPUT||||||||| +P91|nRES|I/O|I/O|BIDIR||||||||| +P92|nIPL2|I|I/O|INPUT||||||||| +P93|nVPA_FSB|O|I/O|OUTPUT||||||||| +P94|A_FSB<1>|I|I/O|INPUT||||||||| +P95|A_FSB<2>|I|I/O|INPUT||||||||| +P96|A_FSB<3>|I|I/O|INPUT||||||||| +P97|A_FSB<4>|I|I/O|INPUT||||||||| +P98|VCC||VCCINT|||||||||| +P99|TIE||I/O/GSR|||||||||| +P100|GND||GND|||||||||| + +To preserve the pinout above for future design iterations in +Project Navigator simply execute the (Lock Pins) process +located under the (Implement Design) process in a toolbox named +(Optional Implementation Tools) or invoke PIN2UCF from the +command line. The location constraints will be written into your +specified UCF file + + diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index 09f75b8..ee94f8c 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index ea21482..9d252f2 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,11 +1,60 @@ cpldfit: version P.20131013 Xilinx Inc. - No Fit Report -Design Name: WarpSE Date: 7-13-2023, 4:03PM + Fitter Report +Design Name: WarpSE Date: 7-15-2023, 11:14PM Device Used: XC95144XL-10-TQ100 -Fitting Status: Placement Failed +Fitting Status: Successful -************************** Errors and Warnings *************************** +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +133/144 ( 92%) 462 /720 ( 64%) 286/432 ( 66%) 111/144 ( 77%) 70 /81 ( 86%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 18/18* 20/54 32/90 11/11* +FB2 18/18* 23/54 22/90 8/10 +FB3 18/18* 43/54 60/90 10/10* +FB4 13/18 40/54 82/90 10/10* +FB5 13/18 52/54 82/90 8/10 +FB6 18/18* 36/54 68/90 10/10* +FB7 18/18* 30/54 37/90 7/10 +FB8 17/18 42/54 79/90 6/10 + ----- ----- ----- ----- + 133/144 286/432 462/720 70/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Signal 'C16M' mapped onto global clock net GCK1. +Signal 'C8M' mapped onto global clock net GCK2. +Signal 'FCLK' mapped onto global clock net GCK3. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 32 32 | I/O : 64 73 +Output : 34 34 | GCK/IO : 3 3 +Bidirectional : 1 1 | GTS/IO : 3 4 +GCK : 3 3 | GSR/IO : 0 1 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 70 70 + +** Power Data ** + +There are 133 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'. @@ -34,196 +83,156 @@ WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. -ERROR:Cpld:892 - Cannot place signal iobm/IOS_FSM_FFd4. Consider reducing the - collapsing input limit or the product term limit to prevent the fitter from - creating high input and/or high product term functions. -ERROR:Cpld:868 - Cannot fit the design into any of the specified devices with - the selected implementation options. - ************************* Mapped Resource Summary ************************** - -Macrocells Product Terms Function Block Registers Pins -Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -121/144 ( 84%) 594 /720 ( 82%) 344/432 ( 80%) 99 /144 ( 69%) 70 /81 ( 86%) - -** Function Block Resources ** - -Function Mcells FB Inps Pterms IO -Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 44/54 68/90 11/11* -FB2 18/18* 33/54 42/90 8/10 -FB3 12/18 44/54 87/90 10/10* -FB4 12/18 40/54 82/90 10/10* -FB5 14/18 54/54* 85/90 8/10 -FB6 18/18* 36/54 68/90 10/10* -FB7 17/18 40/54 81/90 7/10 -FB8 12/18 53/54 81/90 6/10 - ----- ----- ----- ----- - 121/144 344/432 594/720 70/81 - -* - Resource is exhausted - -** Global Control Resources ** - -Global clock net(s) unused. -Global output enable net(s) unused. -Global set/reset net(s) unused. - -** Pin Resources ** - -Signal Type Required Mapped | Pin Type Used Total -------------------------------------|------------------------------------ -Input : 32 32 | I/O : 64 73 -Output : 34 34 | GCK/IO : 3 3 -Bidirectional : 1 1 | GTS/IO : 3 4 -GCK : 3 3 | GSR/IO : 0 1 -GTS : 0 0 | -GSR : 0 0 | - ---- ---- - Total 70 70 - -** Power Data ** - -There are 132 macrocells in high performance mode (MCHP). -There are 0 macrocells in low power mode (MCLP). -End of Mapped Resource Summary ************************* Summary of Mapped Logic ************************ ** 35 Outputs ** -Signal Total Total Loc Pin Pin Pin -Name Pts Inps No. Type Use -nDTACK_FSB 14 15 FB3_9 28 I/O O -nROMWE 1 2 FB3_17 34 I/O O -nAoutOE 2 4 FB4_2 87 I/O O -nDoutOE 2 5 FB4_5 89 I/O O -nDinOE 3 7 FB4_6 90 I/O O -nRES 1 1 FB4_8 91 I/O I/O -nVPA_FSB 3 11 FB4_11 93 I/O O -nROMCS 2 5 FB5_2 35 I/O O -nCAS 12 16 FB5_5 36 I/O O -nOE 3 5 FB5_6 37 I/O O -RA<4> 2 3 FB5_9 40 I/O O -RA<3> 2 3 FB5_11 41 I/O O -RA<5> 2 3 FB5_12 42 I/O O -RA<2> 2 3 FB5_14 43 I/O O -RA<6> 2 3 FB5_15 46 I/O O -nVMA_IOB 3 8 FB6_2 74 I/O O -nLDS_IOB 6 10 FB6_9 79 I/O O -nUDS_IOB 6 10 FB6_11 80 I/O O -nAS_IOB 4 9 FB6_12 81 I/O O -nADoutLE1 2 3 FB6_14 82 I/O O -nADoutLE0 1 2 FB6_15 85 I/O O -nDinLE 1 2 FB6_17 86 I/O O -RA<1> 2 3 FB7_2 50 I/O O -RA<7> 2 3 FB7_5 52 I/O O -RA<0> 2 3 FB7_6 53 I/O O -RA<8> 2 3 FB7_8 54 I/O O -RA<10> 2 3 FB7_9 55 I/O O -RA<9> 2 3 FB7_11 56 I/O O -C25MEN 1 0 FB7_12 58 I/O O -RA<11> 2 3 FB8_2 63 I/O O -nRAS 3 7 FB8_5 64 I/O O -nRAMLWE 1 3 FB8_6 65 I/O O -nRAMUWE 1 3 FB8_8 66 I/O O -nBERR_FSB 3 5 FB8_12 70 I/O O -nBR_IOB 2 4 FB8_15 72 I/O O +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 14 15 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 3 7 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 11 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 12 16 FB5_5 36 I/O O STD FAST RESET +nOE 3 5 FB5_6 37 I/O O STD FAST RESET +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 3 FB8_6 65 I/O O STD FAST +nRAMUWE 1 3 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 86 Buried Nodes ** +** 98 Buried Nodes ** -Signal Total Total Loc -Name Pts Inps -RAMReady 10 13 FB1_1 -iobm/IORDREQr 1 1 FB1_2 -iobm/Er 1 1 FB1_3 -ram/RS_FSM_FFd5 2 3 FB1_4 -iobm/IOS_FSM_FFd2 2 4 FB1_5 -WS 2 5 FB1_6 -ram/RefReq 3 7 FB1_7 -iobm/ES<2> 3 5 FB1_8 -QoSReady 3 7 FB1_9 -ram/RefUrg 4 8 FB1_10 -cnt/Credits<6> 4 11 FB1_11 -cnt/Credits<5> 4 10 FB1_12 -cnt/Credits<4> 4 9 FB1_13 -cnt/Credits<3> 4 8 FB1_14 -cnt/Credits<2> 4 7 FB1_15 -ram/RS_FSM_FFd7 8 10 FB1_16 -ram/RASEN 8 11 FB1_17 -iobm/IOS_FSM_FFd1 1 1 FB1_18 -ram/nRefClkR 1 1 FB2_1 -iobs/Clear1 1 2 FB2_2 -fsb/ASrf 1 1 FB2_3 -cnt/LTimerTC 1 12 FB2_4 -cnt/Er<1> 1 1 FB2_5 -cnt/C8Mr<1> 1 1 FB2_6 -BACTr<1> 1 2 FB2_7 -ALE0S 1 1 FB2_8 -iobs/TS_FSM_FFd1 2 3 FB2_9 -iobs/IOU1 2 2 FB2_10 -iobs/IOL1 2 2 FB2_11 -cnt/TimerTC 2 6 FB2_12 -cnt/Timer<0> 2 4 FB2_13 -cnt/Timer<1> 4 5 FB2_14 -cnt/Credits<0> 4 5 FB2_15 -cnt/Timer<2> 5 6 FB2_16 -RefClk 5 7 FB2_17 -cnt/Credits<1> 6 6 FB2_18 -cnt/LTimer<6> 18 29 FB3_2 -cnt/nIPL2r 1 1 FB3_3 -cnt/Er<0> 1 1 FB3_4 -cnt/LTimer<3> 15 26 FB3_5 +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/nRefClkR 1 1 FB1_1 STD RESET +ram/RS_FSM_FFd4 1 2 FB1_2 STD RESET +ram/RASrf 1 1 FB1_3 STD RESET +nRESout 1 2 FB1_4 STD RESET +iobs/Clear1 1 2 FB1_5 STD RESET +cnt/LTimer<0> 1 2 FB1_6 STD RESET +cnt/Er<1> 1 1 FB1_7 STD RESET +cnt/C8Mr<0> 1 1 FB1_8 STD RESET +ALE0S 1 1 FB1_9 STD RESET +ram/RS_FSM_FFd5 2 3 FB1_10 STD RESET +iobs/TS_FSM_FFd1 2 3 FB1_11 STD RESET +iobs/IOU1 2 2 FB1_12 STD RESET +iobs/IOL1 2 2 FB1_13 STD RESET +cnt/TimerTC 2 6 FB1_14 STD RESET +cnt/Timer<0> 2 4 FB1_15 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_16 STD RESET +cnt/Timer<1> 4 5 FB1_17 STD RESET +cnt/Timer<2> 5 6 FB1_18 STD RESET +ram/RS_FSM_FFd2 1 1 FB2_1 STD RESET +ram/RS_FSM_FFd1 1 1 FB2_2 STD RESET +ram/DTACKr 1 1 FB2_3 STD RESET +iobs/IODONEr 1 1 FB2_4 STD RESET +iobs/IOACTr 1 1 FB2_5 STD RESET +iobm/VPAr 1 1 FB2_6 STD RESET +iobm/IOWRREQr 1 1 FB2_7 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_8 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_9 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_10 STD RESET +iobm/IORDREQr 1 1 FB2_11 STD RESET +iobm/Er 1 1 FB2_12 STD RESET +iobm/C8Mr 1 1 FB2_13 STD RESET +cnt/nIPL2r 1 1 FB2_14 STD RESET +cnt/Er<0> 1 1 FB2_15 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_16 STD RESET +IOBERR 2 2 FB2_17 STD RESET +iobm/ES<2> 3 5 FB2_18 STD RESET +fsb/BACTr<2> 1 1 FB3_1 STD RESET +fsb/BACTr<1> 1 1 FB3_2 STD RESET +fsb/ASrf 1 1 FB3_3 STD RESET +cnt/C8Mr<1> 1 1 FB3_4 STD RESET -Signal Total Total Loc -Name Pts Inps -nRESout 1 2 FB3_7 -cnt/C8Mr<0> 1 1 FB3_10 -BACTr<3> 1 1 FB3_11 -cnt/LTimer<4> 16 27 FB3_14 -cnt/LTimer<9> 17 32 FB3_16 -ram/RS_FSM_FFd4 1 2 FB3_18 -cnt/IS_FSM_FFd1 1 7 FB4_1 -iobs/TS_FSM_FFd2 12 17 FB4_4 -iobs/Sent 11 16 FB4_7 -IOWRREQ 13 19 FB4_10 -iobs/IORW1 4 16 FB4_12 -IOU0 15 19 FB4_14 -IOL0 15 19 FB4_17 -BACTr<2> 1 1 FB5_3 -cnt/LTimer<11> 17 34 FB5_8 -IOBERR 2 2 FB5_10 -ram/RS_FSM_FFd8 10 12 FB5_13 -ram/RASrr 11 12 FB5_17 -cnt/LTimer<10> 17 33 FB5_18 -iobm/IOS_FSM_FFd6 2 5 FB6_1 -iobm/IOS_FSM_FFd7 3 6 FB6_3 -iobm/IOS_FSM_FFd3 3 5 FB6_4 -iobm/ES<0> 3 6 FB6_5 -iobm/ES<3> 4 6 FB6_6 -iobm/ES<1> 4 6 FB6_7 -iobm/DoutOE 4 8 FB6_8 -IODONE 4 8 FB6_10 -iobm/IOS0 5 12 FB6_13 -ALE0M 5 11 FB6_16 -IOACT 8 14 FB6_18 -cnt/LTimer<2> 14 25 FB7_1 -cnt/IS_FSM_FFd2 2 6 FB7_3 -ram/RASEL 3 8 FB7_4 -cnt/STimer<0> 8 20 FB7_7 -cnt/SndSlowEN 10 24 FB7_10 -cnt/LTimer<0> 12 23 FB7_13 -cs/nOverlay 3 8 FB7_14 -cnt/LTimer<1> 13 24 FB7_16 -ram/RS_FSM_FFd6 2 7 FB7_17 -iobm/C8Mr 1 1 FB7_18 +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +BACTr 1 2 FB3_5 STD RESET +cnt/LTimerTC 2 14 FB3_6 STD RESET +cnt/LTimer<11> 2 13 FB3_7 STD RESET +WS 2 5 FB3_8 STD RESET +cnt/Credits<6> 4 11 FB3_10 STD RESET +cnt/Credits<5> 4 10 FB3_11 STD RESET +cnt/Credits<4> 4 9 FB3_12 STD RESET +cnt/Credits<3> 4 8 FB3_13 STD RESET +cnt/Credits<2> 4 7 FB3_14 STD RESET +cnt/Credits<0> 4 5 FB3_15 STD RESET +SndReady 4 8 FB3_16 STD RESET +cnt/Credits<1> 6 6 FB3_18 STD RESET +IOL0 15 19 FB4_1 STD RESET +iobs/Load1 4 15 FB4_3 STD RESET +cnt/IS_FSM_FFd1 1 7 FB4_4 STD RESET +IORDREQ 9 15 FB4_7 STD RESET +iobs/Sent 11 16 FB4_9 STD RESET +iobs/IORW1 4 16 FB4_12 STD RESET +iobs/TS_FSM_FFd2 12 17 FB4_13 STD RESET +IOU0 15 19 FB4_16 STD RESET +cnt/STimer<1> 14 26 FB5_1 STD RESET +cnt/STimer<0> 13 25 FB5_8 STD RESET +ram/RS_FSM_FFd3 1 1 FB5_10 STD RESET +IOWRREQ 13 19 FB5_13 STD RESET +cnt/SndSlow 14 25 FB5_18 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET +iobm/ES<0> 3 6 FB6_5 STD RESET +iobm/ES<3> 4 6 FB6_6 STD RESET +iobm/ES<1> 4 6 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +cnt/LTimer<9> 2 11 FB7_1 STD RESET +cnt/LTimer<8> 2 10 FB7_3 STD RESET +cnt/LTimer<7> 2 9 FB7_4 STD RESET +cnt/LTimer<6> 2 8 FB7_7 STD RESET -Signal Total Total Loc -Name Pts Inps -cnt/LTimer<7> 17 30 FB8_3 -IORDREQ 9 15 FB8_7 -cnt/LTimer<8> 17 31 FB8_9 -IOReady 5 15 FB8_11 -iobs/Load1 4 15 FB8_13 -cnt/LTimer<5> 17 28 FB8_16 +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cnt/LTimer<5> 2 7 FB7_10 STD RESET +cnt/LTimer<4> 2 6 FB7_13 STD RESET +cnt/LTimer<3> 2 5 FB7_14 STD RESET +cnt/LTimer<2> 2 4 FB7_15 STD RESET +cnt/LTimer<1> 2 3 FB7_16 STD RESET +cnt/LTimer<10> 2 12 FB7_17 STD RESET +RefClk 5 7 FB7_18 STD RESET +ram/RASEN 8 11 FB8_1 STD RESET +ram/RefReq 3 7 FB8_3 STD RESET +ram/RASEL 3 8 FB8_4 STD RESET +cs/nOverlay 3 8 FB8_7 STD RESET +ram/RS_FSM_FFd7 8 10 FB8_9 STD RESET +ram/RefUrg 4 8 FB8_10 STD RESET +IOReady 5 15 FB8_11 STD RESET +ram/RS_FSM_FFd8 10 12 FB8_13 STD SET +ram/RS_FSM_FFd6 2 7 FB8_14 STD RESET +RAMReady 10 13 FB8_16 STD RESET +ram/RASrr 11 12 FB8_18 STD RESET ** 35 Inputs ** @@ -267,24 +276,6 @@ nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned -************************* Summary of UnMapped Logic ************************ - -** 11 Buried Nodes ** - -Signal Total Total User -Name Pts Inps Assignment -iobm/IOS_FSM_FFd4 1 1 -iobm/IOS_FSM_FFd5 1 1 -iobm/IOWRREQr 1 1 -iobm/VPAr 1 1 -iobs/IOACTr 1 1 -iobs/IODONEr 1 1 -ram/DTACKr 1 1 -ram/RASrf 1 1 -ram/RS_FSM_FFd1 1 1 -ram/RS_FSM_FFd2 1 1 -ram/RS_FSM_FFd3 1 1 - ************************** Function Block Details ************************ Legend: Total Pt - Total product terms used by the macrocell signal @@ -299,182 +290,177 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 44/10 -Number of signals used by logic mapping into function block: 44 +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -RAMReady 10 5<- 0 0 FB1_1 (b) (b) -iobm/IORDREQr 1 1<- /\5 0 FB1_2 11 I/O I -iobm/Er 1 0 /\1 3 FB1_3 12 I/O I -ram/RS_FSM_FFd5 2 0 0 3 FB1_4 (b) (b) -iobm/IOS_FSM_FFd2 2 0 0 3 FB1_5 13 I/O I -WS 2 0 0 3 FB1_6 14 I/O I -ram/RefReq 3 0 0 2 FB1_7 (b) (b) -iobm/ES<2> 3 0 0 2 FB1_8 15 I/O I -QoSReady 3 0 0 2 FB1_9 16 I/O I -ram/RefUrg 4 0 0 1 FB1_10 (b) (b) -cnt/Credits<6> 4 0 0 1 FB1_11 17 I/O I -cnt/Credits<5> 4 0 0 1 FB1_12 18 I/O I -cnt/Credits<4> 4 0 0 1 FB1_13 (b) (b) -cnt/Credits<3> 4 0 \/1 0 FB1_14 19 I/O I -cnt/Credits<2> 4 1<- \/2 0 FB1_15 20 I/O I -ram/RS_FSM_FFd7 8 3<- 0 0 FB1_16 (b) (b) -ram/RASEN 8 4<- /\1 0 FB1_17 22 GCK/I/O GCK -iobm/IOS_FSM_FFd1 1 0 /\4 0 FB1_18 (b) (b) +ram/nRefClkR 1 0 0 4 FB1_1 (b) (b) +ram/RS_FSM_FFd4 1 0 0 4 FB1_2 11 I/O I +ram/RASrf 1 0 0 4 FB1_3 12 I/O I +nRESout 1 0 0 4 FB1_4 (b) (b) +iobs/Clear1 1 0 0 4 FB1_5 13 I/O I +cnt/LTimer<0> 1 0 0 4 FB1_6 14 I/O I +cnt/Er<1> 1 0 0 4 FB1_7 (b) (b) +cnt/C8Mr<0> 1 0 0 4 FB1_8 15 I/O I +ALE0S 1 0 0 4 FB1_9 16 I/O I +ram/RS_FSM_FFd5 2 0 0 3 FB1_10 (b) (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB1_11 17 I/O I +iobs/IOU1 2 0 0 3 FB1_12 18 I/O I +iobs/IOL1 2 0 0 3 FB1_13 (b) (b) +cnt/TimerTC 2 0 0 3 FB1_14 19 I/O I +cnt/Timer<0> 2 0 0 3 FB1_15 20 I/O I +cnt/IS_FSM_FFd2 2 0 0 3 FB1_16 (b) (b) +cnt/Timer<1> 4 0 0 1 FB1_17 22 GCK/I/O GCK +cnt/Timer<2> 5 0 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<22> 16: cnt/Credits<1> 31: nAS_FSB - 2: A_FSB<23> 17: cnt/Credits<2> 32: ram/DTACKr - 3: BACTr<1> 18: cnt/Credits<3> 33: ram/RASEN - 4: BACTr<2> 19: cnt/Credits<4> 34: ram/RS_FSM_FFd1 - 5: BACTr<3> 20: cnt/Credits<5> 35: ram/RS_FSM_FFd2 - 6: E 21: cnt/Credits<6> 36: ram/RS_FSM_FFd3 - 7: IOBERR 22: cnt/SndSlowEN 37: ram/RS_FSM_FFd4 - 8: IODONE 23: fsb/ASrf 38: ram/RS_FSM_FFd5 - 9: IORDREQ 24: iobm/C8Mr 39: ram/RS_FSM_FFd6 - 10: QoSReady 25: iobm/ES<0> 40: ram/RS_FSM_FFd7 - 11: RefClk 26: iobm/ES<1> 41: ram/RS_FSM_FFd8 - 12: WS 27: iobm/ES<2> 42: ram/RefReq - 13: cnt/C8Mr<0> 28: iobm/Er 43: ram/RefUrg - 14: cnt/C8Mr<1> 29: iobm/IOS_FSM_FFd2 44: ram/nRefClkR - 15: cnt/Credits<0> 30: iobm/IOS_FSM_FFd3 - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -RAMReady XXX...................X.......X.XX..XXX.XXX....... 13 -iobm/IORDREQr ........X......................................... 1 -iobm/Er .....X............................................ 1 -ram/RS_FSM_FFd5 ...............................X.....XX........... 3 -iobm/IOS_FSM_FFd2 ......XX...............X.....X.................... 4 -WS ..XXX.................X.......X................... 5 -ram/RefReq ..........X......................XXX...X.X.X...... 7 -iobm/ES<2> .....X..................XXXX...................... 5 -QoSReady .........X.......XXXX.X.......X................... 7 -ram/RefUrg ..........X......................XXX...X.XXX...... 8 -cnt/Credits<6> ...........XXXXXXXXXXX............................ 11 -cnt/Credits<5> ...........XXXXXXXXX.X............................ 10 -cnt/Credits<4> ...........XXXXXXXX..X............................ 9 -cnt/Credits<3> ...........XXXXXXX...X............................ 8 -cnt/Credits<2> ...........XXXXXX....X............................ 7 -ram/RS_FSM_FFd7 XXX...................X.......X.X...X...XXX....... 10 -ram/RASEN XXX...................X.......X.XX..X...XXX....... 11 -iobm/IOS_FSM_FFd1 ............................X..................... 1 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/nRefClkR 1 0 /\1 3 FB2_1 (b) (b) -iobs/Clear1 1 0 0 4 FB2_2 99 GSR/I/O (b) -fsb/ASrf 1 0 0 4 FB2_3 (b) (b) -cnt/LTimerTC 1 0 0 4 FB2_4 (b) (b) -cnt/Er<1> 1 0 0 4 FB2_5 1 GTS/I/O (b) -cnt/C8Mr<1> 1 0 0 4 FB2_6 2 GTS/I/O I -BACTr<1> 1 0 0 4 FB2_7 (b) (b) -ALE0S 1 0 0 4 FB2_8 3 GTS/I/O I -iobs/TS_FSM_FFd1 2 0 0 3 FB2_9 4 GTS/I/O I -iobs/IOU1 2 0 0 3 FB2_10 (b) (b) -iobs/IOL1 2 0 0 3 FB2_11 6 I/O I -cnt/TimerTC 2 0 0 3 FB2_12 7 I/O I -cnt/Timer<0> 2 0 0 3 FB2_13 (b) (b) -cnt/Timer<1> 4 0 0 1 FB2_14 8 I/O I -cnt/Credits<0> 4 0 0 1 FB2_15 9 I/O I -cnt/Timer<2> 5 0 0 0 FB2_16 (b) (b) -RefClk 5 0 0 0 FB2_17 10 I/O I -cnt/Credits<1> 6 1<- 0 0 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: RefClk 12: cnt/LTimer<1> 23: cnt/Timer<1> - 2: WS 13: cnt/LTimer<2> 24: cnt/Timer<2> - 3: cnt/C8Mr<0> 14: cnt/LTimer<3> 25: cnt/TimerTC - 4: cnt/C8Mr<1> 15: cnt/LTimer<4> 26: fsb/ASrf - 5: cnt/Credits<0> 16: cnt/LTimer<5> 27: iobs/IOACTr - 6: cnt/Credits<1> 17: cnt/LTimer<6> 28: iobs/Load1 - 7: cnt/Er<0> 18: cnt/LTimer<7> 29: iobs/TS_FSM_FFd1 - 8: cnt/Er<1> 19: cnt/LTimer<8> 30: iobs/TS_FSM_FFd2 - 9: cnt/LTimer<0> 20: cnt/LTimer<9> 31: nAS_FSB - 10: cnt/LTimer<10> 21: cnt/SndSlowEN 32: nLDS_FSB - 11: cnt/LTimer<11> 22: cnt/Timer<0> 33: nUDS_FSB + 1: C8M 8: cnt/Timer<0> 15: iobs/TS_FSM_FFd2 + 2: RefClk 9: cnt/Timer<1> 16: nLDS_FSB + 3: cnt/Er<0> 10: cnt/Timer<2> 17: nUDS_FSB + 4: cnt/Er<1> 11: cnt/TimerTC 18: ram/DTACKr + 5: cnt/IS_FSM_FFd1 12: iobs/IOACTr 19: ram/RS_FSM_FFd5 + 6: cnt/IS_FSM_FFd2 13: iobs/Load1 20: ram/RS_FSM_FFd6 + 7: cnt/LTimerTC 14: iobs/TS_FSM_FFd1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/nRefClkR X....................................... 1 -iobs/Clear1 ............................XX.......... 2 -fsb/ASrf ..............................X......... 1 -cnt/LTimerTC ........XXXXXXXXXXXX.................... 12 -cnt/Er<1> ......X................................. 1 -cnt/C8Mr<1> ..X..................................... 1 -BACTr<1> .........................X....X......... 2 -ALE0S .............................X.......... 1 -iobs/TS_FSM_FFd1 ..........................X.XX.......... 3 -iobs/IOU1 ...........................X....X....... 2 -iobs/IOL1 ...........................X...X........ 2 -cnt/TimerTC X.....XX.............XXX................ 6 -cnt/Timer<0> ......XX.............X..X............... 4 -cnt/Timer<1> ......XX.............XX.X............... 5 -cnt/Credits<0> .XXXX...............X................... 5 -cnt/Timer<2> ......XX.............XXXX............... 6 -RefClk X.....XX.............XXXX............... 7 -cnt/Credits<1> .XXXXX..............X................... 6 +ram/nRefClkR .X...................................... 1 +ram/RS_FSM_FFd4 .................XX..................... 2 +ram/RASrf ...................X.................... 1 +nRESout ....XX.................................. 2 +iobs/Clear1 .............XX......................... 2 +cnt/LTimer<0> ..XX.................................... 2 +cnt/Er<1> ..X..................................... 1 +cnt/C8Mr<0> X....................................... 1 +ALE0S ..............X......................... 1 +ram/RS_FSM_FFd5 .................XXX.................... 3 +iobs/TS_FSM_FFd1 ...........X.XX......................... 3 +iobs/IOU1 ............X...X....................... 2 +iobs/IOL1 ............X..X........................ 2 +cnt/TimerTC .XXX...XXX.............................. 6 +cnt/Timer<0> ..XX...X..X............................. 4 +cnt/IS_FSM_FFd2 ..XXXXX...X............................. 6 +cnt/Timer<1> ..XX...XX.X............................. 5 +cnt/Timer<2> ..XX...XXXX............................. 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 23/31 +Number of signals used by logic mapping into function block: 23 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RS_FSM_FFd2 1 0 0 4 FB2_1 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB2_2 99 GSR/I/O (b) +ram/DTACKr 1 0 0 4 FB2_3 (b) (b) +iobs/IODONEr 1 0 0 4 FB2_4 (b) (b) +iobs/IOACTr 1 0 0 4 FB2_5 1 GTS/I/O (b) +iobm/VPAr 1 0 0 4 FB2_6 2 GTS/I/O I +iobm/IOWRREQr 1 0 0 4 FB2_7 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB2_8 3 GTS/I/O I +iobm/IOS_FSM_FFd4 1 0 0 4 FB2_9 4 GTS/I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB2_10 (b) (b) +iobm/IORDREQr 1 0 0 4 FB2_11 6 I/O I +iobm/Er 1 0 0 4 FB2_12 7 I/O I +iobm/C8Mr 1 0 0 4 FB2_13 (b) (b) +cnt/nIPL2r 1 0 0 4 FB2_14 8 I/O I +cnt/Er<0> 1 0 0 4 FB2_15 9 I/O I +iobm/IOS_FSM_FFd2 2 0 0 3 FB2_16 (b) (b) +IOBERR 2 0 0 3 FB2_17 10 I/O I +iobm/ES<2> 3 0 0 2 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: C8M 9: iobm/ES<0> 17: nAS_IOB + 2: E 10: iobm/ES<1> 18: nBERR_IOB + 3: IOACT 11: iobm/ES<2> 19: nDTACK_FSB + 4: IOBERR 12: iobm/Er 20: nIPL2 + 5: IODONE 13: iobm/IOS_FSM_FFd2 21: nVPA_IOB + 6: IORDREQ 14: iobm/IOS_FSM_FFd3 22: ram/RS_FSM_FFd2 + 7: IOWRREQ 15: iobm/IOS_FSM_FFd5 23: ram/RS_FSM_FFd3 + 8: iobm/C8Mr 16: iobm/IOS_FSM_FFd6 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd2 ......................X................. 1 +ram/RS_FSM_FFd1 .....................X.................. 1 +ram/DTACKr ..................X..................... 1 +iobs/IODONEr ....X................................... 1 +iobs/IOACTr ..X..................................... 1 +iobm/VPAr ....................X................... 1 +iobm/IOWRREQr ......X................................. 1 +iobm/IOS_FSM_FFd5 ...............X........................ 1 +iobm/IOS_FSM_FFd4 ..............X......................... 1 +iobm/IOS_FSM_FFd1 ............X........................... 1 +iobm/IORDREQr .....X.................................. 1 +iobm/Er .X...................................... 1 +iobm/C8Mr X....................................... 1 +cnt/nIPL2r ...................X.................... 1 +cnt/Er<0> .X...................................... 1 +iobm/IOS_FSM_FFd2 ...XX..X.....X.......................... 4 +IOBERR ................XX...................... 2 +iobm/ES<2> .X......XXXX............................ 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 44/10 -Number of signals used by logic mapping into function block: 44 +Number of function block inputs used/remaining: 43/11 +Number of signals used by logic mapping into function block: 43 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB3_1 (b) (b) -cnt/LTimer<6> 18 13<- 0 0 FB3_2 23 GCK/I/O GCK/I -cnt/nIPL2r 1 0 /\4 0 FB3_3 (b) (b) -cnt/Er<0> 1 0 \/4 0 FB3_4 (b) (b) -cnt/LTimer<3> 15 10<- 0 0 FB3_5 24 I/O I -(unused) 0 0 /\5 0 FB3_6 25 I/O I -nRESout 1 0 /\1 3 FB3_7 (b) (b) -(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +fsb/BACTr<2> 1 0 0 4 FB3_1 (b) (b) +fsb/BACTr<1> 1 0 0 4 FB3_2 23 GCK/I/O GCK/I +fsb/ASrf 1 0 0 4 FB3_3 (b) (b) +cnt/C8Mr<1> 1 0 0 4 FB3_4 (b) (b) +BACTr 1 0 0 4 FB3_5 24 I/O I +cnt/LTimerTC 2 0 0 3 FB3_6 25 I/O I +cnt/LTimer<11> 2 0 \/2 1 FB3_7 (b) (b) +WS 2 2<- \/5 0 FB3_8 27 GCK/I/O GCK nDTACK_FSB 14 9<- 0 0 FB3_9 28 I/O O -cnt/C8Mr<0> 1 0 /\4 0 FB3_10 (b) (b) -BACTr<3> 1 0 \/4 0 FB3_11 29 I/O I -(unused) 0 0 \/5 0 FB3_12 30 I/O I -(unused) 0 0 \/5 0 FB3_13 (b) (b) -cnt/LTimer<4> 16 14<- \/3 0 FB3_14 32 I/O I -(unused) 0 0 \/5 0 FB3_15 33 I/O I -cnt/LTimer<9> 17 12<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\4 0 FB3_17 34 I/O O -ram/RS_FSM_FFd4 1 0 \/4 0 FB3_18 (b) (b) +cnt/Credits<6> 4 3<- /\4 0 FB3_10 (b) (b) +cnt/Credits<5> 4 2<- /\3 0 FB3_11 29 I/O I +cnt/Credits<4> 4 1<- /\2 0 FB3_12 30 I/O I +cnt/Credits<3> 4 0 /\1 0 FB3_13 (b) (b) +cnt/Credits<2> 4 0 0 1 FB3_14 32 I/O I +cnt/Credits<0> 4 0 0 1 FB3_15 33 I/O I +SndReady 4 0 0 1 FB3_16 (b) (b) +nROMWE 1 0 \/1 3 FB3_17 34 I/O O +cnt/Credits<1> 6 1<- 0 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 16: A_FSB<9> 31: cnt/LTimer<4> - 2: A_FSB<11> 17: BACTr<2> 32: cnt/LTimer<5> - 3: A_FSB<12> 18: C8M 33: cnt/LTimer<6> - 4: A_FSB<13> 19: E 34: cnt/LTimer<7> - 5: A_FSB<14> 20: IOReady 35: cnt/LTimer<8> - 6: A_FSB<15> 21: QoSReady 36: cnt/STimer<0> - 7: A_FSB<16> 22: RAMReady 37: cnt/TimerTC - 8: A_FSB<17> 23: cnt/Er<0> 38: fsb/ASrf - 9: A_FSB<18> 24: cnt/Er<1> 39: nADoutLE1 - 10: A_FSB<19> 25: cnt/IS_FSM_FFd1 40: nAS_FSB - 11: A_FSB<20> 26: cnt/IS_FSM_FFd2 41: nIPL2 - 12: A_FSB<21> 27: cnt/LTimer<0> 42: nWE_FSB - 13: A_FSB<22> 28: cnt/LTimer<1> 43: ram/DTACKr - 14: A_FSB<23> 29: cnt/LTimer<2> 44: ram/RS_FSM_FFd5 - 15: A_FSB<8> 30: cnt/LTimer<3> + 1: A_FSB<16> 16: cnt/Credits<0> 30: cnt/LTimer<3> + 2: A_FSB<17> 17: cnt/Credits<1> 31: cnt/LTimer<4> + 3: A_FSB<18> 18: cnt/Credits<2> 32: cnt/LTimer<5> + 4: A_FSB<19> 19: cnt/Credits<3> 33: cnt/LTimer<6> + 5: A_FSB<20> 20: cnt/Credits<4> 34: cnt/LTimer<7> + 6: A_FSB<21> 21: cnt/Credits<5> 35: cnt/LTimer<8> + 7: A_FSB<22> 22: cnt/Credits<6> 36: cnt/LTimer<9> + 8: A_FSB<23> 23: cnt/Er<0> 37: cnt/SndSlow + 9: BACTr 24: cnt/Er<1> 38: fsb/ASrf + 10: IOReady 25: cnt/LTimer<0> 39: fsb/BACTr<1> + 11: RAMReady 26: cnt/LTimer<10> 40: fsb/BACTr<2> + 12: SndReady 27: cnt/LTimer<11> 41: nADoutLE1 + 13: WS 28: cnt/LTimer<1> 42: nAS_FSB + 14: cnt/C8Mr<0> 29: cnt/LTimer<2> 43: nWE_FSB + 15: cnt/C8Mr<1> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<6> XXXXXXXXXXXXXXXX......XX..XXXXXX...XXX.X.X........ 29 -cnt/nIPL2r ........................................X......... 1 -cnt/Er<0> ..................X............................... 1 -cnt/LTimer<3> XXXXXXXXXXXXXXXX......XX..XXX......XXX.X.X........ 26 -nRESout ........................XX........................ 2 -nDTACK_FSB ......XXXXXXXX.....XXX...............XXX.X........ 15 -cnt/C8Mr<0> .................X................................ 1 -BACTr<3> ................X................................. 1 -cnt/LTimer<4> XXXXXXXXXXXXXXXX......XX..XXXX.....XXX.X.X........ 27 -cnt/LTimer<9> XXXXXXXXXXXXXXXX......XX..XXXXXXXXXXXX.X.X........ 32 -nROMWE .......................................X.X........ 2 -ram/RS_FSM_FFd4 ..........................................XX...... 2 +fsb/BACTr<2> ......................................X........... 1 +fsb/BACTr<1> ........X......................................... 1 +fsb/ASrf .........................................X........ 1 +cnt/C8Mr<1> .............X.................................... 1 +BACTr .....................................X...X........ 2 +cnt/LTimerTC ......................XXXXXXXXXXXXXX.............. 14 +cnt/LTimer<11> ......................XXXX.XXXXXXXXX.............. 13 +WS ........X............................XXX.X........ 5 +nDTACK_FSB XXXXXXXX.XXX.........................X..XXX....... 15 +cnt/Credits<6> ............XXXXXXXXXX..............X............. 11 +cnt/Credits<5> ............XXXXXXXXX...............X............. 10 +cnt/Credits<4> ............XXXXXXXX................X............. 9 +cnt/Credits<3> ............XXXXXXX.................X............. 8 +cnt/Credits<2> ............XXXXXX..................X............. 7 +cnt/Credits<0> ............XXXX....................X............. 5 +SndReady ...........X......XXXX..............XX...X........ 8 +nROMWE .........................................XX....... 2 +cnt/Credits<1> ............XXXXX...................X............. 6 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB4 *********************************** @@ -482,24 +468,24 @@ Number of function block inputs used/remaining: 40/14 Number of signals used by logic mapping into function block: 40 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/IS_FSM_FFd1 1 0 0 4 FB4_1 (b) (b) -nAoutOE 2 0 0 3 FB4_2 87 I/O O -(unused) 0 0 \/5 0 FB4_3 (b) (b) -iobs/TS_FSM_FFd2 12 7<- 0 0 FB4_4 (b) (b) -nDoutOE 2 0 /\2 1 FB4_5 89 I/O O -nDinOE 3 0 \/2 0 FB4_6 90 I/O O -iobs/Sent 11 6<- 0 0 FB4_7 (b) (b) -nRES 1 0 /\4 0 FB4_8 91 I/O I/O -(unused) 0 0 \/5 0 FB4_9 92 I/O I -IOWRREQ 13 8<- 0 0 FB4_10 (b) (b) -nVPA_FSB 3 1<- /\3 0 FB4_11 93 I/O O -iobs/IORW1 4 0 /\1 0 FB4_12 94 I/O I -(unused) 0 0 \/5 0 FB4_13 (b) (b) -IOU0 15 10<- 0 0 FB4_14 95 I/O I -(unused) 0 0 /\5 0 FB4_15 96 I/O I -(unused) 0 0 \/5 0 FB4_16 (b) (b) -IOL0 15 10<- 0 0 FB4_17 97 I/O I -(unused) 0 0 /\5 0 FB4_18 (b) (b) +IOL0 15 10<- 0 0 FB4_1 (b) (b) +nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O +iobs/Load1 4 1<- /\2 0 FB4_3 (b) (b) +cnt/IS_FSM_FFd1 1 0 /\1 3 FB4_4 (b) (b) +nDoutOE 2 0 \/2 1 FB4_5 89 I/O O +nDinOE 3 2<- \/4 0 FB4_6 90 I/O O +IORDREQ 9 4<- 0 0 FB4_7 (b) (b) +nRES 1 0 \/1 3 FB4_8 91 I/O I/O +iobs/Sent 11 6<- 0 0 FB4_9 92 I/O I +(unused) 0 0 /\5 0 FB4_10 (b) (b) +nVPA_FSB 3 0 \/1 1 FB4_11 93 I/O O +iobs/IORW1 4 1<- \/2 0 FB4_12 94 I/O I +iobs/TS_FSM_FFd2 12 7<- 0 0 FB4_13 (b) (b) +(unused) 0 0 /\5 0 FB4_14 95 I/O I +(unused) 0 0 \/5 0 FB4_15 96 I/O I +IOU0 15 10<- 0 0 FB4_16 (b) (b) +(unused) 0 0 /\5 0 FB4_17 97 I/O I +(unused) 0 0 \/5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block 1: A_FSB<16> 15: cnt/IS_FSM_FFd1 28: iobs/IORW1 @@ -511,88 +497,88 @@ Signals Used by Logic in Function Block 7: A_FSB<22> 21: fsb/ASrf 34: nAS_FSB 8: A_FSB<23> 22: iobm/DoutOE 35: nAoutOE 9: IOL0 23: iobm/IORDREQr 36: nBR_IOB - 10: IOReady 24: iobm/IOS0 37: nLDS_FSB - 11: IOU0 25: iobm/IOWRREQr 38: nRESout - 12: IOWRREQ 26: iobs/IOACTr 39: nUDS_FSB + 10: IORDREQ 24: iobm/IOS0 37: nLDS_FSB + 11: IOReady 25: iobm/IOWRREQr 38: nRESout + 12: IOU0 26: iobs/IOACTr 39: nUDS_FSB 13: cnt/Er<0> 27: iobs/IOL1 40: nWE_FSB 14: cnt/Er<1> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/IS_FSM_FFd1 ............XXXXXXX............................... 7 +IOL0 XXXXXXXXX..........XX.....X..XXXXX..X..X.......... 19 nAoutOE ..............XX..................XX.............. 4 -iobs/TS_FSM_FFd2 XXXXXXXX...........XX....X...XXXXX.....X.......... 17 +iobs/Load1 XXXXXXXX............X........XXXXX.....X.......... 15 +cnt/IS_FSM_FFd1 ............XXXXXXX............................... 7 nDoutOE .....................XXXX.........X............... 5 nDinOE ....XXXX...........X.............X.....X.......... 7 -iobs/Sent XXXXXXXX...........XX........XXXXX.....X.......... 16 +IORDREQ ....XXXX.X.........XX....X.X.XXXXX.....X.......... 15 nRES .....................................X............ 1 -IOWRREQ XXXXXXXX...X.......XX....X.X.XXXXX.....X.......... 19 -nVPA_FSB XXXXXXXX.X..........X............X................ 11 +iobs/Sent XXXXXXXX...........XX........XXXXX.....X.......... 16 +nVPA_FSB XXXXXXXX..X.........X............X................ 11 iobs/IORW1 XXXXXXXX............X......X.XXXXX.....X.......... 16 -IOU0 XXXXXXXX..X........XX.......XXXXXX....XX.......... 19 -IOL0 XXXXXXXXX..........XX.....X..XXXXX..X..X.......... 19 +iobs/TS_FSM_FFd2 XXXXXXXX...........XX....X...XXXXX.....X.......... 17 +IOU0 XXXXXXXX...X.......XX.......XXXXXX....XX.......... 19 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 54/0 -Number of signals used by logic mapping into function block: 54 +Number of function block inputs used/remaining: 52/2 +Number of signals used by logic mapping into function block: 52 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 /\3 0 FB5_2 35 I/O O -BACTr<2> 1 0 \/2 2 FB5_3 (b) (b) +cnt/STimer<1> 14 9<- 0 0 FB5_1 (b) (b) +nROMCS 2 2<- /\5 0 FB5_2 35 I/O O +(unused) 0 0 /\2 3 FB5_3 (b) (b) (unused) 0 0 \/5 0 FB5_4 (b) (b) nCAS 12 7<- 0 0 FB5_5 36 I/O O -nOE 3 0 \/2 0 FB5_6 37 I/O O +nOE 3 0 /\2 0 FB5_6 37 I/O O (unused) 0 0 \/5 0 FB5_7 (b) (b) -cnt/LTimer<11> 17 12<- 0 0 FB5_8 39 I/O (b) -RA<4> 2 2<- /\5 0 FB5_9 40 I/O O -IOBERR 2 0 /\2 1 FB5_10 (b) (b) +cnt/STimer<0> 13 8<- 0 0 FB5_8 39 I/O (b) +RA<4> 2 0 /\3 0 FB5_9 40 I/O O +ram/RS_FSM_FFd3 1 0 0 4 FB5_10 (b) (b) RA<3> 2 0 \/2 1 FB5_11 41 I/O O RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -ram/RS_FSM_FFd8 10 5<- 0 0 FB5_13 (b) (b) -RA<2> 2 0 \/2 1 FB5_14 43 I/O O -RA<6> 2 2<- \/5 0 FB5_15 46 I/O O +IOWRREQ 13 8<- 0 0 FB5_13 (b) (b) +RA<2> 2 0 /\3 0 FB5_14 43 I/O O +RA<6> 2 0 \/3 0 FB5_15 46 I/O O (unused) 0 0 \/5 0 FB5_16 (b) (b) -ram/RASrr 11 10<- \/4 0 FB5_17 49 I/O (b) -cnt/LTimer<10> 17 12<- 0 0 FB5_18 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +cnt/SndSlow 14 13<- \/4 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 19: A_FSB<8> 37: cs/nOverlay - 2: A_FSB<11> 20: A_FSB<9> 38: fsb/ASrf - 3: A_FSB<12> 21: BACTr<1> 39: nAS_FSB - 4: A_FSB<13> 22: cnt/Er<0> 40: nAS_IOB - 5: A_FSB<14> 23: cnt/Er<1> 41: nBERR_IOB - 6: A_FSB<15> 24: cnt/LTimer<0> 42: nWE_FSB - 7: A_FSB<16> 25: cnt/LTimer<10> 43: ram/DTACKr - 8: A_FSB<17> 26: cnt/LTimer<1> 44: ram/RASEL - 9: A_FSB<18> 27: cnt/LTimer<2> 45: ram/RASEN - 10: A_FSB<19> 28: cnt/LTimer<3> 46: ram/RS_FSM_FFd1 - 11: A_FSB<20> 29: cnt/LTimer<4> 47: ram/RS_FSM_FFd2 - 12: A_FSB<21> 30: cnt/LTimer<5> 48: ram/RS_FSM_FFd3 - 13: A_FSB<22> 31: cnt/LTimer<6> 49: ram/RS_FSM_FFd4 - 14: A_FSB<23> 32: cnt/LTimer<7> 50: ram/RS_FSM_FFd5 - 15: A_FSB<3> 33: cnt/LTimer<8> 51: ram/RS_FSM_FFd7 - 16: A_FSB<4> 34: cnt/LTimer<9> 52: ram/RS_FSM_FFd8 - 17: A_FSB<5> 35: cnt/STimer<0> 53: ram/RefReq - 18: A_FSB<7> 36: cnt/TimerTC 54: ram/RefUrg + 1: A_FSB<10> 19: A_FSB<8> 36: iobs/TS_FSM_FFd1 + 2: A_FSB<11> 20: A_FSB<9> 37: iobs/TS_FSM_FFd2 + 3: A_FSB<12> 21: BACTr 38: nADoutLE1 + 4: A_FSB<13> 22: IOWRREQ 39: nAS_FSB + 5: A_FSB<14> 23: cnt/Er<0> 40: nWE_FSB + 6: A_FSB<15> 24: cnt/Er<1> 41: ram/DTACKr + 7: A_FSB<16> 25: cnt/LTimer<0> 42: ram/RASEL + 8: A_FSB<17> 26: cnt/LTimer<1> 43: ram/RASEN + 9: A_FSB<18> 27: cnt/STimer<0> 44: ram/RS_FSM_FFd1 + 10: A_FSB<19> 28: cnt/STimer<1> 45: ram/RS_FSM_FFd2 + 11: A_FSB<20> 29: cnt/SndSlow 46: ram/RS_FSM_FFd3 + 12: A_FSB<21> 30: cnt/TimerTC 47: ram/RS_FSM_FFd4 + 13: A_FSB<22> 31: cs/nOverlay 48: ram/RS_FSM_FFd5 + 14: A_FSB<23> 32: fsb/ASrf 49: ram/RS_FSM_FFd7 + 15: A_FSB<3> 33: iobs/IOACTr 50: ram/RS_FSM_FFd8 + 16: A_FSB<4> 34: iobs/IORW1 51: ram/RefReq + 17: A_FSB<5> 35: iobs/Sent 52: ram/RefUrg + 18: A_FSB<7> Signal 1 2 3 4 5 6 FB Name 0----+----0----+----0----+----0----+----0----+----0----+----0 Inputs -nROMCS ..........XXXX......................X....................... 5 -BACTr<2> ....................X....................................... 1 -nCAS ............XX......X................XX...X.XXXXXXXXXX...... 16 -nOE ....................X................XX..XX................. 5 -cnt/LTimer<11> XXXXXXXXXXXXXX....XX.XXXXXXXXXXXXXXX.XX..X.................. 34 -RA<4> .X............X............................X................ 3 -IOBERR .......................................XX................... 2 -RA<3> .........XX................................X................ 3 -RA<5> ..X............X...........................X................ 3 -ram/RS_FSM_FFd8 ............XX......X...............XXX.....XX..X..XXX...... 12 -RA<2> ......X..........X.........................X................ 3 -RA<6> ...X............X..........................X................ 3 -ram/RASrr ............XX......X...............XXX.....X...X.XXXX...... 12 -cnt/LTimer<10> XXXXXXXXXXXXXX....XX.XXX.XXXXXXXXXXX.XX..X.................. 33 +cnt/STimer<1> XXXXXXXXXXXXXX....XX..XXXXXX.X.X......XX.................... 26 +nROMCS ..........XXXX................X............................. 5 +nCAS ............XX......X..........X......X.X.XXXXXXXXXX........ 16 +nOE ....................X..........X......XXX................... 5 +cnt/STimer<0> XXXXXXXXXXXXXX....XX..XXX.XX.X.X......XX.................... 25 +RA<4> .X............X..........................X.................. 3 +ram/RS_FSM_FFd3 ................................................X........... 1 +RA<3> .........XX..............................X.................. 3 +RA<5> ..X............X.........................X.................. 3 +IOWRREQ ......XXXXXXXX.......X........XXXXXXXXXX.................... 19 +RA<2> ......X..........X.......................X.................. 3 +RA<6> ...X............X........................X.................. 3 +cnt/SndSlow XXXXXXXXXXXXXX....XX..XX..XXXX.X......XX.................... 25 0----+----1----+----2----+----3----+----4----+----5----+----6 0 0 0 0 0 0 *********************************** FB6 *********************************** @@ -656,126 +642,124 @@ IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 40/14 -Number of signals used by logic mapping into function block: 40 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<2> 14 9<- 0 0 FB7_1 (b) (b) -RA<1> 2 2<- /\5 0 FB7_2 50 I/O O -cnt/IS_FSM_FFd2 2 0 /\2 1 FB7_3 (b) (b) -ram/RASEL 3 0 0 2 FB7_4 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB7_1 (b) (b) +RA<1> 2 0 0 3 FB7_2 50 I/O O +cnt/LTimer<8> 2 0 0 3 FB7_3 (b) (b) +cnt/LTimer<7> 2 0 0 3 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O -RA<0> 2 0 \/3 0 FB7_6 53 I/O O -cnt/STimer<0> 8 3<- 0 0 FB7_7 (b) (b) -RA<8> 2 0 \/2 1 FB7_8 54 I/O O -RA<10> 2 2<- \/5 0 FB7_9 55 I/O O -cnt/SndSlowEN 10 5<- 0 0 FB7_10 (b) (b) -RA<9> 2 0 \/1 2 FB7_11 56 I/O O -C25MEN 1 1<- \/5 0 FB7_12 58 I/O O -cnt/LTimer<0> 12 7<- 0 0 FB7_13 (b) (b) -cs/nOverlay 3 0 /\2 0 FB7_14 59 I/O (b) -(unused) 0 0 \/5 0 FB7_15 60 I/O (b) -cnt/LTimer<1> 13 8<- 0 0 FB7_16 (b) (b) -ram/RS_FSM_FFd6 2 0 /\3 0 FB7_17 61 I/O (b) -iobm/C8Mr 1 0 \/4 0 FB7_18 (b) (b) +RA<0> 2 0 0 3 FB7_6 53 I/O O +cnt/LTimer<6> 2 0 0 3 FB7_7 (b) (b) +RA<8> 2 0 0 3 FB7_8 54 I/O O +RA<10> 2 0 0 3 FB7_9 55 I/O O +cnt/LTimer<5> 2 0 0 3 FB7_10 (b) (b) +RA<9> 2 0 0 3 FB7_11 56 I/O O +C25MEN 0 0 0 5 FB7_12 58 I/O O +cnt/LTimer<4> 2 0 0 3 FB7_13 (b) (b) +cnt/LTimer<3> 2 0 0 3 FB7_14 59 I/O (b) +cnt/LTimer<2> 2 0 0 3 FB7_15 60 I/O (b) +cnt/LTimer<1> 2 0 0 3 FB7_16 (b) (b) +cnt/LTimer<10> 2 0 0 3 FB7_17 61 I/O (b) +RefClk 5 0 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<23> 28: cnt/LTimer<1> - 2: A_FSB<11> 16: A_FSB<2> 29: cnt/LTimerTC - 3: A_FSB<12> 17: A_FSB<6> 30: cnt/STimer<0> - 4: A_FSB<13> 18: A_FSB<7> 31: cnt/SndSlowEN - 5: A_FSB<14> 19: A_FSB<8> 32: cnt/TimerTC - 6: A_FSB<15> 20: A_FSB<9> 33: cs/nOverlay - 7: A_FSB<16> 21: C8M 34: fsb/ASrf - 8: A_FSB<17> 22: nRES.PIN 35: nAS_FSB - 9: A_FSB<18> 23: cnt/Er<0> 36: nWE_FSB - 10: A_FSB<19> 24: cnt/Er<1> 37: ram/RASEL - 11: A_FSB<1> 25: cnt/IS_FSM_FFd1 38: ram/RASEN - 12: A_FSB<20> 26: cnt/IS_FSM_FFd2 39: ram/RS_FSM_FFd6 - 13: A_FSB<21> 27: cnt/LTimer<0> 40: ram/RS_FSM_FFd8 - 14: A_FSB<22> + 1: A_FSB<10> 11: A_FSB<8> 21: cnt/LTimer<5> + 2: A_FSB<14> 12: A_FSB<9> 22: cnt/LTimer<6> + 3: A_FSB<15> 13: RefClk 23: cnt/LTimer<7> + 4: A_FSB<17> 14: cnt/Er<0> 24: cnt/LTimer<8> + 5: A_FSB<18> 15: cnt/Er<1> 25: cnt/LTimer<9> + 6: A_FSB<1> 16: cnt/LTimer<0> 26: cnt/Timer<0> + 7: A_FSB<21> 17: cnt/LTimer<1> 27: cnt/Timer<1> + 8: A_FSB<2> 18: cnt/LTimer<2> 28: cnt/Timer<2> + 9: A_FSB<6> 19: cnt/LTimer<3> 29: cnt/TimerTC + 10: A_FSB<7> 20: cnt/LTimer<4> 30: ram/RASEL + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/LTimer<9> .............XXXXXXXXXXX................ 11 +RA<1> X......X.....................X.......... 3 +cnt/LTimer<8> .............XXXXXXXXXX................. 10 +cnt/LTimer<7> .............XXXXXXXXX.................. 9 +RA<7> .X......X....................X.......... 3 +RA<0> .....X.....X.................X.......... 3 +cnt/LTimer<6> .............XXXXXXXX................... 8 +RA<8> ....X.X......................X.......... 3 +RA<10> ...X.....X...................X.......... 3 +cnt/LTimer<5> .............XXXXXXX.................... 7 +RA<9> ..X.......X..................X.......... 3 +C25MEN ........................................ 0 +cnt/LTimer<4> .............XXXXXX..................... 6 +cnt/LTimer<3> .............XXXXX...................... 5 +cnt/LTimer<2> .............XXXX....................... 4 +cnt/LTimer<1> .............XXX........................ 3 +cnt/LTimer<10> .............XXXXXXXXXXXX............... 12 +RefClk ............XXX..........XXXX........... 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 42/12 +Number of signals used by logic mapping into function block: 42 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEN 8 4<- /\1 0 FB8_1 (b) (b) +RA<11> 2 1<- /\4 0 FB8_2 63 I/O O +ram/RefReq 3 0 /\1 1 FB8_3 (b) (b) +ram/RASEL 3 0 0 2 FB8_4 (b) (b) +nRAS 3 0 0 2 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +cs/nOverlay 3 0 \/1 1 FB8_7 (b) (b) +nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O +ram/RS_FSM_FFd7 8 5<- \/2 0 FB8_9 67 I/O (b) +ram/RefUrg 4 2<- \/3 0 FB8_10 (b) (b) +IOReady 5 3<- \/3 0 FB8_11 68 I/O (b) +nBERR_FSB 3 3<- \/5 0 FB8_12 70 I/O O +ram/RS_FSM_FFd8 10 5<- 0 0 FB8_13 (b) (b) +ram/RS_FSM_FFd6 2 0 \/2 1 FB8_14 71 I/O (b) +nBR_IOB 2 2<- \/5 0 FB8_15 72 I/O O +RAMReady 10 5<- 0 0 FB8_16 (b) (b) +(unused) 0 0 \/5 0 FB8_17 73 I/O (b) +ram/RASrr 11 6<- 0 0 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<16> 15: cnt/IS_FSM_FFd2 29: ram/RASEN + 2: A_FSB<17> 16: cnt/nIPL2r 30: ram/RASrf + 3: A_FSB<18> 17: cs/nOverlay 31: ram/RASrr + 4: A_FSB<19> 18: fsb/ASrf 32: ram/RS_FSM_FFd1 + 5: A_FSB<20> 19: iobs/IODONEr 33: ram/RS_FSM_FFd2 + 6: A_FSB<21> 20: iobs/Sent 34: ram/RS_FSM_FFd3 + 7: A_FSB<22> 21: nADoutLE1 35: ram/RS_FSM_FFd4 + 8: A_FSB<23> 22: nAS_FSB 36: ram/RS_FSM_FFd5 + 9: BACTr 23: nBERR_FSB 37: ram/RS_FSM_FFd6 + 10: IOBERR 24: nBR_IOB 38: ram/RS_FSM_FFd7 + 11: IOReady 25: nLDS_FSB 39: ram/RS_FSM_FFd8 + 12: nRES.PIN 26: nUDS_FSB 40: ram/RefReq + 13: RefClk 27: nWE_FSB 41: ram/RefUrg + 14: cnt/IS_FSM_FFd1 28: ram/RASEL 42: ram/nRefClkR Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<2> XXXXXXXXXX.XXXX...XX..XX..XX.X.X.XXX.............. 25 -RA<1> X..............X....................X............. 3 -cnt/IS_FSM_FFd2 ......................XXXX..X..X.................. 6 -ram/RASEL .............XX.................XXX..XXX.......... 8 -RA<7> ....X...........X...................X............. 3 -RA<0> ..........X........X................X............. 3 -cnt/STimer<0> XXXXXXXXXX.XXXX...XX.........X...XXX.............. 20 -RA<8> ........X...X.......................X............. 3 -RA<10> .......X.........X..................X............. 3 -cnt/SndSlowEN XXXXXXXXXX.XXXX...XX..XX.....XXX.XXX.............. 24 -RA<9> .....X............X.................X............. 3 -C25MEN .................................................. 0 -cnt/LTimer<0> XXXXXXXXXX.XXXX...XX..XX.....X.X.XXX.............. 23 -cs/nOverlay ...........XXXX......X..........XXX............... 8 -cnt/LTimer<1> XXXXXXXXXX.XXXX...XX..XX..X..X.X.XXX.............. 24 -ram/RS_FSM_FFd6 .............XX.................XXX..X.X.......... 7 -iobm/C8Mr ....................X............................. 1 +ram/RASEN ......XXX........X...X......X..X..X...XXX......... 11 +RA<11> ...XX......................X...................... 3 +ram/RefReq ............X..................XXX...X.X.X........ 7 +ram/RASEL ......XX........XX...X......X.......X.X........... 8 +nRAS ......XX........X....X......XXX................... 7 +nRAMLWE ........................X.XX...................... 3 +cs/nOverlay ....XXXX...X....XX...X............................ 8 +nRAMUWE .........................XXX...................... 3 +ram/RS_FSM_FFd7 ......XXX........X...X......X.....X...XXX......... 10 +ram/RefUrg ............X..................XXX...X.XXX........ 8 +IOReady XXXXXXXX..X......XXXXX....X....................... 15 +nBERR_FSB .........X.......X.X.XX........................... 5 +ram/RS_FSM_FFd8 ......XXX.......XX...X......X..X..X...XXX......... 12 +ram/RS_FSM_FFd6 ......XX........XX...X......X.........X........... 7 +nBR_IOB .............XXX.......X.......................... 4 +RAMReady ......XXX........X...X......X..X..XXX.XXX......... 13 +ram/RASrr ......XXX.......XX...X......X.....X..XXXX......... 12 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 - *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 53/1 -Number of signals used by logic mapping into function block: 53 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/2 3 FB8_1 (b) (b) -RA<11> 2 2<- \/5 0 FB8_2 63 I/O O -cnt/LTimer<7> 17 12<- 0 0 FB8_3 (b) (b) -(unused) 0 0 /\5 0 FB8_4 (b) (b) -nRAS 3 0 /\2 0 FB8_5 64 I/O O -nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O -IORDREQ 9 4<- 0 0 FB8_7 (b) (b) -nRAMUWE 1 0 \/4 0 FB8_8 66 I/O O -cnt/LTimer<8> 17 12<- 0 0 FB8_9 67 I/O (b) -(unused) 0 0 /\5 0 FB8_10 (b) (b) -IOReady 5 3<- /\3 0 FB8_11 68 I/O (b) -nBERR_FSB 3 1<- /\3 0 FB8_12 70 I/O O -iobs/Load1 4 0 /\1 0 FB8_13 (b) (b) -(unused) 0 0 \/2 3 FB8_14 71 I/O (b) -nBR_IOB 2 2<- \/5 0 FB8_15 72 I/O O -cnt/LTimer<5> 17 12<- 0 0 FB8_16 (b) (b) -(unused) 0 0 /\5 0 FB8_17 73 I/O (b) -(unused) 0 0 /\2 3 FB8_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 19: IOReady 37: iobs/IOACTr - 2: A_FSB<11> 20: cnt/Er<0> 38: iobs/IODONEr - 3: A_FSB<12> 21: cnt/Er<1> 39: iobs/IORW1 - 4: A_FSB<13> 22: cnt/IS_FSM_FFd1 40: iobs/Sent - 5: A_FSB<14> 23: cnt/IS_FSM_FFd2 41: iobs/TS_FSM_FFd1 - 6: A_FSB<15> 24: cnt/LTimer<0> 42: iobs/TS_FSM_FFd2 - 7: A_FSB<16> 25: cnt/LTimer<1> 43: nADoutLE1 - 8: A_FSB<17> 26: cnt/LTimer<2> 44: nAS_FSB - 9: A_FSB<18> 27: cnt/LTimer<3> 45: nBERR_FSB - 10: A_FSB<19> 28: cnt/LTimer<4> 46: nBR_IOB - 11: A_FSB<20> 29: cnt/LTimer<5> 47: nLDS_FSB - 12: A_FSB<21> 30: cnt/LTimer<6> 48: nUDS_FSB - 13: A_FSB<22> 31: cnt/LTimer<7> 49: nWE_FSB - 14: A_FSB<23> 32: cnt/STimer<0> 50: ram/RASEL - 15: A_FSB<8> 33: cnt/TimerTC 51: ram/RASEN - 16: A_FSB<9> 34: cnt/nIPL2r 52: ram/RASrf - 17: IOBERR 35: cs/nOverlay 53: ram/RASrr - 18: IORDREQ 36: fsb/ASrf - -Signal 1 2 3 4 5 6 FB -Name 0----+----0----+----0----+----0----+----0----+----0----+----0 Inputs -RA<11> .........XX......................................X.......... 3 -cnt/LTimer<7> XXXXXXXXXXXXXXXX...XX..XXXXXXX.XX..X.......X....X........... 30 -nRAS ............XX....................X........X......XXX....... 7 -nRAMLWE ..............................................X.XX.......... 3 -IORDREQ ..........XXXX...X................XXX.XXXXXX....X........... 15 -nRAMUWE ...............................................XXX.......... 3 -cnt/LTimer<8> XXXXXXXXXXXXXXXX...XX..XXXXXXXXXX..X.......X....X........... 31 -IOReady ......XXXXXXXX....X................X.X.X..XX....X........... 15 -nBERR_FSB ................X..................X...X...XX............... 5 -iobs/Load1 ......XXXXXXXX.....................X...XXXXX....X........... 15 -nBR_IOB .....................XX..........X...........X.............. 4 -cnt/LTimer<5> XXXXXXXXXXXXXXXX...XX..XXXXX...XX..X.......X....X........... 28 - 0----+----1----+----2----+----3----+----4----+----5----+----6 - 0 0 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** @@ -797,10 +781,1105 @@ ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); -FDCPE_BACTr1: FDCPE port map (BACTr(1),BACTr_D(1),FCLK,'0','0'); -BACTr_D(1) <= (nAS_FSB AND NOT fsb/ASrf); +FDCPE_BACTr: FDCPE port map (BACTr,BACTr_D,FCLK,'0','0'); +BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FDCPE_BACTr2: FDCPE port map (BACTr(2),BACTr(1),FCLK,'0','0'); -FDCPE_BACTr3: FDCPE port map (BACTr(3),BACTr(2),FCLK,'0','0'); +C25MEN <= '1'; + + + + + + + + + + + + + + + + + + + + + + +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); +IOACT_D <= ((iobm/IOS_FSM_FFd4) + OR (iobm/IOS_FSM_FFd5) + OR (iobm/IOS_FSM_FFd6) + OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3) + OR (iobm/IOS_FSM_FFd7 AND iobm/IOWRREQr AND NOT nAoutOE) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND IOACT AND + NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) + OR (iobm/IOS_FSM_FFd7 AND iobm/IORDREQr AND NOT nAoutOE)); + +FDCPE_IOBERR: FDCPE port map (IOBERR,NOT nBERR_IOB,NOT C8M,nAS_IOB,'0'); + +FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); +IODONE_D <= ((NOT nRES.PIN) + OR (NOT nDTACK_IOB) + OR (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + iobm/ES(3))); + +FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); +IOL0_T <= ((iobs/TS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) + OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) + OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1)); + +FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); +IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + +FTCPE_IOReady: FTCPE port map (IOReady,IOReady_T,FCLK,'0','0'); +IOReady_T <= ((iobs/Sent AND NOT IOReady AND fsb/ASrf AND iobs/IODONEr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND + NOT IOReady AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND + NOT IOReady AND fsb/ASrf AND nADoutLE1) + OR (IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Sent AND NOT IOReady AND NOT nAS_FSB AND iobs/IODONEr)); + +FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); +IOU0_T <= ((iobs/TS_FSM_FFd1) + OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) + OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) + OR (iobs/IOU1 AND IOU0 AND NOT nADoutLE1)); + +FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0'); +IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) + OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) + OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND + NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); + + +RA(0) <= ((ram/RASEL AND A_FSB(1)) + OR (NOT ram/RASEL AND A_FSB(9))); + + +RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); + + +RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(3) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(4) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); + + +RA(5) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); + + +RA(6) <= ((ram/RASEL AND A_FSB(5)) + OR (NOT ram/RASEL AND A_FSB(13))); + + +RA(7) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(8) <= ((A_FSB(21) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((ram/RASEL AND A_FSB(8)) + OR (NOT ram/RASEL AND A_FSB(15))); + + +RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(11) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + +FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); +RAMReady_D <= ((ram/RefUrg AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) + OR (A_FSB(23) AND ram/RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT BACTr AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6) + OR (A_FSB(23) AND ram/RefReq AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd4 AND NOT BACTr AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND + fsb/ASrf) + OR (A_FSB(22) AND ram/RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT BACTr AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6) + OR (A_FSB(22) AND ram/RefReq AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd4 AND NOT BACTr AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND + fsb/ASrf) + OR (A_FSB(23) AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (A_FSB(22) AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (ram/RefUrg AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (ram/RefUrg AND NOT ram/RASEN AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6)); + +FTCPE_RefClk: FTCPE port map (RefClk,RefClk_T,FCLK,'0','0',RefClk_CE); +RefClk_T <= ((RefClk AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/TimerTC) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + cnt/Er(0)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/Er(1))); +RefClk_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_SndReady: FDCPE port map (SndReady,SndReady_D,FCLK,'0','0'); +SndReady_D <= ((NOT cnt/SndSlow) + OR (SndReady AND NOT nAS_FSB) + OR (SndReady AND fsb/ASrf) + OR (NOT cnt/Credits(5) AND NOT cnt/Credits(3) AND NOT cnt/Credits(4) AND + NOT cnt/Credits(6))); + +FDCPE_WS: FDCPE port map (WS,WS_D,FCLK,'0','0'); +WS_D <= ((NOT nAS_FSB AND BACTr AND fsb/BACTr(1) AND fsb/BACTr(2)) + OR (BACTr AND fsb/BACTr(1) AND fsb/ASrf AND fsb/BACTr(2))); + +FDCPE_cnt/C8Mr0: FDCPE port map (cnt/C8Mr(0),C8M,FCLK,'0','0'); + +FDCPE_cnt/C8Mr1: FDCPE port map (cnt/C8Mr(1),cnt/C8Mr(0),FCLK,'0','0'); + +FTCPE_cnt/Credits0: FTCPE port map (cnt/Credits(0),cnt/Credits_T(0),FCLK,'0','0'); +cnt/Credits_T(0) <= ((NOT cnt/SndSlow AND NOT cnt/Credits(0)) + OR (cnt/SndSlow AND WS AND cnt/C8Mr(0)) + OR (cnt/SndSlow AND WS AND NOT cnt/C8Mr(1)) + OR (cnt/SndSlow AND NOT WS AND NOT cnt/C8Mr(0) AND cnt/C8Mr(1))); + +FTCPE_cnt/Credits1: FTCPE port map (cnt/Credits(1),cnt/Credits_T(1),FCLK,'0','0'); +cnt/Credits_T(1) <= ((cnt/SndSlow AND NOT WS AND NOT cnt/C8Mr(0) AND cnt/C8Mr(1)) + OR (NOT cnt/SndSlow AND NOT cnt/Credits(1)) + OR (cnt/SndSlow AND cnt/Credits(0) AND WS) + OR (cnt/SndSlow AND NOT cnt/Credits(0) AND NOT WS) + OR (cnt/SndSlow AND WS AND cnt/C8Mr(0)) + OR (cnt/SndSlow AND WS AND NOT cnt/C8Mr(1))); + +FTCPE_cnt/Credits2: FTCPE port map (cnt/Credits(2),cnt/Credits_T(2),FCLK,'0','0'); +cnt/Credits_T(2) <= ((NOT cnt/SndSlow AND cnt/Credits(2)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + NOT WS AND cnt/C8Mr(0)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + NOT WS AND NOT cnt/C8Mr(1)) + OR (cnt/SndSlow AND NOT cnt/Credits(0) AND NOT cnt/Credits(1) AND + WS AND NOT cnt/C8Mr(0) AND cnt/C8Mr(1))); + +FTCPE_cnt/Credits3: FTCPE port map (cnt/Credits(3),cnt/Credits_T(3),FCLK,'0','0'); +cnt/Credits_T(3) <= ((NOT cnt/SndSlow AND NOT cnt/Credits(3)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + cnt/Credits(2) AND NOT WS AND cnt/C8Mr(0)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + cnt/Credits(2) AND NOT WS AND NOT cnt/C8Mr(1)) + OR (cnt/SndSlow AND NOT cnt/Credits(0) AND NOT cnt/Credits(1) AND + NOT cnt/Credits(2) AND WS AND NOT cnt/C8Mr(0) AND cnt/C8Mr(1))); + +FTCPE_cnt/Credits4: FTCPE port map (cnt/Credits(4),cnt/Credits_T(4),FCLK,'0','0'); +cnt/Credits_T(4) <= ((NOT cnt/SndSlow AND cnt/Credits(4)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + cnt/Credits(3) AND cnt/Credits(2) AND NOT WS AND cnt/C8Mr(0)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + cnt/Credits(3) AND cnt/Credits(2) AND NOT WS AND NOT cnt/C8Mr(1)) + OR (cnt/SndSlow AND NOT cnt/Credits(0) AND NOT cnt/Credits(1) AND + NOT cnt/Credits(3) AND NOT cnt/Credits(2) AND WS AND NOT cnt/C8Mr(0) AND cnt/C8Mr(1))); + +FTCPE_cnt/Credits5: FTCPE port map (cnt/Credits(5),cnt/Credits_T(5),FCLK,'0','0'); +cnt/Credits_T(5) <= ((NOT cnt/SndSlow AND cnt/Credits(5)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + cnt/Credits(3) AND cnt/Credits(4) AND cnt/Credits(2) AND NOT WS AND + cnt/C8Mr(0)) + OR (cnt/SndSlow AND cnt/Credits(0) AND cnt/Credits(1) AND + cnt/Credits(3) AND cnt/Credits(4) AND cnt/Credits(2) AND NOT WS AND + NOT cnt/C8Mr(1)) + OR (cnt/SndSlow AND NOT cnt/Credits(0) AND NOT cnt/Credits(1) AND + NOT cnt/Credits(3) AND NOT cnt/Credits(4) AND NOT cnt/Credits(2) AND WS AND + NOT cnt/C8Mr(0) AND cnt/C8Mr(1))); + +FTCPE_cnt/Credits6: FTCPE port map (cnt/Credits(6),cnt/Credits_T(6),FCLK,'0','0'); +cnt/Credits_T(6) <= ((NOT cnt/SndSlow AND cnt/Credits(6)) + OR (cnt/SndSlow AND cnt/Credits(5) AND cnt/Credits(0) AND + cnt/Credits(1) AND cnt/Credits(3) AND cnt/Credits(4) AND cnt/Credits(2) AND + NOT WS AND cnt/C8Mr(0)) + OR (cnt/SndSlow AND cnt/Credits(5) AND cnt/Credits(0) AND + cnt/Credits(1) AND cnt/Credits(3) AND cnt/Credits(4) AND cnt/Credits(2) AND + NOT WS AND NOT cnt/C8Mr(1)) + OR (cnt/SndSlow AND NOT cnt/Credits(5) AND NOT cnt/Credits(0) AND + NOT cnt/Credits(1) AND NOT cnt/Credits(3) AND NOT cnt/Credits(4) AND NOT cnt/Credits(2) AND + WS AND NOT cnt/C8Mr(0) AND cnt/C8Mr(1))); + +FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); + +FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); + +FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); +cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); + +FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); +cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +cnt/LTimer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +cnt/LTimer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +cnt/LTimer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +cnt/LTimer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3)); +cnt/LTimer_CE(4) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4)); +cnt/LTimer_CE(5) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +cnt/LTimer_CE(6) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +cnt/LTimer_CE(7) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7)); +cnt/LTimer_CE(8) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8)); +cnt/LTimer_CE(9) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(10) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(11) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + cnt/LTimer(11)); +cnt/LTimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/STimer0: FDCPE port map (cnt/STimer(0),cnt/STimer_D(0),FCLK,'0','0'); +cnt/STimer_D(0) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(9) AND A_FSB(15) AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(8) AND A_FSB(15) AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND A_FSB(9) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(9) AND A_FSB(15) AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(8) AND A_FSB(15) AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND A_FSB(8) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND A_FSB(9) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND A_FSB(8) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT cnt/LTimer(0) AND cnt/STimer(0)) + OR (cnt/STimer(0) AND NOT cnt/TimerTC) + OR (cnt/STimer(0) AND cnt/Er(0)) + OR (cnt/STimer(0) AND NOT cnt/Er(1)) + OR (NOT cnt/LTimer(0) AND cnt/STimer(1) AND cnt/TimerTC AND + NOT cnt/Er(0) AND cnt/Er(1))); + +FDCPE_cnt/STimer1: FDCPE port map (cnt/STimer(1),cnt/STimer_D(1),FCLK,'0','0'); +cnt/STimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND A_FSB(9) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND A_FSB(8) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(8) AND A_FSB(15) AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND A_FSB(9) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND A_FSB(8) AND + A_FSB(15) AND A_FSB(13) AND fsb/ASrf) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND cnt/TimerTC AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(9) AND A_FSB(15) AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(8) AND A_FSB(15) AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT A_FSB(14) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND + A_FSB(9) AND A_FSB(15) AND A_FSB(13)) + OR (NOT cnt/STimer(0) AND NOT cnt/STimer(1)) + OR (NOT cnt/STimer(1) AND NOT cnt/TimerTC) + OR (NOT cnt/STimer(1) AND cnt/Er(0)) + OR (NOT cnt/STimer(1) AND NOT cnt/Er(1)) + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND + NOT cnt/Er(0) AND cnt/Er(1))); + +FDCPE_cnt/SndSlow: FDCPE port map (cnt/SndSlow,cnt/SndSlow_D,FCLK,'0','0'); +cnt/SndSlow_D <= ((EXP18_.EXP) + OR (cnt/SndSlow AND cnt/STimer(0) AND NOT cnt/TimerTC) + OR (cnt/SndSlow AND cnt/STimer(0) AND NOT cnt/Er(1)) + OR (cnt/SndSlow AND NOT cnt/STimer(0) AND cnt/STimer(1)) + OR (cnt/STimer(0) AND NOT cnt/STimer(1) AND cnt/TimerTC AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (NOT cnt/STimer(0) AND cnt/STimer(1) AND cnt/TimerTC AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/SndSlow AND cnt/STimer(0) AND cnt/Er(0))); + +FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); +cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1)); +cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); +cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) + OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); +cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); +cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) + OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); +cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +cnt/TimerTC_D <= (RefClk AND cnt/Timer(0) AND NOT cnt/Timer(1) AND + NOT cnt/Timer(2)); +cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); + +FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); +cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND fsb/ASrf)); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); + +FDCPE_fsb/BACTr1: FDCPE port map (fsb/BACTr(1),BACTr,FCLK,'0','0'); + +FDCPE_fsb/BACTr2: FDCPE port map (fsb/BACTr(2),fsb/BACTr(1),FCLK,'0','0'); + +FDCPE_iobm/C8Mr: FDCPE port map (iobm/C8Mr,C8M,C16M,'0','0'); + +FTCPE_iobm/DoutOE: FTCPE port map (iobm/DoutOE,iobm/DoutOE_T,C16M,'0','0'); +iobm/DoutOE_T <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND NOT iobm/DoutOE AND + iobm/IOWRREQr) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/DoutOE) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/DoutOE) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND iobm/DoutOE AND NOT iobm/IOWRREQr)); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),NOT C8M,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT E AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND E) + OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND NOT iobm/Er)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),NOT C8M,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT E AND iobm/Er) + OR (iobm/ES(0) AND NOT iobm/ES(2) AND iobm/ES(3))); + +FTCPE_iobm/ES2: FTCPE port map (iobm/ES(2),iobm/ES_T(2),NOT C8M,'0','0'); +iobm/ES_T(2) <= ((iobm/ES(0) AND iobm/ES(1) AND E) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/Er) + OR (iobm/ES(2) AND NOT E AND iobm/Er)); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),NOT C8M,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT E AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND E) + OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND NOT iobm/Er) + OR (iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + iobm/ES(3))); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); + +FDCPE_iobm/IORDREQr: FDCPE port map (iobm/IORDREQr,IORDREQ,C16M,'0','0'); + +FDCPE_iobm/IOS0: FDCPE port map (iobm/IOS0,iobm/IOS0_D,C16M,'0','0'); +iobm/IOS0_D <= ((iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd7 AND iobm/C8Mr) + OR (iobm/IOS_FSM_FFd7 AND nAoutOE) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IORDREQr AND + NOT iobm/IOWRREQr) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + NOT iobm/IOS_FSM_FFd2 AND iobm/IOS0)); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((IOBERR AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr) + OR (IODONE AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd4) + OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) + OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3)); + +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd6_D,C16M,'0','0'); +iobm/IOS_FSM_FFd6_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IORDREQr AND + NOT nAoutOE) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IOWRREQr AND + NOT nAoutOE)); + +FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,C16M,'0','0'); +iobm/IOS_FSM_FFd7_D <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IORDREQr AND + NOT nAoutOE) + OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IOWRREQr AND + NOT nAoutOE)); + +FDCPE_iobm/IOWRREQr: FDCPE port map (iobm/IOWRREQr,IOWRREQ,C16M,'0','0'); + +FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C8M,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); +iobs/Clear1_D <= (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); + +FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); +iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); +iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); + +FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); +iobs/Sent_T <= ((A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND + NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + +FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); +iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); + +FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); +iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,FCLK,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); +nAS_IOB <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IORDREQr AND + NOT iobm/IOWRREQr)); +nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; +nAS_IOB_OE <= NOT nAoutOE; + +FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); +nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) + OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); + +FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); +nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) + OR (NOT IOBERR AND nBERR_FSB) + OR (nAS_FSB AND NOT fsb/ASrf)); + +FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); +nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND + NOT cnt/nIPL2r)); + +FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); +nCAS_D <= ((ram/RS_FSM_FFd1) + OR (ram/RS_FSM_FFd2) + OR (ram/RS_FSM_FFd3) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) + OR (NOT ram/RefUrg AND NOT ram/RefReq AND ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND + NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND + ram/RASEN AND fsb/ASrf) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd7) + OR (ram/DTACKr AND ram/RS_FSM_FFd5)); + +FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); +nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT A_FSB(20) AND NOT SndReady AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT A_FSB(19) AND NOT SndReady AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT A_FSB(18) AND NOT SndReady AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT A_FSB(17) AND NOT SndReady AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT A_FSB(16) AND NOT SndReady AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT A_FSB(21) AND NOT SndReady AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT SndReady AND nWE_FSB AND NOT IOReady) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND NOT IOReady AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT IOReady) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(22) AND A_FSB(21) AND NOT IOReady) + OR (A_FSB(22) AND A_FSB(20) AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT IOReady AND NOT RAMReady)); + +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); +nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); + + +nDinOE <= NOT (((A_FSB(22) AND A_FSB(21) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(20) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB) + OR (A_FSB(23) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB))); + + +nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) + OR (NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND + NOT nAoutOE))); + +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); +nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND + iobm/IORDREQr) + OR (iobm/IOS_FSM_FFd3 AND IOL0) + OR (iobm/IOS_FSM_FFd4 AND IOL0) + OR (iobm/IOS_FSM_FFd5 AND IOL0) + OR (NOT nLDS_IOB AND iobm/IOS_FSM_FFd6 AND IOL0)); +nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; +nLDS_IOB_OE <= NOT nAoutOE; + +FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); +nOE_D <= ((NOT nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (BACTr AND ram/DTACKr)); + + +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); + + +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); + + +nRAS <= NOT (((ram/RASrf) + OR (ram/RASrr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RASEN))); + + +nRES_I <= '0'; +nRES <= nRES_I when nRES_OE = '1' else 'Z'; +nRES_OE <= NOT nRESout; + +FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); +nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); + + +nROMCS <= NOT (((NOT cs/nOverlay) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); + + +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); + +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); +nUDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOU0 AND + iobm/IORDREQr) + OR (iobm/IOS_FSM_FFd3 AND IOU0) + OR (iobm/IOS_FSM_FFd4 AND IOU0) + OR (iobm/IOS_FSM_FFd5 AND IOU0) + OR (NOT nUDS_IOB AND iobm/IOS_FSM_FFd6 AND IOU0)); +nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; +nUDS_IOB_OE <= NOT nAoutOE; + +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND IOACT AND iobm/VPAr)); +nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; +nVMA_IOB_OE <= NOT nAoutOE; + +FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); +nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IOReady AND + NOT nAS_FSB) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IOReady AND + fsb/ASrf)); + +FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); + +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); +ram/RASEL_D <= ((ram/RS_FSM_FFd6) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); + +FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); +ram/RASEN_D <= ((ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND + NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND + ram/RASEN AND fsb/ASrf) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) + OR (NOT ram/RefUrg AND NOT ram/RefReq AND ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND BACTr)); + +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd6,NOT FCLK,'0','0'); + +FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); +ram/RASrr_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND ram/RASEN AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd7 AND fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RS_FSM_FFd7 AND + BACTr) + OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RS_FSM_FFd7 AND + BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + NOT cs/nOverlay AND NOT ram/RS_FSM_FFd7) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd7) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RASEN AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd7) + OR (NOT ram/RefUrg AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd7) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd7) + OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RefReq AND + NOT ram/RS_FSM_FFd7) + OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RefReq AND + NOT ram/RS_FSM_FFd7) + OR (NOT ram/RefUrg AND nAS_FSB AND NOT ram/RS_FSM_FFd7 AND + NOT fsb/ASrf)); + +FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd7,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); +ram/RS_FSM_FFd4_D <= (ram/DTACKr AND ram/RS_FSM_FFd5); + +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); +ram/RS_FSM_FFd5_D <= ((ram/RS_FSM_FFd6) + OR (NOT ram/DTACKr AND ram/RS_FSM_FFd5)); + +FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); +ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); + +FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); +ram/RS_FSM_FFd7_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg) + OR (NOT ram/RefUrg AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND ram/RASEN AND + NOT ram/RS_FSM_FFd4) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RASEN AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf) + OR (NOT ram/RefUrg AND NOT ram/RefReq) + OR (NOT ram/RefUrg AND NOT ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND BACTr)); + +FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); +ram/RS_FSM_FFd8_D <= ((ram/RS_FSM_FFd1) + OR (A_FSB(23) AND NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND + BACTr) + OR (A_FSB(22) AND NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND + BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + ram/RS_FSM_FFd8 AND NOT ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) + OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RefReq AND + ram/RS_FSM_FFd8) + OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RefReq AND + ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND + NOT fsb/ASrf)); + +FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); +ram/RefReq_D <= ((NOT RefClk AND NOT ram/nRefClkR) + OR (RefClk AND ram/RefReq AND ram/nRefClkR) + OR (ram/RefReq AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); +ram/RefUrg_D <= ((NOT RefClk AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND + NOT ram/nRefClkR AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (RefClk AND ram/RefReq AND ram/nRefClkR) + OR (NOT RefClk AND ram/RefUrg AND NOT ram/nRefClkR)); + +FDCPE_ram/nRefClkR: FDCPE port map (ram/nRefClkR,NOT RefClk,FCLK,'0','0'); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + ****************************** Device Pin Out ***************************** + +Device : XC95144XL-10-TQ100 + + + -------------------------------------------------- + /100 98 96 94 92 90 88 86 84 82 80 78 76 \ + | 99 97 95 93 91 89 87 85 83 81 79 77 | + | 1 75 | + | 2 74 | + | 3 73 | + | 4 72 | + | 5 71 | + | 6 70 | + | 7 69 | + | 8 68 | + | 9 67 | + | 10 66 | + | 11 65 | + | 12 64 | + | 13 XC95144XL-10-TQ100 63 | + | 14 62 | + | 15 61 | + | 16 60 | + | 17 59 | + | 18 58 | + | 19 57 | + | 20 56 | + | 21 55 | + | 22 54 | + | 23 53 | + | 24 52 | + | 25 51 | + | 27 29 31 33 35 37 39 41 43 45 47 49 | + \26 28 30 32 34 36 38 40 42 44 46 48 50 / + -------------------------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 KPR 51 VCC + 2 A_FSB<5> 52 RA<7> + 3 A_FSB<6> 53 RA<0> + 4 A_FSB<7> 54 RA<8> + 5 VCC 55 RA<10> + 6 A_FSB<8> 56 RA<9> + 7 A_FSB<9> 57 VCC + 8 A_FSB<10> 58 C25MEN + 9 A_FSB<11> 59 KPR + 10 A_FSB<12> 60 KPR + 11 A_FSB<13> 61 KPR + 12 A_FSB<14> 62 GND + 13 A_FSB<15> 63 RA<11> + 14 A_FSB<16> 64 nRAS + 15 A_FSB<17> 65 nRAMLWE + 16 A_FSB<18> 66 nRAMUWE + 17 A_FSB<19> 67 KPR + 18 A_FSB<20> 68 KPR + 19 A_FSB<21> 69 GND + 20 A_FSB<22> 70 nBERR_FSB + 21 GND 71 KPR + 22 C16M 72 nBR_IOB + 23 C8M 73 KPR + 24 A_FSB<23> 74 nVMA_IOB + 25 E 75 GND + 26 VCC 76 nBERR_IOB + 27 FCLK 77 nVPA_IOB + 28 nDTACK_FSB 78 nDTACK_IOB + 29 nWE_FSB 79 nLDS_IOB + 30 nLDS_FSB 80 nUDS_IOB + 31 GND 81 nAS_IOB + 32 nAS_FSB 82 nADoutLE1 + 33 nUDS_FSB 83 TDO + 34 nROMWE 84 GND + 35 nROMCS 85 nADoutLE0 + 36 nCAS 86 nDinLE + 37 nOE 87 nAoutOE + 38 VCC 88 VCC + 39 KPR 89 nDoutOE + 40 RA<4> 90 nDinOE + 41 RA<3> 91 nRES + 42 RA<5> 92 nIPL2 + 43 RA<2> 93 nVPA_FSB + 44 GND 94 A_FSB<1> + 45 TDI 95 A_FSB<2> + 46 RA<6> 96 A_FSB<3> + 47 TMS 97 A_FSB<4> + 48 TCK 98 VCC + 49 KPR 99 KPR + 50 RA<1> 100 GND + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + KPR = Unused I/O with weak keeper (leave unconnected) + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc95144xl-10-TQ100 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Ground on Unused IOs : OFF +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 25 diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index d6ae995..7688ddf 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -4,13 +4,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.09 secs +Total CPU time to Xst completion: 0.10 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.09 secs +Total CPU time to Xst completion: 0.10 secs --> Reading design: WarpSE.prj @@ -151,7 +151,6 @@ Unit synthesized. Synthesizing Unit . Related source file is "../RAM.v". -WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | @@ -266,33 +265,35 @@ Synthesizing Unit . | Implementation | automatic | ----------------------------------------------------------------------- Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 2-bit register for signal . Found 7-bit updown counter for signal . Found 2-bit register for signal . Found 12-bit up counter for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . Found 2-bit register for signal . + Found 2-bit adder for signal created at line 80. Found 4-bit up counter for signal . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). inferred 3 Counter(s). inferred 7 D-type flip-flop(s). + inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "../FSB.v". Found 1-bit register for signal . - Found 3-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 3-bit register for signal . Summary: inferred 4 D-type flip-flop(s). Unit synthesized. @@ -318,6 +319,8 @@ Unit synthesized. HDL Synthesis Report Macro Statistics +# Adders/Subtractors : 1 + 2-bit adder : 1 # Counters : 4 12-bit up counter : 1 4-bit up counter : 2 @@ -382,13 +385,14 @@ Optimizing FSM on signal with one-hot encoding. 110 | 01000000 111 | 10000000 ------------------- -WARNING:Xst:1710 - FF/Latch <0> (without init value) has a constant value of 0 in block <0>. This FF/Latch will be trimmed during the optimization process. ========================================================================= Advanced HDL Synthesis Report Macro Statistics # FSMs : 4 +# Adders/Subtractors : 1 + 2-bit adder : 1 # Counters : 4 12-bit up counter : 1 4-bit up counter : 2 @@ -401,7 +405,6 @@ Macro Statistics ========================================================================= * Low Level Synthesis * ========================================================================= -WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. Optimizing unit ... @@ -443,8 +446,8 @@ Optimizing unit ... Optimizing unit ... implementation constraint: INIT=r : IS_FSM_FFd1 - implementation constraint: INIT=r : Timer_3 implementation constraint: INIT=r : IS_FSM_FFd2 + implementation constraint: INIT=r : Timer_3 implementation constraint: INIT=r : Timer_0 implementation constraint: INIT=r : Timer_1 implementation constraint: INIT=r : Timer_2 @@ -479,24 +482,24 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 659 -# AND2 : 205 -# AND3 : 25 +# BELS : 671 +# AND2 : 207 +# AND3 : 26 # AND4 : 13 # AND5 : 2 # AND7 : 1 # AND8 : 2 # GND : 6 -# INV : 250 -# OR2 : 104 -# OR3 : 18 +# INV : 257 +# OR2 : 105 +# OR3 : 19 # OR4 : 3 # VCC : 1 # XOR2 : 29 -# FlipFlops/Latches : 110 -# FD : 69 +# FlipFlops/Latches : 111 +# FD : 68 # FDC : 2 -# FDCE : 38 +# FDCE : 40 # FDP : 1 # IO Buffers : 70 # IBUF : 35 @@ -507,13 +510,13 @@ Cell Usage : Total REAL time to Xst completion: 5.00 secs -Total CPU time to Xst completion: 5.10 secs +Total CPU time to Xst completion: 5.11 secs --> -Total memory usage is 266244 kilobytes +Total memory usage is 264820 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 8 ( 0 filtered) +Number of warnings : 5 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 0938b0b..47cb2f9 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,823 +8,828 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 11056 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 11180 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 11057 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 11181 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 11058 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 11182 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 11059 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 11183 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 11060 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 11184 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 11061 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 11185 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 11062 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 11186 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 11063 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 11187 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 11064 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 11065 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 11066 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 11067 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 11188 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C8M_IBUF/FCLK | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 11066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 11094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 11066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 11094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 11092 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 11216 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 11093 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 11217 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 11095 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 11219 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 11091 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 11215 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 11096 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 11220 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 11095 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 11219 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 11094 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 11218 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 11068 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 11189 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 11098 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 11222 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 11099 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 11223 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 11101 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 11225 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 11097 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 11221 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 11102 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 11226 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 11101 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 11225 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 11100 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 11224 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout$Q | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nLDS_IOBout | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 11104 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 11228 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 11105 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 11229 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/DoutOE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 11107 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 11231 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 11103 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 11227 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 11108 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 11232 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 11107 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 11231 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 11106 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 11230 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout$Q | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nUDS_IOBout | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 11110 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 11234 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 11111 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 11235 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 11113 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 11237 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 11109 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 11233 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 11114 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 11238 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 11113 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 11237 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 11112 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 11236 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 11069 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 11190 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 11191 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 11070 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 11192 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 11071 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 11193 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 11072 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 11194 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 11075 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 11197 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 11078 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 11200 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 11083 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 11207 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 11206 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 11082 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 11204 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 11205 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/SndSlow | WarpSE_COPY_0_COPY_0 | 2155873280 | 27 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 11844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 11116 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 11117 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 11115 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 11118 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<1>.EXP | 11647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/SndSlow.EXP | 11845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.EXP | cnt/SndSlow | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 24 | 3 +SIGNAL_INSTANCE | cnt/SndSlow.SI | cnt/SndSlow | 0 | 26 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 11844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 11120 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/SndSlow.D1 | 11240 | ? | 0 | 4096 | cnt/SndSlow | NULL | NULL | cnt/SndSlow.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 11121 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> -SPPTERM | 2 | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SIGNAL | NODE | cnt/SndSlow.D2 | 11241 | ? | 0 | 4096 | cnt/SndSlow | NULL | NULL | cnt/SndSlow.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/STimer<0> | IV_TRUE | cnt/Er<0> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<1>.EXP | 11640 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SIGNAL | NODE | cnt/SndSlow.EXP | 11832 | ? | 0 | 0 | cnt/SndSlow | NULL | NULL | cnt/SndSlow.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF -SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/SndSlow.REG | cnt/SndSlow | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 11119 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/SndSlow.D | 11239 | ? | 0 | 0 | cnt/SndSlow | NULL | NULL | cnt/SndSlow.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 11122 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/SndSlow.Q | 11242 | ? | 0 | 0 | cnt/SndSlow | NULL | NULL | cnt/SndSlow.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | RefClk | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 11019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 +SIGNAL_INSTANCE | RefClk.SI | RefClk | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 11019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 11124 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefClk.D1 | 11244 | ? | 0 | 4096 | RefClk | NULL | NULL | RefClk.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 11125 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | RefClk.D2 | 11245 | ? | 0 | 4096 | RefClk | NULL | NULL | RefClk.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefClk | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 11126 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefClk.CE | 11246 | ? | 0 | 4096 | RefClk | NULL | NULL | RefClk.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 +SRFF_INSTANCE | RefClk.REG | RefClk | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 11123 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | RefClk.D | 11243 | ? | 0 | 0 | RefClk | NULL | NULL | RefClk.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 11126 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefClk.CE | 11246 | ? | 0 | 4096 | RefClk | NULL | NULL | RefClk.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 11127 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | RefClk.Q | 11247 | ? | 0 | 0 | RefClk | NULL | NULL | RefClk.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 21 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | ram/nRefClkR | 11064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nRefClkR.Q | ram/nRefClkR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 11106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7.EXP | 11869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RefUrg.EXP | 11870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.EXP | ram/RefUrg | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 +SIGNAL_INSTANCE | ram/RefUrg.SI | ram/RefUrg | 0 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | ram/nRefClkR | 11064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nRefClkR.Q | ram/nRefClkR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 11106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7.EXP | 11869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 11129 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefUrg.D1 | 11249 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 11130 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> -SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 11131 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | ram/RefUrg.D2 | 11250 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7.EXP +SPPTERM | 3 | IV_TRUE | RefClk | IV_TRUE | ram/RefReq | IV_TRUE | ram/nRefClkR +SPPTERM | 3 | IV_FALSE | RefClk | IV_TRUE | ram/RefUrg | IV_FALSE | ram/nRefClkR +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RefUrg.EXP | 11858 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | iobs/Sent | IV_FALSE | IOReady | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/IODONEr +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IOReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IOReady | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 +SRFF_INSTANCE | ram/RefUrg.REG | ram/RefUrg | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 11128 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | ram/RefUrg.D | 11248 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 11131 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 11132 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | ram/RefUrg.Q | 11251 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 +SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 11134 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 11253 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 11135 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> -SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> -SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/LTimer<0>.D2 | 11254 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 11136 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 11255 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 +SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 11133 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 11252 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 11136 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 11255 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 11137 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 11256 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | N0.EXP | 11812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.EXP | N0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | N0.EXP | 11812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.EXP | N0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 11139 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 11258 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 11140 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IORDREQ.EXP -SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SIGNAL | NODE | iobs/Sent.D2 | 11259 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | N0.EXP +SPPTERM | 1 | IV_TRUE | EXP10_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -833,2842 +838,3177 @@ SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 11138 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +NODE | iobs/Sent.D | 11257 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 11141 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 11260 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 11073 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 11195 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 11143 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 11262 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 11144 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 11263 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IOBERR.RSTF | 11145 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 11264 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 11142 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 11261 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IOBERR.RSTF | 11145 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 11264 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 11146 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 11265 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 11044 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 11170 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 11074 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 11196 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IODONE.EXP | 11679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IODONE.D1 | 11148 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 11267 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IODONE.D2 | 11149 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 11268 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IODONE.RSTF | 11150 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 11269 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IODONE.EXP | 11676 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IODONE.EXP | 11847 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IODONE.D | 11147 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +NODE | IODONE.D | 11266 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IODONE.RSTF | 11150 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 11269 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IODONE.Q | 11151 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 11270 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Credits<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<6> | 11022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.Q | cnt/Credits<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4>.EXP | 11791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.EXP | cnt/Credits<4> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 11153 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 11154 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 11155 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 11152 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 11155 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 11156 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 36 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<10>.EXP | 11686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/Credits<5>.EXP | 11790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.EXP | cnt/Credits<5> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 35 | 3 +SIGNAL_INSTANCE | cnt/Credits<5>.SI | cnt/Credits<5> | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/Credits<6> | 11022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.Q | cnt/Credits<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Credits<4>.EXP | 11791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.EXP | cnt/Credits<4> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 11158 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Credits<5>.D1 | 11272 | ? | 0 | 4096 | cnt/Credits<5> | NULL | NULL | cnt/Credits<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 11159 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/Credits<5>.D2 | 11273 | ? | 0 | 4096 | cnt/Credits<5> | NULL | NULL | cnt/Credits<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Credits<4>.EXP +SPPTERM | 8 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<3> | IV_TRUE | cnt/Credits<4> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_FALSE | cnt/C8Mr<1> +SPPTERM | 9 | IV_TRUE | cnt/SndSlow | IV_FALSE | cnt/Credits<0> | IV_FALSE | cnt/Credits<1> | IV_FALSE | cnt/Credits<3> | IV_FALSE | cnt/Credits<4> | IV_FALSE | cnt/Credits<2> | IV_TRUE | WS | IV_FALSE | cnt/C8Mr<0> | IV_TRUE | cnt/C8Mr<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<10>.EXP | 11683 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF +SIGNAL | NODE | cnt/Credits<5>.EXP | 11783 | ? | 0 | 0 | cnt/Credits<5> | NULL | NULL | cnt/Credits<5>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | cnt/SndSlow | IV_TRUE | cnt/Credits<6> +SPPTERM | 9 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<5> | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<3> | IV_TRUE | cnt/Credits<4> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_TRUE | cnt/C8Mr<0> +SPPTERM | 9 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<5> | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<3> | IV_TRUE | cnt/Credits<4> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_FALSE | cnt/C8Mr<1> -SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Credits<5>.REG | cnt/Credits<5> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 11157 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/Credits<5>.D | 11271 | ? | 0 | 0 | cnt/Credits<5> | NULL | NULL | cnt/Credits<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 11160 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Credits<5>.Q | 11274 | ? | 0 | 0 | cnt/Credits<5> | NULL | NULL | cnt/Credits<5>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 8 | 2 +SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 11162 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 11276 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 11163 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 11161 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 11164 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 11166 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 11167 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 11165 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 11168 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 11170 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 11171 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 11169 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 11172 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 11174 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 11175 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 11173 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 11176 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 11178 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 11179 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 11177 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 11180 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 11182 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 11183 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 11181 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 11184 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 11186 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 11187 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 11185 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 11188 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 35 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<9>.EXP | 11684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 34 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 11190 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 11191 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 3 | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<9>.EXP | 11681 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 11189 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 11192 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<3>.D1 | 11194 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<3>.D2 | 11195 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC -SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> -SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> -SPPTERM | 4 | IV_TRUE | cnt/Timer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/Timer<0>.D2 | 11277 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11196 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 11278 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 3 | 1 +SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<3>.D | 11193 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 11275 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11196 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 11278 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<3>.Q | 11197 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 11199 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 11200 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 11201 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 11198 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 11201 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 11202 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 11204 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 11205 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 11203 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 11206 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 11208 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 11209 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11210 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 11207 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11210 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 11211 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 11279 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | nUDS_FSB_IBUF | 11032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay.EXP | 11623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 11867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | nUDS_FSB_IBUF | 11032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 11213 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 11281 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 11214 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 11282 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay.EXP | 11609 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> -SPPTERM | 4 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | fsb/ASrf +SIGNAL | NODE | cs/nOverlay.EXP | 11855 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ram/RASEL SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 11212 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 11280 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 11215 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 11283 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Credits<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IORW1.EXP | 11715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 18 | 3 +SIGNAL_INSTANCE | cnt/Credits<0>.SI | cnt/Credits<0> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 11217 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Credits<0>.D1 | 11285 | ? | 0 | 4096 | cnt/Credits<0> | NULL | NULL | cnt/Credits<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 11218 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/IS_FSM_FFd1.EXP -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | cnt/Credits<0>.D2 | 11286 | ? | 0 | 4096 | cnt/Credits<0> | NULL | NULL | cnt/Credits<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/SndSlow | IV_FALSE | cnt/Credits<0> +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | WS | IV_TRUE | cnt/C8Mr<0> +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | WS | IV_FALSE | cnt/C8Mr<1> +SPPTERM | 4 | IV_TRUE | cnt/SndSlow | IV_FALSE | WS | IV_FALSE | cnt/C8Mr<0> | IV_TRUE | cnt/C8Mr<1> + +SRFF_INSTANCE | cnt/Credits<0>.REG | cnt/Credits<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Credits<0>.D | 11284 | ? | 0 | 0 | cnt/Credits<0> | NULL | NULL | cnt/Credits<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Credits<0>.Q | 11287 | ? | 0 | 0 | cnt/Credits<0> | NULL | NULL | cnt/Credits<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Credits<1> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 11793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Credits<1>.SI | cnt/Credits<1> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 11793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Credits<1>.D1 | 11289 | ? | 0 | 4096 | cnt/Credits<1> | NULL | NULL | cnt/Credits<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Credits<1>.D2 | 11290 | ? | 0 | 4096 | cnt/Credits<1> | NULL | NULL | cnt/Credits<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 2 | IV_FALSE | cnt/SndSlow | IV_FALSE | cnt/Credits<1> +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | WS +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_FALSE | cnt/Credits<0> | IV_FALSE | WS +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | WS | IV_TRUE | cnt/C8Mr<0> +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | WS | IV_FALSE | cnt/C8Mr<1> + +SRFF_INSTANCE | cnt/Credits<1>.REG | cnt/Credits<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Credits<1>.D | 11288 | ? | 0 | 0 | cnt/Credits<1> | NULL | NULL | cnt/Credits<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Credits<1>.Q | 11291 | ? | 0 | 0 | cnt/Credits<1> | NULL | NULL | cnt/Credits<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Credits<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/Credits<3>.EXP | 11792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.EXP | cnt/Credits<3> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/Credits<3>.SI | cnt/Credits<3> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Credits<3>.D1 | 11293 | ? | 0 | 4096 | cnt/Credits<3> | NULL | NULL | cnt/Credits<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Credits<3>.D2 | 11294 | ? | 0 | 4096 | cnt/Credits<3> | NULL | NULL | cnt/Credits<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/SndSlow | IV_FALSE | cnt/Credits<3> +SPPTERM | 6 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_TRUE | cnt/C8Mr<0> +SPPTERM | 6 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_FALSE | cnt/C8Mr<1> +SPPTERM | 7 | IV_TRUE | cnt/SndSlow | IV_FALSE | cnt/Credits<0> | IV_FALSE | cnt/Credits<1> | IV_FALSE | cnt/Credits<2> | IV_TRUE | WS | IV_FALSE | cnt/C8Mr<0> | IV_TRUE | cnt/C8Mr<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IORW1.EXP | 11700 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | cnt/Credits<3>.EXP | 11785 | ? | 0 | 0 | cnt/Credits<3> | NULL | NULL | cnt/Credits<3>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | cnt/SndSlow | IV_TRUE | cnt/Credits<4> + +SRFF_INSTANCE | cnt/Credits<3>.REG | cnt/Credits<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Credits<3>.D | 11292 | ? | 0 | 0 | cnt/Credits<3> | NULL | NULL | cnt/Credits<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Credits<3>.Q | 11295 | ? | 0 | 0 | cnt/Credits<3> | NULL | NULL | cnt/Credits<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Credits<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3>.EXP | 11792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.EXP | cnt/Credits<3> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/Credits<4>.EXP | 11791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.EXP | cnt/Credits<4> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/Credits<4>.SI | cnt/Credits<4> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3>.EXP | 11792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.EXP | cnt/Credits<3> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Credits<4>.D1 | 11297 | ? | 0 | 4096 | cnt/Credits<4> | NULL | NULL | cnt/Credits<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Credits<4>.D2 | 11298 | ? | 0 | 4096 | cnt/Credits<4> | NULL | NULL | cnt/Credits<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Credits<3>.EXP +SPPTERM | 7 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<3> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_TRUE | cnt/C8Mr<0> +SPPTERM | 7 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<3> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_FALSE | cnt/C8Mr<1> +SPPTERM | 8 | IV_TRUE | cnt/SndSlow | IV_FALSE | cnt/Credits<0> | IV_FALSE | cnt/Credits<1> | IV_FALSE | cnt/Credits<3> | IV_FALSE | cnt/Credits<2> | IV_TRUE | WS | IV_FALSE | cnt/C8Mr<0> | IV_TRUE | cnt/C8Mr<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/Credits<4>.EXP | 11784 | ? | 0 | 0 | cnt/Credits<4> | NULL | NULL | cnt/Credits<4>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | cnt/SndSlow | IV_TRUE | cnt/Credits<5> +SPPTERM | 8 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_TRUE | cnt/Credits<3> | IV_TRUE | cnt/Credits<4> | IV_TRUE | cnt/Credits<2> | IV_FALSE | WS | IV_TRUE | cnt/C8Mr<0> + +SRFF_INSTANCE | cnt/Credits<4>.REG | cnt/Credits<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Credits<4>.D | 11296 | ? | 0 | 0 | cnt/Credits<4> | NULL | NULL | cnt/Credits<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Credits<4>.Q | 11299 | ? | 0 | 0 | cnt/Credits<4> | NULL | NULL | cnt/Credits<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 11301 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 11302 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 11303 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<10>.D | 11300 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 11303 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 11304 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 11306 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 11307 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 11308 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<1>.D | 11305 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 11308 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 11309 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 11311 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 11312 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 11313 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<2>.D | 11310 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 11313 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 11314 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 11316 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 11317 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 11318 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<3>.D | 11315 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 11318 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 11319 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 11321 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 11322 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 11323 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<4>.D | 11320 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 11323 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 11324 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 11326 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 11327 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 11328 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<5>.D | 11325 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 11328 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 11329 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 11331 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 11332 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 11333 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<6>.D | 11330 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 11333 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 11334 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 11336 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 11337 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 11338 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<7>.D | 11335 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 11338 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 11339 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 11341 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 11342 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 11343 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<8>.D | 11340 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 11343 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 11344 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 11346 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 11347 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 11348 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<9>.D | 11345 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 11348 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 11349 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/STimer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<1> | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<1>.Q | cnt/STimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 11837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 11838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/STimer<0>.SI | cnt/STimer<0> | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<1> | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<1>.Q | cnt/STimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 11837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 11838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/STimer<0>.D1 | 11351 | ? | 0 | 4096 | cnt/STimer<0> | NULL | NULL | cnt/STimer<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/STimer<0>.D2 | 11352 | ? | 0 | 4096 | cnt/STimer<0> | NULL | NULL | cnt/STimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/STimer<0> +SPPTERM | 2 | IV_TRUE | cnt/STimer<0> | IV_FALSE | cnt/TimerTC +SPPTERM | 2 | IV_TRUE | cnt/STimer<0> | IV_TRUE | cnt/Er<0> +SPPTERM | 2 | IV_TRUE | cnt/STimer<0> | IV_FALSE | cnt/Er<1> +SPPTERM | 5 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/STimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/STimer<0>.REG | cnt/STimer<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/STimer<0>.D | 11350 | ? | 0 | 0 | cnt/STimer<0> | NULL | NULL | cnt/STimer<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/STimer<0>.Q | 11353 | ? | 0 | 0 | cnt/STimer<0> | NULL | NULL | cnt/STimer<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/STimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<1> | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<1>.Q | cnt/STimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow.EXP | 11845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.EXP | cnt/SndSlow | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/STimer<1> | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<1>.Q | cnt/STimer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/STimer<1>.SI | cnt/STimer<1> | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<1> | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<1>.Q | cnt/STimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow.EXP | 11845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.EXP | cnt/SndSlow | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/STimer<1>.D1 | 11355 | ? | 0 | 4096 | cnt/STimer<1> | NULL | NULL | cnt/STimer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/STimer<1>.D2 | 11356 | ? | 0 | 4096 | cnt/STimer<1> | NULL | NULL | cnt/STimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 1 | IV_TRUE | cnt/SndSlow.EXP +SPPTERM | 2 | IV_FALSE | cnt/STimer<0> | IV_FALSE | cnt/STimer<1> +SPPTERM | 2 | IV_FALSE | cnt/STimer<1> | IV_FALSE | cnt/TimerTC +SPPTERM | 2 | IV_FALSE | cnt/STimer<1> | IV_TRUE | cnt/Er<0> +SPPTERM | 2 | IV_FALSE | cnt/STimer<1> | IV_FALSE | cnt/Er<1> +SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/STimer<1>.REG | cnt/STimer<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/STimer<1>.D | 11354 | ? | 0 | 0 | cnt/STimer<1> | NULL | NULL | cnt/STimer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/STimer<1>.Q | 11357 | ? | 0 | 0 | cnt/STimer<1> | NULL | NULL | cnt/STimer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 11359 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 11360 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> +SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 11361 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<1>.D | 11358 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 11361 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 11362 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 11019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 11019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 11019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 11364 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 11365 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> +SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 11366 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<2>.D | 11363 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 11366 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 11367 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | SndReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SndReady | 11020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | SndReady.Q | SndReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<6> | 11022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.Q | cnt/Credits<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | SndReady | 11020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | SndReady.Q | SndReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | SndReady.SI | SndReady | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SndReady | 11020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | SndReady.Q | SndReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<6> | 11022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.Q | cnt/Credits<6> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | SndReady.D1 | 11369 | ? | 0 | 4096 | SndReady | NULL | NULL | SndReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | SndReady.D2 | 11370 | ? | 0 | 4096 | SndReady | NULL | NULL | SndReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | cnt/SndSlow +SPPTERM | 2 | IV_TRUE | SndReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 2 | IV_TRUE | SndReady | IV_TRUE | fsb/ASrf +SPPTERM | 4 | IV_FALSE | cnt/Credits<5> | IV_FALSE | cnt/Credits<3> | IV_FALSE | cnt/Credits<4> | IV_FALSE | cnt/Credits<6> + +SRFF_INSTANCE | SndReady.REG | SndReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | SndReady.D | 11368 | ? | 0 | 0 | SndReady | NULL | NULL | SndReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | SndReady.Q | 11371 | ? | 0 | 0 | SndReady | NULL | NULL | SndReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Credits<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Credits<2>.SI | cnt/Credits<2> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Credits<2>.D1 | 11373 | ? | 0 | 4096 | cnt/Credits<2> | NULL | NULL | cnt/Credits<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Credits<2>.D2 | 11374 | ? | 0 | 4096 | cnt/Credits<2> | NULL | NULL | cnt/Credits<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/SndSlow | IV_TRUE | cnt/Credits<2> +SPPTERM | 5 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_FALSE | WS | IV_TRUE | cnt/C8Mr<0> +SPPTERM | 5 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/Credits<0> | IV_TRUE | cnt/Credits<1> | IV_FALSE | WS | IV_FALSE | cnt/C8Mr<1> +SPPTERM | 6 | IV_TRUE | cnt/SndSlow | IV_FALSE | cnt/Credits<0> | IV_FALSE | cnt/Credits<1> | IV_TRUE | WS | IV_FALSE | cnt/C8Mr<0> | IV_TRUE | cnt/C8Mr<1> + +SRFF_INSTANCE | cnt/Credits<2>.REG | cnt/Credits<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Credits<2>.D | 11372 | ? | 0 | 0 | cnt/Credits<2> | NULL | NULL | cnt/Credits<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Credits<2>.Q | 11375 | ? | 0 | 0 | cnt/Credits<2> | NULL | NULL | cnt/Credits<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Credits<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 24 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SndReady | 11020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | SndReady.Q | SndReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5>.EXP | 11790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.EXP | cnt/Credits<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Credits<6> | 11022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.Q | cnt/Credits<6> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/Credits<6>.EXP | 11789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.EXP | cnt/Credits<6> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/Credits<6>.SI | cnt/Credits<6> | 0 | 23 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5> | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.Q | cnt/Credits<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<0> | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<0>.Q | cnt/Credits<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<1> | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<1>.Q | cnt/Credits<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<3> | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<3>.Q | cnt/Credits<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<4> | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<4>.Q | cnt/Credits<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<2> | 11021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<2>.Q | cnt/Credits<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | SndReady | 11020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | SndReady.Q | SndReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<5>.EXP | 11790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<5>.EXP | cnt/Credits<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Credits<6>.D1 | 11377 | ? | 0 | 4096 | cnt/Credits<6> | NULL | NULL | cnt/Credits<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Credits<6>.D2 | 11378 | ? | 0 | 4096 | cnt/Credits<6> | NULL | NULL | cnt/Credits<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Credits<5>.EXP +SPPTERM | 10 | IV_TRUE | cnt/SndSlow | IV_FALSE | cnt/Credits<5> | IV_FALSE | cnt/Credits<0> | IV_FALSE | cnt/Credits<1> | IV_FALSE | cnt/Credits<3> | IV_FALSE | cnt/Credits<4> | IV_FALSE | cnt/Credits<2> | IV_TRUE | WS | IV_FALSE | cnt/C8Mr<0> | IV_TRUE | cnt/C8Mr<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/Credits<6>.EXP | 11782 | ? | 0 | 0 | cnt/Credits<6> | NULL | NULL | cnt/Credits<6>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | SndReady | IV_FALSE | IOReady +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | SndReady | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | IOReady +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF +SPPTERM | 9 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IOReady | IV_FALSE | ALE1 + +SRFF_INSTANCE | cnt/Credits<6>.REG | cnt/Credits<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Credits<6>.D | 11376 | ? | 0 | 0 | cnt/Credits<6> | NULL | NULL | cnt/Credits<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Credits<6>.Q | 11379 | ? | 0 | 0 | cnt/Credits<6> | NULL | NULL | cnt/Credits<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BACTr<1> | 11088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<1>.Q | fsb/BACTr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BACTr<2> | 11104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<2>.Q | fsb/BACTr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 11023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<11>.EXP | 11787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.EXP | cnt/LTimer<11> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 18 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BACTr<1> | 11088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<1>.Q | fsb/BACTr<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BACTr<2> | 11104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<2>.Q | fsb/BACTr<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 11381 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 11382 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<11>.EXP | 11780 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | BACTr | IV_TRUE | fsb/BACTr<1> | IV_TRUE | fsb/BACTr<2> +SPPTERM | 4 | IV_TRUE | BACTr | IV_TRUE | fsb/BACTr<1> | IV_TRUE | fsb/ASrf | IV_TRUE | fsb/BACTr<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 11383 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<11>.D | 11380 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 11383 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 11384 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 11019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 11019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 11386 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 11387 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefClk | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 11388 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimerTC.D | 11385 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/TimerTC.CE | 11388 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 11389 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_FSB_OBUF.EXP | 11814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_FSB_OBUF.EXP | 11814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 11391 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 11392 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nVPA_FSB_OBUF.EXP +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IORW1.EXP | 11802 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 11216 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 11390 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 11219 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 11393 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/nRefClkR | 11064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nRefClkR.Q | ram/nRefClkR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 11221 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 11222 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq -SPPTERM | 4 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 - -SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 11220 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 11223 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 11622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IONPReady.EXP | 11621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | ram/RefReq.EXP | 11866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 14 | 3 +SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | ram/nRefClkR | 11064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nRefClkR.Q | ram/nRefClkR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 11622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IONPReady.D1 | 11225 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReq.D1 | 11395 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IONPReady.D2 | 11226 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady +SIGNAL | NODE | ram/RefReq.D2 | 11396 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | RefClk | IV_FALSE | ram/nRefClkR +SPPTERM | 3 | IV_TRUE | RefClk | IV_TRUE | ram/RefReq | IV_TRUE | ram/nRefClkR +SPPTERM | 5 | IV_TRUE | ram/RefReq | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IONPReady.EXP | 11607 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SIGNAL | NODE | ram/RefReq.EXP | 11854 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 +SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IONPReady.D | 11224 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +NODE | ram/RefReq.D | 11394 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IONPReady.Q | 11227 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q +NODE | ram/RefReq.Q | 11397 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 11106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.UIM | 11036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg.EXP | 11870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.EXP | ram/RefUrg | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IOReady.EXP | 11871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.EXP | IOReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | IOReady.SI | IOReady | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 11106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.UIM | 11036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg.EXP | 11870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.EXP | ram/RefUrg | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOReady.D1 | 11399 | ? | 0 | 4096 | IOReady | NULL | NULL | IOReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOReady.D2 | 11400 | ? | 0 | 4096 | IOReady | NULL | NULL | IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RefUrg.EXP +SPPTERM | 3 | IV_TRUE | IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_TRUE | iobs/Sent | IV_FALSE | IOReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/IODONEr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IOReady.EXP | 11859 | ? | 0 | 0 | IOReady | NULL | NULL | IOReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM +SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | IOReady.REG | IOReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOReady.D | 11398 | ? | 0 | 0 | IOReady | NULL | NULL | IOReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOReady.Q | 11401 | ? | 0 | 0 | IOReady | NULL | NULL | IOReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 11023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 11029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 11023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 11403 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 11404 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 11405 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimerTC.D | 11402 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 11405 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 11406 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 11076 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 11198 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 11030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 11030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 11070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 11031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 11030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 11070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 11229 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 11408 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 11230 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 11409 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 11231 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 11410 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 11228 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 11407 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 11231 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 11410 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 11232 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 11411 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 11077 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 11199 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 11032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 11032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 11070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 11033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 11032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 11070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 11234 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 11413 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 11235 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 11414 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 11236 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 11415 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 11233 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 11412 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 11236 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 11415 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 11237 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 11416 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 3 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | IOReady.EXP | 11871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.EXP | IOReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 10912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 11035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 11036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 11711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 15 | 3 +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | IOReady.EXP | 11871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.EXP | IOReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 11239 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 11418 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 11240 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 11419 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOReady.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11696 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11860 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | BACTr +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | BACTr +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 11238 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 11417 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 11241 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 11420 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 10914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 11037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nVPA_FSB_OBUF.EXP | 11814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 16 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 11243 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 11422 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 11244 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 11423 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IOReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IOReady | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11245 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11424 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nVPA_FSB_OBUF.EXP | 11801 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 11242 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 11421 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11245 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11424 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 11246 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 11425 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASrf | 11108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASrr | 11109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 10915 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 11705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF | 11038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASrf | 11108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASrr | 11109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 11248 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 11427 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 11249 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 11690 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | nRAS_OBUF.D2 | 11428 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RASrf +SPPTERM | 1 | IV_TRUE | ram/RASrr +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RASEN SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 11247 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAS_OBUF.D | 11426 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 11250 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 11429 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6.EXP | 11873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 10916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 11039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 11040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 11713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6.EXP | 11873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 11252 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 11431 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 11253 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 11432 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11698 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11862 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | ram/RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | ram/RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | BACTr | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | ram/RefReq | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | BACTr | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | ram/RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | BACTr | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | ram/RefReq | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | BACTr | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 11251 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 11430 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 11254 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 11433 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 11114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$Q | 10918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 11041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF.EXP | 11839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 11114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF.D1 | 11256 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF.D1 | 11435 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF.D2 | 11257 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF.D2 | 11436 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF.EXP | 11826 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF SRFF_INSTANCE | RA_11_OBUF.REG | RA_11_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF.D | 11255 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF.D | 11434 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF.Q | 11258 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF.Q | 11437 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 3 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 11098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS.EXP | 11788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.EXP | WS | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<6>.EXP | 11789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.EXP | cnt/Credits<6> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF$Q | 10919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF$Q | 11042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDTACK_FSB_OBUF.EXP | 11626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF | 11043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 6 | 3 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 11098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS.EXP | 11788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.EXP | WS | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Credits<6>.EXP | 11789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Credits<6>.EXP | cnt/Credits<6> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11260 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11439 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11261 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDTACK_FSB_OBUF.EXP | 11612 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> -SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11440 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | WS.EXP +SPPTERM | 1 | IV_TRUE | cnt/Credits<6>.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IOReady +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IOReady +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IOReady +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IOReady | IV_FALSE | RAMReady SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 11259 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 11438 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 11262 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 11441 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 14 | 2 +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 11264 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 11443 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 11265 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd5 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | ram/RASEL | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 +SIGNAL | NODE | ram/RASEL.D2 | 11444 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 11263 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 11442 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 11266 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 11445 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 11268 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 11447 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 11269 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 11448 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 11267 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 11446 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 11270 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 11449 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 11815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 11816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/TS_FSM_FFd2.EXP | 11703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 11815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 11816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 11272 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 11451 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 11273 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 11452 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP +SPPTERM | 1 | IV_TRUE | EXP11_.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11688 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 11271 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 11450 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 11274 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 11453 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 11276 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 11455 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 11277 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 11456 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 11275 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 11454 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 11278 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 11457 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 11280 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 11459 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 11281 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 11460 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 11279 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 11458 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 11282 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 11461 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/C8Mr.D1 | 11284 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 11463 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/C8Mr.D2 | 11285 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 11464 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | C8M_IBUF SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/C8Mr.D | 11283 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +NODE | iobm/C8Mr.D | 11462 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/C8Mr.Q | 11286 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 11465 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 11079 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 11201 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 11288 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 11467 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 11289 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 11468 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 11287 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 11466 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 11290 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 11469 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 11292 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 11471 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 11293 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 11472 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 11291 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 11470 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 11294 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady.EXP | 11674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady.EXP | 11674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11296 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11297 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | RAMReady.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd7.D | 11295 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd7.Q | 11298 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 11473 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 11300 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 11475 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 11301 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 11476 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 11299 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 11474 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 11302 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 11477 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11304 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11479 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11305 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11480 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 11303 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 11478 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 11306 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 11481 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11308 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11483 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11309 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11484 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 11307 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 11482 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 11310 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 11485 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 +MACROCELL_INSTANCE | PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155872768 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_FSB_OBUF.EXP | 11872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/IS_FSM_FFd1.EXP | 11714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 24 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_FSB_OBUF.EXP | 11872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11312 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 11487 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11313 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11699 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 11488 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 2 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd4 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_FALSE | ram/RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd1.D | 11311 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd8.D | 11486 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd1.Q | 11314 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11316 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11317 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC - -SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd2.D | 11315 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd2.Q | 11318 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd8.Q | 11489 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 11320 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 11491 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 11321 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 11492 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er @@ -3676,48 +4016,48 @@ SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 11319 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 11490 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 11322 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 11493 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 11057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 11050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 11052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 11056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 11324 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 11495 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 11325 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 11496 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er @@ -3725,448 +4065,484 @@ SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES< SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 11323 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 11494 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 11326 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 11497 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 21 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 11029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 11087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd3.EXP | 11606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 10 | 3 +SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 11029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/nIPL2r | 11087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11328 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11499 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11329 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd4 | IV_TRUE | ram/DTACKr +SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11500 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 11605 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11796 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 11327 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | cnt/IS_FSM_FFd1.D | 11498 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 11330 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd1.Q | 11501 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 11029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASEN.EXP | 11666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASEN.SI | ram/RASEN | 0 | 14 | 3 +SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 11029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEN.D1 | 11332 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11503 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEN.D2 | 11333 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11504 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/IS_FSM_FFd2.D | 11502 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd2.Q | 11505 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_11_OBUF$BUF0.EXP | 11865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RASEN.EXP | 11864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RASEN.SI | ram/RASEN | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_11_OBUF$BUF0.EXP | 11865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEN.D1 | 11507 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEN.D2 | 11508 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 +SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP +SPPTERM | 2 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd4 +SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | BACTr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASEN.EXP | 11653 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/BACTr +SIGNAL | NODE | ram/RASEN.EXP | 11852 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd7 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEN.REG | ram/RASEN | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEN.D | 11331 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F +NODE | ram/RASEN.D | 11506 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEN.Q | 11334 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEN.Q | 11509 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11336 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11511 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11337 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11512 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 11335 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 11510 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 11338 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 11513 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 3 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11340 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11515 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11341 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd5 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd4 | IV_FALSE | ram/DTACKr +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11516 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd4.D | 11339 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd4.D | 11514 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd4.Q | 11342 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 11517 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/nRefClkR | 11064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nRefClkR.Q | ram/nRefClkR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd5.EXP | 11628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.EXP | ram/RS_FSM_FFd5 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd7.EXP | 11869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 18 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | ram/nRefClkR | 11064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nRefClkR.Q | ram/nRefClkR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11344 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11519 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11345 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP +SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11520 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP +SPPTERM | 2 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SPPTERM | 2 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd8 +SPPTERM | 2 | IV_FALSE | ram/RefUrg | IV_TRUE | BACTr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd5.EXP | 11614 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | ram/RS_FSM_FFd7.EXP | 11857 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | RefClk | IV_TRUE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_TRUE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/nRefClkR | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd5.D | 11343 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd7.D | 11518 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd5.Q | 11346 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd7.Q | 11521 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/nRefClkR | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 11606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/nRefClkR | 11064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nRefClkR.Q | ram/nRefClkR | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 10 | 2 +SIGNAL_INSTANCE | ram/nRefClkR.SI | ram/nRefClkR | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 11606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | RefClk | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefClk.Q | RefClk | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11348 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/nRefClkR.D1 | 11523 | ? | 0 | 4096 | ram/nRefClkR | NULL | NULL | ram/nRefClkR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11349 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP -SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RASEN -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf +SIGNAL | NODE | ram/nRefClkR.D2 | 11524 | ? | 0 | 4096 | ram/nRefClkR | NULL | NULL | ram/nRefClkR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | RefClk -SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 +SRFF_INSTANCE | ram/nRefClkR.REG | ram/nRefClkR | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd6.D | 11347 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | ram/nRefClkR.D | 11522 | ? | 0 | 0 | ram/nRefClkR | NULL | NULL | ram/nRefClkR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd6.Q | 11350 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | ram/nRefClkR.Q | 11525 | ? | 0 | 0 | ram/nRefClkR | NULL | NULL | ram/nRefClkR.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | BACTr.SI | BACTr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BACTr.D1 | 11527 | ? | 0 | 4096 | BACTr | NULL | NULL | BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BACTr.D2 | 11528 | ? | 0 | 4096 | BACTr | NULL | NULL | BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | BACTr.REG | BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | BACTr.D | 11526 | ? | 0 | 0 | BACTr | NULL | NULL | BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | BACTr.Q | 11529 | ? | 0 | 0 | BACTr | NULL | NULL | BACTr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 11066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 11352 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 11531 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 11353 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 11532 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 @@ -4176,347 +4552,451 @@ SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 11351 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 11530 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 11354 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<0>.D1 | 11356 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<0>.D2 | 11357 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | cnt/WS<0> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cnt/WS<0>.REG | cnt/WS<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<0>.D | 11355 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<0>.Q | 11358 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 11533 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11360 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11535 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11361 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11536 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 11359 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 11534 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 11362 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 11537 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11364 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11539 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11365 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11540 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 11363 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 11538 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 11366 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 11541 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 11066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 11066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 11368 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 11543 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 11369 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 11544 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 11367 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 11542 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 11370 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 11545 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 21 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 11040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5.EXP | 11628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.EXP | ram/RS_FSM_FFd5 | 4 | 0 | MC_EXPORT +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1.EXP | 11809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 11070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Load1.EXP | 11629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +NODE | iobs/Load1.EXP | 11808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 19 | 3 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 11040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5.EXP | 11628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.EXP | ram/RS_FSM_FFd5 | 4 | 0 | MC_EXPORT +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1.EXP | 11809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 11372 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 11547 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 11373 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd5.EXP -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Load1.D2 | 11548 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/IS_FSM_FFd1.EXP +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Load1.EXP | 11615 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Load1.EXP | 11795 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 11371 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 11546 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 11374 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 11549 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/DTACKr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 11043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/DTACKr.SI | ram/DTACKr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 11043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/DTACKr.D1 | 11376 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/DTACKr.D1 | 11551 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/DTACKr.D2 | 11377 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/DTACKr.D2 | 11552 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_FSB_OBUF SRFF_INSTANCE | ram/DTACKr.REG | ram/DTACKr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/DTACKr.D | 11375 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.XOR | 0 | 7 | ALU_F +NODE | ram/DTACKr.D | 11550 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/DTACKr.Q | 11378 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.REG | 0 | 8 | SRFF_Q +NODE | ram/DTACKr.Q | 11553 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11380 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11555 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11381 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11556 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 11379 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 11554 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 11382 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 11557 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11559 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11560 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 11558 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 11561 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11563 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11564 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 2 | IV_FALSE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 + +SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd5.D | 11562 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd5.Q | 11565 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 11040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 11087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd6.EXP | 11873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 11040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 11087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11567 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11568 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd6.EXP | 11861 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r + +SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd6.D | 11566 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 11569 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 11030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 11031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 11716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 11030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 11031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 11716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 11384 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 11571 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 11385 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 11572 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP14_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 @@ -4524,63 +5004,63 @@ SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 11383 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 11570 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 11386 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 11573 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 11032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 11033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 11712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 11032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 11033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 11712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 11388 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 11575 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 11389 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 11576 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 1 | IV_TRUE | EXP13_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | IOU0 | IV_TRUE | ALE1 @@ -4588,232 +5068,161 @@ SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 11387 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 11574 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 11390 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 11577 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | WS | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | SndReady | 11020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | SndReady.Q | SndReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11>.EXP | 11787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.EXP | cnt/LTimer<11> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<1>.EXP | 11627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | WS.EXP | 11788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.EXP | WS | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 9 | 3 +SIGNAL_INSTANCE | WS.SI | WS | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | SndReady | 11020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | SndReady.Q | SndReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | IOReady | 11028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOReady.Q | IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11>.EXP | 11787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.EXP | cnt/LTimer<11> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<1>.D1 | 11392 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | WS.D1 | 11579 | ? | 0 | 4096 | WS | NULL | NULL | WS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<1>.D2 | 11393 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> -SPPTERM | 2 | IV_FALSE | cnt/WS<0> | IV_FALSE | cnt/WS<1> +SIGNAL | NODE | WS.D2 | 11580 | ? | 0 | 4096 | WS | NULL | NULL | WS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<11>.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<1>.EXP | 11613 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | WS.EXP | 11781 | ? | 0 | 0 | WS | NULL | NULL | WS.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | SndReady | IV_FALSE | IOReady +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | SndReady | IV_FALSE | IOReady +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | SndReady | IV_FALSE | IOReady +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | SndReady | IV_FALSE | IOReady +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | SndReady | IV_FALSE | IOReady -SRFF_INSTANCE | cnt/WS<1>.REG | cnt/WS<1> | 0 | 2 | 1 +SRFF_INSTANCE | WS.REG | WS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<1>.D | 11391 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F +NODE | WS.D | 11578 | ? | 0 | 0 | WS | NULL | NULL | WS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<1>.Q | 11394 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 11626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<2>.EXP | 11625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/WS<2>.SI | cnt/WS<2> | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 11626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<2>.D1 | 11396 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<2>.D2 | 11397 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDTACK_FSB_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<2>.EXP | 11611 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/WS<3>.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | QoSReady -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady - -SRFF_INSTANCE | cnt/WS<2>.REG | cnt/WS<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<2>.D | 11395 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<2>.Q | 11398 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q +NODE | WS.Q | 11581 | ? | 0 | 0 | WS | NULL | NULL | WS.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 11081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 11081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IORDREQr.D1 | 11400 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 11583 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IORDREQr.D2 | 11401 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IORDREQr.D2 | 11584 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IORDREQr.D | 11399 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 11582 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IORDREQr.Q | 11402 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 11585 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 11080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 11080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 11080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 11404 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 11587 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 11405 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 11588 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 @@ -4822,157 +5231,137 @@ SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FA SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 11403 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 11586 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 11406 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 11589 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IORDREQ | 11081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nDinOE_OBUF.EXP | 11811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IORDREQ.EXP | 11631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | IORDREQ | 11081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 12 | 3 +SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IORDREQ | 11081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 11811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORDREQ.D1 | 11408 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 11591 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORDREQ.D2 | 11409 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SIGNAL | NODE | IORDREQ.D2 | 11592 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IORDREQ.EXP | 11617 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORDREQ.D | 11407 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +NODE | IORDREQ.D | 11590 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORDREQ.Q | 11410 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 11593 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 11082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 11840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 11841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 11082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 11082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 11069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 11840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 11841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOWRREQ.D1 | 11412 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 11595 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOWRREQ.D2 | 11413 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SIGNAL | NODE | IOWRREQ.D2 | 11596 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP SPPTERM | 3 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | IOWRREQ SPPTERM | 3 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOWRREQ SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 @@ -4981,492 +5370,278 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FS SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOWRREQ.D | 11411 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +NODE | IOWRREQ.D | 11594 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOWRREQ.Q | 11414 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 11597 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | QoSReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/C8Mr<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | QoSReady.SI | QoSReady | 0 | 10 | 2 +SIGNAL_INSTANCE | cnt/C8Mr<0>.SI | cnt/C8Mr<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | C8M_IBUF | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | QoSReady.D1 | 11416 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/C8Mr<0>.D1 | 11599 | ? | 0 | 4096 | cnt/C8Mr<0> | NULL | NULL | cnt/C8Mr<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | QoSReady.D2 | 11417 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IONPReady.EXP -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SIGNAL | NODE | cnt/C8Mr<0>.D2 | 11600 | ? | 0 | 4096 | cnt/C8Mr<0> | NULL | NULL | cnt/C8Mr<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | C8M_IBUF -SRFF_INSTANCE | QoSReady.REG | QoSReady | 0 | 2 | 1 +SRFF_INSTANCE | cnt/C8Mr<0>.REG | cnt/C8Mr<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | QoSReady.D | 11415 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F +NODE | cnt/C8Mr<0>.D | 11598 | ? | 0 | 0 | cnt/C8Mr<0> | NULL | NULL | cnt/C8Mr<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | QoSReady.Q | 11418 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RAMReady.EXP | 11674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RAMReady.D1 | 11420 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RAMReady.D2 | 11421 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_TRUE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_TRUE | ram/RS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RAMReady.EXP | 11661 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RAMReady.D | 11419 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RAMReady.Q | 11422 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q +NODE | cnt/C8Mr<0>.Q | 11601 | ? | 0 | 0 | cnt/C8Mr<0> | NULL | NULL | cnt/C8Mr<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 11424 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 11603 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 11425 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 11604 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 11423 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 11602 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 11426 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 11623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<3>.EXP | 11624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 11623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<3>.D1 | 11428 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<3>.D2 | 11429 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<3> | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<3>.EXP | 11610 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 - -SRFF_INSTANCE | cnt/WS<3>.REG | cnt/WS<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<3>.D | 11427 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<3>.Q | 11430 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 11605 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 11080 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 11202 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 11086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 11086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 11087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 11086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 11432 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 11607 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 11433 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 11608 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 11431 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 11606 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 11434 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 11609 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/BACTr<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BACTr<1> | 11088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<1>.Q | fsb/BACTr<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/BACTr<1>.SI | fsb/BACTr<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BACTr<1>.D1 | 11611 | ? | 0 | 4096 | fsb/BACTr<1> | NULL | NULL | fsb/BACTr<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BACTr<1>.D2 | 11612 | ? | 0 | 4096 | fsb/BACTr<1> | NULL | NULL | fsb/BACTr<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | BACTr + +SRFF_INSTANCE | fsb/BACTr<1>.REG | fsb/BACTr<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BACTr<1>.D | 11610 | ? | 0 | 0 | fsb/BACTr<1> | NULL | NULL | fsb/BACTr<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BACTr<1>.Q | 11613 | ? | 0 | 0 | fsb/BACTr<1> | NULL | NULL | fsb/BACTr<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 11089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 11089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/DoutOE.EXP | 11678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 11089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 11436 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 11615 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 11437 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 11616 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/DoutOE.EXP | 11675 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/DoutOE.EXP | 11846 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 11435 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 11614 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 11438 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 11617 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 11090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 11084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 11440 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 11619 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 11441 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 11620 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 11439 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 11618 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 11442 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 11621 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 11091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 11091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 11049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 11053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 11091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS0.D1 | 11444 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 11623 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS0.D2 | 11445 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS0.D2 | 11624 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE @@ -5475,3511 +5650,2823 @@ SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_F SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS0.D | 11443 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 11622 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS0.Q | 11446 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 11625 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 11082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 11082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOWRREQr.D1 | 11448 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 11627 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOWRREQr.D2 | 11449 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 11628 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOWRREQ SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOWRREQr.D | 11447 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 11626 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOWRREQr.Q | 11450 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 11629 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 11081 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 11203 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 11093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 11093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 11094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 11093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 11452 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 11631 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 11453 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 11632 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 11451 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 11630 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 11454 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 11456 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 11457 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 11455 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 11458 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrr.D1 | 11460 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrr.D2 | 11461 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP -SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RASEN -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrr.D | 11459 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrr.Q | 11462 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 11633 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 11097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 11464 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 11635 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 11465 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 11636 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 11463 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 11634 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 11466 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 11637 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF.EXP | 11874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RAMReady | 11098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF.EXP | 11874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RAMReady.D1 | 11639 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RAMReady.D2 | 11640 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 5 | IV_TRUE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 5 | IV_TRUE | ram/RefUrg | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 + +SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RAMReady.D | 11638 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RAMReady.Q | 11641 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/C8Mr<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/C8Mr<1>.SI | cnt/C8Mr<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/C8Mr<1>.D1 | 11643 | ? | 0 | 4096 | cnt/C8Mr<1> | NULL | NULL | cnt/C8Mr<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/C8Mr<1>.D2 | 11644 | ? | 0 | 4096 | cnt/C8Mr<1> | NULL | NULL | cnt/C8Mr<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/C8Mr<0> + +SRFF_INSTANCE | cnt/C8Mr<1>.REG | cnt/C8Mr<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/C8Mr<1>.D | 11642 | ? | 0 | 0 | cnt/C8Mr<1> | NULL | NULL | cnt/C8Mr<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/C8Mr<1>.Q | 11645 | ? | 0 | 0 | cnt/C8Mr<1> | NULL | NULL | cnt/C8Mr<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 11468 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 11647 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 11469 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 11648 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 11467 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 11646 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 11470 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 11472 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 11473 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> - -SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 11471 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 11474 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 11649 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 11476 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 11651 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 11477 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 11652 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 11475 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 11650 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 11478 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 11653 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/BACTr<2> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/BACTr<1> | 11088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<1>.Q | fsb/BACTr<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 11622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | fsb/BACTr<2> | 11104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<2>.Q | fsb/BACTr<2> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 17 | 3 +SIGNAL_INSTANCE | fsb/BACTr<2>.SI | fsb/BACTr<2> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/BACTr<1> | 11088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/BACTr<1>.Q | fsb/BACTr<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 11480 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BACTr<2>.D1 | 11655 | ? | 0 | 4096 | fsb/BACTr<2> | NULL | NULL | fsb/BACTr<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 11481 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BACTr<2>.D2 | 11656 | ? | 0 | 4096 | fsb/BACTr<2> | NULL | NULL | fsb/BACTr<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/BACTr<1> + +SRFF_INSTANCE | fsb/BACTr<2>.REG | fsb/BACTr<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BACTr<2>.D | 11654 | ? | 0 | 0 | fsb/BACTr<2> | NULL | NULL | fsb/BACTr<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BACTr<2>.Q | 11657 | ? | 0 | 0 | fsb/BACTr<2> | NULL | NULL | fsb/BACTr<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 11105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 11659 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 11660 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 11608 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 11479 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 11658 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 11482 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 11661 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODONEr | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 11106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IODONEr.SI | iobs/IODONEr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODONEr.D1 | 11484 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr.D1 | 11663 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODONEr.D2 | 11485 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IODONEr.D2 | 11664 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE SRFF_INSTANCE | iobs/IODONEr.REG | iobs/IODONEr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODONEr.D | 11483 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr.D | 11662 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODONEr.Q | 11486 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr.Q | 11665 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 11107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 11058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 11059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 11488 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 11667 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 11489 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 11668 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 11487 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 11666 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 11490 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 11669 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrf | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 11108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 11075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrf.D1 | 11492 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrf.D1 | 11671 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrf.D2 | 11493 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SIGNAL | NODE | ram/RASrf.D2 | 11672 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SRFF_INSTANCE | ram/RASrf.REG | ram/RASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrf.D | 11491 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F +NODE | ram/RASrf.D | 11670 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrf.Q | 11494 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrf.Q | 11673 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN.EXP | 11864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 11875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASrr | 11109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN.EXP | 11864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 11875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrr.D1 | 11675 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrr.D2 | 11676 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RASEN.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd7 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq | IV_FALSE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq | IV_FALSE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_FALSE | ram/RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASrr.D | 11674 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASrr.Q | 11677 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 11084 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 11208 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 11110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 11085 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 11209 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 11111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 11086 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 11210 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 11112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 11087 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 11211 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 11113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 11088 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 11212 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 11114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 11089 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 11213 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 11115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 11090 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 11214 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 11116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 11110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 11117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 11110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 11496 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 11679 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 11497 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 11680 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 11495 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 11678 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 11498 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 11681 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_10_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 11111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_10_OBUF | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 11118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_10_OBUF.SI | RA_10_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 11111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_10_OBUF.D1 | 11500 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_10_OBUF.D1 | 11683 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_10_OBUF.D2 | 11501 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_10_OBUF.D2 | 11684 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_10_OBUF.REG | RA_10_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_10_OBUF.D | 11499 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_10_OBUF.D | 11682 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_10_OBUF.Q | 11502 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_10_OBUF.Q | 11685 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 11112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 11685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF | 11119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 16 | 3 +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 11112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 11504 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 11687 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 11505 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 11688 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 11682 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 11503 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 11686 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 11506 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 11689 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 18 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 11111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 10994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 11120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 11670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 11841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 18 | 3 +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 11111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 11508 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 11691 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 11509 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SIGNAL | NODE | RA_2_OBUF.D2 | 11692 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 11657 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RASrr +SIGNAL | NODE | RA_2_OBUF.EXP | 11828 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 12 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 12 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 11507 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 11690 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 11510 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 11693 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 11113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 11121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 11668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 11838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 11113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 11512 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 11695 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 11513 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 11696 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 11655 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_4_OBUF.EXP | 11825 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 11511 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 11694 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 11514 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 11697 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | RA_11_OBUF.EXP | 11839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 11122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 11669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 11840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 13 | 3 +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | RA_11_OBUF.EXP | 11839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 11516 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 11699 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 11517 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF +SIGNAL | NODE | RA_5_OBUF.D2 | 11700 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_11_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 11656 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 11515 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 11518 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 10997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 11671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 11520 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 11521 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 11658 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 11519 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 11522 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 11524 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 11525 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 11523 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 11526 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 11528 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 11529 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 11527 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 11530 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 11532 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 11533 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 11531 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 11534 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 11633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 11536 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 11537 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 11619 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM - -SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 11535 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 11538 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11540 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11541 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S - -SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 11539 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 11542 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 11665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 11665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 11544 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 11545 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd6 -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd6 - -SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 11543 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 11546 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 11680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 11548 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 11549 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 11677 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 11547 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 11550 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN.EXP | 11666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 11665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN.EXP | 11666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 11552 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 11553 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nWE_FSB_IBUF -SPPTERM | 1 | IV_TRUE | ram/RASEN.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 11652 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 11551 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 11554 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 11556 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 11557 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 11559 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | nRESout - -SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 11555 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 11560 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q - -BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 11559 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_FALSE | nRESout -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 11558 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$BUF0 | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF$BUF0.EXP | 11702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11562 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11563 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11687 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF$BUF0.D | 11561 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF$BUF0.Q | 11564 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11566 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11567 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 11565 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 11568 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 24 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 11643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 23 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 11570 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 11571 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 11636 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 11569 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 11572 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 11574 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 11575 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 11573 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 11576 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 11578 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 11579 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE -SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE - -SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 11577 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 11580 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 11706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11582 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11583 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11691 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RASrr -SPPTERM | 1 | IV_TRUE | ram/RASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RASEN - -SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 11581 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 11584 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 11708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11586 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11587 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11693 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_5_OBUF.EXP | 11827 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 11585 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 11698 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 11588 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 11701 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_5_IBUF | 11115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 11123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 11662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 11842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_5_IBUF | 11115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 11590 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 11703 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 11591 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SIGNAL | NODE | RA_6_OBUF.D2 | 11704 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_13_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 11649 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_6_OBUF.EXP | 11829 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 11702 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 11705 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 11116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 11116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 11707 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 11708 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 11706 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 11709 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 11711 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 11712 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 11710 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 11713 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 11715 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 11716 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_15_IBUF + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 11714 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 11717 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | WS | 11078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | WS.Q | WS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<0> | 11083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<0>.Q | cnt/C8Mr<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/C8Mr<1> | 11099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/C8Mr<1>.Q | cnt/C8Mr<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 11719 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 11720 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMWE_OBUF.EXP | 11786 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | cnt/SndSlow | IV_FALSE | WS | IV_FALSE | cnt/C8Mr<0> | IV_TRUE | cnt/C8Mr<1> + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 11718 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 11721 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 11080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 11097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 11080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 11097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11723 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11724 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 11722 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 11725 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 11835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 11836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK- | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 11072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 11073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 11061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 11074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 11835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 11836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 11727 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 11728 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 2 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 + +SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nCAS_OBUF.D | 11726 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK- | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 11729 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 11066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 11048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 11051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 11047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 11066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 11067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 11068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 11731 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 11732 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 11848 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinLE_OBUF.D | 11730 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK- | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 11733 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 11836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 11071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 11735 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 11736 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nWE_FSB_IBUF +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | BACTr | IV_TRUE | ram/DTACKr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 11823 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 11734 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 11737 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRESout | 11107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | N0 | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | N0.EXP | 11812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.EXP | N0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | N0$OE | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | N0.SI | N0 | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRESout | 11107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 11739 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 11740 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11742 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | nRESout +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | N0.EXP | 11799 | ? | 0 | 0 | N0 | NULL | NULL | N0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | N0.D | 11738 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | N0.Q | 11743 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | N0.TRST | 11742 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | nRESout +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 11741 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq.EXP | 11866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq.EXP | 11866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11745 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11746 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RefReq.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11853 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_FALSE | ram/RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_11_OBUF$BUF0.D | 11744 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF$BUF0.Q | 11747 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 11105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 11070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 11135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 11105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 11070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11749 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11750 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 11748 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 11751 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1.EXP | 11808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1.EXP | 11808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 11753 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 11754 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 11794 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 11752 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 11755 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDoutOE_OBUF.EXP | 11810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 11139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 11811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 11026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDoutOE_OBUF.EXP | 11810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 11757 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 11758 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDoutOE_OBUF.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 11798 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 11756 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 11759 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DoutOE | 11089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 11091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 11140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF.EXP | 11810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DoutOE | 11089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 11079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 11091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 11092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 11761 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 11762 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE +SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDoutOE_OBUF.EXP | 11797 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDoutOE_OBUF.D | 11760 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 11763 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 11030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 11030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 11044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11765 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11766 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ram/RASEL + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 11764 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 11767 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 11867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 11867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11769 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11770 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11856 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg +SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 11768 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 11771 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 20 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 11834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 11143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 11833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 20 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 11834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 11773 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 11774 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 11820 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 11589 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 11772 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 11592 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 11775 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 11144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 11594 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 11777 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 11595 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 11778 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 11593 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 11776 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 11596 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 11779 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 11019 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 11145 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 11020 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 11146 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout$Q | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 11021 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 11147 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout$Q | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 11022 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 11148 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 10912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 11035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 11023 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 11149 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 10914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 11037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 11024 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 11150 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 10915 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 11038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 11025 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 11151 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 10916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 11039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 11026 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 11152 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$Q | 10918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 11041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 11027 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 11153 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF$Q | 10919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF$Q | 11042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 11028 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 11154 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 11117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 11029 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 11155 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_10_OBUF | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 11118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 11030 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 11156 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 11119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 11031 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 11157 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 10994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 11120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 11032 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 11158 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 11121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 11033 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 11159 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 11122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 11034 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 11160 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 10997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 11123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 11035 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 11161 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 11036 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT +NODE | RA<7> | 11162 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 11037 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<8> | 11163 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 11038 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 11164 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 11039 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 11165 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 11040 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 11166 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 11041 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 11167 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 11042 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT +NODE | nDinLE | 11168 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 11043 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 11169 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 11044 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 11170 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$BUF0 | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 11045 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 11171 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 11135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 11046 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 11172 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 11047 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 11173 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 11139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 11048 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 11174 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 11140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 11049 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 11175 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 11050 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 11176 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 11051 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 11177 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 11143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 11052 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 11178 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 11144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 11053 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 11179 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | SoftPfbk | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | WarpSE_COPY_0_COPY_0 | 2181038080 | 37 | 1 +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 37 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11598 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11599 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<9>.EXP -SPPTERM | 1 | IV_TRUE | cnt/LTimer<10>.EXP -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 14 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer<10> | IV_FALSE | cnt/LTimer<2> | IV_FALSE | cnt/LTimer<3> | IV_FALSE | cnt/LTimer<4> | IV_FALSE | cnt/LTimer<5> | IV_FALSE | cnt/LTimer<6> | IV_FALSE | cnt/LTimer<7> | IV_FALSE | cnt/LTimer<8> | IV_FALSE | cnt/LTimer<9> | IV_FALSE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF - -SRFF_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11597 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11600 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$346_INV$533 | WarpSE_COPY_0_COPY_0 | 2181038080 | 22 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | $OpTx$$OpTx$FX_DC$346_INV$533 | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$346_INV$533.SI | $OpTx$$OpTx$FX_DC$346_INV$533 | 0 | 22 | 3 +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 11045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$346_INV$533.D1 | 11602 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$346_INV$533.D2 | 11603 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11638 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$346_INV$533.REG | $OpTx$$OpTx$FX_DC$346_INV$533 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.D | 11601 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.Q | 11604 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1.EXP | 11629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 11630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1.EXP | 11629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 11616 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/Load1.EXP -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 11632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 11618 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 11800 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 11634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 11620 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM - -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 11642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 11635 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 11644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 11637 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE - -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | $OpTx$$OpTx$FX_DC$346_INV$533 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 11646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 23 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | $OpTx$$OpTx$FX_DC$346_INV$533 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 11639 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 11648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 11641 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 11663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 11650 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay - -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 11664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 11651 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd4 | IV_TRUE | ram/DTACKr -SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 11667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 11654 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 11672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 11659 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 11673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 11660 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | RAMReady -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 -SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 -SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 - -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 11704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 11689 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | EXP11_.EXP | 11803 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOU1 | 11033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 11707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 3 | 1 +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOU1 | 11033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IOU0 | 11077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 11692 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ram/RASEL - -MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 11709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 11694 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 11710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 11695 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 11712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 11697 | ? | 0 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SIGNAL | NODE | EXP12_.EXP | 11804 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 11805 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 11031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 11716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 11031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 11076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 11046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 11701 | ? | 0 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP +SIGNAL | NODE | EXP14_.EXP | 11806 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 11821 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_FALSE | cs/nOverlay +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF + +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 11027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 11822 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd4 +SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | BACTr +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_FALSE | ram/RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 11824 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF + +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 11842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 11843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 11025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 11095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 11101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 11102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 11096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 11103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 11842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 11830 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF + +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<1> | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<1>.Q | cnt/STimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 11843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/SndSlow | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/SndSlow.Q | cnt/SndSlow | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<0> | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<0>.Q | cnt/STimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 11024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 11100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/STimer<1> | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/STimer<1>.Q | cnt/STimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 11085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 11843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 11831 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/STimer<0> | IV_FALSE | cnt/TimerTC +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_TRUE | cnt/STimer<0> | IV_FALSE | cnt/Er<1> +SPPTERM | 3 | IV_TRUE | cnt/SndSlow | IV_FALSE | cnt/STimer<0> | IV_TRUE | cnt/STimer<1> +SPPTERM | 5 | IV_TRUE | cnt/STimer<0> | IV_FALSE | cnt/STimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 5 | IV_FALSE | cnt/STimer<0> | IV_TRUE | cnt/STimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 11063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 11065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 11060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 11034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 11062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 11863 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd7 | IV_TRUE | BACTr +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RS_FSM_FFd7 | IV_TRUE | BACTr +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd7 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd7 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd7 FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/RS_FSM_FFd2 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | ram/RS_FSM_FFd1 | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | ram/RASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/Er<1> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | ram/RefDone | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOU1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | iobs/IOL1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/Timer<0> | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | cnt/IS_FSM_FFd2 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | RefUrg | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | RefReq | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | cnt/Timer<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/Timer<2> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/nRefClkR | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RS_FSM_FFd4 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | ram/RASrf | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | nRESout | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobs/Clear1 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | cnt/LTimer<0> | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/C8Mr<0> | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | ALE0S | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | ram/RS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobs/TS_FSM_FFd1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | iobs/IOU1 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/TimerTC | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | cnt/Timer<0> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | cnt/IS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/Timer<1> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 99 | 51200 FBPIN | 3 | ram/DTACKr | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | iobs/IODONEr | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 @@ -8998,63 +8485,64 @@ FBPIN | 17 | IOBERR | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | QoSReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | IONPReady | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ALE0S | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobs/TS_FSM_FFd1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | cs/nOverlay | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/WS<2> | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 1 | fsb/BACTr<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | fsb/BACTr<1> | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/C8Mr<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | BACTr | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | cnt/LTimerTC | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | WS | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | cnt/WS<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ram/RS_FSM_FFd5 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | iobs/Load1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | EXP10_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | IORDREQ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | iobs/Sent | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | EXP11_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/Credits<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/Credits<5> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | cnt/Credits<4> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | cnt/Credits<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/Credits<2> | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | cnt/Credits<0> | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | SndReady | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | cnt/Credits<1> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/IS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | IORDREQ | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | nRESout | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | iobs/Sent | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | EXP10_ | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/WS<0> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | EXP15_ | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP16_ | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | iobs/IORW1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP11_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | EXP12_ | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP13_ | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | EXP14_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/STimer<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | EXP17_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | EXP15_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP16_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | ram/RASEN | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP19_ | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 7 | EXP17_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/STimer<0> | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_11_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | ram/RASrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | IOWRREQ | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP21_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP19_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | cnt/SndSlow | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 @@ -9092,29 +8580,29 @@ FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 FBPIN | 13 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 | 59 | 49152 FBPIN | 15 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 -FBPIN | 16 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 -FBPIN | 18 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | RefClk | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RASEN | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_11_OBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/RefReq | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RASEL | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | EXP23_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP25_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 9 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | ram/RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | IOReady | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP26_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | IOU0 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | cnt/IS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP27_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP20_ | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | ram/RASrr | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -9122,98 +8610,96 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RS_FSM_FFd3 | NULL | 2 | ram/DTACKr | NULL | 4 | cnt/Er<1> | NULL | 6 | ram/BACTr | NULL | 10 | cnt/Timer<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 11 | iobs/Load1 | NULL | 12 | nUDS_FSB | 33 | 14 | cnt/Timer<1> | NULL | 15 | cnt/Timer<3> | NULL | 16 | cnt/Timer<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | A_FSB<22> | 20 | 19 | cnt/IS_FSM_FFd1 | NULL | 22 | ram/RS_FSM_FFd2 | NULL | 23 | A_FSB<23> | 24 | 24 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 27 | ram/RS_FSM_FFd1 | NULL | 29 | nLDS_FSB | 30 | 33 | nAS_FSB | 32 | 34 | ram/RS_FSM_FFd6 | NULL | 36 | ram/RS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 37 | cnt/Er<0> | NULL | 41 | cnt/IS_FSM_FFd2 | NULL | 43 | ram/RS_FSM_FFd4 | NULL | 44 | ram/RefDone | NULL | 47 | ram/RS_FSM_FFd7 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 48 | RefReq | NULL | 49 | cnt/LTimerTC | NULL | 50 | ram/RASEN | NULL | 51 | cnt/TimerTC | NULL | 52 | RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | nLDS_FSB | 30 | 3 | cnt/IS_FSM_FFd1 | NULL | 4 | iobs/IOACTr | NULL | 6 | cnt/Er<1> | NULL | 9 | ram/RS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 10 | iobs/TS_FSM_FFd1 | NULL | 12 | iobs/TS_FSM_FFd2 | NULL | 13 | cnt/TimerTC | NULL | 15 | cnt/IS_FSM_FFd2 | NULL | 17 | RefClk | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 27 | nUDS_FSB | 33 | 32 | cnt/Er<0> | NULL | 33 | iobs/Load1 | NULL | 34 | cnt/Timer<2> | NULL | 37 | cnt/LTimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 43 | ram/RS_FSM_FFd6 | NULL | 45 | cnt/Timer<1> | NULL | 48 | C8M | 23 | 49 | cnt/Timer<0> | NULL | 51 | ram/DTACKr | NULL -FB_IMUX_INDEX | FOOBAR1_ | 0 | -1 | 20 | -1 | 4 | -1 | 60 | -1 | -1 | -1 | 10 | 47 | 272 | -1 | 14 | 15 | 16 | 230 | -1 | 141 | -1 | -1 | 1 | 240 | 63 | -1 | -1 | 2 | -1 | 260 | -1 | -1 | -1 | 268 | 17 | -1 | 46 | 32 | -1 | -1 | -1 | 11 | -1 | 6 | 5 | -1 | -1 | 72 | 13 | 66 | 78 | 9 | 12 | -1 +FB_IMUX_INDEX | FOOBAR1_ | 260 | -1 | -1 | 57 | 22 | -1 | 6 | -1 | -1 | 9 | 10 | -1 | 66 | 13 | -1 | 15 | -1 | 125 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | -1 | -1 | -1 | -1 | 32 | 56 | 17 | -1 | -1 | 41 | -1 | -1 | -1 | -1 | -1 | 139 | -1 | 16 | -1 | -1 | 238 | 14 | -1 | 20 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | iobm/IOS_FSM_FFd3 | NULL | 6 | nIPL2 | 92 | 8 | nDTACK_FSB_OBUF | NULL | 9 | IODONE | NULL | 11 | nAS_IOBout | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | C8M | 23 | 15 | nBERR_IOB | 76 | 16 | IOBERR | NULL | 17 | IOACT | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 26 | IORDREQ | NULL | 28 | iobm/ES<0> | NULL | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | iobm/IOS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 40 | iobm/ES<2> | NULL | 43 | iobm/Er | NULL | 45 | nVPA_IOB | 77 | 47 | iobm/C8Mr | NULL | 52 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 53 | IOWRREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | ram/RS_FSM_FFd2 | NULL | 4 | iobm/ES<0> | NULL | 6 | IORDREQ | NULL | 8 | nDTACK_FSB_OBUF | NULL | 9 | IODONE | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 11 | nAS_IOBout | NULL | 12 | IOWRREQ | NULL | 15 | nBERR_IOB | 76 | 16 | IOBERR | NULL | 17 | IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 24 | iobm/IOS_FSM_FFd6 | NULL | 30 | ram/RS_FSM_FFd3 | NULL | 31 | iobm/IOS_FSM_FFd2 | NULL | 32 | iobm/ES<1> | NULL | 33 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 34 | iobm/IOS_FSM_FFd5 | NULL | 40 | iobm/ES<2> | NULL | 43 | iobm/Er | NULL | 45 | nVPA_IOB | 77 | 47 | iobm/C8Mr | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 48 | C8M | 23 | 49 | nIPL2 | 92 | 52 | E | 25 -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 93 | -1 | -1 | 150 | -1 | 44 | 99 | -1 | 101 | 238 | -1 | -1 | 175 | 34 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | 49 | -1 | 94 | -1 | -1 | -1 | 96 | 242 | 25 | -1 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | 29 | -1 | 171 | -1 | 30 | -1 | -1 | -1 | -1 | 33 | 134 +FB_IMUX_INDEX | FOOBAR2_ | 18 | -1 | -1 | -1 | 94 | -1 | 60 | -1 | 44 | 99 | -1 | 101 | 84 | -1 | -1 | 175 | 34 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | -1 | -1 | 81 | 33 | 96 | 93 | 25 | -1 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | 29 | -1 | 171 | -1 | 30 | 238 | 150 | -1 | -1 | 242 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | QoSReady | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | iobs/IODONEr | NULL | 4 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | cs/nOverlay | NULL | 6 | cnt/WS<3> | NULL | 7 | cnt/WS<2> | NULL | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | A_FSB<11> | 9 | 11 | cnt/WS<0> | NULL | 13 | IORDREQ | NULL | 14 | iobs/Sent | NULL | 16 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 17 | RAMReady | NULL | 18 | cnt/WS<1> | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | ram/RASEN | NULL | 26 | cnt/LTimer<0> | NULL | 27 | IONPReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 34 | A_FSB<17> | 15 | 36 | fsb/ASrf | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 40 | A_FSB<8> | 6 | 41 | nRES | 91 | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 | 45 | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 46 | A_FSB<15> | 13 | 47 | ram/RS_FSM_FFd7 | NULL | 49 | ALE1 | NULL | 50 | cnt/LTimer<1> | NULL | 51 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | fsb/BACTr<2> | NULL | 1 | fsb/BACTr<1> | NULL | 2 | fsb/ASrf | NULL | 3 | cnt/C8Mr<1> | NULL | 4 | BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | A_FSB<16> | 14 | 6 | cnt/LTimer<11> | NULL | 7 | cnt/C8Mr<0> | NULL | 8 | nAS_FSB | 32 | 9 | cnt/Credits<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | IOReady | NULL | 11 | cnt/Credits<4> | NULL | 12 | cnt/Credits<3> | NULL | 13 | ALE1 | NULL | 14 | cnt/Credits<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 15 | SndReady | NULL | 16 | cnt/LTimer<10> | NULL | 17 | cnt/Credits<1> | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<5> | NULL | 25 | cnt/LTimer<2> | NULL | 26 | cnt/Credits<2> | NULL | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 30 | RAMReady | NULL | 33 | cnt/LTimer<1> | NULL | 34 | A_FSB<17> | 15 | 36 | cnt/LTimer<8> | NULL | 37 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | A_FSB<22> | 20 | 41 | cnt/Credits<5> | NULL | 42 | cnt/LTimer<3> | NULL | 43 | cnt/Er<1> | NULL | 44 | cnt/LTimer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 45 | A_FSB<18> | 16 | 46 | cnt/SndSlow | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<4> | NULL | 49 | WS | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | cnt/LTimer<9> | NULL | 51 | cnt/LTimer<7> | NULL | 52 | cnt/LTimer<6> | NULL -FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 228 | 21 | 22 | 41 | 42 | 43 | 268 | 218 | 202 | 65 | -1 | 49 | 50 | -1 | 142 | 89 | 45 | 226 | 214 | 222 | 206 | 240 | 78 | -1 | 71 | 37 | 256 | -1 | -1 | -1 | -1 | -1 | 216 | -1 | 63 | 128 | -1 | 230 | 194 | 148 | -1 | 198 | 208 | 67 | 212 | 72 | -1 | 103 | 69 | 40 | -1 | 196 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 37 | 38 | 39 | 40 | 214 | 42 | 7 | 268 | 45 | 136 | 47 | 48 | 103 | 50 | 51 | 124 | 53 | -1 | 226 | -1 | 222 | -1 | 240 | 117 | 122 | 49 | -1 | 256 | -1 | 141 | -1 | -1 | 123 | 216 | -1 | 110 | 32 | -1 | 230 | -1 | 46 | 121 | 6 | 5 | 218 | 89 | 228 | 120 | 43 | 108 | 111 | 114 | -1 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<9> | NULL | 1 | IONPReady | NULL | 2 | cnt/LTimer<8> | NULL | 3 | cnt/LTimer<7> | NULL | 4 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | iobm/IOWRREQr | NULL | 7 | iobm/DoutOE | NULL | 8 | nRESout | NULL | 9 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | iobm/IORDREQr | NULL | 11 | cnt/IS_FSM_FFd2 | NULL | 12 | iobm/IOS0 | NULL | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 15 | cnt/LTimer<1> | NULL | 16 | cnt/LTimer<10> | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<5> | NULL | 28 | nWE_FSB | 29 | 30 | cnt/IS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cnt/LTimer<11> | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 38 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 39 | A_FSB<22> | 20 | 40 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<4> | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 51 | AoutOE | NULL | 52 | cnt/LTimer<6> | NULL | 53 | cnt/WS<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | IOL0 | NULL | 1 | AoutOE | NULL | 2 | fsb/ASrf | NULL | 3 | nRESout | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | cnt/LTimerTC | NULL | 6 | cnt/Er<1> | NULL | 7 | iobm/DoutOE | NULL | 8 | nAS_FSB | 32 | 10 | iobm/IORDREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | iobs/IOU1 | NULL | 12 | iobs/IOL1 | NULL | 13 | cnt/TimerTC | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | IOU0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 18 | cnt/IS_FSM_FFd1 | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 25 | iobs/IOACTr | NULL | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 29 | cnt/nIPL2r | NULL | 32 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 34 | A_FSB<17> | 15 | 35 | IORDREQ | NULL | 36 | IOReady | NULL | 37 | nLDS_FSB | 30 | 38 | iobs/Sent | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 39 | iobs/TS_FSM_FFd1 | NULL | 42 | iobm/IOWRREQr | NULL | 43 | iobm/IOS0 | NULL | 45 | A_FSB<18> | 16 | 46 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 47 | A_FSB<21> | 19 | 49 | iobs/TS_FSM_FFd2 | NULL | 50 | cnt/IS_FSM_FFd2 | NULL | 51 | cs/nOverlay | NULL | 53 | iobs/IORW1 | NULL -FB_IMUX_INDEX | FOOBAR4_ | 108 | 37 | 110 | 111 | 196 | 214 | 24 | 97 | 62 | 63 | 28 | 11 | 102 | 121 | 122 | 69 | 124 | 71 | -1 | 226 | -1 | 222 | 206 | 240 | 117 | -1 | -1 | -1 | 256 | -1 | 141 | -1 | -1 | 123 | 216 | 210 | 268 | -1 | 202 | 230 | 125 | -1 | 140 | 198 | 208 | -1 | 212 | 228 | 120 | 194 | 218 | 55 | 114 | 65 +FB_IMUX_INDEX | FOOBAR4_ | 54 | 55 | 38 | 3 | 230 | 41 | 6 | 97 | 268 | -1 | 28 | 11 | 12 | 13 | 140 | 69 | -1 | -1 | 57 | 226 | 214 | 222 | -1 | 240 | -1 | 22 | -1 | 272 | 256 | 31 | -1 | -1 | 32 | -1 | 216 | 60 | 136 | 260 | 62 | 10 | -1 | -1 | 24 | 102 | -1 | 218 | 103 | 228 | -1 | 66 | 15 | 132 | -1 | 65 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 1 | ram/RS_FSM_FFd2 | NULL | 2 | ram/RS_FSM_FFd1 | NULL | 4 | A_FSB<22> | 20 | 5 | ram/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | ram/RS_FSM_FFd4 | NULL | 8 | A_FSB<3> | 96 | 9 | fsb/ASrf | NULL | 10 | ram/RS_FSM_FFd5 | NULL | 12 | RefUrg | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | RefReq | NULL | 14 | A_FSB<7> | 4 | 16 | A_FSB<13> | 11 | 17 | ram/RS_FSM_FFd6 | NULL | 19 | ram/RASrr | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | ram/RASEN | NULL | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | ram/RASEL | NULL | 33 | nAS_FSB | 32 | 35 | ram/BACTr | NULL | 36 | A_FSB<4> | 97 | 37 | cs/nOverlay | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 38 | A_FSB<11> | 9 | 39 | A_FSB<5> | 2 | 42 | ram/RS_FSM_FFd3 | NULL | 45 | A_FSB<21> | 19 | 46 | RAMReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | ram/RS_FSM_FFd7 | NULL | 51 | ram/DTACKr | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RS_FSM_FFd2 | NULL | 1 | ram/RS_FSM_FFd4 | NULL | 2 | ram/DTACKr | NULL | 3 | ram/RASEL | NULL | 4 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | cnt/LTimer<0> | NULL | 6 | cnt/Er<1> | NULL | 7 | A_FSB<17> | 15 | 8 | nAS_FSB | 32 | 9 | ram/RS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | iobs/TS_FSM_FFd1 | NULL | 11 | iobs/IORW1 | NULL | 12 | iobs/TS_FSM_FFd2 | NULL | 13 | ALE1 | NULL | 14 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 15 | cnt/LTimer<1> | NULL | 16 | A_FSB<13> | 11 | 17 | cnt/SndSlow | NULL | 18 | cs/nOverlay | NULL | 19 | A_FSB<20> | 18 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 26 | A_FSB<9> | 7 | 27 | ram/RASEN | NULL | 28 | nWE_FSB | 29 | 30 | ram/RS_FSM_FFd3 | NULL | 31 | ram/RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 32 | ram/RS_FSM_FFd8 | NULL | 33 | ram/RefReq | NULL | 34 | A_FSB<5> | 2 | 35 | A_FSB<14> | 12 | 36 | A_FSB<4> | 97 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 37 | IOWRREQ | NULL | 38 | iobs/Sent | NULL | 39 | fsb/ASrf | NULL | 40 | A_FSB<7> | 4 | 41 | cnt/STimer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 42 | A_FSB<3> | 96 | 43 | A_FSB<10> | 8 | 44 | ram/RS_FSM_FFd1 | NULL | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | cnt/STimer<1> | NULL | 48 | cnt/TimerTC | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 51 | BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 52 | A_FSB<11> | 9 | 53 | ram/RS_FSM_FFd7 | NULL -FB_IMUX_INDEX | FOOBAR5_ | 226 | 1 | 2 | -1 | 230 | 5 | 6 | -1 | 168 | 63 | 46 | -1 | 12 | 13 | 190 | -1 | 208 | 17 | -1 | 84 | -1 | 222 | 206 | 240 | 78 | -1 | -1 | -1 | 256 | -1 | 81 | -1 | -1 | 268 | -1 | 60 | 172 | 41 | 202 | 182 | -1 | -1 | 0 | -1 | -1 | 228 | 89 | 72 | -1 | -1 | -1 | 20 | -1 | 214 +FB_IMUX_INDEX | FOOBAR5_ | 18 | 1 | 20 | 129 | 22 | 5 | 6 | 216 | 268 | 9 | 10 | 65 | 66 | 103 | 32 | 123 | 208 | 89 | 132 | 226 | 214 | 222 | 206 | 240 | 230 | -1 | 196 | 126 | 256 | -1 | 81 | 135 | 138 | 128 | 182 | 210 | 172 | 84 | 62 | 38 | 190 | 79 | 168 | 198 | 19 | 228 | 212 | 72 | 13 | 194 | 218 | 40 | 202 | 134 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | AoutOE | NULL | 2 | iobs/Clear1 | NULL | 3 | ALE0S | NULL | 4 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/VPAr | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | iobm/IOS_FSM_FFd4 | NULL | 9 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | nUDS_IOBout | NULL | 11 | iobm/Er | NULL | 12 | iobm/C8Mr | NULL | 13 | ALE1 | NULL | 15 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | nRES | 91 | 17 | iobm/ES<2> | NULL | 20 | iobm/IOS_FSM_FFd3 | NULL | 23 | iobm/IOS_FSM_FFd5 | NULL | 25 | IOU0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | IOL0 | NULL | 28 | iobm/IORDREQr | NULL | 33 | E | 25 | 34 | iobm/DoutOE | NULL | 38 | ALE0M | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | iobm/ES<3> | NULL | 41 | nLDS_IOBout | NULL | 42 | iobm/IOWRREQr | NULL | 43 | iobm/IOS0 | NULL | 44 | nVMA_IOBout | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 46 | IOBERR | NULL | 47 | IODONE | NULL | 48 | iobs/Load1 | NULL | 51 | iobm/IOS_FSM_FFd7 | NULL | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | nVMA_IOBout | NULL | 2 | iobs/Load1 | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/VPAr | NULL | 6 | iobm/IOWRREQr | NULL | 7 | iobm/IOS_FSM_FFd5 | NULL | 8 | ALE0S | NULL | 9 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | nUDS_IOBout | NULL | 11 | nAS_IOBout | NULL | 12 | iobm/C8Mr | NULL | 13 | ALE1 | NULL | 15 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | E | 25 | 17 | iobm/ES<2> | NULL | 21 | iobm/ES<0> | NULL | 22 | iobm/Er | NULL | 27 | nDTACK_IOB | 78 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 28 | iobm/IORDREQr | NULL | 30 | iobm/IOS_FSM_FFd7 | NULL | 32 | iobm/ES<1> | NULL | 33 | iobm/IOS_FSM_FFd4 | NULL | 36 | iobs/Clear1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 38 | ALE0M | NULL | 39 | iobm/ES<3> | NULL | 41 | nLDS_IOBout | NULL | 42 | iobm/DoutOE | NULL | 43 | iobm/IOS0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 46 | IOBERR | NULL | 47 | IODONE | NULL | 50 | IOU0 | NULL | 51 | AoutOE | NULL | 52 | IOL0 | NULL FB_ORDER_OF_INPUTS | FOOBAR6_ | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 38 | 39 | 94 | 23 | 96 | 167 | 26 | 27 | 100 | 29 | 30 | 103 | -1 | 33 | 148 | 35 | -1 | -1 | 93 | -1 | -1 | 25 | -1 | 139 | -1 | 126 | 28 | -1 | -1 | -1 | -1 | 242 | 97 | -1 | -1 | -1 | 105 | 95 | -1 | 98 | 24 | 102 | 91 | -1 | 34 | 99 | 47 | -1 | -1 | 92 | 101 | 107 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 91 | 56 | 93 | 148 | 23 | 24 | 25 | 8 | 27 | 100 | 101 | 30 | 103 | -1 | 33 | 242 | 35 | -1 | -1 | -1 | 94 | 29 | -1 | -1 | -1 | -1 | 167 | 28 | -1 | 92 | -1 | 96 | 26 | -1 | -1 | 4 | -1 | 105 | 95 | -1 | 98 | 97 | 102 | -1 | -1 | 34 | 99 | -1 | -1 | 69 | 55 | 54 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 2 | A_FSB<8> | 6 | 3 | A_FSB<15> | 13 | 4 | cnt/Er<1> | NULL | 6 | cnt/LTimer<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 | 12 | cnt/LTimer<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | A_FSB<19> | 17 | 14 | cnt/Er<0> | NULL | 15 | cnt/LTimer<1> | NULL | 16 | A_FSB<13> | 11 | 17 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 19 | cnt/IS_FSM_FFd1 | NULL | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 26 | cnt/LTimer<0> | NULL | 27 | cnt/LTimer<7> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL | 30 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | cnt/LTimer<11> | NULL | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL | 38 | A_FSB<11> | 9 | 39 | cnt/LTimer<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | A_FSB<7> | 4 | 41 | cnt/IS_FSM_FFd2 | NULL | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 46 | cnt/LTimer<3> | NULL | 47 | A_FSB<21> | 19 | 48 | A_FSB<2> | 95 | 50 | cnt/LTimer<9> | NULL | 51 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<9> | NULL | 1 | A_FSB<14> | 12 | 2 | cnt/LTimer<8> | NULL | 3 | cnt/LTimer<7> | NULL | 4 | A_FSB<2> | 95 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 5 | cnt/LTimer<0> | NULL | 6 | cnt/LTimer<6> | NULL | 9 | cnt/LTimer<5> | NULL | 10 | A_FSB<6> | 3 | 12 | cnt/LTimer<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | cnt/LTimer<3> | NULL | 14 | cnt/Timer<0> | NULL | 15 | cnt/LTimer<1> | NULL | 16 | cnt/Timer<1> | NULL | 17 | cnt/Timer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 25 | cnt/LTimer<2> | NULL | 26 | A_FSB<9> | 7 | 30 | A_FSB<7> | 4 | 31 | A_FSB<10> | 8 | 34 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 37 | cnt/Er<0> | NULL | 39 | A_FSB<1> | 94 | 40 | RefClk | NULL | 43 | cnt/Er<1> | NULL | 45 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | cnt/TimerTC | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 -FB_IMUX_INDEX | FOOBAR7_ | 226 | -1 | 194 | 212 | 4 | -1 | 114 | 216 | 268 | 218 | 186 | -1 | 120 | 222 | 32 | 69 | 208 | 230 | -1 | 141 | 214 | -1 | 206 | 240 | 117 | -1 | 71 | 111 | 256 | 124 | 81 | -1 | -1 | 123 | -1 | 210 | 63 | -1 | 202 | 122 | 190 | 11 | -1 | 198 | 110 | 156 | 121 | 228 | 164 | -1 | 108 | 9 | -1 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 108 | 210 | 110 | 111 | 164 | 5 | 114 | -1 | -1 | 117 | 186 | -1 | 120 | 121 | 14 | 123 | 16 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 122 | 196 | -1 | -1 | -1 | 190 | 198 | -1 | -1 | 216 | -1 | -1 | 32 | -1 | 156 | 125 | -1 | -1 | 6 | -1 | 129 | 212 | 228 | 13 | 194 | 218 | -1 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 1 | A_FSB<14> | 12 | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | ram/RASrf | NULL | 4 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | cs/nOverlay | NULL | 6 | ram/RASEN | NULL | 7 | iobs/IOU1 | NULL | 8 | iobs/IOL1 | NULL | 9 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | cnt/IS_FSM_FFd2 | NULL | 12 | cnt/LTimerTC | NULL | 13 | cnt/nIPL2r | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | cnt/IS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | IOBERR | NULL | 17 | A_FSB<22> | 20 | 19 | ram/RASrr | NULL | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 23 | A_FSB<23> | 24 | 24 | fsb/ASrf | NULL | 25 | iobs/IOACTr | NULL | 27 | IOL0 | NULL | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 29 | nLDS_FSB | 30 | 30 | ram/RASEL | NULL | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 35 | nBERR_FSB_OBUF.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | cnt/Er<1> | NULL | 37 | cnt/Er<0> | NULL | 42 | iobs/Sent | NULL | 43 | IOU0 | NULL | 44 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | A_FSB<18> | 16 | 51 | nUDS_FSB | 33 | 52 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | IOWRREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/nRefClkR | NULL | 1 | ram/RS_FSM_FFd1 | NULL | 2 | ram/RASrf | NULL | 3 | iobs/IODONEr | NULL | 4 | BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | cs/nOverlay | NULL | 8 | iobs/Sent | NULL | 9 | A_FSB<18> | 16 | 11 | nBERR_FSB_OBUF.UIM | NULL | 12 | nUDS_FSB | 33 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 13 | cnt/nIPL2r | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | cnt/IS_FSM_FFd2 | NULL | 16 | nRES | 91 | 17 | RefClk | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 18 | A_FSB<19> | 17 | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | ram/RASrr | NULL | 22 | ram/RS_FSM_FFd4 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 27 | ram/RASEN | NULL | 28 | nWE_FSB | 29 | 30 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 31 | ram/RefUrg | NULL | 32 | ram/RS_FSM_FFd8 | NULL | 33 | ram/RefReq | NULL | 34 | A_FSB<17> | 15 | 36 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 37 | nLDS_FSB | 30 | 39 | fsb/ASrf | NULL | 41 | ram/RS_FSM_FFd7 | NULL | 42 | cnt/IS_FSM_FFd1 | NULL | 43 | ram/RS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 45 | ram/RASEL | NULL | 46 | IOBERR | NULL | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | IOReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 51 | ram/RS_FSM_FFd5 | NULL | 53 | ram/RS_FSM_FFd2 | NULL -FB_IMUX_INDEX | FOOBAR8_ | 226 | 210 | 128 | 3 | 40 | 41 | 78 | 7 | 8 | 9 | -1 | 11 | 66 | 31 | 140 | 141 | 34 | 230 | -1 | 84 | 214 | 222 | -1 | 240 | 63 | 22 | -1 | 126 | 256 | 260 | 81 | -1 | -1 | 268 | 216 | 137 | 4 | 32 | -1 | -1 | -1 | -1 | 50 | 139 | 208 | -1 | -1 | 228 | -1 | 103 | 218 | 272 | 142 | 134 +FB_IMUX_INDEX | FOOBAR8_ | 0 | 19 | 2 | 21 | 40 | -1 | 132 | -1 | 62 | 218 | -1 | 137 | 272 | 31 | 140 | 15 | 148 | 125 | 222 | 226 | 214 | 143 | 1 | 240 | 230 | -1 | -1 | 126 | 256 | -1 | 81 | 135 | 138 | 128 | 216 | -1 | 268 | 260 | -1 | 38 | -1 | 134 | 57 | 139 | -1 | 129 | 34 | 228 | -1 | 103 | 136 | 9 | -1 | 18 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml new file mode 100644 index 0000000..a60c5a2 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.xml @@ -0,0 +1,3 @@ + + +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_build.xml b/cpld/XC95144XL/WarpSE_build.xml new file mode 100644 index 0000000..8644d97 --- /dev/null +++ b/cpld/XC95144XL/WarpSE_build.xml @@ -0,0 +1,235 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/WarpSE_envsettings.html b/cpld/XC95144XL/WarpSE_envsettings.html index 305276d..eb1c57b 100644 --- a/cpld/XC95144XL/WarpSE_envsettings.html +++ b/cpld/XC95144XL/WarpSE_envsettings.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\AMD APP\bin\x86_64;
C:\Program Files (x86)\AMD APP\bin\x86;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Wolf\AppData\Local\GitHubDesktop\bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\AMD APP\bin\x86_64;
C:\Program Files (x86)\AMD APP\bin\x86;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Wolf\AppData\Local\GitHubDesktop\bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem XILINX @@ -206,7 +206,7 @@ -uc   -C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf +C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf None @@ -222,8 +222,8 @@ CPU Architecture/Speed -AMD FX(tm)-8320 Eight-Core Processor /3792 MHz -AMD FX(tm)-8320 Eight-Core Processor /3792 MHz +AMD FX(tm)-8320 Eight-Core Processor /3511 MHz +AMD FX(tm)-8320 Eight-Core Processor /3511 MHz Host diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index 3e0c382..220b449 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4-17-2023, 2:26AM +Design Name: WarpSE Date: 7-15-2023, 10:47PM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -123/144 ( 85%) 479 /720 ( 67%) 286/432 ( 66%) 99 /144 ( 69%) 70 /81 ( 86%) +117/144 ( 81%) 374 /720 ( 52%) 220/432 ( 51%) 95 /144 ( 66%) 70 /81 ( 86%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 30/54 46/90 11/11* -FB2 16/18 21/54 20/90 8/10 -FB3 15/18 41/54 81/90 10/10* -FB4 13/18 43/54 50/90 10/10* -FB5 13/18 33/54 81/90 8/10 -FB6 18/18* 36/54 68/90 10/10* -FB7 18/18* 41/54 52/90 7/10 -FB8 12/18 41/54 81/90 6/10 +FB1 18/18* 20/54 30/90 11/11* +FB2 0/18 0/54 0/90 8/10 +FB3 18/18* 33/54 48/90 10/10* +FB4 12/18 35/54 82/90 10/10* +FB5 16/18 32/54 81/90 8/10 +FB6 18/18* 35/54 61/90 10/10* +FB7 18/18* 29/54 30/90 7/10 +FB8 17/18 36/54 42/90 6/10 ----- ----- ----- ----- - 123/144 286/432 479/720 70/81 + 117/144 220/432 374/720 70/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 0 0 | ** Power Data ** -There are 123 macrocells in high performance mode (MCHP). +There are 117 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -89,182 +89,176 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused ** 35 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 9 17 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 5 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 3 11 FB4_11 93 I/O O STD FAST RESET -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 14 16 FB5_5 36 I/O O STD FAST RESET -nOE 3 5 FB5_6 37 I/O O STD FAST RESET -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<10> 2 3 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -RA<11> 2 3 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST -nRAMLWE 1 3 FB8_6 65 I/O O STD FAST -nRAMUWE 1 3 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 7 14 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 3 7 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 11 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 12 16 FB5_5 36 I/O O STD FAST RESET +nOE 3 5 FB5_6 37 I/O O STD FAST RESET +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 3 FB8_6 65 I/O O STD FAST +nRAMUWE 1 3 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 88 Buried Nodes ** +** 82 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RS_FSM_FFd3 1 2 FB1_1 STD RESET -ram/RS_FSM_FFd2 1 1 FB1_2 STD RESET -ram/RS_FSM_FFd1 1 1 FB1_3 STD RESET -ram/RASrf 1 2 FB1_4 STD RESET -cnt/Er<1> 1 1 FB1_5 STD RESET -ram/RefDone 2 6 FB1_6 STD RESET -ram/RS_FSM_FFd4 2 3 FB1_7 STD RESET -iobs/IOU1 2 2 FB1_8 STD RESET -iobs/IOL1 2 2 FB1_9 STD RESET -cnt/TimerTC 2 6 FB1_10 STD RESET -cnt/Timer<0> 2 4 FB1_11 STD RESET -cnt/IS_FSM_FFd2 2 6 FB1_12 STD RESET -RefUrg 2 5 FB1_13 STD RESET -RefReq 2 6 FB1_14 STD RESET -cnt/Timer<1> 4 5 FB1_15 STD RESET -cnt/Timer<3> 5 7 FB1_16 STD RESET -cnt/Timer<2> 5 6 FB1_17 STD RESET -ram/RS_FSM_FFd6 9 11 FB1_18 STD RESET -ram/DTACKr 1 1 FB2_3 STD RESET -iobs/IODONEr 1 1 FB2_4 STD RESET -iobs/IOACTr 1 1 FB2_5 STD RESET -iobm/VPAr 1 1 FB2_6 STD RESET -iobm/IOWRREQr 1 1 FB2_7 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_8 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_9 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_10 STD RESET -iobm/IORDREQr 1 1 FB2_11 STD RESET -iobm/Er 1 1 FB2_12 STD RESET -iobm/C8Mr 1 1 FB2_13 STD RESET -cnt/nIPL2r 1 1 FB2_14 STD RESET -cnt/Er<0> 1 1 FB2_15 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB2_16 STD RESET -IOBERR 2 2 FB2_17 STD RESET -iobm/ES<2> 3 5 FB2_18 STD RESET -QoSReady 18 25 FB3_1 STD RESET -IONPReady 5 16 FB3_2 STD RESET -iobs/Clear1 1 2 FB3_3 STD RESET -ALE0S 1 1 FB3_4 STD RESET -iobs/TS_FSM_FFd1 2 3 FB3_5 STD RESET -cs/nOverlay 3 8 FB3_6 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd4 1 2 FB1_1 STD RESET +ram/RASrf 1 1 FB1_2 STD RESET +ram/DTACKr 1 1 FB1_3 STD RESET +iobs/IODONEr 1 1 FB1_4 STD RESET +iobs/IOACTr 1 1 FB1_5 STD RESET +iobm/VPAr 1 1 FB1_6 STD RESET +iobm/IOWRREQr 1 1 FB1_7 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB1_8 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB1_9 STD RESET +iobm/IORDREQr 1 1 FB1_10 STD RESET +iobm/Er 1 1 FB1_11 STD RESET +cnt/nIPL2r 1 1 FB1_12 STD RESET +ram/RS_FSM_FFd5 2 3 FB1_13 STD RESET +IOBERR 2 2 FB1_14 STD RESET +iobm/ES<2> 3 5 FB1_15 STD RESET +iobm/ES<0> 3 6 FB1_16 STD RESET +iobm/ES<3> 4 6 FB1_17 STD RESET +iobm/ES<1> 4 6 FB1_18 STD RESET +cnt/TimerTC 2 6 FB3_1 STD RESET +cnt/LTimerTC 2 14 FB3_2 STD RESET +cnt/LTimer<9> 2 11 FB3_3 STD RESET +cnt/LTimer<8> 2 10 FB3_4 STD RESET +cnt/LTimer<7> 2 9 FB3_5 STD RESET +cnt/LTimer<6> 2 8 FB3_6 STD RESET +cnt/LTimer<5> 2 7 FB3_7 STD RESET +cnt/LTimer<4> 2 6 FB3_8 STD RESET +cnt/LTimer<3> 2 5 FB3_10 STD RESET +cnt/LTimer<2> 2 4 FB3_11 STD RESET +cnt/LTimer<1> 2 3 FB3_12 STD RESET +cnt/LTimer<11> 2 13 FB3_13 STD RESET +cnt/LTimer<10> 2 12 FB3_14 STD RESET +cnt/Timer<1> 4 5 FB3_15 STD RESET +cnt/Timer<2> 5 6 FB3_16 STD RESET +RefClk 5 7 FB3_18 STD RESET +iobs/Clear1 1 2 FB4_1 STD RESET +iobs/TS_FSM_FFd2 12 17 FB4_4 STD RESET +iobs/Sent 11 16 FB4_7 STD RESET +IOWRREQ 13 19 FB4_10 STD RESET +iobs/IORW1 4 16 FB4_12 STD RESET +IOU0 15 19 FB4_14 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/WS<3> 3 6 FB3_7 STD RESET -cnt/WS<2> 3 5 FB3_8 STD RESET -cnt/WS<1> 3 4 FB3_10 STD RESET -ram/RS_FSM_FFd5 2 7 FB3_11 STD RESET -iobs/Load1 8 17 FB3_12 STD RESET -IORDREQ 9 15 FB3_14 STD RESET -iobs/Sent 13 18 FB3_15 STD RESET -ram/BACTr 1 2 FB4_7 STD RESET -nRESout 1 2 FB4_9 STD RESET -fsb/ASrf 1 1 FB4_10 STD RESET -cnt/WS<0> 1 3 FB4_12 STD RESET -cnt/LTimerTC 1 12 FB4_13 STD RESET -$OpTx$$OpTx$FX_DC$346_INV$533 1 2 FB4_14 STD -cnt/LTimer<1> 11 24 FB4_16 STD RESET -cnt/LTimer<0> 22 34 FB4_18 STD RESET -ram/RS_FSM_FFd7 13 13 FB5_1 STD SET -ram/RASEN 11 16 FB5_7 STD RESET -ram/RASEL 4 14 FB5_10 STD RESET -ram/RASrr 12 18 FB5_13 STD RESET -RAMReady 12 17 FB5_18 STD RESET -iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET -iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET -iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET -iobm/ES<0> 3 6 FB6_5 STD RESET -iobm/ES<3> 4 6 FB6_6 STD RESET -iobm/ES<1> 4 6 FB6_7 STD RESET -iobm/DoutOE 4 8 FB6_8 STD RESET -IODONE 4 8 FB6_10 STD RESET -iobm/IOS0 5 12 FB6_13 STD RESET -ALE0M 5 11 FB6_16 STD RESET -IOACT 8 14 FB6_18 STD RESET -cnt/LTimer<9> 3 15 FB7_1 STD RESET -cnt/LTimer<8> 3 14 FB7_3 STD RESET -cnt/LTimer<7> 3 13 FB7_4 STD RESET -cnt/LTimer<6> 3 12 FB7_7 STD RESET -cnt/LTimer<5> 3 11 FB7_10 STD RESET -cnt/LTimer<4> 3 10 FB7_13 STD RESET -cnt/LTimer<3> 3 9 FB7_14 STD RESET -cnt/LTimer<2> 3 8 FB7_15 STD RESET -cnt/LTimer<11> 3 17 FB7_16 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +IOL0 15 19 FB4_17 STD RESET +ram/RASEN 8 11 FB5_1 STD RESET +BACTr<1> 1 2 FB5_4 STD RESET +ram/RASEL 3 8 FB5_7 STD RESET +cs/nOverlay 3 8 FB5_8 STD RESET +ram/RS_FSM_FFd7 8 10 FB5_10 STD RESET +ram/RS_FSM_FFd8 10 12 FB5_13 STD SET +RAMReady 10 13 FB5_16 STD RESET +ram/RASrr 11 12 FB5_18 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB6_1 STD RESET +iobm/C8Mr 1 1 FB6_3 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_4 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB6_5 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_6 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +ram/nRefClkR 1 1 FB7_1 STD RESET +ram/RS_FSM_FFd3 1 1 FB7_3 STD RESET +ram/RS_FSM_FFd2 1 1 FB7_4 STD RESET +ram/RS_FSM_FFd1 1 1 FB7_7 STD RESET +cnt/LTimer<0> 1 2 FB7_10 STD RESET +cnt/IS_FSM_FFd1 1 7 FB7_13 STD RESET +cnt/Er<1> 1 1 FB7_14 STD RESET +cnt/Timer<0> 2 4 FB7_15 STD RESET +cnt/IS_FSM_FFd2 2 6 FB7_16 STD RESET +ram/RefReq 3 7 FB7_17 STD RESET +ram/RefUrg 4 8 FB7_18 STD RESET +nRESout 1 2 FB8_3 STD RESET +fsb/ASrf 1 1 FB8_4 STD RESET +cnt/Er<0> 1 1 FB8_7 STD RESET +ALE0S 1 1 FB8_9 STD RESET +ram/RS_FSM_FFd6 2 7 FB8_10 STD RESET +iobs/TS_FSM_FFd1 2 3 FB8_11 STD RESET +iobs/IOU1 2 2 FB8_13 STD RESET +iobs/IOL1 2 2 FB8_14 STD RESET +iobs/Load1 4 15 FB8_16 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/LTimer<10> 3 16 FB7_17 STD RESET -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD -IOL0 16 21 FB8_1 STD RESET -iobs/TS_FSM_FFd2 13 19 FB8_3 STD RESET -IOWRREQ 15 21 FB8_9 STD RESET -IOU0 16 21 FB8_14 STD RESET -cnt/IS_FSM_FFd1 1 7 FB8_16 STD RESET -iobs/IORW1 8 18 FB8_17 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +IOReady 5 15 FB8_17 STD RESET +IORDREQ 9 15 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -282,301 +276,265 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 30/24 -Number of signals used by logic mapping into function block: 30 +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RS_FSM_FFd3 1 0 /\4 0 FB1_1 (b) (b) -ram/RS_FSM_FFd2 1 0 0 4 FB1_2 11 I/O I -ram/RS_FSM_FFd1 1 0 0 4 FB1_3 12 I/O I -ram/RASrf 1 0 0 4 FB1_4 (b) (b) -cnt/Er<1> 1 0 0 4 FB1_5 13 I/O I -ram/RefDone 2 0 0 3 FB1_6 14 I/O I -ram/RS_FSM_FFd4 2 0 0 3 FB1_7 (b) (b) -iobs/IOU1 2 0 0 3 FB1_8 15 I/O I -iobs/IOL1 2 0 0 3 FB1_9 16 I/O I -cnt/TimerTC 2 0 0 3 FB1_10 (b) (b) -cnt/Timer<0> 2 0 0 3 FB1_11 17 I/O I -cnt/IS_FSM_FFd2 2 0 0 3 FB1_12 18 I/O I -RefUrg 2 0 0 3 FB1_13 (b) (b) -RefReq 2 0 0 3 FB1_14 19 I/O I -cnt/Timer<1> 4 0 0 1 FB1_15 20 I/O I -cnt/Timer<3> 5 0 0 0 FB1_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB1_17 22 GCK/I/O GCK -ram/RS_FSM_FFd6 9 4<- 0 0 FB1_18 (b) (b) +ram/RS_FSM_FFd4 1 0 0 4 FB1_1 (b) (b) +ram/RASrf 1 0 0 4 FB1_2 11 I/O I +ram/DTACKr 1 0 0 4 FB1_3 12 I/O I +iobs/IODONEr 1 0 0 4 FB1_4 (b) (b) +iobs/IOACTr 1 0 0 4 FB1_5 13 I/O I +iobm/VPAr 1 0 0 4 FB1_6 14 I/O I +iobm/IOWRREQr 1 0 0 4 FB1_7 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB1_8 15 I/O I +iobm/IOS_FSM_FFd4 1 0 0 4 FB1_9 16 I/O I +iobm/IORDREQr 1 0 0 4 FB1_10 (b) (b) +iobm/Er 1 0 0 4 FB1_11 17 I/O I +cnt/nIPL2r 1 0 0 4 FB1_12 18 I/O I +ram/RS_FSM_FFd5 2 0 0 3 FB1_13 (b) (b) +IOBERR 2 0 0 3 FB1_14 19 I/O I +iobm/ES<2> 3 0 0 2 FB1_15 20 I/O I +iobm/ES<0> 3 0 0 2 FB1_16 (b) (b) +iobm/ES<3> 4 0 0 1 FB1_17 22 GCK/I/O GCK +iobm/ES<1> 4 0 0 1 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<22> 11: cnt/Timer<1> 21: ram/DTACKr - 2: A_FSB<23> 12: cnt/Timer<2> 22: ram/RASEN - 3: RefReq 13: cnt/Timer<3> 23: ram/RS_FSM_FFd1 - 4: RefUrg 14: cnt/TimerTC 24: ram/RS_FSM_FFd2 - 5: cnt/Er<0> 15: fsb/ASrf 25: ram/RS_FSM_FFd3 - 6: cnt/Er<1> 16: iobs/Load1 26: ram/RS_FSM_FFd4 - 7: cnt/IS_FSM_FFd1 17: nAS_FSB 27: ram/RS_FSM_FFd5 - 8: cnt/IS_FSM_FFd2 18: nLDS_FSB 28: ram/RS_FSM_FFd6 - 9: cnt/LTimerTC 19: nUDS_FSB 29: ram/RS_FSM_FFd7 - 10: cnt/Timer<0> 20: ram/BACTr 30: ram/RefDone + 1: E 8: iobm/ES<2> 15: nDTACK_FSB + 2: IOACT 9: iobm/ES<3> 16: nIPL2 + 3: IODONE 10: iobm/Er 17: nVPA_IOB + 4: IORDREQ 11: iobm/IOS_FSM_FFd5 18: ram/DTACKr + 5: IOWRREQ 12: iobm/IOS_FSM_FFd6 19: ram/RS_FSM_FFd5 + 6: iobm/ES<0> 13: nAS_IOB 20: ram/RS_FSM_FFd6 + 7: iobm/ES<1> 14: nBERR_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd3 ....................X....X.............. 2 -ram/RS_FSM_FFd2 ...........................X............ 1 -ram/RS_FSM_FFd1 .......................X................ 1 -ram/RASrf ..........................XX............ 2 -cnt/Er<1> ....X................................... 1 -ram/RefDone ..XX..................XX...X.X.......... 6 -ram/RS_FSM_FFd4 ....................X....XX............. 3 -iobs/IOU1 ...............X..X..................... 2 -iobs/IOL1 ...............X.X...................... 2 -cnt/TimerTC ....XX...XXXX........................... 6 -cnt/Timer<0> ....XX...X...X.......................... 4 -cnt/IS_FSM_FFd2 ....XXXXX....X.......................... 6 -RefUrg ....XX....XXX........................... 5 -RefReq ....XX...XXXX........................... 6 -cnt/Timer<1> ....XX...XX..X.......................... 5 -cnt/Timer<3> ....XX...XXXXX.......................... 7 -cnt/Timer<2> ....XX...XXX.X.......................... 6 -ram/RS_FSM_FFd6 XXXX..........X.X..X.X..X...XX.......... 11 +ram/RS_FSM_FFd4 .................XX..................... 2 +ram/RASrf ...................X.................... 1 +ram/DTACKr ..............X......................... 1 +iobs/IODONEr ..X..................................... 1 +iobs/IOACTr .X...................................... 1 +iobm/VPAr ................X....................... 1 +iobm/IOWRREQr ....X................................... 1 +iobm/IOS_FSM_FFd5 ...........X............................ 1 +iobm/IOS_FSM_FFd4 ..........X............................. 1 +iobm/IORDREQr ...X.................................... 1 +iobm/Er X....................................... 1 +cnt/nIPL2r ...............X........................ 1 +ram/RS_FSM_FFd5 .................XXX.................... 3 +IOBERR ............XX.......................... 2 +iobm/ES<2> X....XXX.X.............................. 5 +iobm/ES<0> X....XXXXX.............................. 6 +iobm/ES<3> X....XXXXX.............................. 6 +iobm/ES<1> X....XXXXX.............................. 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 21/33 -Number of signals used by logic mapping into function block: 21 +Number of function block inputs used/remaining: 0/54 +Number of signals used by logic mapping into function block: 0 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) (unused) 0 0 0 5 FB2_2 99 GSR/I/O -ram/DTACKr 1 0 0 4 FB2_3 (b) (b) -iobs/IODONEr 1 0 0 4 FB2_4 (b) (b) -iobs/IOACTr 1 0 0 4 FB2_5 1 GTS/I/O (b) -iobm/VPAr 1 0 0 4 FB2_6 2 GTS/I/O I -iobm/IOWRREQr 1 0 0 4 FB2_7 (b) (b) -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_8 3 GTS/I/O I -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_10 (b) (b) -iobm/IORDREQr 1 0 0 4 FB2_11 6 I/O I -iobm/Er 1 0 0 4 FB2_12 7 I/O I -iobm/C8Mr 1 0 0 4 FB2_13 (b) (b) -cnt/nIPL2r 1 0 0 4 FB2_14 8 I/O I -cnt/Er<0> 1 0 0 4 FB2_15 9 I/O I -iobm/IOS_FSM_FFd2 2 0 0 3 FB2_16 (b) (b) -IOBERR 2 0 0 3 FB2_17 10 I/O I -iobm/ES<2> 3 0 0 2 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: C8M 8: iobm/C8Mr 15: iobm/IOS_FSM_FFd5 - 2: E 9: iobm/ES<0> 16: iobm/IOS_FSM_FFd6 - 3: IOACT 10: iobm/ES<1> 17: nAS_IOB - 4: IOBERR 11: iobm/ES<2> 18: nBERR_IOB - 5: IODONE 12: iobm/Er 19: nDTACK_FSB - 6: IORDREQ 13: iobm/IOS_FSM_FFd2 20: nIPL2 - 7: IOWRREQ 14: iobm/IOS_FSM_FFd3 21: nVPA_IOB - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/DTACKr ..................X..................... 1 -iobs/IODONEr ....X................................... 1 -iobs/IOACTr ..X..................................... 1 -iobm/VPAr ....................X................... 1 -iobm/IOWRREQr ......X................................. 1 -iobm/IOS_FSM_FFd5 ...............X........................ 1 -iobm/IOS_FSM_FFd4 ..............X......................... 1 -iobm/IOS_FSM_FFd1 ............X........................... 1 -iobm/IORDREQr .....X.................................. 1 -iobm/Er .X...................................... 1 -iobm/C8Mr X....................................... 1 -cnt/nIPL2r ...................X.................... 1 -cnt/Er<0> .X...................................... 1 -iobm/IOS_FSM_FFd2 ...XX..X.....X.......................... 4 -IOBERR ................XX...................... 2 -iobm/ES<2> .X......XXXX............................ 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +(unused) 0 0 0 5 FB2_3 (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 1 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +(unused) 0 0 0 5 FB2_7 (b) +(unused) 0 0 0 5 FB2_8 3 GTS/I/O I +(unused) 0 0 0 5 FB2_9 4 GTS/I/O I +(unused) 0 0 0 5 FB2_10 (b) +(unused) 0 0 0 5 FB2_11 6 I/O I +(unused) 0 0 0 5 FB2_12 7 I/O I +(unused) 0 0 0 5 FB2_13 (b) +(unused) 0 0 0 5 FB2_14 8 I/O I +(unused) 0 0 0 5 FB2_15 9 I/O I +(unused) 0 0 0 5 FB2_16 (b) +(unused) 0 0 0 5 FB2_17 10 I/O I +(unused) 0 0 0 5 FB2_18 (b) *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -QoSReady 18 13<- 0 0 FB3_1 (b) (b) -IONPReady 5 4<- /\4 0 FB3_2 23 GCK/I/O GCK/I -iobs/Clear1 1 0 /\4 0 FB3_3 (b) (b) -ALE0S 1 0 0 4 FB3_4 (b) (b) -iobs/TS_FSM_FFd1 2 0 0 3 FB3_5 24 I/O I -cs/nOverlay 3 0 \/2 0 FB3_6 25 I/O I -cnt/WS<3> 3 2<- \/4 0 FB3_7 (b) (b) -cnt/WS<2> 3 3<- \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 9 9<- /\3 2 FB3_9 28 I/O O -cnt/WS<1> 3 0 \/2 0 FB3_10 (b) (b) -ram/RS_FSM_FFd5 2 2<- \/5 0 FB3_11 29 I/O I -iobs/Load1 8 5<- \/2 0 FB3_12 30 I/O I -(unused) 0 0 \/5 0 FB3_13 (b) (b) -IORDREQ 9 7<- \/3 0 FB3_14 32 I/O I -iobs/Sent 13 8<- 0 0 FB3_15 33 I/O I -(unused) 0 0 /\5 0 FB3_16 (b) (b) -nROMWE 1 0 \/4 0 FB3_17 34 I/O O -(unused) 0 0 \/5 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$346_INV$533 15: A_FSB<23> 29: cs/nOverlay - 2: A_FSB<10> 16: A_FSB<8> 30: fsb/ASrf - 3: A_FSB<11> 17: A_FSB<9> 31: iobs/IOACTr - 4: A_FSB<12> 18: IONPReady 32: iobs/IODONEr - 5: A_FSB<13> 19: IORDREQ 33: iobs/IORW1 - 6: A_FSB<14> 20: nRES.PIN 34: iobs/Sent - 7: A_FSB<15> 21: QoSReady 35: iobs/TS_FSM_FFd1 - 8: A_FSB<16> 22: RAMReady 36: iobs/TS_FSM_FFd2 - 9: A_FSB<17> 23: cnt/LTimer<0> 37: nADoutLE1 - 10: A_FSB<18> 24: cnt/LTimer<1> 38: nAS_FSB - 11: A_FSB<19> 25: cnt/WS<0> 39: nWE_FSB - 12: A_FSB<20> 26: cnt/WS<1> 40: ram/RASEN - 13: A_FSB<21> 27: cnt/WS<2> 41: ram/RS_FSM_FFd7 - 14: A_FSB<22> 28: cnt/WS<3> - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -QoSReady XXXXXXXXXXXXXXXXX...X.XXXXXX..........X........... 25 -IONPReady ....XX.XXXXXXXX..X...........X.X.X...XX........... 16 -iobs/Clear1 ..................................XX.............. 2 -ALE0S ...................................X.............. 1 -iobs/TS_FSM_FFd1 ..............................X...XX.............. 3 -cs/nOverlay ...........XXXX....X........XX.......X............ 8 -cnt/WS<3> ........................XXXX.X.......X............ 6 -cnt/WS<2> ........................XXX..X.......X............ 5 -nDTACK_FSB ....XX.XXXXXXXX..X..XX.......X......XXX........... 17 -cnt/WS<1> ........................XX...X.......X............ 4 -ram/RS_FSM_FFd5 .............XX.............XX.......X.XX......... 7 -iobs/Load1 ....XX.XXXXXXXX..............X...XXXXXX........... 17 -IORDREQ ...........XXXX...X.........XXX.XXXXXXX........... 15 -iobs/Sent ....XX.XXXXXXXX.............XX...XXXXXX........... 18 -nROMWE .....................................XX........... 2 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 43/11 -Number of signals used by logic mapping into function block: 43 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB4_1 (b) (b) -nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O -(unused) 0 0 /\2 3 FB4_3 (b) (b) -(unused) 0 0 0 5 FB4_4 (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -ram/BACTr 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -nRESout 1 0 0 4 FB4_9 92 I/O I -fsb/ASrf 1 0 0 4 FB4_10 (b) (b) -nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -cnt/WS<0> 1 0 0 4 FB4_12 94 I/O I -cnt/LTimerTC 1 0 0 4 FB4_13 (b) (b) -$OpTx$$OpTx$FX_DC$346_INV$533 - 1 0 \/3 1 FB4_14 95 I/O I -(unused) 0 0 \/5 0 FB4_15 96 I/O I -cnt/LTimer<1> 11 8<- \/2 0 FB4_16 (b) (b) -(unused) 0 0 \/5 0 FB4_17 97 I/O I -cnt/LTimer<0> 22 17<- 0 0 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 16: A_FSB<9> 30: cnt/LTimer<8> - 2: A_FSB<11> 17: IONPReady 31: cnt/LTimer<9> - 3: A_FSB<12> 18: cnt/IS_FSM_FFd1 32: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 4: A_FSB<13> 19: cnt/IS_FSM_FFd2 33: cnt/WS<0> - 5: A_FSB<14> 20: cnt/LTimer<0> 34: fsb/ASrf - 6: A_FSB<15> 21: cnt/LTimer<10> 35: iobm/DoutOE - 7: A_FSB<16> 22: cnt/LTimer<11> 36: iobm/IORDREQr - 8: A_FSB<17> 23: cnt/LTimer<1> 37: iobm/IOS0 - 9: A_FSB<18> 24: cnt/LTimer<2> 38: iobm/IOWRREQr - 10: A_FSB<19> 25: cnt/LTimer<3> 39: nAS_FSB - 11: A_FSB<20> 26: cnt/LTimer<4> 40: nAoutOE - 12: A_FSB<21> 27: cnt/LTimer<5> 41: nBR_IOB - 13: A_FSB<22> 28: cnt/LTimer<6> 42: nRESout - 14: A_FSB<23> 29: cnt/LTimer<7> 43: nWE_FSB - 15: A_FSB<8> - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -nAoutOE .................XX....................XX......... 4 -nDoutOE ..................................XXXX.X.......... 5 -nDinOE ..........XXXX........................X...X....... 6 -ram/BACTr .................................X....X........... 2 -nRES .........................................X........ 1 -nRESout .................XX............................... 2 -fsb/ASrf ......................................X........... 1 -nVPA_FSB ......XXXXXXXX..X................X....X........... 11 -cnt/WS<0> ................................XX....X........... 3 -cnt/LTimerTC ...................XXXXXXXXXXXX................... 12 -$OpTx$$OpTx$FX_DC$346_INV$533 - .................................X....X........... 2 -cnt/LTimer<1> XXXXXXXXXXXXXXXX.XXX..X........X.X....X...X....... 24 -cnt/LTimer<0> XXXXXXXXXXXXXXXX.XXXXXXXXXXXXXXX.X....X...X....... 34 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 - *********************************** FB5 *********************************** Number of function block inputs used/remaining: 33/21 Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RS_FSM_FFd7 13 8<- 0 0 FB5_1 (b) (b) -nROMCS 2 2<- /\5 0 FB5_2 35 I/O O -(unused) 0 0 /\2 3 FB5_3 (b) (b) -(unused) 0 0 \/5 0 FB5_4 (b) (b) -nCAS 14 9<- 0 0 FB5_5 36 I/O O -nOE 3 2<- /\4 0 FB5_6 37 I/O O -ram/RASEN 11 8<- /\2 0 FB5_7 (b) (b) -(unused) 0 0 /\5 0 FB5_8 39 I/O (b) -RA<4> 2 0 /\3 0 FB5_9 40 I/O O -ram/RASEL 4 0 0 1 FB5_10 (b) (b) -RA<3> 2 0 0 3 FB5_11 41 I/O O -RA<5> 2 0 \/3 0 FB5_12 42 I/O O -ram/RASrr 12 7<- 0 0 FB5_13 (b) (b) -RA<2> 2 1<- /\4 0 FB5_14 43 I/O O -RA<6> 2 0 /\1 2 FB5_15 46 I/O O -(unused) 0 0 \/5 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O (b) -RAMReady 12 10<- \/3 0 FB5_18 (b) (b) +cnt/TimerTC 2 0 0 3 FB3_1 (b) (b) +cnt/LTimerTC 2 0 0 3 FB3_2 23 GCK/I/O GCK/I +cnt/LTimer<9> 2 0 0 3 FB3_3 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB3_4 (b) (b) +cnt/LTimer<7> 2 0 0 3 FB3_5 24 I/O I +cnt/LTimer<6> 2 0 0 3 FB3_6 25 I/O I +cnt/LTimer<5> 2 0 0 3 FB3_7 (b) (b) +cnt/LTimer<4> 2 0 \/1 2 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 7 2<- 0 0 FB3_9 28 I/O O +cnt/LTimer<3> 2 0 /\1 2 FB3_10 (b) (b) +cnt/LTimer<2> 2 0 0 3 FB3_11 29 I/O I +cnt/LTimer<1> 2 0 0 3 FB3_12 30 I/O I +cnt/LTimer<11> 2 0 0 3 FB3_13 (b) (b) +cnt/LTimer<10> 2 0 0 3 FB3_14 32 I/O I +cnt/Timer<1> 4 0 0 1 FB3_15 33 I/O I +cnt/Timer<2> 5 0 0 0 FB3_16 (b) (b) +nROMWE 1 0 0 4 FB3_17 34 I/O O +RefClk 5 0 0 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 12: A_FSB<5> 23: ram/RASEL - 2: A_FSB<12> 13: A_FSB<7> 24: ram/RASEN - 3: A_FSB<13> 14: RAMReady 25: ram/RASrr - 4: A_FSB<16> 15: RefReq 26: ram/RS_FSM_FFd1 - 5: A_FSB<19> 16: RefUrg 27: ram/RS_FSM_FFd2 - 6: A_FSB<20> 17: cs/nOverlay 28: ram/RS_FSM_FFd3 - 7: A_FSB<21> 18: fsb/ASrf 29: ram/RS_FSM_FFd4 - 8: A_FSB<22> 19: nAS_FSB 30: ram/RS_FSM_FFd5 - 9: A_FSB<23> 20: nWE_FSB 31: ram/RS_FSM_FFd6 - 10: A_FSB<3> 21: ram/BACTr 32: ram/RS_FSM_FFd7 - 11: A_FSB<4> 22: ram/DTACKr 33: ram/RefDone + 1: A_FSB<16> 12: cnt/Er<0> 23: cnt/LTimer<7> + 2: A_FSB<17> 13: cnt/Er<1> 24: cnt/LTimer<8> + 3: A_FSB<18> 14: cnt/LTimer<0> 25: cnt/LTimer<9> + 4: A_FSB<19> 15: cnt/LTimer<10> 26: cnt/Timer<0> + 5: A_FSB<20> 16: cnt/LTimer<11> 27: cnt/Timer<1> + 6: A_FSB<21> 17: cnt/LTimer<1> 28: cnt/Timer<2> + 7: A_FSB<22> 18: cnt/LTimer<2> 29: cnt/TimerTC + 8: A_FSB<23> 19: cnt/LTimer<3> 30: fsb/ASrf + 9: IOReady 20: cnt/LTimer<4> 31: nADoutLE1 + 10: RAMReady 21: cnt/LTimer<5> 32: nAS_FSB + 11: RefClk 22: cnt/LTimer<6> 33: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd7 .......XX.....XXXXX.X..X.X.X...XX....... 13 -nROMCS .....XXXX.......X....................... 5 -nCAS .......XX.....XX.XX.XX.X.XXXX.XXX....... 16 -nOE .................XXXXX.................. 5 -ram/RASEN .......XX.....XX.XX.X..X.XXXXXXXX....... 16 -RA<4> X........X............X................. 3 -ram/RASEL .......XX.......XXX...XX.XXXXXXX........ 14 -RA<3> ....XX................X................. 3 -RA<5> .X........X...........X................. 3 -ram/RASrr .......XX.....XXXXX.X..XXXXXXXXXX....... 18 -RA<2> ...X........X.........X................. 3 -RA<6> ..X........X..........X................. 3 -RAMReady .......XX....XXX.XX.X..X.XXXXXXXX....... 17 +cnt/TimerTC ..........XXX............XXX............ 6 +cnt/LTimerTC ...........XXXXXXXXXXXXXX............... 14 +cnt/LTimer<9> ...........XXX..XXXXXXXX................ 11 +cnt/LTimer<8> ...........XXX..XXXXXXX................. 10 +cnt/LTimer<7> ...........XXX..XXXXXX.................. 9 +cnt/LTimer<6> ...........XXX..XXXXX................... 8 +cnt/LTimer<5> ...........XXX..XXXX.................... 7 +cnt/LTimer<4> ...........XXX..XXX..................... 6 +nDTACK_FSB XXXXXXXXXX...................XXXX....... 14 +cnt/LTimer<3> ...........XXX..XX...................... 5 +cnt/LTimer<2> ...........XXX..X....................... 4 +cnt/LTimer<1> ...........XXX.......................... 3 +cnt/LTimer<11> ...........XXXX.XXXXXXXXX............... 13 +cnt/LTimer<10> ...........XXX..XXXXXXXXX............... 12 +cnt/Timer<1> ...........XX............XX.X........... 5 +cnt/Timer<2> ...........XX............XXXX........... 6 +nROMWE ...............................XX....... 2 +RefClk ..........XXX............XXXX........... 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobs/Clear1 1 0 0 4 FB4_1 (b) (b) +nAoutOE 2 0 0 3 FB4_2 87 I/O O +(unused) 0 0 \/5 0 FB4_3 (b) (b) +iobs/TS_FSM_FFd2 12 7<- 0 0 FB4_4 (b) (b) +nDoutOE 2 0 /\2 1 FB4_5 89 I/O O +nDinOE 3 0 \/2 0 FB4_6 90 I/O O +iobs/Sent 11 6<- 0 0 FB4_7 (b) (b) +nRES 1 0 /\4 0 FB4_8 91 I/O I/O +(unused) 0 0 \/5 0 FB4_9 92 I/O I +IOWRREQ 13 8<- 0 0 FB4_10 (b) (b) +nVPA_FSB 3 1<- /\3 0 FB4_11 93 I/O O +iobs/IORW1 4 0 /\1 0 FB4_12 94 I/O I +(unused) 0 0 \/5 0 FB4_13 (b) (b) +IOU0 15 10<- 0 0 FB4_14 95 I/O I +(unused) 0 0 /\5 0 FB4_15 96 I/O I +(unused) 0 0 \/5 0 FB4_16 (b) (b) +IOL0 15 10<- 0 0 FB4_17 97 I/O I +(unused) 0 0 /\5 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<16> 13: cnt/IS_FSM_FFd1 25: iobs/Sent + 2: A_FSB<17> 14: cnt/IS_FSM_FFd2 26: iobs/TS_FSM_FFd1 + 3: A_FSB<18> 15: cs/nOverlay 27: iobs/TS_FSM_FFd2 + 4: A_FSB<19> 16: fsb/ASrf 28: nADoutLE1 + 5: A_FSB<20> 17: iobm/DoutOE 29: nAS_FSB + 6: A_FSB<21> 18: iobm/IORDREQr 30: nAoutOE + 7: A_FSB<22> 19: iobm/IOS0 31: nBR_IOB + 8: A_FSB<23> 20: iobm/IOWRREQr 32: nLDS_FSB + 9: IOL0 21: iobs/IOACTr 33: nRESout + 10: IOReady 22: iobs/IOL1 34: nUDS_FSB + 11: IOU0 23: iobs/IORW1 35: nWE_FSB + 12: IOWRREQ 24: iobs/IOU1 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobs/Clear1 .........................XX............. 2 +nAoutOE ............XX...............XX......... 4 +iobs/TS_FSM_FFd2 XXXXXXXX......XX....X...XXXXX.....X..... 17 +nDoutOE ................XXXX.........X.......... 5 +nDinOE ....XXXX......X.............X.....X..... 7 +iobs/Sent XXXXXXXX......XX........XXXXX.....X..... 16 +nRES ................................X....... 1 +IOWRREQ XXXXXXXX...X..XX....X.X.XXXXX.....X..... 19 +nVPA_FSB XXXXXXXX.X.....X............X........... 11 +iobs/IORW1 XXXXXXXX.......X......X.XXXXX.....X..... 16 +IOU0 XXXXXXXX..X...XX.......XXXXXX....XX..... 19 +IOL0 XXXXXXXXX.....XX.....X..XXXXX..X..X..... 19 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEN 8 4<- /\1 0 FB5_1 (b) (b) +nROMCS 2 1<- /\4 0 FB5_2 35 I/O O +(unused) 0 0 /\1 4 FB5_3 (b) (b) +BACTr<1> 1 0 \/4 0 FB5_4 (b) (b) +nCAS 12 7<- 0 0 FB5_5 36 I/O O +nOE 3 1<- /\3 0 FB5_6 37 I/O O +ram/RASEL 3 0 /\1 1 FB5_7 (b) (b) +cs/nOverlay 3 0 0 2 FB5_8 39 I/O (b) +RA<4> 2 0 \/3 0 FB5_9 40 I/O O +ram/RS_FSM_FFd7 8 3<- 0 0 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +ram/RS_FSM_FFd8 10 5<- 0 0 FB5_13 (b) (b) +RA<2> 2 0 \/2 1 FB5_14 43 I/O O +RA<6> 2 2<- \/5 0 FB5_15 46 I/O O +RAMReady 10 5<- 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +ram/RASrr 11 6<- 0 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<11> 12: A_FSB<5> 23: ram/RS_FSM_FFd1 + 2: A_FSB<12> 13: A_FSB<7> 24: ram/RS_FSM_FFd2 + 3: A_FSB<13> 14: BACTr<1> 25: ram/RS_FSM_FFd3 + 4: A_FSB<16> 15: nRES.PIN 26: ram/RS_FSM_FFd4 + 5: A_FSB<19> 16: cs/nOverlay 27: ram/RS_FSM_FFd5 + 6: A_FSB<20> 17: fsb/ASrf 28: ram/RS_FSM_FFd6 + 7: A_FSB<21> 18: nAS_FSB 29: ram/RS_FSM_FFd7 + 8: A_FSB<22> 19: nWE_FSB 30: ram/RS_FSM_FFd8 + 9: A_FSB<23> 20: ram/DTACKr 31: ram/RefReq + 10: A_FSB<3> 21: ram/RASEL 32: ram/RefUrg + 11: A_FSB<4> 22: ram/RASEN + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEN .......XX....X..XX...XX..X...XXX........ 11 +nROMCS .....XXXX......X........................ 5 +BACTr<1> ................XX...................... 2 +nCAS .......XX....X..XX.X.XXXXXX.XXXX........ 16 +nOE .............X..XXXX.................... 5 +ram/RASEL .......XX......XXX...X.....X.X.......... 8 +cs/nOverlay .....XXXX.....XXXX...................... 8 +RA<4> X........X..........X................... 3 +ram/RS_FSM_FFd7 .......XX....X..XX...X...X...XXX........ 10 +RA<3> ....XX..............X................... 3 +RA<5> .X........X.........X................... 3 +ram/RS_FSM_FFd8 .......XX....X.XXX...XX..X...XXX........ 12 +RA<2> ...X........X.......X................... 3 +RA<6> ..X........X........X................... 3 +RAMReady .......XX....X..XX...XX..XXX.XXX........ 13 +ram/RASrr .......XX....X.XXX...X...X..XXXX........ 12 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/IOS_FSM_FFd6 2 0 0 3 FB6_1 (b) (b) +iobm/IOS_FSM_FFd1 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/IOS_FSM_FFd7 3 0 0 2 FB6_3 (b) (b) -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_4 (b) (b) -iobm/ES<0> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<3> 4 0 0 1 FB6_6 77 I/O I -iobm/ES<1> 4 0 0 1 FB6_7 (b) (b) +iobm/C8Mr 1 0 0 4 FB6_3 (b) (b) +iobm/IOS_FSM_FFd6 2 0 0 3 FB6_4 (b) (b) +iobm/IOS_FSM_FFd2 2 0 0 3 FB6_5 76 I/O I +iobm/IOS_FSM_FFd7 3 0 0 2 FB6_6 77 I/O I +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_7 (b) (b) iobm/DoutOE 4 0 \/1 0 FB6_8 78 I/O I nLDS_IOB 6 1<- 0 0 FB6_9 79 I/O O IODONE 4 0 \/1 0 FB6_10 (b) (b) @@ -590,168 +548,162 @@ nDinLE 1 0 \/3 1 FB6_17 86 I/O O IOACT 8 3<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 13: iobm/ES<1> 25: iobm/IOS_FSM_FFd7 - 2: ALE0S 14: iobm/ES<2> 26: iobm/IOWRREQr - 3: E 15: iobm/ES<3> 27: iobm/VPAr - 4: IOACT 16: iobm/Er 28: iobs/Clear1 - 5: IOBERR 17: iobm/IORDREQr 29: iobs/Load1 - 6: IODONE 18: iobm/IOS0 30: nADoutLE1 - 7: IOL0 19: iobm/IOS_FSM_FFd1 31: nAS_IOB - 8: IOU0 20: iobm/IOS_FSM_FFd2 32: nAoutOE - 9: nRES.PIN 21: iobm/IOS_FSM_FFd3 33: nDTACK_IOB - 10: iobm/C8Mr 22: iobm/IOS_FSM_FFd4 34: nLDS_IOB - 11: iobm/DoutOE 23: iobm/IOS_FSM_FFd5 35: nUDS_IOB - 12: iobm/ES<0> 24: iobm/IOS_FSM_FFd6 36: nVMA_IOB + 1: ALE0M 13: iobm/ES<1> 25: iobm/IOWRREQr + 2: ALE0S 14: iobm/ES<2> 26: iobm/VPAr + 3: C8M 15: iobm/ES<3> 27: iobs/Clear1 + 4: IOACT 16: iobm/IORDREQr 28: iobs/Load1 + 5: IOBERR 17: iobm/IOS0 29: nADoutLE1 + 6: IODONE 18: iobm/IOS_FSM_FFd1 30: nAS_IOB + 7: IOL0 19: iobm/IOS_FSM_FFd2 31: nAoutOE + 8: IOU0 20: iobm/IOS_FSM_FFd3 32: nDTACK_IOB + 9: nRES.PIN 21: iobm/IOS_FSM_FFd4 33: nLDS_IOB + 10: iobm/C8Mr 22: iobm/IOS_FSM_FFd5 34: nUDS_IOB + 11: iobm/DoutOE 23: iobm/IOS_FSM_FFd6 35: nVMA_IOB + 12: iobm/ES<0> 24: iobm/IOS_FSM_FFd7 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/IOS_FSM_FFd6 .........X......X.......XX.....X........ 5 -nVMA_IOB ...X.......XXXX...........X....X...X.... 8 -iobm/IOS_FSM_FFd7 .........X......X.X.....XX.....X........ 6 -iobm/IOS_FSM_FFd3 ....XX...X..........XX.................. 5 -iobm/ES<0> ..X........XXXXX........................ 6 -iobm/ES<3> ..X........XXXXX........................ 6 -iobm/ES<1> ..X........XXXXX........................ 6 -iobm/DoutOE .........XX.........XXXXXX.............. 8 -nLDS_IOB ......X..X......X...XXXXX......X.X...... 10 -IODONE ........X..XXXX...............X.X..X.... 8 -nUDS_IOB .......X.X......X...XXXXX......X..X..... 10 -nAS_IOB .........X......X...XXXXXX.....X........ 9 -iobm/IOS0 .........X......XXXXXXXXXX.....X........ 12 -nADoutLE1 ...........................XXX.......... 3 +iobm/IOS_FSM_FFd1 ..................X..................... 1 +nVMA_IOB ...X.......XXXX..........X....X...X..... 8 +iobm/C8Mr ..X..................................... 1 +iobm/IOS_FSM_FFd6 .........X.....X.......XX.....X......... 5 +iobm/IOS_FSM_FFd2 ....XX...X.........X.................... 4 +iobm/IOS_FSM_FFd7 .........X.....X.X.....XX.....X......... 6 +iobm/IOS_FSM_FFd3 ....XX...X.........XX................... 5 +iobm/DoutOE .........XX........XXXXXX............... 8 +nLDS_IOB ......X..X.....X...XXXXX......X.X....... 10 +IODONE ........X..XXXX..............X.X..X..... 8 +nUDS_IOB .......X.X.....X...XXXXX......X..X...... 10 +nAS_IOB .........X.....X...XXXXXX.....X......... 9 +iobm/IOS0 .........X.....XXXXXXXXXX.....X......... 12 +nADoutLE1 ..........................XXX........... 3 nADoutLE0 XX...................................... 2 -ALE0M X...............X.XXXXXXXX.....X........ 11 -nDinLE ....................XX.................. 2 -IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 +ALE0M X..............X.XXXXXXXX.....X......... 11 +nDinLE ...................XX................... 2 +IOACT ...XXX...X.....X.XXXXXXXX.....X......... 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<9> 3 1<- /\3 0 FB7_1 (b) (b) -RA<1> 2 0 /\1 2 FB7_2 50 I/O O -cnt/LTimer<8> 3 0 0 2 FB7_3 (b) (b) -cnt/LTimer<7> 3 0 0 2 FB7_4 (b) (b) +ram/nRefClkR 1 0 0 4 FB7_1 (b) (b) +RA<1> 2 0 0 3 FB7_2 50 I/O O +ram/RS_FSM_FFd3 1 0 0 4 FB7_3 (b) (b) +ram/RS_FSM_FFd2 1 0 0 4 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<6> 3 0 0 2 FB7_7 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB7_7 (b) (b) RA<8> 2 0 0 3 FB7_8 54 I/O O RA<10> 2 0 0 3 FB7_9 55 I/O O -cnt/LTimer<5> 3 0 0 2 FB7_10 (b) (b) +cnt/LTimer<0> 1 0 0 4 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<4> 3 0 0 2 FB7_13 (b) (b) -cnt/LTimer<3> 3 0 0 2 FB7_14 59 I/O (b) -cnt/LTimer<2> 3 0 0 2 FB7_15 60 I/O (b) -cnt/LTimer<11> 3 0 0 2 FB7_16 (b) (b) -cnt/LTimer<10> 3 0 \/2 0 FB7_17 61 I/O (b) -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 10 5<- 0 0 FB7_18 (b) (b) +cnt/IS_FSM_FFd1 1 0 0 4 FB7_13 (b) (b) +cnt/Er<1> 1 0 0 4 FB7_14 59 I/O (b) +cnt/Timer<0> 2 0 0 3 FB7_15 60 I/O (b) +cnt/IS_FSM_FFd2 2 0 0 3 FB7_16 (b) (b) +ram/RefReq 3 0 0 2 FB7_17 61 I/O (b) +ram/RefUrg 4 0 0 1 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<2> - 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<3> - 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<4> - 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<5> - 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<6> - 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<7> - 7: A_FSB<16> 21: cnt/Er<0> 35: cnt/LTimer<8> - 8: A_FSB<17> 22: cnt/Er<1> 36: cnt/LTimer<9> - 9: A_FSB<18> 23: cnt/IS_FSM_FFd1 37: cnt/TimerTC - 10: A_FSB<19> 24: cnt/IS_FSM_FFd2 38: fsb/ASrf - 11: A_FSB<1> 25: cnt/LTimer<0> 39: nAS_FSB - 12: A_FSB<20> 26: cnt/LTimer<10> 40: nWE_FSB - 13: A_FSB<21> 27: cnt/LTimer<11> 41: ram/RASEL - 14: A_FSB<22> 28: cnt/LTimer<1> + 1: A_FSB<10> 11: A_FSB<8> 21: cnt/nIPL2r + 2: A_FSB<14> 12: A_FSB<9> 22: ram/RASEL + 3: A_FSB<15> 13: RefClk 23: ram/RS_FSM_FFd1 + 4: A_FSB<17> 14: cnt/Er<0> 24: ram/RS_FSM_FFd2 + 5: A_FSB<18> 15: cnt/Er<1> 25: ram/RS_FSM_FFd3 + 6: A_FSB<1> 16: cnt/IS_FSM_FFd1 26: ram/RS_FSM_FFd7 + 7: A_FSB<21> 17: cnt/IS_FSM_FFd2 27: ram/RefReq + 8: A_FSB<2> 18: cnt/LTimerTC 28: ram/RefUrg + 9: A_FSB<6> 19: cnt/Timer<0> 29: ram/nRefClkR + 10: A_FSB<7> 20: cnt/TimerTC -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<9> ....................XXXXX..XXXXXXXXXX............. 15 -RA<1> X..............X........................X......... 3 -cnt/LTimer<8> ....................XXXXX..XXXXXXXX.X............. 14 -cnt/LTimer<7> ....................XXXXX..XXXXXXX..X............. 13 -RA<7> ....X...........X.......................X......... 3 -RA<0> ..........X........X....................X......... 3 -cnt/LTimer<6> ....................XXXXX..XXXXXX...X............. 12 -RA<8> ........X...X...........................X......... 3 -RA<10> .......X.........X......................X......... 3 -cnt/LTimer<5> ....................XXXXX..XXXXX....X............. 11 -RA<9> .....X............X.....................X......... 3 -C25MEN .................................................. 0 -cnt/LTimer<4> ....................XXXXX..XXXX.....X............. 10 -cnt/LTimer<3> ....................XXXXX..XXX......X............. 9 -cnt/LTimer<2> ....................XXXXX..XX.......X............. 8 -cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 -cnt/LTimer<10> ....................XXXXXX.XXXXXXXXXX............. 16 -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/nRefClkR ............X........................... 1 +RA<1> X......X.............X.................. 3 +ram/RS_FSM_FFd3 .........................X.............. 1 +ram/RS_FSM_FFd2 ........................X............... 1 +RA<7> .X......X............X.................. 3 +RA<0> .....X.....X.........X.................. 3 +ram/RS_FSM_FFd1 .......................X................ 1 +RA<8> ....X.X..............X.................. 3 +RA<10> ...X.....X...........X.................. 3 +cnt/LTimer<0> .............XX......................... 2 +RA<9> ..X.......X..........X.................. 3 +C25MEN ........................................ 0 +cnt/IS_FSM_FFd1 .............XXXXX.XX................... 7 +cnt/Er<1> .............X.......................... 1 +cnt/Timer<0> .............XX...XX.................... 4 +cnt/IS_FSM_FFd2 .............XXXXX.X.................... 6 +ram/RefReq ............X.........XXXXX.X........... 7 +ram/RefUrg ............X.........XXXXXXX........... 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOL0 16 11<- 0 0 FB8_1 (b) (b) -RA<11> 2 2<- /\5 0 FB8_2 63 I/O O -iobs/TS_FSM_FFd2 13 10<- /\2 0 FB8_3 (b) (b) -(unused) 0 0 /\5 0 FB8_4 (b) (b) -nRAS 3 3<- /\5 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O -(unused) 0 0 \/1 4 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) -(unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/1 4 FB8_11 68 I/O (b) -nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O -(unused) 0 0 \/5 0 FB8_13 (b) (b) -IOU0 16 11<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -cnt/IS_FSM_FFd1 1 0 \/4 0 FB8_16 (b) (b) -iobs/IORW1 8 4<- \/1 0 FB8_17 73 I/O (b) -(unused) 0 0 \/5 0 FB8_18 (b) (b) +(unused) 0 0 /\4 1 FB8_1 (b) (b) +RA<11> 2 0 0 3 FB8_2 63 I/O O +nRESout 1 0 0 4 FB8_3 (b) (b) +fsb/ASrf 1 0 0 4 FB8_4 (b) (b) +nRAS 3 0 0 2 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +cnt/Er<0> 1 0 0 4 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +ALE0S 1 0 0 4 FB8_9 67 I/O (b) +ram/RS_FSM_FFd6 2 0 0 3 FB8_10 (b) (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB8_11 68 I/O (b) +nBERR_FSB 3 0 0 2 FB8_12 70 I/O O +iobs/IOU1 2 0 0 3 FB8_13 (b) (b) +iobs/IOL1 2 0 0 3 FB8_14 71 I/O (b) +nBR_IOB 2 0 0 3 FB8_15 72 I/O O +iobs/Load1 4 0 0 1 FB8_16 (b) (b) +IOReady 5 0 0 0 FB8_17 73 I/O (b) +IORDREQ 9 4<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 15: cnt/Er<0> 29: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 16: cnt/Er<1> 30: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 17: cnt/IS_FSM_FFd1 31: nADoutLE1 - 4: A_FSB<17> 18: cnt/IS_FSM_FFd2 32: nAS_FSB - 5: A_FSB<18> 19: cnt/LTimerTC 33: nBERR_FSB - 6: A_FSB<19> 20: cnt/TimerTC 34: nBR_IOB - 7: A_FSB<20> 21: cnt/nIPL2r 35: nLDS_FSB - 8: A_FSB<21> 22: cs/nOverlay 36: nUDS_FSB - 9: A_FSB<22> 23: fsb/ASrf 37: nWE_FSB - 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RASEL - 11: IOBERR 25: iobs/IOL1 39: ram/RASEN - 12: IOL0 26: iobs/IORW1 40: ram/RASrf - 13: IOU0 27: iobs/IOU1 41: ram/RASrr - 14: IOWRREQ 28: iobs/Sent + 1: A_FSB<16> 13: cnt/IS_FSM_FFd1 25: nADoutLE1 + 2: A_FSB<17> 14: cnt/IS_FSM_FFd2 26: nAS_FSB + 3: A_FSB<18> 15: cnt/nIPL2r 27: nBERR_FSB + 4: A_FSB<19> 16: cs/nOverlay 28: nBR_IOB + 5: A_FSB<20> 17: fsb/ASrf 29: nLDS_FSB + 6: A_FSB<21> 18: iobs/IOACTr 30: nUDS_FSB + 7: A_FSB<22> 19: iobs/IODONEr 31: nWE_FSB + 8: A_FSB<23> 20: iobs/IORW1 32: ram/RASEL + 9: E 21: iobs/Load1 33: ram/RASEN + 10: IOBERR 22: iobs/Sent 34: ram/RASrf + 11: IORDREQ 23: iobs/TS_FSM_FFd1 35: ram/RASrr + 12: IOReady 24: iobs/TS_FSM_FFd2 36: ram/RS_FSM_FFd8 -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -IOL0 XXXXXXXXXX.X.........XX.X..XXXXX..X.X............. 21 -RA<11> .....XX..............................X............ 3 -iobs/TS_FSM_FFd2 XXXXXXXXXX...........XXX...XXXXX....X............. 19 -nRAS ........XX...........X.........X......XXX......... 7 -nRAMLWE ..................................X.XX............ 3 -nRAMUWE ...................................XXX............ 3 -IOWRREQ XXXXXXXXXX...X.......XXX.X.XXXXX....X............. 21 -nBERR_FSB ..........X...........X....X...XX................. 5 -IOU0 XXXXXXXXXX..X........XX...XXXXXX...XX............. 21 -nBR_IOB ................XX..X............X................ 4 -cnt/IS_FSM_FFd1 ..............XXXXXXX............................. 7 -iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +RA<11> ...XX..........................X........ 3 +nRESout ............XX.......................... 2 +fsb/ASrf .........................X.............. 1 +nRAS ......XX.......X.........X......XXX..... 7 +nRAMLWE ............................X.XX........ 3 +cnt/Er<0> ........X............................... 1 +nRAMUWE .............................XXX........ 3 +ALE0S .......................X................ 1 +ram/RS_FSM_FFd6 ......XX.......XX........X......X..X.... 7 +iobs/TS_FSM_FFd1 .................X....XX................ 3 +nBERR_FSB .........X......X....X...XX............. 5 +iobs/IOU1 ....................X........X.......... 2 +iobs/IOL1 ....................X.......X........... 2 +nBR_IOB ............XXX............X............ 4 +iobs/Load1 XXXXXXXX........X....XXXXX....X......... 15 +IOReady XXXXXXXX...X....X.X..X..XX....X......... 15 +IORDREQ ....XXXX..X....XXX.X.XXXXX....X......... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$346_INV$533 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -769,6 +721,9 @@ ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); +FDCPE_BACTr1: FDCPE port map (BACTr(1),BACTr_D(1),FCLK,'0','0'); +BACTr_D(1) <= (nAS_FSB AND NOT fsb/ASrf); + C25MEN <= '1'; @@ -787,24 +742,6 @@ C25MEN <= '1'; - - - - - - - - - - - - - - - - - - @@ -829,71 +766,76 @@ IODONE_D <= ((NOT nRES.PIN) FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); IOL0_T <= ((iobs/TS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/IORW1.EXP) - OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1)); -FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0'); -IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) - OR (NOT IONPReady AND NOT iobs/IODONEr) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT nWE_FSB AND NOT IONPReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT nWE_FSB AND NOT IONPReady)); - FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); -IORDREQ_D <= ((iobs/Load1.EXP) - OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) +IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + +FTCPE_IOReady: FTCPE port map (IOReady,IOReady_T,FCLK,'0','0'); +IOReady_T <= ((IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Sent AND NOT IOReady AND NOT nAS_FSB AND iobs/IODONEr) + OR (iobs/Sent AND NOT IOReady AND fsb/ASrf AND iobs/IODONEr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND + NOT IOReady AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND + NOT IOReady AND fsb/ASrf AND nADoutLE1)); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); IOU0_T <= ((iobs/TS_FSM_FFd1) - OR (nBERR_FSB_OBUF.EXP) OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -913,16 +855,10 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) @@ -933,72 +869,45 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); -FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); -QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND NOT A_FSB(16) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND NOT A_FSB(13) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND NOT A_FSB(14) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (nROMWE_OBUF.EXP) - OR (A_FSB(22) AND A_FSB(21) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND A_FSB(19) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND A_FSB(18) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND A_FSB(15) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND A_FSB(12) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) - OR (A_FSB(22) AND A_FSB(20) AND - NOT $OpTx$$OpTx$FX_DC$346_INV$533)); - RA(0) <= ((ram/RASEL AND A_FSB(1)) OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(2))); +RA(1) <= ((ram/RASEL AND A_FSB(2)) + OR (NOT ram/RASEL AND A_FSB(10))); -RA(2) <= ((ram/RASEL AND A_FSB(7)) - OR (A_FSB(16) AND NOT ram/RASEL)); +RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); RA(3) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); -RA(4) <= ((A_FSB(11) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(3))); +RA(4) <= ((ram/RASEL AND A_FSB(3)) + OR (NOT ram/RASEL AND A_FSB(11))); -RA(5) <= ((A_FSB(12) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); +RA(5) <= ((ram/RASEL AND A_FSB(4)) + OR (NOT ram/RASEL AND A_FSB(12))); -RA(6) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); +RA(6) <= ((ram/RASEL AND A_FSB(5)) + OR (NOT ram/RASEL AND A_FSB(13))); -RA(7) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); +RA(7) <= ((ram/RASEL AND A_FSB(6)) + OR (NOT ram/RASEL AND A_FSB(14))); RA(8) <= ((A_FSB(21) AND ram/RASEL) OR (A_FSB(18) AND NOT ram/RASEL)); -RA(9) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(8))); +RA(9) <= ((ram/RASEL AND A_FSB(8)) + OR (NOT ram/RASEL AND A_FSB(15))); RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) @@ -1009,277 +918,119 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -RAMReady_D <= ((EXP20_.EXP) - OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT RAMReady) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd5) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND - NOT ram/RASEN AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND - ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd5) - OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +RAMReady_D <= ((ram/RefUrg AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) + OR (A_FSB(23) AND ram/RefReq AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND - ram/RS_FSM_FFd6) - OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RS_FSM_FFd6 AND NOT BACTr(1)) + OR (A_FSB(23) AND ram/RefReq AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT BACTr(1) AND + fsb/ASrf) + OR (A_FSB(22) AND ram/RefReq AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND - ram/RS_FSM_FFd2)); + NOT ram/RS_FSM_FFd6 AND NOT BACTr(1)) + OR (A_FSB(22) AND ram/RefReq AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT BACTr(1) AND + fsb/ASrf) + OR (A_FSB(23) AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (A_FSB(22) AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (ram/RefUrg AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (ram/RefUrg AND NOT ram/RASEN AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6)); -FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); -RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND - cnt/Timer(3)); -RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); -RefUrg_D <= (NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3)); -RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_RefClk: FTCPE port map (RefClk,RefClk_T,FCLK,'0','0',RefClk_CE); +RefClk_T <= ((RefClk AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/TimerTC) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + cnt/Er(0)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/Er(1))); +RefClk_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); +cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) - OR (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); +cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -cnt/LTimer_D(0) <= ((nAoutOE_OBUF.EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(1).EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +cnt/LTimer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -cnt/LTimer_D(1) <= (($OpTx$$OpTx$FX_DC$346_INV$533.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT cnt/LTimer(1) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +cnt/LTimer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); -cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +cnt/LTimer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); -cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +cnt/LTimer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); -cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3)); +cnt/LTimer_CE(4) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); -cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4)); +cnt/LTimer_CE(5) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); -cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +cnt/LTimer_CE(6) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); -cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +cnt/LTimer_CE(7) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + cnt/LTimer(7)); +cnt/LTimer_CE(8) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); -cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); + cnt/LTimer(7) AND cnt/LTimer(8)); +cnt/LTimer_CE(9) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -cnt/LTimer_T(9) <= ((cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1))); + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(10) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); -cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); -cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(11) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); -cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/LTimer(11)); - - -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND - NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND - NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND - NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8))); +cnt/LTimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND @@ -1299,40 +1050,11 @@ cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); -cnt/Timer_T(3) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/TimerTC) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - cnt/Er(0)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/Er(1)) - OR (cnt/Timer(3) AND cnt/TimerTC AND NOT cnt/Er(0) AND - cnt/Er(1))); -cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); - FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); -cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND - cnt/Timer(3)); +cnt/TimerTC_D <= (RefClk AND cnt/Timer(0) AND NOT cnt/Timer(1) AND + NOT cnt/Timer(2)); cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); -cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); - -FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); -cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) - OR (cnt/WS(0) AND cnt/WS(1)) - OR (NOT cnt/WS(0) AND NOT cnt/WS(1))); - -FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); -cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) - OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); - -FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -cnt/WS_T(3) <= ((NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) - OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf) - OR (nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf)); - FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); @@ -1440,95 +1162,53 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1) FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1)); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND - nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND - nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - nADoutLE1)); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND +iobs/Sent_T <= ((A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -1544,20 +1224,27 @@ iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1582,8 +1269,8 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) - OR (NOT iobs/Sent AND nBERR_FSB) +nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) + OR (NOT IOBERR AND nBERR_FSB) OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); @@ -1594,37 +1281,41 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); nCAS_D <= ((ram/RS_FSM_FFd1) OR (ram/RS_FSM_FFd2) - OR (ram/RefDone AND ram/RS_FSM_FFd7) - OR (ram/RefDone AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd4 AND ram/DTACKr) - OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) - OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND - ram/RS_FSM_FFd7) - OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd3) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) + OR (NOT ram/RefUrg AND NOT ram/RefReq AND ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND BACTr(1)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND + NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd7 AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf) - OR (NOT RefUrg AND ram/RS_FSM_FFd3) - OR (NOT RefUrg AND ram/RS_FSM_FFd6) - OR (ram/RefDone AND ram/RS_FSM_FFd6)); + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd7) + OR (ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((cnt/WS(3).EXP) - OR (A_FSB(23) AND NOT IONPReady) - OR (NOT IONPReady AND NOT QoSReady) +nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND NOT IOReady AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT IOReady) OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) - OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); + OR (A_FSB(22) AND A_FSB(21) AND NOT IOReady) + OR (A_FSB(22) AND A_FSB(20) AND NOT IOReady) + OR (NOT A_FSB(22) AND NOT IOReady AND NOT RAMReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); -nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); +nDinOE <= NOT (((A_FSB(23) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(21) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(20) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB))); nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) @@ -1643,8 +1334,8 @@ nLDS_IOB_OE <= NOT nAoutOE; FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); nOE_D <= ((NOT nWE_FSB) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (ram/DTACKr AND ram/BACTr)); + OR (ram/DTACKr AND BACTr(1)) + OR (nAS_FSB AND NOT fsb/ASrf)); nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); @@ -1653,8 +1344,8 @@ nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); -nRAS <= NOT (((ram/RASrr) - OR (ram/RASrf) +nRAS <= NOT (((ram/RASrf) + OR (ram/RASrr) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND ram/RASEN))); @@ -1667,8 +1358,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); +nROMCS <= NOT (((NOT cs/nOverlay) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -1693,137 +1384,126 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - NOT nAS_FSB) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IOReady AND + fsb/ASrf) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - fsb/ASrf)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IOReady AND + NOT nAS_FSB)); FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((ram/RS_FSM_FFd5) +ram/RASEL_D <= ((ram/RS_FSM_FFd6) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND ram/RASEN) + ram/RS_FSM_FFd8 AND ram/RASEN) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) - OR (ram/RASEL AND NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd6 AND - NOT ram/RS_FSM_FFd2)); + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); ram/RASEN_D <= ((ram/RS_FSM_FFd1) - OR (RA_4_OBUF.EXP) - OR (ram/RefDone AND ram/RS_FSM_FFd7) - OR (ram/RefDone AND ram/RS_FSM_FFd3) - OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) - OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) - OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) - OR (NOT RefUrg AND ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND ram/RASEN AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND - NOT ram/RS_FSM_FFd2)); + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND + NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND + ram/RASEN AND fsb/ASrf) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) + OR (NOT ram/RefUrg AND NOT ram/RefReq AND ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND BACTr(1))); -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RASrf_D,NOT FCLK,'0','0'); -ram/RASrf_D <= (NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6); +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd6,NOT FCLK,'0','0'); FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -ram/RASrr_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/RS_FSM_FFd2 AND ram/RASrr) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd7) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd7) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND - NOT ram/RASEN) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); +ram/RASrr_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND ram/RASEN AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd7 AND fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RS_FSM_FFd7 AND + BACTr(1)) + OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RS_FSM_FFd7 AND + BACTr(1)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + NOT cs/nOverlay AND NOT ram/RS_FSM_FFd7) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd7) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RASEN AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd7) + OR (NOT ram/RefUrg AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd7) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd7) + OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RefReq AND + NOT ram/RS_FSM_FFd7) + OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RefReq AND + NOT ram/RS_FSM_FFd7) + OR (NOT ram/RefUrg AND nAS_FSB AND NOT ram/RS_FSM_FFd7 AND + NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd6,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,FCLK,'0','0'); -ram/RS_FSM_FFd3_D <= (ram/RS_FSM_FFd4 AND ram/DTACKr); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); -ram/RS_FSM_FFd4_D <= ((ram/RS_FSM_FFd5) - OR (ram/RS_FSM_FFd4 AND NOT ram/DTACKr)); +ram/RS_FSM_FFd4_D <= (ram/DTACKr AND ram/RS_FSM_FFd5); FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); -ram/RS_FSM_FFd5_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf)); +ram/RS_FSM_FFd5_D <= ((ram/RS_FSM_FFd6) + OR (NOT ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd7) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd7) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND - NOT ram/RASEN) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); +ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -ram/RS_FSM_FFd7_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RS_FSM_FFd1) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND - NOT ram/RS_FSM_FFd1)); +ram/RS_FSM_FFd7_D <= ((NOT ram/RefUrg AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND ram/RASEN AND + NOT ram/RS_FSM_FFd4) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RASEN AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf) + OR (NOT ram/RefUrg AND NOT ram/RefReq) + OR (NOT ram/RefUrg AND NOT ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND BACTr(1)) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg)); -FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); -ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) - OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd6 AND - NOT ram/RS_FSM_FFd2)); +FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); +ram/RS_FSM_FFd8_D <= ((ram/RS_FSM_FFd1) + OR (A_FSB(23) AND NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND + BACTr(1)) + OR (A_FSB(22) AND NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND + BACTr(1)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND + ram/RS_FSM_FFd8 AND NOT ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf) + OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) + OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RefReq AND + ram/RS_FSM_FFd8) + OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RefReq AND + ram/RS_FSM_FFd8) + OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND + NOT fsb/ASrf)); + +FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); +ram/RefReq_D <= ((NOT RefClk AND NOT ram/nRefClkR) + OR (RefClk AND ram/RefReq AND ram/nRefClkR) + OR (ram/RefReq AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); +ram/RefUrg_D <= ((RefClk AND ram/RefReq AND ram/nRefClkR) + OR (NOT RefClk AND ram/RefUrg AND NOT ram/nRefClkR) + OR (NOT RefClk AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND + NOT ram/nRefClkR AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/nRefClkR: FDCPE port map (ram/nRefClkR,NOT RefClk,FCLK,'0','0'); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index a408a5b..35e5141 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -5,9 +5,6 @@ ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$346_INV$533 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0');
     ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -25,6 +22,9 @@ FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); +FDCPE_BACTr1: FDCPE port map (BACTr(1),BACTr_D(1),FCLK,'0','0'); +
     BACTr_D(1) <= (nAS_FSB AND NOT fsb/ASrf); + C25MEN <= '1'; @@ -46,24 +46,6 @@ C25MEN <= '1'; - - - - - - - - - - - - - - - - - - FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0');
     IOACT_D <= ((iobm/IOS_FSM_FFd4)
      OR (iobm/IOS_FSM_FFd5) @@ -85,71 +67,76 @@ FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0');
     IOL0_T <= ((iobs/TS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (iobs/IORW1.EXP) -
      OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1)
      OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1)
      OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1)); -FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0'); -
     IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) -
      OR (NOT IONPReady AND NOT iobs/IODONEr) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT nWE_FSB AND NOT IONPReady) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT nWE_FSB AND NOT IONPReady)); - FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); -
     IORDREQ_D <= ((iobs/Load1.EXP) -
      OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) -
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) +
     IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND
      nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) -
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); +
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) +
      OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) +
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + +FTCPE_IOReady: FTCPE port map (IOReady,IOReady_T,FCLK,'0','0'); +
     IOReady_T <= ((IOReady AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Sent AND NOT IOReady AND NOT nAS_FSB AND iobs/IODONEr) +
      OR (iobs/Sent AND NOT IOReady AND fsb/ASrf AND iobs/IODONEr) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND +
      NOT IOReady AND NOT nAS_FSB AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND +
      NOT IOReady AND fsb/ASrf AND nADoutLE1)); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0');
     IOU0_T <= ((iobs/TS_FSM_FFd1) -
      OR (nBERR_FSB_OBUF.EXP)
      OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1)
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1)
      OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -169,16 +156,10 @@ FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0');
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND NOT nWE_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ)
      OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) @@ -189,72 +170,45 @@ FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0');
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); -FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); -
     QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND NOT A_FSB(16) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND NOT A_FSB(13) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND NOT A_FSB(14) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (nROMWE_OBUF.EXP) -
      OR (A_FSB(22) AND A_FSB(21) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND A_FSB(19) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND A_FSB(18) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND A_FSB(15) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND A_FSB(12) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) -
      OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) -
      OR (A_FSB(22) AND A_FSB(20) AND -
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)); - RA(0) <= ((ram/RASEL AND A_FSB(1))
      OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(2))); +RA(1) <= ((ram/RASEL AND A_FSB(2)) +
      OR (NOT ram/RASEL AND A_FSB(10))); -RA(2) <= ((ram/RASEL AND A_FSB(7)) -
      OR (A_FSB(16) AND NOT ram/RASEL)); +RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(7))); RA(3) <= ((A_FSB(20) AND ram/RASEL)
      OR (A_FSB(19) AND NOT ram/RASEL)); -RA(4) <= ((A_FSB(11) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(3))); +RA(4) <= ((ram/RASEL AND A_FSB(3)) +
      OR (NOT ram/RASEL AND A_FSB(11))); -RA(5) <= ((A_FSB(12) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(4))); +RA(5) <= ((ram/RASEL AND A_FSB(4)) +
      OR (NOT ram/RASEL AND A_FSB(12))); -RA(6) <= ((A_FSB(13) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(5))); +RA(6) <= ((ram/RASEL AND A_FSB(5)) +
      OR (NOT ram/RASEL AND A_FSB(13))); -RA(7) <= ((A_FSB(14) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(6))); +RA(7) <= ((ram/RASEL AND A_FSB(6)) +
      OR (NOT ram/RASEL AND A_FSB(14))); RA(8) <= ((A_FSB(21) AND ram/RASEL)
      OR (A_FSB(18) AND NOT ram/RASEL)); -RA(9) <= ((A_FSB(15) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(8))); +RA(9) <= ((ram/RASEL AND A_FSB(8)) +
      OR (NOT ram/RASEL AND A_FSB(15))); RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) @@ -265,277 +219,119 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL)
      OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -
     RAMReady_D <= ((EXP20_.EXP) -
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT RAMReady) -
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND -
      NOT ram/RS_FSM_FFd5) -
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND -
      NOT ram/RS_FSM_FFd5) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND -
      NOT ram/RASEN AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND -
      NOT ram/RS_FSM_FFd5) -
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND -
      ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND -
      NOT ram/RS_FSM_FFd5) -
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
     RAMReady_D <= ((ram/RefUrg AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND ram/RefReq AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND -
      ram/RS_FSM_FFd6) -
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RS_FSM_FFd6 AND NOT BACTr(1)) +
      OR (A_FSB(23) AND ram/RefReq AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT BACTr(1) AND +
      fsb/ASrf) +
      OR (A_FSB(22) AND ram/RefReq AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND -
      ram/RS_FSM_FFd2)); +
      NOT ram/RS_FSM_FFd6 AND NOT BACTr(1)) +
      OR (A_FSB(22) AND ram/RefReq AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT BACTr(1) AND +
      fsb/ASrf) +
      OR (A_FSB(23) AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (A_FSB(22) AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (ram/RefUrg AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (ram/RefUrg AND NOT ram/RASEN AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6)); -FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); -
     RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND -
      cnt/Timer(3)); -
     RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); -
     RefUrg_D <= (NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3)); -
     RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_RefClk: FTCPE port map (RefClk,RefClk_T,FCLK,'0','0',RefClk_CE); +
     RefClk_T <= ((RefClk AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      NOT cnt/TimerTC) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      cnt/Er(0)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      NOT cnt/Er(1))); +
     RefClk_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -
     cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); +
     cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND +
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -
     cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) -
      OR (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); +
     cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/IS_FSM_FFd1 AND +
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -
     cnt/LTimer_D(0) <= ((nAoutOE_OBUF.EXP) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (cnt/LTimer(1).EXP) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (cnt/LTimer(0) AND -
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +
     cnt/LTimer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -
     cnt/LTimer_D(1) <= (($OpTx$$OpTx$FX_DC$346_INV$533.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) -
      OR (NOT cnt/LTimer(1) AND -
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +
     cnt/LTimer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); -
     cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND -
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND -
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +
     cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +
     cnt/LTimer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); -
     cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +
     cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +
     cnt/LTimer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); -
     cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND -
      cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND -
      cnt/Er(1))); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +
     cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3)); +
     cnt/LTimer_CE(4) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); -
     cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND -
      NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +
     cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4)); +
     cnt/LTimer_CE(5) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); -
     cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND -
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND -
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +
     cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +
     cnt/LTimer_CE(6) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); -
     cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +
     cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +
     cnt/LTimer_CE(7) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +
     cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +
      cnt/LTimer(7)); +
     cnt/LTimer_CE(8) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); -
     cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +
     cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND -
      cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND -
      cnt/Er(1))); +
      cnt/LTimer(7) AND cnt/LTimer(8)); +
     cnt/LTimer_CE(9) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -
     cnt/LTimer_T(9) <= ((cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +
     cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND -
      NOT cnt/Er(0) AND cnt/Er(1))); +
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +
     cnt/LTimer_CE(10) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); -
     cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND -
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND -
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); -
     cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +
     cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND -
      cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND -
      cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +
     cnt/LTimer_CE(11) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); -
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND
      cnt/LTimer(11)); - - -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9)) -
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND -
      NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND -
      NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND -
      NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8))); +
     cnt/LTimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0));
     cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND @@ -555,40 +351,11 @@ FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/T
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); -
     cnt/Timer_T(3) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      NOT cnt/TimerTC) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      cnt/Er(0)) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      NOT cnt/Er(1)) -
      OR (cnt/Timer(3) AND cnt/TimerTC AND NOT cnt/Er(0) AND -
      cnt/Er(1))); -
     cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); - FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); -
     cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND -
      cnt/Timer(3)); +
     cnt/TimerTC_D <= (RefClk AND cnt/Timer(0) AND NOT cnt/Timer(1) AND +
      NOT cnt/Timer(2));
     cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); -
     cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); - -FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); -
     cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (cnt/WS(0) AND cnt/WS(1)) -
      OR (NOT cnt/WS(0) AND NOT cnt/WS(1))); - -FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); -
     cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) -
      OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) -
      OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); - -FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -
     cnt/WS_T(3) <= ((NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) -
      OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf) -
      OR (nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf)); - FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); @@ -696,95 +463,53 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1) FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0');
     iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND -
      fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND -
      fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND -
      fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND -
      fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND nADoutLE1)); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND +
      nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
     iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND -
      nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND -
      nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND -
      nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND -
      nADoutLE1)); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -
     iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND +
     iobs/Sent_T <= ((A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      NOT nWE_FSB AND fsb/ASrf AND nADoutLE1)
      OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -800,20 +525,27 @@ FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -
     iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) -
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
     iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) -
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); +
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -838,8 +570,8 @@ FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0');
      OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -
     nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) -
      OR (NOT iobs/Sent AND nBERR_FSB) +
     nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) +
      OR (NOT IOBERR AND nBERR_FSB)
      OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); @@ -850,37 +582,41 @@ FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0');
     nCAS_D <= ((ram/RS_FSM_FFd1)
      OR (ram/RS_FSM_FFd2) -
      OR (ram/RefDone AND ram/RS_FSM_FFd7) -
      OR (ram/RefDone AND ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd4 AND ram/DTACKr) -
      OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) -
      OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND -
      ram/RS_FSM_FFd7) -
      OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd3) +
      OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) +
      OR (NOT ram/RefUrg AND NOT ram/RefReq AND ram/RS_FSM_FFd8) +
      OR (NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND BACTr(1)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND +
      ram/RS_FSM_FFd8) +
      OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND +
      NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND ram/RASEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd7 AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND
      ram/RASEN AND fsb/ASrf) -
      OR (NOT RefUrg AND ram/RS_FSM_FFd3) -
      OR (NOT RefUrg AND ram/RS_FSM_FFd6) -
      OR (ram/RefDone AND ram/RS_FSM_FFd6)); +
      OR (NOT ram/RefUrg AND ram/RS_FSM_FFd7) +
      OR (ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -
     nDTACK_FSB_D <= ((cnt/WS(3).EXP) -
      OR (A_FSB(23) AND NOT IONPReady) -
      OR (NOT IONPReady AND NOT QoSReady) +
     nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND NOT IOReady AND NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT IOReady)
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); +
      OR (A_FSB(22) AND A_FSB(21) AND NOT IOReady) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT IOReady) +
      OR (NOT A_FSB(22) AND NOT IOReady AND NOT RAMReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); -nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); +nDinOE <= NOT (((A_FSB(23) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(22) AND A_FSB(21) AND cs/nOverlay AND nWE_FSB AND +
      NOT nAS_FSB) +
      OR (A_FSB(22) AND A_FSB(20) AND cs/nOverlay AND nWE_FSB AND +
      NOT nAS_FSB))); nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) @@ -899,8 +635,8 @@ FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0');
     nOE_D <= ((NOT nWE_FSB) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (ram/DTACKr AND ram/BACTr)); +
      OR (ram/DTACKr AND BACTr(1)) +
      OR (nAS_FSB AND NOT fsb/ASrf)); nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); @@ -909,8 +645,8 @@ nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); -nRAS <= NOT (((ram/RASrr) -
      OR (ram/RASrf) +nRAS <= NOT (((ram/RASrf) +
      OR (ram/RASrr)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
      ram/RASEN))); @@ -923,8 +659,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0');
     nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); +nROMCS <= NOT (((NOT cs/nOverlay) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -949,137 +685,126 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB);
     nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND -
      NOT nAS_FSB) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IOReady AND +
      fsb/ASrf)
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND -
      fsb/ASrf)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); -
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IOReady AND +
      NOT nAS_FSB)); FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -
     ram/RASEL_D <= ((ram/RS_FSM_FFd5) +
     ram/RASEL_D <= ((ram/RS_FSM_FFd6)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND ram/RASEN) +
      ram/RS_FSM_FFd8 AND ram/RASEN)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) -
      OR (ram/RASEL AND NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd6 AND -
      NOT ram/RS_FSM_FFd2)); +
      ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0');
     ram/RASEN_D <= ((ram/RS_FSM_FFd1) -
      OR (RA_4_OBUF.EXP) -
      OR (ram/RefDone AND ram/RS_FSM_FFd7) -
      OR (ram/RefDone AND ram/RS_FSM_FFd3) -
      OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) -
      OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) -
      OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) -
      OR (NOT RefUrg AND ram/RS_FSM_FFd3) -
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND ram/RASEN AND -
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND -
      NOT ram/RS_FSM_FFd2)); +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND +
      ram/RS_FSM_FFd8) +
      OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND +
      NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND +
      ram/RASEN AND fsb/ASrf) +
      OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) +
      OR (NOT ram/RefUrg AND NOT ram/RefReq AND ram/RS_FSM_FFd8) +
      OR (NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND BACTr(1))); -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RASrf_D,NOT FCLK,'0','0'); -
     ram/RASrf_D <= (NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6); +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd6,NOT FCLK,'0','0'); FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -
     ram/RASrr_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND ram/RASEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) -
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND -
      NOT ram/RS_FSM_FFd6 AND NOT ram/RS_FSM_FFd2 AND ram/RASrr) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7) -
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND -
      NOT ram/RASEN) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); +
     ram/RASrr_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND ram/RASEN AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd7 AND fsb/ASrf) +
      OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RS_FSM_FFd7 AND +
      BACTr(1)) +
      OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RS_FSM_FFd7 AND +
      BACTr(1)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND +
      NOT cs/nOverlay AND NOT ram/RS_FSM_FFd7) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RASEN AND +
      NOT ram/RS_FSM_FFd7) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      ram/RASEN AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd7) +
      OR (NOT ram/RefUrg AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd7) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd7) +
      OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RefReq AND +
      NOT ram/RS_FSM_FFd7) +
      OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RefReq AND +
      NOT ram/RS_FSM_FFd7) +
      OR (NOT ram/RefUrg AND nAS_FSB AND NOT ram/RS_FSM_FFd7 AND +
      NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd6,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd3_D <= (ram/RS_FSM_FFd4 AND ram/DTACKr); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd4_D <= ((ram/RS_FSM_FFd5) -
      OR (ram/RS_FSM_FFd4 AND NOT ram/DTACKr)); +
     ram/RS_FSM_FFd4_D <= (ram/DTACKr AND ram/RS_FSM_FFd5); FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd5_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND ram/RASEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf)); +
     ram/RS_FSM_FFd5_D <= ((ram/RS_FSM_FFd6) +
      OR (NOT ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7) -
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd7) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND -
      NOT ram/RASEN) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); +
     ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd7_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND -
      NOT ram/RS_FSM_FFd1) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) -
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RS_FSM_FFd1) -
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND -
      NOT ram/RS_FSM_FFd1) -
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND -
      NOT ram/RS_FSM_FFd1) -
      OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND -
      NOT ram/RS_FSM_FFd1) -
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND -
      NOT ram/RS_FSM_FFd1)); +
     ram/RS_FSM_FFd7_D <= ((NOT ram/RefUrg AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND ram/RASEN AND +
      NOT ram/RS_FSM_FFd4) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RASEN AND +
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf) +
      OR (NOT ram/RefUrg AND NOT ram/RefReq) +
      OR (NOT ram/RefUrg AND NOT ram/RS_FSM_FFd8) +
      OR (NOT ram/RefUrg AND BACTr(1)) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg)); -FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); -
     ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) -
      OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd6 AND -
      NOT ram/RS_FSM_FFd2)); +FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd8_D <= ((ram/RS_FSM_FFd1) +
      OR (A_FSB(23) AND NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND +
      BACTr(1)) +
      OR (A_FSB(22) AND NOT ram/RefUrg AND ram/RS_FSM_FFd8 AND +
      BACTr(1)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RefUrg AND +
      ram/RS_FSM_FFd8 AND NOT ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf) +
      OR (NOT ram/RefUrg AND ram/RS_FSM_FFd4) +
      OR (A_FSB(23) AND NOT ram/RefUrg AND NOT ram/RefReq AND +
      ram/RS_FSM_FFd8) +
      OR (A_FSB(22) AND NOT ram/RefUrg AND NOT ram/RefReq AND +
      ram/RS_FSM_FFd8) +
      OR (NOT ram/RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND +
      NOT fsb/ASrf)); + +FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); +
     ram/RefReq_D <= ((NOT RefClk AND NOT ram/nRefClkR) +
      OR (RefClk AND ram/RefReq AND ram/nRefClkR) +
      OR (ram/RefReq AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); +
     ram/RefUrg_D <= ((RefClk AND ram/RefReq AND ram/nRefClkR) +
      OR (NOT RefClk AND ram/RefUrg AND NOT ram/nRefClkR) +
      OR (NOT RefClk AND ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (ram/RefUrg AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND +
      NOT ram/nRefClkR AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/nRefClkR: FDCPE port map (ram/nRefClkR,NOT RefClk,FCLK,'0','0'); Register Legend:
      FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index 92c9141..f38f5d7 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@