From 149b636d2b7532ae09b7c3016adcb30075dae4e2 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 24 Apr 2024 04:16:40 -0400 Subject: [PATCH] RC --- Buf.kicad_sch | 38 +- Clk.kicad_sch | 261 +- ClkBuf.kicad_sch | 175 +- Control.kicad_sch | 20 +- Documentation/WarpSE.4410A-Placement.pdf | Bin 237353 -> 240146 bytes Documentation/WarpSE.4410A-Schematic.pdf | Bin 2329064 -> 2339363 bytes MC68k.kicad_sch | 8 +- PDS.kicad_sch | 12 +- Power.kicad_sch | 20 +- Prog.kicad_sch | 61 +- RAMROM.kicad_sch | 28 +- WarpSE.kicad_pcb | 14673 +++++++++++---------- WarpSE.kicad_sch | 20 +- gerber/WarpSE-BOM.csv | 25 +- gerber/WarpSE-B_Cu.gbl | 3159 +++-- gerber/WarpSE-B_Mask.gbs | 622 +- gerber/WarpSE-B_Silkscreen.gbo | 19 +- gerber/WarpSE-Edge_Cuts.gm1 | 4 +- gerber/WarpSE-F_Cu.gtl | 2925 ++-- gerber/WarpSE-F_Mask.gts | 1106 +- gerber/WarpSE-F_Paste.gtp | 12 +- gerber/WarpSE-F_Silkscreen.gto | 413 +- gerber/WarpSE-In1_Cu.g2 | 1200 +- gerber/WarpSE-In2_Cu.g3 | 2491 ++-- gerber/WarpSE-NET.xml | 306 +- gerber/WarpSE-job.gbrjob | 2 +- gerber/WarpSE-top-pos.csv | 20 +- gerber/WarpSE.4410A-gerber.zip | Bin 1193259 -> 1203305 bytes gerber/WarpSE.4410A-stencil.zip | Bin 4400 -> 4402 bytes gerber/WarpSE.drl | 44 +- 30 files changed, 15373 insertions(+), 12291 deletions(-) diff --git a/Buf.kicad_sch b/Buf.kicad_sch index 8aa8d9e..5b39a08 100644 --- a/Buf.kicad_sch +++ b/Buf.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -3311,7 +3311,7 @@ (property "Footprint" "stdpads:C_0603" (at 58.42 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 58.42 185.42 0) + (property "Datasheet" "" (at 58.42 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 58.42 185.42 0) @@ -3340,7 +3340,7 @@ (property "Footprint" "stdpads:C_0603" (at 68.58 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 68.58 185.42 0) + (property "Datasheet" "" (at 68.58 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 68.58 185.42 0) @@ -3369,7 +3369,7 @@ (property "Footprint" "stdpads:C_0603" (at 78.74 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 78.74 185.42 0) + (property "Datasheet" "" (at 78.74 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 78.74 185.42 0) @@ -3398,7 +3398,7 @@ (property "Footprint" "stdpads:C_0603" (at 48.26 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 48.26 185.42 0) + (property "Datasheet" "" (at 48.26 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 48.26 185.42 0) @@ -3427,7 +3427,7 @@ (property "Footprint" "stdpads:C_0603" (at 99.06 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 99.06 185.42 0) + (property "Datasheet" "" (at 99.06 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 99.06 185.42 0) @@ -3456,7 +3456,7 @@ (property "Footprint" "stdpads:C_0603" (at 88.9 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 88.9 185.42 0) + (property "Datasheet" "" (at 88.9 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 88.9 185.42 0) @@ -4198,7 +4198,7 @@ (property "Footprint" "stdpads:C_0603" (at 58.42 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 58.42 175.26 0) + (property "Datasheet" "" (at 58.42 175.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 58.42 175.26 0) @@ -4227,7 +4227,7 @@ (property "Footprint" "stdpads:C_0603" (at 68.58 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 68.58 175.26 0) + (property "Datasheet" "" (at 68.58 175.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 68.58 175.26 0) @@ -4256,7 +4256,7 @@ (property "Footprint" "stdpads:C_0603" (at 78.74 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 78.74 175.26 0) + (property "Datasheet" "" (at 78.74 175.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 78.74 175.26 0) @@ -4285,7 +4285,7 @@ (property "Footprint" "stdpads:C_0603" (at 48.26 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 48.26 175.26 0) + (property "Datasheet" "" (at 48.26 175.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 48.26 175.26 0) @@ -4314,7 +4314,7 @@ (property "Footprint" "stdpads:C_0603" (at 99.06 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 99.06 175.26 0) + (property "Datasheet" "" (at 99.06 175.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 99.06 175.26 0) @@ -4343,7 +4343,7 @@ (property "Footprint" "stdpads:C_0603" (at 88.9 175.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 88.9 175.26 0) + (property "Datasheet" "" (at 88.9 175.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 88.9 175.26 0) @@ -4397,7 +4397,7 @@ (property "Footprint" "stdpads:R_0603" (at 127 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 127 72.39 0) + (property "Datasheet" "" (at 127 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "" (at 127 72.39 0) @@ -4420,13 +4420,13 @@ (property "Reference" "R22" (at 127 83.82 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "0" (at 127 86.36 90) + (property "Value" "47" (at 127 86.36 90) (effects (font (size 1.27 1.27)) (justify bottom)) ) (property "Footprint" "stdpads:R_0603" (at 127 87.63 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 127 87.63 0) + (property "Datasheet" "" (at 127 87.63 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 127 87.63 0) @@ -4455,7 +4455,7 @@ (property "Footprint" "stdpads:R_0603" (at 127 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 127 64.77 0) + (property "Datasheet" "" (at 127 64.77 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "" (at 127 64.77 0) @@ -4478,13 +4478,13 @@ (property "Reference" "R21" (at 127 76.2 90) (effects (font (size 1.27 1.27))) ) - (property "Value" "0" (at 127 78.74 90) + (property "Value" "47" (at 127 78.74 90) (effects (font (size 1.27 1.27)) (justify bottom)) ) (property "Footprint" "stdpads:R_0603" (at 127 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 127 80.01 0) + (property "Datasheet" "" (at 127 80.01 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 127 80.01 0) diff --git a/Clk.kicad_sch b/Clk.kicad_sch index 82cf803..0c32e76 100644 --- a/Clk.kicad_sch +++ b/Clk.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -291,6 +291,58 @@ ) ) ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) (property "Reference" "#PWR" (at 0 -6.35 0) (effects (font (size 1.27 1.27)) hide) @@ -354,12 +406,12 @@ (junction (at 113.03 77.47) (diameter 0) (color 0 0 0 0) (uuid 5ebc1cdd-f290-4399-ae10-1d59c3ed6dc2) ) - (junction (at 107.95 74.93) (diameter 0) (color 0 0 0 0) - (uuid 6a05a475-9e06-4515-9076-68e363ccd78d) - ) (junction (at 176.53 95.25) (diameter 0) (color 0 0 0 0) (uuid 6b523de0-1928-4468-a14f-5db44022217c) ) + (junction (at 100.33 74.93) (diameter 0) (color 0 0 0 0) + (uuid 9ad35ec8-cd30-48a0-89dd-61b718c6d652) + ) (junction (at 146.05 72.39) (diameter 0) (color 0 0 0 0) (uuid a7ce0b7c-1c92-42f2-8e99-727241a0e88c) ) @@ -403,10 +455,6 @@ (stroke (width 0) (type default)) (uuid 1f3d838e-5685-4ec1-914f-1f579871733f) ) - (wire (pts (xy 107.95 74.93) (xy 113.03 74.93)) - (stroke (width 0) (type default)) - (uuid 24afa029-a8cf-4788-a2c5-9d5d45718b73) - ) (wire (pts (xy 173.99 97.79) (xy 173.99 105.41)) (stroke (width 0) (type default)) (uuid 2a5568aa-8ba5-43fa-b22a-5d599d50858c) @@ -451,6 +499,10 @@ (stroke (width 0) (type default)) (uuid 652cd889-b73e-4451-8178-e90dccb85724) ) + (wire (pts (xy 97.79 74.93) (xy 100.33 74.93)) + (stroke (width 0) (type default)) + (uuid 7a96be59-a011-4636-ad8b-6c789a4637ab) + ) (wire (pts (xy 88.9 110.49) (xy 99.06 110.49)) (stroke (width 0) (type default)) (uuid 8fa789eb-90f4-432d-9935-8ebb05e6df5a) @@ -491,7 +543,7 @@ (stroke (width 0) (type default)) (uuid b9d5ca06-6660-4967-9998-98b15cf035b3) ) - (wire (pts (xy 105.41 77.47) (xy 113.03 77.47)) + (wire (pts (xy 97.79 77.47) (xy 113.03 77.47)) (stroke (width 0) (type default)) (uuid bad5f0d4-4809-45da-9872-e3f3ca11426f) ) @@ -531,11 +583,16 @@ (stroke (width 0) (type default)) (uuid e1b305a9-ad3b-4bd8-bf32-71fc5bef994d) ) - (wire (pts (xy 105.41 74.93) (xy 107.95 74.93)) + (wire (pts (xy 100.33 74.93) (xy 113.03 74.93)) (stroke (width 0) (type default)) (uuid fee80f93-dd16-4c6f-8cc7-86636a09888e) ) + (label "CLKEN" (at 113.03 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 631bbf26-27e6-48d2-b3d6-f0ea9ad1e6ce) + ) + (hierarchical_label "MCKE" (shape input) (at 143.51 74.93 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 18a9dea8-caa6-40a3-962a-7699d9146e17) @@ -561,7 +618,7 @@ (property "Footprint" "stdpads:C_0603" (at 78.74 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 78.74 107.95 0) + (property "Datasheet" "" (at 78.74 107.95 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 78.74 107.95 0) @@ -615,7 +672,7 @@ (property "Footprint" "stdpads:C_0603" (at 88.9 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 88.9 107.95 0) + (property "Datasheet" "" (at 88.9 107.95 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 88.9 107.95 0) @@ -644,7 +701,7 @@ (property "Footprint" "stdpads:R_0603" (at 180.34 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 180.34 72.39 0) + (property "Datasheet" "" (at 180.34 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 180.34 72.39 0) @@ -673,7 +730,7 @@ (property "Footprint" "stdpads:R_0603" (at 180.34 95.25 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 180.34 95.25 0) + (property "Datasheet" "" (at 180.34 95.25 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 180.34 95.25 0) @@ -755,7 +812,7 @@ (property "Datasheet" "" (at 121.92 77.47 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C32526" (at 121.92 77.47 0) + (property "LCSC Part" "C717684" (at 121.92 77.47 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 507ab6dc-4ff9-4266-ba03-bfe284e8e9d1)) @@ -786,6 +843,9 @@ (property "Datasheet" "" (at 161.29 101.6 0) (effects (font (size 1.524 1.524)) hide) ) + (property "LCSC Part" "C503431" (at 161.29 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) (pin "1" (uuid dddf75cc-7ee7-43eb-8430-feb85d4f823f)) (pin "2" (uuid 510c4dc8-0d36-430e-8457-ca646d3f0daf)) (pin "3" (uuid ea860632-9b77-4cd6-baba-8b0293855c36)) @@ -815,7 +875,7 @@ (property "Footprint" "stdpads:C_0603" (at 99.06 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 99.06 107.95 0) + (property "Datasheet" "" (at 99.06 107.95 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 99.06 107.95 0) @@ -832,19 +892,19 @@ ) ) - (symbol (lib_id "power:+3V3") (at 107.95 69.85 0) (unit 1) + (symbol (lib_id "power:+3V3") (at 100.33 69.85 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 376d0515-9053-4da0-a13f-69871edc9402) - (property "Reference" "#PWR09" (at 107.95 73.66 0) + (property "Reference" "#PWR09" (at 100.33 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (at 107.95 66.04 0) + (property "Value" "+3V3" (at 100.33 66.04 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 107.95 69.85 0) + (property "Footprint" "" (at 100.33 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 107.95 69.85 0) + (property "Datasheet" "" (at 100.33 69.85 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid c02f6571-98d2-4bfd-94ee-594b16eb13cd)) @@ -869,7 +929,7 @@ (property "Footprint" "stdpads:R_0603" (at 180.34 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 180.34 83.82 0) + (property "Datasheet" "" (at 180.34 83.82 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5bbb8993-4e6a-4e14-a5ee-022b9c51492e)) @@ -908,6 +968,31 @@ ) ) + (symbol (lib_id "power:GND") (at 119.38 92.71 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 535b87ed-cbfd-405b-908e-667ab6c7dc98) + (property "Reference" "#PWR026" (at 119.38 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 119.38 96.52 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 119.38 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 119.38 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ffca25fe-fc75-4d50-8c40-22f8b3754cd6)) + (instances + (project "WarpSE" + (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21" + (reference "#PWR026") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:GND") (at 171.45 100.33 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 5da6943b-d5d6-4279-a89e-fcb0a5433c4b) @@ -933,6 +1018,32 @@ ) ) + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 127 90.17 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 85b79bbd-e87c-4cdb-b3be-0f19bab36f14) + (property "Reference" "J5" (at 127 87.63 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "CLKIN" (at 127 95.25 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:PinHeader_1x02_P2.54mm_Vertical" (at 127 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 127 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cfa92bbb-4074-41e2-8f42-17d05ef1328e)) + (pin "2" (uuid 0dd2d711-7e85-47e6-a28b-dffeb8e7351d)) + (instances + (project "WarpSE" + (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21" + (reference "J5") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:GND") (at 171.45 77.47 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid b02eeb7f-1091-49f3-a385-cbe04b68decb) @@ -998,6 +1109,9 @@ (property "Datasheet" "" (at 161.29 78.74 0) (effects (font (size 1.524 1.524)) hide) ) + (property "LCSC Part" "C503431" (at 161.29 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) (pin "1" (uuid 2979ae8e-8f38-4b72-a84e-917f8e5a4739)) (pin "2" (uuid 7804f3b4-21e9-4584-860d-b465a4a19f2f)) (pin "3" (uuid 23b7ad85-aa03-49b3-b102-64e23670190a)) @@ -1015,6 +1129,31 @@ ) ) + (symbol (lib_id "power:+5V") (at 119.38 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c16b49c7-5c9a-4693-8cac-607bc6376c53) + (property "Reference" "#PWR027" (at 119.38 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 119.38 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 119.38 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 119.38 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 34f990a5-a77f-4289-b124-9589b31813ef)) + (instances + (project "WarpSE" + (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21" + (reference "#PWR027") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "power:GND") (at 99.06 110.49 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid c4552a4c-67ec-4c74-9534-4a3480747fb1) @@ -1052,7 +1191,7 @@ (property "Footprint" "stdpads:R_0603" (at 134.62 77.47 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 134.62 77.47 0) + (property "Datasheet" "" (at 134.62 77.47 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 134.62 77.47 0) @@ -1094,22 +1233,48 @@ ) ) - (symbol (lib_id "Device:R_Small") (at 107.95 72.39 180) (unit 1) + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 114.3 90.17 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e0f35a4d-57ee-4e42-b380-84d6e41a5cf1) + (property "Reference" "J7" (at 114.3 87.63 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "PWR" (at 114.3 95.25 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:PinHeader_1x02_P2.54mm_Vertical" (at 114.3 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 114.3 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ff5d8391-54c0-4399-b0c1-c598a2661ab2)) + (pin "2" (uuid 6765a1ed-394b-400a-a079-5aa0a1f109c6)) + (instances + (project "WarpSE" + (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21" + (reference "J7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 100.33 72.39 180) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid eba9534c-7822-4ffd-8a48-7a46c4141239) - (property "Reference" "R8" (at 109.22 71.12 0) + (property "Reference" "R8" (at 101.6 71.12 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "1k" (at 109.22 73.66 0) + (property "Value" "1k" (at 101.6 73.66 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "stdpads:R_0603" (at 107.95 72.39 0) + (property "Footprint" "stdpads:R_0603" (at 100.33 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 107.95 72.39 0) + (property "Datasheet" "" (at 100.33 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "" (at 107.95 72.39 0) + (property "LCSC Part" "C21190" (at 100.33 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f851052a-70dd-4b2e-ad70-0dfd25d8445a)) @@ -1123,19 +1288,19 @@ ) ) - (symbol (lib_id "Connector_Generic:Conn_01x02") (at 100.33 74.93 0) (mirror y) (unit 1) + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 92.71 74.93 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid f1f5ef96-c7c3-4c7a-89e3-e67b510a4fe7) - (property "Reference" "J6" (at 100.33 72.39 0) + (property "Reference" "J6" (at 92.71 72.39 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "CLKDIS" (at 100.33 80.01 0) + (property "Value" "CLKDIS" (at 92.71 80.01 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "stdpads:PinHeader_1x02_P2.54mm_Vertical" (at 100.33 74.93 0) + (property "Footprint" "stdpads:PinHeader_1x02_P2.54mm_Vertical" (at 92.71 74.93 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 100.33 74.93 0) + (property "Datasheet" "" (at 92.71 74.93 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 0d0d9ae7-761e-4b80-9314-8f27bb675a38)) @@ -1161,7 +1326,7 @@ (property "Footprint" "stdpads:R_0603" (at 134.62 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 134.62 90.17 0) + (property "Datasheet" "" (at 134.62 90.17 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 134.62 90.17 0) @@ -1202,30 +1367,4 @@ ) ) ) - - (symbol (lib_id "Connector_Generic:Conn_01x02") (at 127 90.17 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid fcedaae2-d11c-444f-b015-f8191fedf7b4) - (property "Reference" "J5" (at 127 87.63 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "CLKIN" (at 127 95.25 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "stdpads:PinHeader_1x02_P2.54mm_Vertical" (at 127 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (at 127 90.17 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f0a6d570-ea09-473f-8988-6e98c88e1949)) - (pin "2" (uuid ab329001-e5d0-469c-ac11-3a6080485e0d)) - (instances - (project "WarpSE" - (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21" - (reference "J5") (unit 1) - ) - ) - ) - ) ) diff --git a/ClkBuf.kicad_sch b/ClkBuf.kicad_sch index 1bbca71..0319464 100644 --- a/ClkBuf.kicad_sch +++ b/ClkBuf.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -102,11 +102,11 @@ ) ) ) - (symbol "GW_Logic:741G04GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (symbol "GW_Logic:741G32GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) (property "Reference" "U" (at 0 6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "741G04GW" (at 0 -6.35 0) + (property "Value" "741G32GW" (at 0 -6.35 0) (effects (font (size 1.27 1.27))) ) (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) @@ -115,19 +115,22 @@ (property "Datasheet" "" (at 0 -5.08 0) (effects (font (size 1.524 1.524)) hide) ) - (symbol "741G04GW_0_1" - (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (symbol "741G32GW_0_1" + (rectangle (start -5.08 5.08) (end 5.08 -5.08) (stroke (width 0.254) (type default)) (fill (type background)) ) ) - (symbol "741G04GW_1_1" - (pin no_connect line (at -8.89 2.54 0) (length 3.81) - (name "NC" (effects (font (size 1.27 1.27)))) + (symbol "741G32GW_1_1" + (text "OR" (at 0 0 0) + (effects (font (size 1.524 1.524) bold)) + ) + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) (pin input line (at -10.16 0 0) (length 5.08) - (name "A" (effects (font (size 1.27 1.27)))) + (name "B" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) (pin power_in line (at -10.16 -2.54 0) (length 5.08) @@ -244,21 +247,31 @@ (junction (at 133.35 138.43) (diameter 0) (color 0 0 0 0) (uuid 10d26858-c79a-4fdb-aafc-073a5307ef6a) ) + (junction (at 121.92 104.14) (diameter 0) (color 0 0 0 0) + (uuid 4e34abcd-70aa-4f1b-a4d5-c32851c2d5b0) + ) (junction (at 123.19 133.35) (diameter 0) (color 0 0 0 0) (uuid c756a70c-92e7-4fb8-9b0b-ed747e568d90) ) + (junction (at 121.92 88.9) (diameter 0) (color 0 0 0 0) + (uuid cd97617e-415c-4173-8ac0-87a2af65b6d0) + ) (junction (at 123.19 138.43) (diameter 0) (color 0 0 0 0) (uuid ffca5546-1aae-44a7-a6f2-9e7ac0755ad3) ) - (no_connect (at 123.19 114.3) (uuid 11c9a459-ad10-4d8f-b220-908a3f5c128a)) - (no_connect (at 123.19 83.82) (uuid c92a2db0-cfdc-422f-adfe-b1ccf9cc9613)) - (no_connect (at 123.19 99.06) (uuid dd35394c-7b65-4e8a-9463-294dcc3a1583)) - + (wire (pts (xy 119.38 80.01) (xy 121.92 80.01)) + (stroke (width 0) (type default)) + (uuid 1051f78c-8674-4315-b6ca-74e387236e1d) + ) (wire (pts (xy 123.19 133.35) (xy 133.35 133.35)) (stroke (width 0) (type default)) (uuid 131caff9-fc69-41eb-81d9-de7b3376f4e3) ) + (wire (pts (xy 121.92 80.01) (xy 121.92 83.82)) + (stroke (width 0) (type default)) + (uuid 17dc5847-3129-4c41-a46d-23f76a0f7409) + ) (wire (pts (xy 143.51 119.38) (xy 142.24 119.38)) (stroke (width 0) (type default)) (uuid 20d398fe-73aa-43d3-8ca2-985ab624712c) @@ -279,10 +292,26 @@ (stroke (width 0) (type default)) (uuid 51440c5c-2d59-4abd-a099-98d28226c02b) ) + (wire (pts (xy 121.92 104.14) (xy 121.92 114.3)) + (stroke (width 0) (type default)) + (uuid 5b0bfe51-c9cd-441c-8d47-95b377c9abcc) + ) + (wire (pts (xy 119.38 88.9) (xy 121.92 88.9)) + (stroke (width 0) (type default)) + (uuid 62078358-78ca-4948-b4e8-d8853ebfaacb) + ) + (wire (pts (xy 121.92 88.9) (xy 121.92 99.06)) + (stroke (width 0) (type default)) + (uuid 8662f137-440a-45e7-963d-3c8b6f48864e) + ) (wire (pts (xy 149.86 119.38) (xy 148.59 119.38)) (stroke (width 0) (type default)) (uuid 86719e92-6039-4290-a257-62e8ec159e7d) ) + (wire (pts (xy 119.38 104.14) (xy 121.92 104.14)) + (stroke (width 0) (type default)) + (uuid a22e3e07-9dd8-418e-a920-e268098f0409) + ) (wire (pts (xy 113.03 133.35) (xy 123.19 133.35)) (stroke (width 0) (type default)) (uuid bf2ba4bb-7ac2-40cf-8ee8-f02432bc04a9) @@ -333,7 +362,7 @@ (property "Footprint" "stdpads:C_0603" (at 113.03 135.89 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 113.03 135.89 0) + (property "Datasheet" "" (at 113.03 135.89 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 113.03 135.89 0) @@ -350,13 +379,13 @@ ) ) - (symbol (lib_id "GW_Logic:741G04GW") (at 132.08 101.6 0) (unit 1) + (symbol (lib_id "GW_Logic:741G32GW") (at 132.08 101.6 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 1ac086f9-0fd4-4cd2-9413-c0a2e6cd9192) (property "Reference" "U27" (at 132.08 95.25 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "74LVC1G07GW" (at 132.08 107.95 0) + (property "Value" "74LVC1G34GW" (at 132.08 107.95 0) (effects (font (size 1.27 1.27))) ) (property "Footprint" "stdpads:SOT-353" (at 132.08 109.22 0) @@ -365,6 +394,9 @@ (property "Datasheet" "" (at 132.08 106.68 0) (effects (font (size 1.524 1.524)) hide) ) + (property "LCSC Part" "C455045" (at 132.08 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) (pin "1" (uuid e02b30ab-f2a1-42e7-acce-1c267d2ddc30)) (pin "2" (uuid 25005dca-2e0e-463a-a2cb-62a31ecd4609)) (pin "3" (uuid b454bf0b-24a4-409b-b9a3-47e66955b1fd)) @@ -391,7 +423,7 @@ (property "Footprint" "stdpads:R_0603" (at 146.05 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 146.05 88.9 0) + (property "Datasheet" "" (at 146.05 88.9 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 146.05 88.9 0) @@ -433,31 +465,6 @@ ) ) - (symbol (lib_id "power:GND") (at 121.92 88.9 0) (unit 1) - (in_bom yes) (on_board yes) (dnp no) - (uuid 5c95db20-9ccc-4996-8551-24ea44fa2036) - (property "Reference" "#PWR06" (at 121.92 95.25 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (at 121.92 92.71 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (at 121.92 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (at 121.92 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid e5885037-fe1b-4c6f-a6bb-8fdf9e1ffd1f)) - (instances - (project "WarpSE" - (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8" - (reference "#PWR06") (unit 1) - ) - ) - ) - ) - (symbol (lib_id "power:GND") (at 133.35 138.43 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 6fc26917-0234-48cd-9c6d-d98338822069) @@ -495,7 +502,7 @@ (property "Footprint" "stdpads:C_0603" (at 133.35 135.89 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 133.35 135.89 0) + (property "Datasheet" "" (at 133.35 135.89 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 133.35 135.89 0) @@ -524,7 +531,7 @@ (property "Footprint" "stdpads:R_0603" (at 146.05 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 146.05 104.14 0) + (property "Datasheet" "" (at 146.05 104.14 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 146.05 104.14 0) @@ -541,6 +548,31 @@ ) ) + (symbol (lib_id "power:GND") (at 119.38 88.9 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7fb9d6aa-77e4-4870-9e81-277121c96811) + (property "Reference" "#PWR06" (at 119.38 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 119.38 92.71 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 119.38 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 119.38 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8400734f-f81b-42e7-af0c-99ae56b0fb53)) + (instances + (project "WarpSE" + (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8" + (reference "#PWR06") (unit 1) + ) + ) + ) + ) + (symbol (lib_id "Device:C_Small") (at 123.19 135.89 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 80948367-5a1c-4ed0-a3b4-d5c216f5fabd) @@ -553,7 +585,7 @@ (property "Footprint" "stdpads:C_0603" (at 123.19 135.89 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 123.19 135.89 0) + (property "Datasheet" "" (at 123.19 135.89 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 123.19 135.89 0) @@ -595,13 +627,13 @@ ) ) - (symbol (lib_id "GW_Logic:741G04GW") (at 132.08 86.36 0) (unit 1) + (symbol (lib_id "GW_Logic:741G32GW") (at 132.08 86.36 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 8e283ed3-2e43-4805-98c9-249330b515d6) (property "Reference" "U26" (at 132.08 80.01 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "74LVC1G07GW" (at 132.08 92.71 0) + (property "Value" "74LVC1G34GW" (at 132.08 92.71 0) (effects (font (size 1.27 1.27))) ) (property "Footprint" "stdpads:SOT-353" (at 132.08 93.98 0) @@ -610,6 +642,9 @@ (property "Datasheet" "" (at 132.08 91.44 0) (effects (font (size 1.524 1.524)) hide) ) + (property "LCSC Part" "C455045" (at 132.08 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) (pin "1" (uuid dd2eda27-d549-4fe0-ae75-18815ae97c23)) (pin "2" (uuid 8fcc8f8e-a8a6-44f0-9c16-de73be00c896)) (pin "3" (uuid f1a0a1b2-9dc8-4f8d-90a5-c32e5cfe3e1a)) @@ -624,13 +659,13 @@ ) ) - (symbol (lib_id "GW_Logic:741G04GW") (at 132.08 116.84 0) (unit 1) + (symbol (lib_id "GW_Logic:741G32GW") (at 132.08 116.84 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) (uuid 92d63a38-8fa6-4814-9313-4bd8cadaf786) (property "Reference" "U28" (at 132.08 110.49 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "74LVC1G07GW" (at 132.08 123.19 0) + (property "Value" "74LVC1G34GW" (at 132.08 123.19 0) (effects (font (size 1.27 1.27))) ) (property "Footprint" "stdpads:SOT-353" (at 132.08 124.46 0) @@ -639,6 +674,9 @@ (property "Datasheet" "" (at 132.08 121.92 0) (effects (font (size 1.524 1.524)) hide) ) + (property "LCSC Part" "C455045" (at 132.08 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) (pin "1" (uuid e4abcf12-5a81-4dc4-be2f-e287cdbf6fd0)) (pin "2" (uuid 9f212229-1922-45c4-8a65-4927b4855b3e)) (pin "3" (uuid 8e1eec4e-3404-485c-902a-1d7220723313)) @@ -653,19 +691,44 @@ ) ) - (symbol (lib_id "power:GND") (at 121.92 104.14 0) (unit 1) + (symbol (lib_id "power:GND") (at 119.38 80.01 0) (unit 1) (in_bom yes) (on_board yes) (dnp no) - (uuid 97b7d8ea-40f9-419b-81a3-b24a583b33d4) - (property "Reference" "#PWR04" (at 121.92 110.49 0) + (uuid 93b9ae6f-5885-43cb-b079-b649ff6efe42) + (property "Reference" "#PWR028" (at 119.38 86.36 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (at 121.92 107.95 0) + (property "Value" "GND" (at 119.38 83.82 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (at 121.92 104.14 0) + (property "Footprint" "" (at 119.38 80.01 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (at 121.92 104.14 0) + (property "Datasheet" "" (at 119.38 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f523bef3-9932-4c2c-ba38-8dd450846bb1)) + (instances + (project "WarpSE" + (path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8" + (reference "#PWR028") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 119.38 104.14 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 97b7d8ea-40f9-419b-81a3-b24a583b33d4) + (property "Reference" "#PWR04" (at 119.38 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 119.38 107.95 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 119.38 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 119.38 104.14 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid a4d11c3d-4dd2-49f6-8368-af1bcf464a48)) @@ -740,7 +803,7 @@ (property "Footprint" "stdpads:R_0603" (at 146.05 119.38 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 146.05 119.38 0) + (property "Datasheet" "" (at 146.05 119.38 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 146.05 119.38 0) diff --git a/Control.kicad_sch b/Control.kicad_sch index ee4cfc3..cd3712f 100644 --- a/Control.kicad_sch +++ b/Control.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -1837,7 +1837,7 @@ (property "Footprint" "stdpads:C_0603" (at 119.38 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 119.38 189.23 0) + (property "Datasheet" "" (at 119.38 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 119.38 189.23 0) @@ -1866,7 +1866,7 @@ (property "Footprint" "stdpads:C_0603" (at 129.54 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 129.54 189.23 0) + (property "Datasheet" "" (at 129.54 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 129.54 189.23 0) @@ -1895,7 +1895,7 @@ (property "Footprint" "stdpads:C_0603" (at 139.7 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 139.7 189.23 0) + (property "Datasheet" "" (at 139.7 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 139.7 189.23 0) @@ -1949,7 +1949,7 @@ (property "Footprint" "stdpads:C_0603" (at 109.22 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 109.22 189.23 0) + (property "Datasheet" "" (at 109.22 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 109.22 189.23 0) @@ -1978,7 +1978,7 @@ (property "Footprint" "stdpads:C_0603" (at 160.02 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 160.02 189.23 0) + (property "Datasheet" "" (at 160.02 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 160.02 189.23 0) @@ -2007,7 +2007,7 @@ (property "Footprint" "stdpads:C_0603" (at 149.86 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 149.86 189.23 0) + (property "Datasheet" "" (at 149.86 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 149.86 189.23 0) @@ -2061,7 +2061,7 @@ (property "Footprint" "stdpads:C_0603" (at 170.18 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 170.18 189.23 0) + (property "Datasheet" "" (at 170.18 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 170.18 189.23 0) @@ -2140,7 +2140,7 @@ (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 185.42 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://www.xilinx.com/support/documentation/data_sheets/ds056.pdf" (at 185.42 88.9 0) + (property "Datasheet" "" (at 185.42 88.9 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C45126" (at 185.42 88.9 0) @@ -2317,7 +2317,7 @@ (property "Footprint" "stdpads:PinHeader_2x06_P2.54mm_Vertical" (at 78.74 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 78.74 83.82 0) + (property "Datasheet" "" (at 78.74 83.82 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 44c251da-2313-4cc2-a081-2234a5346e60)) diff --git a/Documentation/WarpSE.4410A-Placement.pdf b/Documentation/WarpSE.4410A-Placement.pdf index 6d4b5f7d4dcc10a69b3568f1959a882762dd2eeb..aeb622cf3c4c0362bd1b96d6f6f3de03275f9830 100644 GIT binary patch delta 72098 zcmbTdcU%+Swl{1?5e1|Oi1cQtA%gVYq=>-;q(cG$se<%23evks2_i@+LTFL~(p5?j zLKEptrFZEap7D3ix#ym9?t9&tDQj)AKheYL`%G*7?FFB~BYjg0VlLio)1X^@M7M0TsBBA3wQwt{ct&|= zqYh#lpx7W=(2zl@+9U1*;x&Q$;`AA5&ar_Tj{cQ?P4@G1D*KpbzZNEp@3o0<7YEOx z80YRb3rwlK@oyEZbbWppe8Kqk((vMA|4CG{gVLn|C0vy8OC$dvG1UBvFzkJ8gk%~7 zl_AUjK^2p6Tg!g`9>%-K^Wd4`$qaeL02Neu(59oID5bPmtj1vourgPcpAu``5q{de49DmW>f6dzM zs9GjB8gZc{GXqwn5fz5Bd9Q%tKW`J7V#@1ojArZYk9gehPEbK5ehZ(?&I9>+qq1eW z{4m^6ZDZKJymWSV2_Up+(0yitexSFwed!-cyev>g&EEeuCbT*}s-y%zMqv)dmE(cs z(osG#ua}1`!n`MYrER-|>d4m8U|XR0)`(x2M9dnG+AQ_s=IN&ezDLX_aSfE8&f!9> zzP`Bi8Lyij4#_`G`BvFVfm~?!U=n~76u=mBDy;bmJN;83~;U2#CquP z;3dfK8CG^0r(5vgWz1}SHHOLd&r$~u`O6tZvcsNuw`)qJ1?Xs_7y|p)UhpM|Vd9`Y zc3eQ5_jg}R9NF}JOo|}W*)ICX_V%Up?%o`VXZ7mxnq;44)_yXtv{&*fH>SSzrMSSM z#Dt+TEo-GHyI>T3p)A^`d->V)Mr?^mfP>NlOYJt#q$-gBFQu5{$&=B<6AL}3k>9o7 z`x8}-u1RCD3;UkGe%B^ZykB8gM%Fr7h|u%{2_xNeZlm{KD5$`R#=4YWJ%o5+lKl^2 z8(Nd3c_2EH`qT-1J9oW%acCc&42ts(wP>7XpYQBN;zMN9%-x`Ogl{`{2r-r&VmP;) zr@@kW(aRZPGUp?^^(=IaVqUa7Ri9^t%07F#+`k@&YCv6rQh16r!umFO-PwZLq3}*1 zlY;&>O+Pcqv+Nc>jDc?c3h|-)j9=dCOx5U1#$(hYg7reu2`P+TN?i=G%i&)gUS?hj z=Q|jB^0ElpG_TNcn_9-U2hi$d(z0c&~7h8L5nVt#6$ z*Rm!)&3)pZQL>WK$&SvrurbFa;iNdl5Tp5FmLW#lWE$2~8W`z^$>4l%Q_JOnzO#md zeU;ibLNjcnsm}}_Gq2G*RC0&lhnxTwVd%}l+)~3L?-vX)c-LZDo+dc*+f3_sHVGVg zu~yj6Fi5`|FYFfb9eW^W!4LB13^9e{b{rD;ce^o`W1kXY;_)i-I|7iyMKtS&c>zdM zQmm=nxM$GUo~u*5#-69?q&mq6pWwRu3t8uwh$3GsI-w+5+iG-*`;Uu6Id$LxuxT){ zVPhX>E+_jihRMo1Uok1`k|Ee~5o_Df3ldPjR#x|&tpgq;AS~AA3bwSal`;Q6bv@Q8 z6xLln7;IR7u9?1YM?WD=_ZSfQ?6F&x6qRmSYp@-DrE=J4^KH;_a(`kw+yEl%p5yvG zv0ckFpV>WUL(c%B{#WbQqUKCaF(Rf$&pV$#F{>D12HwcyPfV`Sll=ECkjUk~o~;9! z{To~0AAJ9ZzW?hkkazLFOOwY>XYiNcAOBrkP;e7dAph?JgR1=p#lI*7s@4LU?&H^cp1@_(oX{IBm3RPLYV z`R5$?=e_?h*&P3cQU+9ki^X~mX%cA@Kdz8e z`e*Yl35zJxMcpL!pt@X+tri(7CpdyG)QepABk#J}-jkJw3h8|za|T7-D$bc{5;$Qq zZu`WX`+WxixERj&rA~7)_KE}#L^eIp^$labp15yw4@V3)X26v>QA4iBfO(P>f^SB9 zxN0MO94-%|dGL6~7lJlC_~PndGYd7yZ$4N>Sg&PB5m5rBtoyi&egEU~zGwy;fBZwa z7LVCS4ni3l{U+%Yf#hjtQ!>TUbW#ipT+EaLWr>VH45JSfk|e@p8_TFhZ`?&uexd#o z1#(u0>$N%+9R~a{$E@oJ0nFcw4JeMC9zBq8C5J?JIbh=qW5gvvk`4JK`|2nwEN2S&9bhkKcug%^j7WRJt zq__g>LmB&^TU@O%jB3G%W|1$p@xx@I=V#~Vq)j~r+qWHVmS;i?*6o#vDq;quf8N@! zI^7}D&rtY`B!>f!XB5J|jz-a5#91OlFjTS)x^-?=61K$`!-!!GyO96N*tyb=)!u-p z;$AH#5}&ED@>oln2mfnTRYl`0+!6>G5cxtX?0@}M;lpl^;n>flMyxLM!4 zvEI_Ie-s3ROID17}eviU<&^Z10Xzv?W?yzx?dYIIb87rA#Krq((%(LrX z=i01$x1?>-GY`jO;6FfX*6vZyq~y)kTryfs$@@m~*$hPEqztBG;_XyJE*k}1mIjq| zaG|I_<2}dVLn}H|N~scvXjUQU|FQrrG=OI@>UPL0F5VCO;}5o0NCaIspaQ=kwsg@xXAJGRv~iy_c)(Z^XvOC$*KlQ5k8{xfp7*0+!br7} z2L;lrXky!_LwBZT+J<{8!3GyGCeNenGC7fu9=@3O#D@p}WpWc6hAMUxcqGHw|8}4o zAA=K zg0=!wT|7XIUtHQNM6eRu3R|x4G)m9p?VTjaQN5_jdk#^(rwb0(^Fw+KK~2+tdt7E= z_+juw02<(6zu5ee(Wq%&v?&RlE21oHF#tVemOLJpujnt$DLJ~k4U?}pCLYhw-|J4c z@%FkG417o)PZ+zMtd*I(7EpRSA40XaaXbJsF(YST*agu04$xlTx3Vg~>1D^_T zk3c$$4|!nrT3Eqlg6Q)-y7+x0QAy_DV}rQZY5%L@7K(;;*#b`f=OQ$TGK%X|d^sXP z9>Kno=Vk{(o)(>3Jz{zxn4vXG^<~5M?fKjeEYSCCUx%V`HDyuy9;c6=$c~e?yWc%K zd8k6e*!(g!&{=Y=p~br^omzHOQ#{c{8)2D-NFG5BR=a@vWpv*I7QJ61Us|DXnFj{=xsE=Gqfo6$-CNoR zjG%NHD)BU@hbIE3BNYI<*%FDFsM1dWewtOC=`JKpEw$6>Ks8s`6 zo{nygr%)Au#VRyuBjB)`MbJZqt-_hcAFp5Yeq4-1m`!Hv)xUjtx^(I?>3Q5Cf0R5o zd$M+Vuxq~NDHV`*!(di)x8(MF)%$b6>#(ce1o>Zv24`D(&kAx+xg1v@nx~DnJn`f@ zCC+#a73BKEqDJ4^Bm#d!UfEdd!^B_tnyz~S`8=OJpfvqkKk}A$ayWSK3*jyoo|r;V zL&fVIoif>ThRofUS3Iok77(}nO)eOBYa=|sGJ>%xiI=t(0Nm8AQ#XqLLg-i zsxDWiVe^u9-_4Lz2(osLHX$pxfw_fX$5I+NQXWxMJv40}6Ir(`tL537BF2H^3w;kR zXEjP)q=#AeV7o_!y0-K5=Hf=&L`^&=O+R5a(q8wNgb*9;sT{nm+%IuF>jAO0rRJDt zsAMVo4Bb*Gt?qYqRcAJ!NyH2$qWSAizk{%{I zkc0}Mhgsc$ImpJSVCJtd_wO_G?|)&&;ydPaMxIfs#1GjJ0lHDMR~hB)c4tk(hsjQ_ z8zEyT{920|^&Hj)_iJ>72Nq}4?PLcbG2wR60uV7yyv>P} zkcE?I_CG31s})sgdr-)pHFKw^kHCLW+uCU!Pn!!5`&B0mZtgc5CqpOK=!9>XgJN61 zv(883YGMzQ(RqO`YYav&2`T6ypT~oeA?eTKfq4cWIyC~C>Fv~LBAPV}Vq}$z7rT|h zn-Y(&&m)=79XF@|=7K+SVtXeI?tMN&@eD2!J!!!e60^n^V_CZ_-M;THcjQi{_8vYI zFrxqM_T+Wp8e;1JftfqB@q0-m#ni`em*Kjudk?1GF3Pnfe23iuV@Brn?Ku04z-0yi zuNV6OcG>6_aSvgmgUy@f%MX2B|DqC&i%>l+UVi5GhxqNLU)Q+64X_n7gJ^EW)|nQV z+V(=>{Uk?Tj)+&=&ZMg``R(2bmmzGBm`t&-59pD_tD;S&{O`RNH#lZgkpk}rCRa}U zr!YJNd+Q+vN9(07=BNAHmW!r9Y;1tiJEG)T_x#6}oy_j&F#JgVx28{5S?oHs5eI3S z_n-Pn!{9T$Q!34FT)sqh10H3*kkKuE zH!e&G5Jg>shLh+BtP)h=;hJXo)B3PS$i8MWN|7cL1?QcnH*ax25YnQ+;Ubka=DQEG zU2_{vN>+1Q!N#+=O}t3hb-U*ZFQIGCALc3)VBrIn=``R*E{i-Ue{x6NiX8J>ZzXAo ze=<@)p1rA!c8dpE7Pii*1{rqyn*TDs#cy~ekYP4(dtI9M`-Wd=pY*t{koZXQ=969m zXY(;Ty6;Lm8|d~qHRJ=-(3S@17n_Q6RiKMNH(O^1iw0-Q9J3?%ubfz;Mv+7r6OLDH zqy_ihgSfMXRy_DLf_#q;2KUODZutrZk8e9BRoH6_BlhXN3VL(xz|uH zq$tF&8@i?Nd5>Dzxs04qEkxi(6W^ny@Sk4n@>HM|wraim-HPA0QT4ench_<7Jo_TH zrWFSzxdmJ?J3k$?$=M~?Y}?iB9l=1tN=#L!wW~j{PJXn6XQ0Cj*+q%KmSktiZ)|Zq z3A0q;12V!a-R@+B)z9@+O{fn3KAbJ#MoTl)$IlPmIE*^)Qc9o|jBkTPGD9SzUN@V}0%yGnStE*zJoX?vY4{mbIX>;{%@_c@)F#G$Cxf zs;x9kZ`go_*oN~LbD`M$Ip`<$MCZY0UuN^B(JX_;!~SU@^9T5%76IcI{T>BD86`kd zmKGXTTeiv`lW$j%oAiNh33gPFrlNT&^s=yd$N0DY%W#NUnaeM)#{?ldC_LEs^ntiu zD!2vK1UlI0uQ}0&Uqd<~#UCou!QhFx7X-kdAkBwV1Ul#63GzR{`LV%L)Ron*nysg@ zL&yGSe%vq9E-Q!(2!mG-z?XcQ z%Hf?${FqBl?18;Sg;N-hl?pbC5D(sxIG~-2g>P0~L=2O)3L9C4WED+aX2-;<%Cntq zffgS^i+$I#d{og@#miU5po{+Xo%B%VzHAPjlU2)AWhZ(p91+2~3rNQrrM>_0nU6~i zLgGbPU9+J=eRl<0)4^}=O!_Pt23a~B#5Xw0PA($dA!AkPIz4wH9m#HT;34lJ^8q#P zl)hZJFwt%0l(;;OZsTZzjiKEVWNA~&K9w-r;C{2`t%(LA)AzzX4L!_A0nQ-gC1{!K z!(H)|Wo$Tp{sc$|CBhHzoQ-T&=`Y~oCZwmd8tgt27iRlRq2N5w^o9=gQ!GJJJ@j{jWVD3dO5O8eP7$DnIGP&7tAj`V6 zyF9Rl9a!6c+-Or3&@H^Q>sR=Xo};pWZsLJ#BY30qf9(Q^YPWqomUgw5cK`WMxpCth z`Z#_3AEWGB1IOvH+r9yYf8949SnDurJQyibk$*H9{kX)TY;WN6p}oGs{^PSV$#f6p zz?SvJ*Rg-KhKrtWl%8vMy7<~$U*XS12RR=r|2x0J=ViwKMjc>yb_V?y-G49wK%W24 z5C1D)aD?%Hi12q|j>^up6Uq4+3;z;T_%G@H(Zz3|kR$F5oVv*r9w+d>JDT}yOsFh9 z2O0DtM|$Mt5n|ojl)o?yr2ko#W0w2_HhY8i*OYi{tz~L&rO{dA?9hbGxZ}ud8yvps z#MJc4Pi)@C;}sdJ)4g9{g!p(x0$i=*I#-Oic<=??;tEUPI*m8T9;P87W@L@h)FUG` zGQ$e_0TcrJBr?GYi#e}We9)E!yxywuwaUmBG%l@sD00x;({b@wT^qrEGHaZ@GjgPe z_Ad^_8L3zs2P*2*wZTX+2d{2FEn?sCUR=$9V zlPoOSxkrWYg!wY&j88f9gaFm~WNsK_spcXMj1{9FiNL_KsE{jUB0D^lLG2QlENHil zXT(rcrZZw#d;*7w*4uXd+r}Xa4&FmNbvYlx^RnMbM$56O87gEkGg_ZhrDDwg?V(K} zSb033V3TsKAartH`D;1PX#)S)Z(xR!TlOQ}s&Winu?_yqHf=x%Fdh_l#i-Q%tqo2} z|05V&KK=F?3RAn)<#ZbRc+3%aJW8FS^Yy*IaKo0U>YAQa}YXE zGP=Q{r`-d)n6;+=ake+`g9-_7Y0d|KMk0Jl6Z%te;i|qFZUsk4~ETJzL5g^m>V|jRl51E+P>ao zqQ*U-o)+Vvs>`ev!`=T(sSJ;IMRyhiTU^rm!8n{eQ-X6;`bhmk^?S~H^H#ow8N?7>n0J9#xp_%AX3(1D};YZu7#|N2?rZ({r# z-@hvg>h&KQ{!hvS)-$4~`|UG>p*NZTODIs3-2blDe~9~+Ccs}R|IdA(d8$dpu2|5b zkN$41zvKjM3)&iE@DJ1d>nliZpRv%%ZC2YK`gHHvHLJ?@m4G+96i7$kbz`#qlKjb; ztbkQ$X&ornNz1hx)Nl?SW}P{^Xwv;q6+#?`s?I#*X6uPX32FCm=cY zFS>u_^iM|rH_rlp6XQSlf+KkRmk57nsC6vFx?Ovu_m`-Rf0OR-T^WUw$34^xV*TH@ zWMiadq7T;-^^P`VW9IONEF!`_Qu>MYCq&LPpMfv)AzfV~G~Bcd^pU$|>ol|uznx)>I2gmE$_PyExToYpmJ(;;`*M^*@Q~Q0GEGLK?~iDQ zaH0<0I!hKj-C(JUN_gip+kEH2uTHy(G75w^YJ+YRfQ!Eh4x>oDTPL2!WRwKHd5qm-b#ZZ z@wq2zB_@7`dU90}H|*sxO}{gKQev8Llmk^C)SY`n=ZQ_Hz5o~1Bjj7k)48`=Bo*YL z-{-Sn60`qRgTM>=@O-;^CyQs4Fl5mnTRY1Y(qs4T(Kj|$>zX}6R#MIt`>m{7@eD>P z$k+|0W-;Q^ErW&Ks7^gnH{s$fY%?OK6yJYW4FR7Ub+Ek(2G+DD?>TZI(L9gOpF6hm z5T2Lp8Y<4)2GZtg?UK%dS)XTgMk?9}F%Y_d#~;!qJI1Hr^^1+Vpft#3X%YEE06*mU!|0&z+ERI_`Bn+Jb);5_C1UJpZVKn=gNk-EfTKNUw>ExgkwYdRCD zPC@M<;e?jP-Ovg9Ua;I#KD*~|Bux#lfF5R)`O@9**-9Zbe z*GY5wa}B@uYi8P~3=;ZzFhjX%4(0&HC(1mLe%e2`*d|Z2x`@}Dxi9Gan?3Ge3NkVd zn#6&ts6yWiabk8X`+PRT3fEbTk4DCK$@D8FBR|8Mw=@*Ob%YN|7rAgfdeZh`;do%l zjb-gvqX4}$FFWZ9GS8rWk#S#snL$*!zb$7P(VlHu7U!?Aa%e=_+G`ea^!m2znY{J0 zMEd7uvA1?m^==AK_i?=h`0#aX+{$;%W$TFftY@TAQ~j3%)Zqs$df&Vo8+nTdcJrXK zWhD)|q}D}UvaqF%Qn0YK62PhlR9Gw^PL>E&1*bE*yeI(Rk9Nbt*`TVeD* zE2|kLLyi}s?_D#k%rLdWbD^@0pfZU0U6w#E;bQO5FurNu`QK(^W)>JnrinLlmy=^% zKDs@5DF_yess`xTX_z1NJ19bWA=6*_sy5+Tqf_jwc{A9DwAZ4zeucr zQVf>&(y*2`k+15ZDv4uS;6~QbmjRlrqmlHz6Q%Byl0#}=s&&_QK#@mlVtB+5T4G;B=1DS6d=m~Dyu)q!mORCN=)>_1J>^~b9*$wO3Foi}N zeA$WVO!kBMI!xNHEkY(9Og&DopS%kl=akZT^XBMygp&!2k1(rWo4WBl4ktF6n!#Yy zlmzRm&jo^ZO77ZALdSKb^9(f0j{CziZ)&JItUNTUS4Gtv9!HgUEAG$=ql11E-gqQV z+GnhpekJ%FN5NwH80?!$d*xY<1GQsM_(qc5n%FtpROqq>&rk<5t2Ga(8QPfGFr%bm zeLrUHSXJE$XPJd>Jq!0ZLssg(r?dk>Eqx3vTLDI0C|d{%-x{^3ug@r|30A$O1@o+Y z9&TWi{1IRQU8f9bmh&$uWaFimdmm8LgN96n>cK1`oA07)cF2snDK^PvKSv?V9VB;K zYL>`sNPOyUQCLjj?MBD(Z7!mqf$C{Aytj~QX-Mk3+N^9F7Y6^z#!gT9l$tZKD3}>A z?$uSgkr$W0sPAeS!@By>p{oamMG)Sg7VcG08bNk!MNX_>U!#A`2G+r+lEB(f_{YMl1-x05js%jqz zHGV6PU~Hun6m2s3Gyr?lzYy->g{$`>+%_A>UZO_r1P$NwW$s(J*v8P#j@(Z4H|{qW zz}XST5Cz0z1a{IlZT(!VC_sZuDeXSIBTD>WdWT@XUH6c&Tk2YdwV~e8Z`aw3(|zaD z<12OtJ{#T`Ru^3%rh)D1G5#$LE(?P=kvSvBGc;hCiz{?cF)+f?``Y;W+s=_PxhApa z^F6CK#O=s2iZtz_|wMCuViXPD5pJtcTR?4`n;Uj#T$+*d5kgLH}@_v z?&O4<1^2Y7n8#m(|7p_WN5hMBREpWG=^HbJF!UkPDcysag6Y}i0!sFXl z%@lf=qQ81Xeccgl7=<>Bc9`_WRO4zT-<#Rfc1j7xm5D8++P|o~J2&zTTCeAc&p4=G zB%4UNeW!ox`OMQ((yel!`Y^ecV^@fdgjhVHJ7otp5L0YR20Yz}#F@L_eM-#TWI6i@ z0o^+kf^v~i8)qu`cVk`KqJoiGY@TLMBmQTVKQ{`e>FVV%Tr`m}W3Jpc)weSFBJ9_q zPYuL~Jr}Rl?bJd~a|>S@kpKM|7tU+lLRx0X4A&cDTWF8A!sbJi)t-_@7*AbQ06E6< zg1}IBw^v9yp5C8reqFOcg$FPFRq~@vWU8uld;qIq0mWwNqXCY?Pi+eZr7lVo&&npf z51(UatR}lVMc}MfC1(O%l(k4AWKtM%Xp{-?wI;yIbhO*ZJB0pL)J@FLfqtsJ@930J z$NOz&aT?~@KOj`^Svo=XQd9dhcIx*q=EmWD@R~iNJQQAFjkk(r<=RiMYUQeZG>S8| zf3@?i7_-u@7<%R9TPz;GSEu*``-HVXJNX(98CbMn?HQnzLpC?>?#|rRP@x8Db}klz z=dFW!2lh#J)^(Y*s4z>pcj}`*d)4Bf8kT337n`}XbAZs2Ti0P*DlIbH7#6~tSN^Se zLyerD=W%qHlsiKxOp5!E?;o_ELBXmw=Q{jzxPAVB%E+{VSnBr|4=?pRR##(GfGev( zOq{5cBfUm3A&eIFhxxk~0Kn=#tCHVrKh?pgZ(!r0SwG-cuME_?*GArG?GW9t7B+#N zi5G@~C7AiEdil9I;BG4Ax8djH@2~hw8)3RKSNlc`m2G!upN6(|VUDLyQ?(x2aIx8N zGzc-{TM!3Jk3VGfIz66LUobYemp&L;%vl*3)Z>5-P$HM)90i*`NhPvCgay^eGpc9)o&pKzn-YHkb;2=6z zD$=oedh#-#wGqN**Fp`Nv|fIp&UAN=5{JR9JE&s-R3*y|>_o(d9A_T=9pS;Ud?~7* zl?IzfTq~;mI|Q5qRAl0iFiH*6dr_5QsaA0ul4mnSyd-BGA{ho2IShf$s*GOqW;v0F zUJe+u&5#d90<+Z7R!nRw?sX!OdQib>79m9?5?#d{X19^9=`ODeZI=i>>G%4m3S<}= zqyV!>hP+?ym)Tg*pjMABpT-=qc z-&CzD7Y&lOr}&|R5#c4Wbr`26@CFShA)76>73i^_HD7}6&$GJ?(|O(;^}vwKzD}>yRcMhOWeOLm;Iy)1X9b}&iFG+!adA6?e~N20 z_n(%jr`~>q{8pfqZ<8MvuNk5BfICWHb#7fYYhc%5g(D=rt?UVvuM(rrT~?OPjx5-v z{jheuLJb@@CgUy5e$9@-u*hKvpnbQz#0}h1+dB!2;e199mvYZ=L%2zWh`!3W+LK+mV!4!aVSEEA`My&i zqK=@(iVp)J1E~3%FvkQR`LC3h3y^IeW+X;Z;x)-z|42Hq^yx--9(EcGw#TMPh9r^1BO&M zh-3H=+BqAcXzer z_nf4xl*G1{l20adla9;c34eosy-}<9=XGb4Zkg&Vpu5cfTfL-wfgdWHD3jq*P-bnj zydQJu{b@gy9?$cYdu?teWnoE)llV3wMNZc|+qrt|F!}=Lf!&y_+zhIF^!$tDIiCkD z6F1n@@C?h6PACh(3%=CkV36e0c-ygI)GyFwmsa+El*|>p&<<&IDzv%bt3zKDO`iU= z8DiEE7}xXKTdlk&6t-}9B}=TyvkJsvSMn3>oG)q1Kd$v*Ub^n&pDY-8U+jJW+;FLO zxt~~MbEzZ=zJ#@`E!=MW75riShH0ArUih`_wbMh&OHTJk_9_#MS=lqJ>XW{SRqKe| z4}Y(T#h01XU+{~i#^R?x4}@}kq!)ECxbnGC26(ZO`f{Xyj!=9%!2eP?*7}GQ36R#X4rvZzR`tYwykA&ztE_q6*geb{uDe(c!u4C-+#EPpu+y< z1rP_$S}Vy}0csj#opq3eEdh-qS3GsvP7mXIAS|T@i3~$qb>fy%@Z?q(iABtk50f&Y zzH8k(84`T>rRZG$mL`<-jAHD~Ep8|>ad0Opn*9c$di4&&3gh z0#BubSN#31szzp~W6?i}vs4R;Z-%KFfR#uLUT{)Ws$HxqGH>b$cV|XDbvPOi5nXM6 z>)|8<#$wVNq&C#ptuD!(&q=NI@HjO7l|V$T&SCkHeRXr>?==MkbPiFWq>b?3`7Hj~ zH40%NXg4redGzrv0b7*}Sxc!X&Jr@%8IrIEgE7j5)ItgK2Ero&uncP;@EG4U)g3`NmdJ1o9RXOqP?!LLH?Ava7(rR0v1Ljdnj-9uD$?B7AFwVEV2ff6@hltGJObD z6WP^Jrr3-vq|Tb(;uNos|5E6`J4mvbO&a`7%s(T)UEbChB0QJ0G&`UepFc&JXsV|x(|o`aqljFNPRu*buvsng~_QS_6;k%JBp#+H8LQX@OH zYH`=DhDzxMiy)Yj-641)E1l!x&K-476lI2D!xtZXGuXmWmxj!vpyJ4(vFm@@v^ReCI# zkMNQvEFJ>-TCC$)Yc=>Zt?cFMKiIim%xp#4C5 zcFxGsAr`e}x51osLSR-Wh2Sgvz4`%tLh@y3-?8KOZjYkmCmZ*p8(pc0()K+$ZNArk zt=sw+6WNLvGEU~qR~us&)=mcpgCx6D7!t4R^bEz@?qb6=AEb0`W813i#yF=e+_?{V zfV@}Hd_;miw`j0&v0SC;7>KNZbUtaNyzJrLOY(Rni^}`LGTTI1JwYU-gfGq*S?}|7 zg-Y*O#ZUQbv$ea4zEXy@alqaV)v1HP&Hal-)O}CxE+ww;Y7r4)zG>|P45e43*K~rh z&v6q+YulKsf}?a{aC_gOZ5PbOk2x;mWP! zuVB=4??Y&_8{WAA0GFn$$qK#oOWL?m(kDHL18?=f7e2I_Y$$aHMZJc%k^^vzSez^J zA-#}(wa#5L`pe6+Y7Oh)*c}pu_`!?$E`;cew~&12{G!H>L;T*eH=e0hZ#t;^Zh3Dq zu^pUWO;hx^&IWIZQp(?{WrjM4ZAUZYzp@sBm4(UistFSJsLxX>@UZoo({?*p<~H(m z2`JlxSUepEZ}Y(s`@j>Fiv!^O@QF^3!O5rN^x-iDt$G{0&q}S5`7MR7$CpGS+QwAc zZQi0Y_LY5IHfdnvL$(JOXL&L93E8U{J`6r}816XA!t1*WqW(L6IZviS=)IFATcrmwyr&n0^Zoa4bJBY&@V3Uy4%e5q1<3|2zYV+nsDEhp z2fO83{t83>sy{~^+G>4-R};)$dUMUME10Wyc=O=xU&rF=q!N=smps~>F|pA6Gg$Vx z9nAh7ta|v_-}=M>z~Enl#K-=?xroI>G$fVnU;TbwVvQ<%tkfe0p|-F`iOaFF4D*dzq-B zCi$MYZe8jfqN~T>dHxP)yAItFBcw323hvC}Dt+bT-zNimypgAMu3z?Onv!^j8Ug4h=O0N&W?J9IbcP*P|UxdsJ9?{-ZRw}P9K z9TTxgVdKRx!-`1L{taVNR4dxqY1UL$SlAm8BV%On;BFr$h=?}9W|E00r)2Wpi48MU z;fsB4VFiHA`nld+`ANO0y?WDC8`r{(s(KCTNak4?%xv}cmarM_!~h9khO+$N*>*a3!_~`7EVIzjkR*DYqy& z3;i(`76J_x)6y72Yf{;8rszUn+zgAykBKW&oww&6C8xWLG0R?74Z+^z-->3yuoT1@ z&c@5K(I)!$e6-0P8E7~jS`)FOmj{-22TKlfdyHS8vx)JQ{tYqYMBPvpDc0+9R{+*2 zk~X5OwCoYM-TRGSr}V{u`RK=tl)m66qW+oa#@n2Uw+~ks41=ZsEWG3Ao;hav;uart zBQO6K-4Br^FUM0Sc}X7p{s{Zt82CNmO{M#)Aio&`2zNu46I<@8sg%mt#JfZxmiC@< zSbfF)N;z2AAY!C31CQM&v9W+!>~5FxL(u!s^m})g8r~)|dly9Umz_HxYLC($#Sh28 zJ684_iQ1~_-qINQg~v~ch1+h;AJMZ{<~&h29HR~XNMI|D5m}+JT%HwCbb68K910(| zmj{k^26cZ#WfnZ@lXLc>k$B_%fOglfYeSVkzLhZ8z1Si=R@**C3ihG`lPPH259`U7*V;xpvXBWAt_sp+C7{l5yK1 zZ!2@%<-+fSJ4Eng19Xm}2T?o_$!D&0a^>x{>G5{*uU8l!J4~4%%Xlvs$@yvvk_ks; zgf+4C{vv8~BnWM@=+NQ@9M207ryf5N(-gM`Y zk?%BtIZwSrn3R_FvGL#+joo=cp>y;z=~~`3U*FgnM=1uR@#;#1Kxzkeod={MsJro* zG2Vs8dmcrnd)pz%pRcN(Y1MZe>9acR=hlTeeQVLKgx9K}SN%qL>=aa}ts-kmv%ct^ z>Fu1%UE%){65jUEonUn+qPsKwVP``vFKg5Aq=Xr}IZ01@o4e24BW{8eud}a&W zC8`T7O4qCH@Fste-=SUVckfG~|F+~AJz2XQa8h!*d3p$(OiiBbEcpir$tKoEvjW0y zyja!NCaisOd}sT}sKXdzJKKjx^swOsZOt7&K}^m0)U>`XaCW_7wH)Svjk~LRJamv8 zO_x@Cm??X5JGyS_Q)S9Rx^&qlE8)%XL~QU~4LA8Dt@_B?80tR%{8mbbAsAQQr}P}5 z!>fvZH$T%0>X#JREuMbcNoSAwNdQ_c*~U$^h95@PE8%MC%e#9+CLISOTSoBH<6gQJ zPOItsTR!-(YyEudzJCy&3&`pN5J-g_@X8t8VYA|ajGwK}u{vZFRYp#{AM_2Och@dc zz4g8zC&if5Lz6;7>M6)W?Yyvk*CI4(|IXm%XM4xW!=b(zpD-0#?}Lj)0LZK3y%@^% zQ3Iv0%%*e~y-#EsXZyxyX#M!&;}?EUwGqh&~usbp^LPo9hyF$+!~g}$k{5r3c&;FQ_=1IRB`1OfScFlEY56u*Y%+A;|S#mjm2 zuu+~NS4_>b71DKE00eh)M@v_NSt2*Gr%pFZGuzjfIYCAH%)#&4>L%mEa2f095XeUZ z8S@YQ1{g<~3#+r9#1Q;UIRFxLoj`Bl1NKkYsayPaVj1)8%J`{a_8(vnYKQGjoq$+b z%=}qqLsIh_`y#>stBMM|ixKqJzqtTUm(TYK>`u@C9ZFdQ3^vt@s%b0Y~T2GD$_ zZ<26v$XoS^k_0n*X*x@@yu^nW8$6cFk0Y44aJEdW5tpFLtkbX1v%GDZ9Pd6X^C*>8 zx%^@PDw$f-(5&tFDgomOqtlZW=SRfcSnX^rGn3QsW46;DKj(#P&NknL#Em5L_-@DA zwCs$wx{$2jA`@QPQkH`9#G0MA;@i3#9eTlcC=`pgx+}HG_J%O?uMbWlwe9(ha#OkL@;7Ws!O~0M*bG z0yC;k9=&FXkOY%qbCjE3Thr06A4!yjSyqnayirAEw-3fYYHvf;#H8t|6~!K&l_$2* z2ur%NO1d{re_wHpSU#J18Ql?XS^4fJxD(5?M+u$bbd5HP37%(9Y{1_n0{KKnj0DZ zl~9vgLs%M3mi)QTQ~W0AbUDCkaIn!QTKOsdw!&{x1(NdCkhHaIrSEq%O)kcNLS3Dz zmvdy*f2djN-Ad~eZ=e&iZm6?FF20Z$HT3gVjQ3>qX{=zZiUAw;2&4&YbnAvA! zbp4=_BsV?n#x3ngKQhTTZ2fGycTV;?kC({woQD%jFAe7(>~mRvMNAReZ7BP$?P|m1 ziPe*0H1PQcNqJ{D$j67D)>T%sG`MOb%aSu?LgTB*hi!J*y8O%6VMq3lcOURr&6stV zcjvVn{B3_p-IskV1S|_SpNno`0@g9&&Ru-d$!#fi zsHm zZ|-Pjr`c$UeM}}~xTz4_BS=h`8vZ5j`d0X7AWGmDTyDuI*QZ)Pq1^AUG#}PrG~$vK z1crPoIT@2SxiWHcKsP6wsNbUb`X;>5aWrUMrJ{@A@BE2Z_vqRyhttPk%JWr_5BdBb z*T1grQW)gb&ebAn5Z7f8Qgl8%AK&2>-Fa8-F||W0uiAiJh&cNp={QP#p!GsMC!j_T z?++x2O`QzPnuuJ%w-eR^uX$c^I^h16@A`iTd+Vqu!ZvQ$L_idfTDn0>SdcCiSU^g; zq`ReKT}A;3SxUO4yGvSX>F!p#ky?Z$-tl>!bH4AK_mB4+*kNXO@7y$S7f>u>E}r+KD1aKo<-G5KOa!&m;mJIFkZ!OI+%ud-Hi836KSBg1sm zE@v5hF0%&r*B^GqOxa+siZ8|dk;c77Rg&zw)}Dxb+CrUIo=ZND{Agcw6|-H9YyKfu zrq3(zK?Oegr*hPtH@HHR{^WWJUU3gVc9vhXctXabk%)nj==^Wvtlu?Hs=U=`jwsU< zckkT2PCC9KE0O8^^%3{o^*4YBV$O(;@vU4!d)^*P`fjZyRzJ0Sc<&JdxU|GK5Q67A z)E{4E{%Fo8m;E9$-jqL#Q0UL^3o1_kU=zm~=bE%AcB_7bsk!q(TW^3~GyW?yQmj0J z5shpjH)`^RVc~ZNOZX;NlaWwaL*dA21{ix&oLCa9P-aPEe&u-!;6uVk9Xs9+%7=bw z#F)#{!E*~Uo0s6Ya9E+*`Ex56DSh2olzw9lTu^Pehp2w&OQ6--WXUD^nd`Ps%H`_K zAN5VQ4Q@bkNYnFF3D*PRH<--RUZW zxm#Lu&FQj_mZcDYl--M;DYKjgfzer`4SEw9uwKl$PHHtXuUN=su;e;C^V1@KjeqK) zdl;O-iuv7Jnzqn#DEqS36h^=)jQ(uDHN^MzU1bqz&HDl!_ly+eXHc`?R7#u+HiL|DzP0g!@H{%9XCZ^Hxgw)mnPp%);} z(fIwn*AagAuwj9gcT6D`wr4{I&0~w~7GDu@KrG^m5NqNSO`SwM;eXAZ@ErSpQH*)q+3D4N6cJdM99v{P#0q9Sp!v&SFXJBVW9klp8n`(AMfFDm8{MMmS(a`$ zh7>7l+VfxJO7YIOO?wcfW_YOA@CORONn0}4*&@FXvvqfP#FXoyMo;YDc1deHUDoiNujQT1MR zWvSSZeD>QG_EK)vw2;DOwQy`3hu6D_h1EKw#|ci>cv=3Wi3lD$O!GgN1j{2#ohlGmmV&vMiM?iRwKG#pBw?EE!`<=X zud~lgrI8eIc{|0F^aHJGb?>WMoqu;hLwiz7usPGxtPNJi)al<)z!xHqzaMVHHx~RIxjn9Da(;10TrUaCyeppJ+ho+V&2D@fU5GBtVV-9U!QMlobXMD zVU3)#g=gonpFV!)UUlmrckY&RKfJpN(VfRM!vnc!iC%khfmk?Q#51V~5DyOBIIoD3 zS}-rv>Qv;H_f`cGm(@p?Ro*rY5Osn+7+Lk*{%~Foym|iIiuDj@HTlnf*K$4!@exfn z2vnyg=G#u${SzB&m1clA*UnC2sxH_ouO#FJIaMQ%I(sPofnigRbi zfPHe_rm?I%b{*a7Ih(JLbB$sYS{^)(OUO4bqR9imMjXLrSw&Z6azbHe*0}>87J+Jy z<$JS@MU15=$k$ccnS^mewG4tb80>7EUTi1Uh)miuKEp;E%8H*GlKZGJ{!A0gdQ?xmKM0zyCDnJl*7BoM(kfrbnk{;7JebminyE3{pT5JX%8^ z%}Jn$HO=q{D|qKGVhcxMsHR1;h|gz8As|v+&W;&Y0y)&P zV`GTI;BEh!x-?FcDKI)&;8!OlNbHH8JQ9C})Yy=xGk?PP2B{Y|${a}Z^FMd?X2fy9 z{vk-(4bU%=m0;_zx2b72TkMg0$Vb3eiCsm`XDdE{1a+KFjJrKW=x^HlAY3npm!(v~ z8mKFkMh9a*Wd{Yjgbc6}pxc@VK3o=mNVs2jsl9ZWwdajy@_11ugS1LbbP;#F=D!}l zz3RU{hhAUbUi#n=tdsISWlR$N7G^~7`9Fw4MDAg;u8vyiNlzLHDYG&&rY^#cD=6G@)|ueO>8`BnhWr>xhJcA3+y?q^9o2ZR>&EUcjZK@*`hSRc+@M^-?5# z?b3dIs*Gg=)iI3>!`F4PYM3IKk#5;SBUeIjfi3E{U)}fMlAJV}lnIl9I_&4ao;xv_ zvspCaLha6kAROQlJRruCXX| zK0Mu{ueb>Oa!5;{skr>wtRSuQa3f<$(;xj(1RqNyYrN~!d0udyEvcF zB6Q$aNrZ6Ss6H*vGMkAJw8}$RbHH_>_`S75n0^nyVd^t(c%Fv$Skt^g(c17nNVphc zj(iM$C0FQ6eFlQ8IJ4W}sGUkqOH0z!ozXwv|W?ZSPgF|YX@0Hho z1wgM7sz7&KYx?iw&m$(~~_a&=(je#ljTeaQ(vNd-RaE+_B!*)Eb6jMs&ZgVKLMEk~P|35=9$`18Ad zdftJN$4~*UTQc%>;=*86z>SA2_;rkD<369o+tSuOtNo)z&*6&YTAMk(V)q?|lMkA7 zcXLO{b6?^IQc*^2l`A-_*uMHu2$eC|e(yQgvtk!@mW;!@DDjG@SMPLD_HW!&`r~X~ z(#A8Zr+>JNTIlCpA|DOB#q-(Q_$$E^M;h9LfrAiyME9p|DXv|PaKK7x@>G258Cre< zG&HfHM8!=b3c&fMr$VZ;+rJ>z)#KHYBO1%Ax-AvW{OAWMNOods9-6*Mi=c9rddZYc;9$IFD1X+Kv8Rv@O8Ph&y z(;0YwjMK;6+zCDApZwETuChe8i@2a5_}FFR>8sr3y6Yc~?|E5*jt_q{(3OY?iarv&nZt2WNo@28mS@f zt)yaJ(x3LnUJ0c9ew0)xUGMw(cz-Tp!W)amL0e2rWHubn4m3v?O)^W5C3AtDH^^sW zR<>#)^E~NM1FvKp`dr?y6td83HVfssIIF5YJasT2%beFct!1It2aTkbPsBXmL5VaE zhT`RHw%^+?6Tc*q(mbFH^ z#W=$f1cNQKX5b|`o0~SpB6YrT!P5)Rnuh+l2i9>%)-keu@?ChDc%;DWf!fhc?_y3L z{{9P+`v)&bI_euIw2FsE4_`1p@Ic*t_-rPN4dv=t&}-b92N_<>VZj*VUmd8uk#hzP zUhtFaJ>EP}i#F;1_crsb&maCB^Wpuwm{XhnO}gXC8sq~xn)Mqp!6I(K2iTb=94w5)6K%YqDYuBb)bP$ z|6(}VmN1{%x-kY#z!(~718nzH&ngE$dbV>dq5YHZm?1ym^-G@1SI-NDB!X1~?eVYL zn}=4e?a(5jb<3(8OPhvP(QOCsiy5#)5#nnzyY{>@{G3a^WqkDICpt~T%|k35i8~VK zBFRXNe=m)aX`N0ausy4vo;6?l>{?dU+mn3M1&XMKnulK1$ir2Ei{_!fdYy9a2d07l zYC>=%HK_k02vUP}w3*6Y1URC|H>bEd&lUpwx{fg2@Qn$W*PO_%*> zk)nc_7nB-eRkJF4ZsQt%ZcZx3 zPzTz+6-Z5s(Uh#|TFBDAUgt>Bc2`kvlSo#SA;W`05?v4t^Vc1lj{3N2ms;ICR40b~ z)Lg-Q&V|+@obzgj?KwWmYTUu*O&CWKS}w#L=w z$NDPkITPQEL|GqHYu~?y`o3NLn^2_nkjHiM9U3~=)GK~pAEkxkADQ4@E>OsexHkZuqt7x2SCT-7YV4zwQW zp05*M+Pizo4?Sl37ylJkxJ7JPkYPI(N;iDn18ZgYW_B*99UbyvDQ8-S>tTyyhgsrX*mXh>v2M`OWvFC}xnX8_CR8 z;wpqWmKswR!t6lb2Z3OLdo^gy^i~b?PpQbx7|Hbv^pPpj@BbWZdM0p?H3wE~!G=T( z`hNBxE0NAqnt~8)>*lZ2T-ItF=|TT#IkqR$Czqxm8M`{5UF&4u6r5HBbWhTv$pkAI z0Nlu!((YB%PzAG3wDN?5!!`d*?iooM3b1z?4sVR*(H0Ig{QmdC+X)^4y3>i6M?qk- zdbb?P4;*Bkt`EFUyS=FON4(ixSwFkuZT(ENWU0)#Us2N_i>Pw&L#H`suL`o*6l_0_ z-VGhlnDniRdqKiWy&4bOT*5yTK>>x=im4!T;Xj&2$$r6kDt0fwf1<|tbQ*WfqtC z@>hx)i&j+6$U|KGm~E)Q3r4xIl%Pc|!VaG;@w0i;~u5u-1B3c(pN z>ern~e}mE3=jhqEsR6|E(}Rx%rqy=4tH5y@~zRoI>$OXNH5I zF#%vS=_w(S%RalB6RsU}sRi}`%C#w_mek>f%ylC!GX8X~)((%8t`}6YdIyjJ`24Hb8 zR_{rBj5n{@;-#}wCJ}$xx302}`TJ;7&1gL>`EW4o06CNUiD0JwBgN|prB~|x^PdOS z^ysMypK&-mwF$3Nx(h0Xb|wm)j7VdlA(9Hcr^S6sG-ZFU)8DjyWZJKPOnQMEHO8-? zg&&o^L{r(%8XodCjfm6c3Y#kKD+DM&k4L0s$SfKJaj_F=#&J8b9pu5m5x53UZ*v6YU>^G~qE{(3J^fQtm(U`jl9x>{Q#4ywA+LA3V??0!sur zo*bJ4;oJTSEf2CM7`wbarcLY4s$KT^KbJHMuc97|?|c{AHfQS{7^ecLU5>Ukah0~L zEwpL(lmp~hV8xV@u`kD|?lQOjoFS?CI5w0YmKX6Z&@92FUbE}xd^IF{t(2tgu6 zcaNT;z)lFFIILo>_=z-$(q`_A!vGP{q`1`^5Dt#zM5Ty>vXm-r?W&6eNI|~A7`lnS zsCB(kI1F-8wdZ68+7us@HGw2bQu`$qEJG&W(X3Ok45GfVK)O^r7}nj zb5(7cSyS~$&dC&J#hRO^-S?qtUkqa0_x!c-&#ECFZkA5m}`Yf7+P$Um){>+VM^`eJ|zGvj&-}^&B`sa(@jOGa_yj1!!Q(XDc(Bj z|Bs)mD*WVM6B(CGpAr2TTdyDJs)v{voy4;Ko(~uGQ8Re@x@eU(ga2<#0G5;;76Zz? zTrRf8@|(dY&fifXZ;eK*G->U|oJ;r>lO5kcd*51_?`$L{aNT6$%C~&8SGroL0iGBTRC3AwV$BNfow9a7>qR=)(e*W4aovDJ=$urm#Yee+Q~{E-!P2`BeEY z7N(qVLGmi=cZuvNgMQE!Ow4eInkj)FoCe>NL&2?`Jk{I%EASGk$=^c&PCTZfN|`?o_|A58GV%NjWdd8e?LZ7H<(+s- zH)%iE(HgVz)BT4dJES!H!;#CHH>Q$VwO;Upt(3tHC}?+6fsa%MyDZ)KHEED=nd1jg zii#~w)0eWw0l_A_Tyx8}nzxCN^@&3(WX$y7#O)fN#IXA+z|81$%>Ydciy@ppugDKC zcx#BEVZDEdXBAl3VB~p@$2BD?4EO)&L3wr^TW}}yYc{le73AJ&PR~T)f}DZqJGG!D z!yR2aDLxOCBGFZ>8+u@IJyxUH<%>UGSk0)OH1wp`SJgCk8u#Ro&bPD2)bB4Lqoy*P z6UrD$o~Z~ZYQ|rZWAat)qfWlhaw7+x=UuvQ5Sv$gt1z!m1&t2uwJRXcs`l&>I$rT$ z=E4wCfwSH0<_QS%2#8Fs0SFf6^M+fBYuu{T^r6kPE=u01^)^3hy^`IOFg%zaQ5 zxb^CxaZcN=O;Qu7*Yj6Ghiu=#)gnznU~V7b0f5LH40BvRUr!}BrFHe2epS5uss0h= zxRQF+`}#*h(w`s&&+KPZkerr69A6V^n#jZ|+dyzm3`(8)C?-P?6Dru~?F!3il}2fB zWd3umt(00$)TcDivZ^Sh@t3jm5t%$S900$k8`mFEK23S@eR_|;Si;3lK3gNjyV8K* z5wdboP?rJSwq#lqvaOXq?i#5+Am`pz0PP&f4413L&T^}bfw6(WaJZ!9mXaD_M^B^- z2uj!^^k?HQ=csNi(AFHw=QXJJtkCA)#jK{4Ie(9TXUGGjtn{2+htr0>H7_^f*vqA;$$Q^#Un zm5(tLD%BW?*wFR))=zdC3DK2~-JAV!kVngupCnuT$u>%Kwn-iYLJY~Me!aG*d60Qc zAKG)85K(M~r`cJ37GL)$;L>y1txzWmA}~81bMl#-X6bWc*TB$YS9VjNu#kcqBqIxQ zx4gfhSMBr+lLsJ&?C|0@xn9#-4>T4?OxZ=-z;DThrQIK17d55d zx`MqSQupW@L^Wu|0kE4fAAksRY{Rqi9!1S*d;c1c3bT@PJ!=RWEs;4b1qmL;_bkYo zPt`#C1~i!Q$%giR*)28;hYI?923y#z2SMLuLo$}P^*S|}hqG(pv^6W_1$xIxaWi@m zyUFQh6UR#fhn+lS_k3a!Hmuz#m}se@jKj#o)U1X1sDKUNE>rd$6<$U=kZz&c4{blu zqu+V^6x$~nf_gDy4dRMaPe4cHyR!G8$PYio=wO7XI3j;+v(r!C(?=-yPQAaAlaiyj zn+D47TF%Hn_wDIfS)%UevqfB~a~#n&EpOkPh?p%dYiBh3+rPxDZHZ<15?rL7(vMy# zDr)jNEerw-SPzJgDn*G2Dyt)?Pxkz|kR{hc+{wSP`^5!9_UQ<4M|L(8=})#P4Pcp6&0 ziKCE?PAJ@Ql3ljUMkOmnWzwMA^wMD`6P>mK9wK0V!H&RKCvP#gS3i*n4=9J=o>1MxA6v$k4oHid*>Xq&^3Cep)f znO33so>%9-Va;!~up8+phq7*IgoewjfYhlW6C47QYOf1)>4ItYBZfg8lh`MCuOnMT z2aMatEM^866m=RbK6CPVn84fMs2EMNN3)%fidwClF;i>RZaRIc)k^QLjDb*OC&WA0 zSo-r_Y&2e&QhRxP(Db_8&s5k&L9$f?m++s;+h&8*jWR2Y-R`D@FDHwD1hUH&pa>m| zR%`nepRWDP0>^YqYI}Fvd-(=av@LA!erBI;$^J(DZaGCIV7%|o45vZ2w8s&qrZ6p- zhz?8eKMA-JmP*%g69+|8UgN zFrtRwMP2;L1N(V)F}X_j7wnqdJL~$)>34`cE+nDfEf-kDWf!+b&(=n2bEnwT4O_nY zF&nhrL;6s&!cNvBDQX+U8PIPtf^EbNSmWCByDfN5S=avj=)dAVO~=nT=(+mw@v`8N z=eg7F3uFy_K~Rg^n}cA1hk_|l!Y+@wq61PHsM7k;B(60*V12dnwtn2{hIIPz{f{^c zeUC=o;s1F)T{Yg{fA_9RbowCG-Gk_+!91W*^~oq!e8-yjm%lgs+--X@(>Qlw%LzKw z`5RS^mG`oP22Hm{w|%F5&oN{MF@u&a+}A!Sw-^QayCqw+2b)(Vq>o4HK0X); zeTYCsYNCbRw8m*z;qTV`p!T#C6aqB>_a=Tr<)r(Yqc8(QqqwUB2jsWU8QtHFvU0|| zCBh+QQ%iPrJXmq>jTK5S>0@vD|R9>uyA^J+3N)0C=6-x zkm9IoC7=9+w^z%~iA|c_!9F90xg;~xBer54c4Bkkr(AS9XD={yDpaf`%gWKfU7MkU zlb(`mN(NL`n=PTRU)U(2&>YTcZ~}TER~IQ^Ge1UJ>ywv{?sshsQ|k&|XBp=3$nX$k zhd{CR8)+XvwF&$Mmax54Am(|*`-{S&99W4%obARFyiQWTeOo z7BEl4=fIk3pGwCjs7E2SmXd)JDpu?+Yo0swD6!pKt~7ykWhY@Vtpf~?bl!sJ*i$aG zA?4b)$!NmY%~{z9O80sQBdJWDZFH6#>ZQcP{hV>09PG`$VGgNlySBtgYIif2ISIE`n(_3M2T zAxpZXrib*SDfXQ<~s+0Oe*635q~Fyn=%_c&`F7Ff6r|mMzy*R*E`mT^Yr~J2iNC9&h|8VJgztR_x;k;a|r@fzZWy^@y=c) zOGLz(neM&^$RuK`KKe*j-QJ$L9jsd)=sOfMzkk}g?z{Thig^4pu+<6Q zfQAs{ateE{!`^J-JrEP=*4P7GhSrjrI$GljjRPXn1 zK7Y;bXVlN+aQE~qmfJbSN!ZZ<1Ea13m^{Lrsk^dw)kFy0^NefaTz4 zq2-UeAL}t8Q3PlKlb0eqhd5HC8qfGaJMqG7EUCkc_JTH^XXI9Q+CShChVq0VN;S3G z7))lQ*2GQP$NDy?aM~kfRGE=3_u#=ir)fUPJjhO`k|v*9#p09kvXZ79+f+YQ_!*XR zY17+aUbT|Wxm^zmJl%Jmlg1r-Kv@IsG?~{U&38|7i?bO&ObCK!e3i>cpc}x(p%4Fr z%{Unso>YV-MXG=D&LmbRi)P#S%Mzmtgk|zQf5Hx~=2M?=o=V8~Lu|&W{CZpP&x{}( z^*B=XJb_w?0h%h~iTBI_mD>v&_I?XWXTIYcr4;r${ys$k#!D6h2JJk+;rF=0HlD*^ znVBBZ%178|z4^7aJmw{ZB{wxn@7D4B^7&!rN2Alp);Hp%k|&n42~?Gb+&?M(%4Eoi z;w1I%MmPuGH}Qc4#0-7Hw+-IMJT(bx3!Q;*dA9PsjQ?PUNfz*378~>Ut1sBNfYQIP4F{h zP~~;!T{zCbIyU3=o8;zYNv;9kB(@c_6SsO-S`O}8itgA6W0q*G$x|BI9Jjm)k4dlR`woWU@+;j;6e+k` zy&xx8A6d<+z*g6~coLmDC4+O=Rax9rd^^=0y*rhCS3f#(8Up!JWAgx-0y`!Ql4*DI z8<`lq7PAaxQ*es@IZX|y_!~21KwxKo2_~cPO9X>u64v}rIp(CKA@092Hj!*a8~3F@ zLsQnEnMlINK0(k*Z1F*ePlRsAOz^!8T88PEd9mi$w;#k1>I2D}sgoeq%(#p*E?*wz z)AO)BKokCW?=FMH_R|+DJh?=!F^@Q^QIMLl&35jTcj}yQn=2rKU?`m<;n=gT7#f?| zuiEn2p_1#iB`%u&V0R|XQSG9K=`dV0&+yQFSAwx!&W8oUbB8d{zObbXZgW2rhvU#? z5tow3CF8cH_%36o(3mx$Nype!Cm0^#6|mfw&&_!^UcrD!_fgqD{+;a(GETTH27RIc4SyVtABoJezlaSQdJbXA!c7$n zu`&ry{i2&LC(9_+#@5+;msJWRp|3YBK1GjL1%BlC`EBfll_m=<{B9a$G#?~HGfD`S z)D{q%b9(<@h$EI)Qo~-g=4_8~@2lBGdLUklt|W**ffbMVp6KIoUZByR2#;qlmk<-E ztvCSt#`Be_JSENGA*n%(I?|E*+M3p+-L|0|5x{1ry}x>3%wuXIjl&q)ZC-Y!c=F6A zzT&YyUSfMfdEd6~z+GwbJWFmpMnxU*#)j!n&&_NxHhR9wP%)(k$;x|A+I!6QblbnL zM@9#~S08HHAT)nrHc^xi9iSR`>Y_!;IQ0W4q6B?^k5!T1H}%{?#I^CV2qe~2dAx~p z#cvV0zN=eF9$71VpB?;97vywuqT8G1SafG=^o#oG zTYL0B^96rep<>o|49`Z*?$cv!5So}jx%7h`Q^8|-zP=icTrNM>9l9$C0Q&BU&sno+ z-x**Pq>{0z!^d)yI0--5Yn#XJ<=Y zDI!qw;y;NmzimHp+&C@=V%zW{J_wfy`MrjS^c;#RuE-99R&My!Y$Qo07mS%g!@L^j z!#Ir-E- zMuR0ye%W%-IXZTE@KQH&gHsaIknc#Xr2>Viiui@88nlivCi8>`+YAfuM%5Ni(`-*= zw1Wl1I!h~DoOtAEs3^Jr)ax+P(ASSMmO{Je1T#F4U3A0Ie2Y|uvE(C9ISwF=sH>^n z9-cQ96nL+Kp~G#>V|a9VbyPIacQ(1p{yrs%ZF9XzwRW74I8nTbB$Eda;?zR#V{$Sj zJ{aepWGK~RQKTE{1LvMs9s^!?d;X;5{+|Aw=)a59 zK%MjWVxUhV&kxUAGk&X}APJBeG!n_@25; z=4Elv-Ve2lzn^X=vf z;R(~1k$2z;S6JcfmUyn$`E^feKj@}#1}8*XFKPB#{4@?&Y0!)8G%7xOgv#5T0 zNAmnhgD3C=KUnK?$|pQfDM-oT^SiZZTyttx^T-*1?8_yqE919D@r3W2DC!<}^{+?z z*h3h#1`wncNKTez46@>}IH0t;#RA55&DF=>;3#NrasDV1scAcI>E#_#9HJ@J`PFV` zUL;{CCyfYjAnM%5&({Y{;n#90L`boR@>p0j9PJ8^H826ww>+zpZEI@xq16ombg3jS@KD8wuK}RfPo80WOwF#6_Z2ZTq1;^E+gs<8905yIF(FGaaUs>(0}~%TG`;_`W-CQqpRAv9Jg{qQRSTqURuF_SbYvdMrogymj9269 zwr|n)>S|%$g1-B;FI&6s-6B-(#ELwN;a8KreuWjl*t9I6@@4!;*%VCDO_R1MeRVyk zH1LPnu^|+>vuHE5G_-%hV@lqE_D+z8bcmh3?4S=6RsoCQtM4*kpvgQ({{BIu`sx86 zR#q>hLSi7sci==x&>YWl_44~&Q zmH-F>FW!Z3;IhyXs~@JC>qCTbv1=p5SQYtTRit40yKsN4{Z;wxh#iWDtUU<68~kcG z{EFV}I0pL2oYo`;x+-G>_x)qia6brH|7#K>t%5IMtVIap90(ABcRJ_glM^2;Nkb|= zkTT<06$N`MLWXn*tt8q%puRrMlz~`U1Dyj5cqiPxJ+0UmWQ7amJ>Uzr#LW^2qtYS? zI$BWqys%=SWj?cN6@BQSuB1=ny0N0iX=kUII}ZUpDhT5^+lZ9N!yk^qIe5Eclqms2 zx?No0K$XtNCr-S4(Zlmb7Z%^b!9twVS6o5^db>%RajKKH+jd=ccF>tEiUOpLmB}WT zBwZKj(~C)>&MvWtrs%)Kja~m;5^#eQm!k)F^F9i1ywgSE*bTem$iNfrp{5S-<;9UE z?vm*exhL|@_VlKB?y+fjtshx^#>~nO_2&qI%%YoN;0m#*ZP5|iI!S{u6dcfCazfB& zcHwf!yCW>2EK&0UY@anr0k*n9L2zttnI8w1;am3@@Gf*d4*NIfwt~ynn`Ny|ojQ*- zzVBT1SP>B}4SQ`g+IV_E48C}jz7aH-siLntHrmwfAfwwzI2e2N;JESO%^nRs17kPq z)azi&yy$PHBStQfDEVRxYlXw~Z!zzz>Fh^@qtBvE=opYG*)i0>+Ltz54tu>3sw3P{GmGOFc!Z@EFv%%KW($GXiJbg`F)+`OYt7^eQT%f zJQIWHN8G*#m$+nmfaaQ#V_9o#aW0vp>!y z89g6so%o6y-5t`S?p($)svCF7FN=JoE#kIvALubwjd{!G_-aqQzlqekVEaoR1WB;b zhv=nc_TIcV=uZwBXh5FT2^XX^sHb_V2`x+w9jG$JW&KFM0>r~Bf7skIX-BaNPx(Gk zTAoc_pF)qZ$;vH8#I)Sp*!Prv5l>%xn-O&q{a(g!Pji2Igw8tM zIP2G27%ckN04Wv*(VJzi^V@58;(i?ljcM6W(;vTdeOa$Izn*j|CST!Lt##TYud6@I z%OksgG@=BXuiq`(3H-6OXofsU&ut-nQRzbBz17dx-$rxJeSVo@%r8E}XJ{ZbKBm&& zz=FTz@=IBQi;OEL&0Ju~Kzglrl|}n$`!`Qo*myLR9Uu!Y+*Wd|t&0hzxb<3|55Kw4 zWgpZu=ZddYOVN~gPN{_N0>hL~zbsj|gmb%8tZPSDO`eY78VgIG2C%q;b2zL}!YU4A(5-cJ)bJ67f=6Ad4cfskf`Pdfr+uB1;9m zV#-zyfT#ax*Y^&bUya+>quz1YTJOAr6|Nxph z=qc~;FnY?DVv67U&;H>)=3@5B@hSbsBh0z~dzq=$#w7HS=%E6HH8A&ZZ_q^no)c3r<2pDTzQ+M)ODRMEajr(Q^U3K3 z|C>E%N|w0xz0>4^iJ?V9zzz{v)N@&zp*(;BE)_&9PdLOBZ+3xFM1R?Pim(Px%_%DlgFS5=y zna+9ft(TFr9b4WZ(&-Ov=l}OH1L|<^bl@k@v~@y0G=1R~XV=qzRXZ)g=QCv}kd7+; zfgY=TVRqX!=iKL9M-aU=t}iVir@7YEoz?7K4Uz(h)9Dx<(hT}Tgk?e*RI`Zlh^F>l9o8P1j8n8tr2I~LRn9Lg3W%ynqj38!j=(bt&0)jH3Djy zyn|ChIa_DQb(bS2bqXB*($x%kpE)6kvo^cBlg>2z$6DKdASZ*Cq2uQje<#l?co4Lq zM&R%Nc7+hMkHOu?;O?KQMz4_MUuWvze-B6)1%gL=uG}pH$-2g(*vvoH-X$s{F7$64V z^}`VYOP*;@<`WErE8VK0jn|z5=-r);A6m4HA04D7m*;2p&szn7BC(6x5m+9Tr;meK zYxtyWjjF(1JIb&4`#b2iqKNB5!}*bQOwBa-UIT>v@x_Ed{*BMsX8H2%(pAy%+U=%5 zZ43IvyHcO{=i1HvkwyEqYdbW58#h)Wou)s#Op40Z?<``~&z0Uhz)-I5M9SXM&PA8* z&;hCB5eK11TLWHhmSQ4&G~n(MQq~ETCAd18e6%(A{~bPHMVSe#LX(dYK(_@OF-tz0 zD)NX~WVbzP_4Vpe0&3OdKhH}>bTg(B&I^@JcCXHe*B;$CbqdhPD$ank=6kiy?~CwP z^$>fLko8&{=a^jhlQO*9aEv!s(A_TTYY@up4}{FYJ{fH z7`?5Y?0257+qH1G4IPMshZs&Aoi^j28KTBn6R$Z*S4hQrG#XV}yBDdTQAWqf4=exv zc@cKW)Qkq?c@f`0@mZpTywT~uzgxDV%`ce{qpZEB@|T$^&(7q1_M@f$bKGm$vCsLr zMO;1n$XD#SwuPa=(e>|de_mgH#-~_E?hPtHe}8$nvL)Q1zc~5#Z+M`P3wzn#gyH<_ zA!@F}aQFGB(Z3WSB#=bsoS4D>R97~Z{UZZ*Vu!sN;6?pwD_wV7drgTnHc z&oLD@HB`E{rnkCtIXoW1)i-{-;sITl9E{`hhovPN^}Y*~(n;JS$EhW{jwW2xuYJh{ z@iAv(amZuc4NB3)#==!fQ7uc8%?W<4^&>I%Y+C|Nnke==OeFFxp&pX46yqzRGpEuc>J^Z4JFnL7#O^kN5ReR?SFps*m?&fqQ7KcVL_p0zb&$fKe8C7aK zayu(F^`~6%;;Du^Ut${9S!B!dBF~$CbkkH8GRN|Od%bSe7V>j8}eQ>${;-l6yd0Jgm4MqXSmYO`oLl=~P*?ONYF+<&z z*2^i`ErQve=V6>mtvpX4-dO zUhtK)>)p%fNlp>PkL63EndXV|sLo4T0@DkHdQrgx=!eVvs=25Yt{P8suGnuhxkGws zFflure&MFlke!VzWxtu;=VB=+BHv+2jD6NpyFb6bsIL_jXahe z`9;+fZNR?l+r%Jte~~ovcTRQc?wl6IsW}6x%FS%&hm1Akm5jUgNo(P1z7lR=_G+FX zaUW%7R6JL~SzQ;yXNch1^rt%J)Qqy|(rk`ncZw)E2`W7;S97^GEwF6~j61X+ba`xN z@kO?M^Nr_(!Eh*G)xlUGPl~fwP>cA_s!BiFR@!GMrOI12}703?qA~6MgX%6vP290BpWc5v{`@xh` z)k7<8F%8}g9L#oynrqtUc(SQ`x(^xLrH0CI-+yxN={%>6}x}{Lf3oz5-|3LSR*9`^iD20=<20b-SKfm z6i|~TK6%O_IIrJZW0hu}mOO@WRsXP2&xSZ!FyH@izM0!Q#7m1g9Mk_Bb3d%zH~Z4@ z(Dz%6k=$1?#BzxX;w*de^wcq;#=rTjP~qQ=vNWv_k2{fT=aSTY9LoLbU#4@$=P6A1 zelz=q*mq;GRieoMJ;KAWr=QPv^6BtjtGS3e){nn`x?a9T{XHqsC{TPqb%w5c)0-X) z=C~y-S$s)pdZ@Y4G+UqO>kO4qw)ja&&u`*ow(oq4izr@QhDg2y;_BY_ z8Erj+ZFXx*V5(N8m+RZEw4NGWu`CZbt^e_LO5C#tcj`Q}cyqLVP?c}3vM_>-lUBpl z-o56ui;<&KuKQ=1shO)vs5#x9AK5`sD9D-oqP)0Evv0JGk(+M+xVnffOrfZ(63iT@ z(l`89Ii3C7;%UpK?R(iM#SrskTCvVb)UU=?W%L{`d#b2u={j<{dIMBRdsdmeK$_r zvgy8iwk*5&#Six#_U0Y;E^V6n>gt!ic=%^#O++V*!k zd3*ce<;U0T|6{*j9QE?reRsb7ab?%ce#`&_nPsQ-q`BCJbCxpCy(FIc&))3aL)ZZ8m~Wn#_IVaNB?TznsaBj9`nw$%`ZOn zChZw3zg>InjAynyy{XHyl_kTD_~{cXydz(Ga{Jo*FaPkfDaHN28$aZ-F%N&R^`n!v zcU}72OV0ND#_s93@t2nzedgZHyTuI@tmZuB2rx@Y#Ezk2=dTUI>gRpxwm-qvnS?U#JC`>naN z&szB!{s_Z8-&}In%DC6 zm@hXpzS*nk(#;oaJ=*KrGF~m{Tu#z>wVt0cYO7` zoqrtoug3mYzq@!{rfKf$l?xv`Zo_VDf1cm|+xsU?xM12b&-Gt6`P&ml|0TR>Z<`gj z&7C)XMYkUdE$v(m(QE<&fb2%y!o*kC%*T|pqDQC z!^$uEes|v88=gFL?8YvYe=Z)=tMTaEpU-W0<&>5G@rMtV%zfsDp?ClKi--1YJf>~a z?w`#+>*TlFZ=Bty=Z<}!+|y&??>>L?md`KT zFw5WA=I?{%ezdvr;sYNId2!6{rgiu3yY8k#`%E3VYvacQpYAmTzb>CS_U9MYeYvo* zW5X%a-+5&7whzY~=ldVcZZmz#C5=DXzIx5`i$8z<{q>u6-~85p|K=|r&Ax5k8}E#} zfBxTI9CX=F=8sw3bnMifr?0_JFI_qJi^m=s^4vu?-L`SZ^56PxZd~`~@`pcJe)m_S z*S`5o=Y>sc&f53Z)s=~Nzx(0d^Y5wb|Mv6Bp9a11$Ai~>d)2S~)7Gx3Or5!M-KeV; z%(>?J|NPCE50=huENuGp+)=N6xZ<0|uLd9gVc6(Tx;}8{=5=eF%ilZu=r^VhKWFYC z175xP(T~3W+WFUC`Rv{OuKUg3zB%)=&rjR??=AZWe*XtQxOejN!#4ck{h=G){=aD( z{_lj>N-*yL)9^`|t4Xu9^Dq;!^Ig#s9OXEq?2qi*dQLl=zxX<2R8NVts6aS9nSK;5KYC8`eg?0`(I&LS}m&nh>za#n8_;)0~8~?7# zFCMtRXSNVEUfG`rugAZu!r`j!Xk_^bQ6o!+WMY6NKg{&Z7OMbDUd9iR1`f^OAwoEh z9;)q!3-J)1)Fx6u_(mqvGyG|%+@V$>;rco>cjFaNbKylS?xjM-^z{*7ZGe%A8SkUOr#v7lS&_^Xq)ynGoK2POfux z%6)Pe03(Vgwr1$lS_9oq@RK-N05s2c>VkX$i0*_|iFt5~ks_K1De8pNhP82QAJR%O z>cel_pp{ajh@Gez&c8D5Zn-UknMV&1)wT2xf$VRKDe}O;c!~^ehaV#@$(owTcnht{ZbK%EbeRV_J^rZb@8WwMv5-g%fm7n;@uM!R*Bj^|>UHa` zXzbixwz2fM745j0@e|_~ISjZ=P>YufoF;5^Y)#=b>DOvgi?7;KA5Q5KX>jqQ^kcMS z7H>CC)e(p1tPfXAi>uDuOI0JBRpu#D2OMb6@SRJe0bd;Ks}FDK8H>)v<0Hq#kE{0q zsT?8gHcwR~xYGKZAFY_h74sAkT5q1BIS79mYY@(~KD?<%)B^lzeR$4E@#D*Q?9w}W z4B=GKNbEG=R_QSs3^-PLjJgKDibpqE!*HuT!{wJpJtenFKS!kCSm`msf?uV_2n(JS zk5Q;Yu9Y666$a;8AGVqp(}Z)SpCc@|*JLu2Zw<@i;<|9IsOv{iaIf?jv4VrG4-Y#w zt_=rEKS#9SV(}O+4ft4kjIiKhlf?=LOTR^&;9u!60)l(RW9&uXUFk6bf^)48r=AkC zfODmvBP_UAdW^8(U?Z22DTHvZ_2GSkV_CS@`ta(D<7aqS2uHI#gpZ}i2n${okI^(I zH%pHZFF4xz@W;g%3%<5jxS>P5^xjSeeix61S<0>`xBJ|%*sj3s)`u^h854l#h4Vfleund{52uZ_ z&nfHDg8L1eNIh`B;1sVGIN0FD{kiEHAY;h6Dryrkfn5qd>f@XPdLG|F(x^cX?GE7yl(3o#TNGk%UY9PrHa z7-7LN(_@4Mzg!=_az-o+znoY_c;)(B!LFv%@=-$_ck2UBcO9o3j_jU2xpCX9?1F6L zpC@HsJ0M)REjvEkc2{P~)Blm}oDJts$^Ikf`DcaiKa@H7Ktxq}-}Jfh(w}F0WgDNo zCcCTcK@OtYf*+0?m%aDlv*%}fG$(L+u5sn8?5b=y{E=+X9ZRsGjF1h&4KUdh9yfUT z5{1eQWhWf``|O;?j*n)K>TC3sE9b4c8uNe6jycSf9T?<2-y@y&4wp4$uWkJ90l9}V zjgS3b_Na{R->lEF`wuv%=oAYjKU}{p+b3LbeRfPZVqoTo#&%z3O9v-?Z)|r~wo|t8 zxLw)94(x{c+fei|DnZcTc>#r&4JEfop=Q|T-OSwZ_xrOKR-1d{$Jqvgomxd$pUrj2 zG|TmtgPPM+uPn{ouTIGN-Th**A@5_pSJgyn^U5AqQ+8M}{N7nuD#%FLHqIe_!`#x6w&~S6xTsuj^WePAL zoYmmEz-lCXy$uIBJ?W;=qF*-oI5Izd4G5<;xDLB&qo+iz5U^@}q+PC^L~{dy#yMh; zu(oIz5;O?3gjO`wV{V)*xCI)mXwllX*WCQFK;vWy%}&pR8w6THOB8AJ<^~Bo^;Dx( zr{jAyxVda>4H_p)XmS)FV7b*s6SLDVCuFIo8qKq4%MY)mH-W~<5}Nf-TyHI)xqd>W zs<#B5dMeQ%V7YDUP=i}Zp!qOI(Lx6|*vj;L^GhwDxq%Z4TW*XjxRoRt1T445JJ#S< z7HDWYh9|vQzt8p70-A#iK-96S-V%7yn?!>^m^mVMf?T4$BY=FylPsT_xK3@Lz)1)g zMw}uTIT8p0R$Ie5X>GYhfyQ}~F_Zm+K!V1WkM#{DfyT)~_eFyiHe_KMAM2VsH0<0t z*H(h~t^na2p(*5cFd||1V>yUax8o-h(rSrOgO*&`F9?JWc7@NI-&t$PD+x5t5tMwn zdLYmO8nS??ImOAs3zZaF-mz%s9I3el2oqCioGj3gXpS$? zI9Zzc5)A^xP1y;rB!D5U|?%tee)B=L$5=6WS`MIqNbs zxx`&ZpmDO`<{Gqc_(9PU|J_kK4)X&6!Z||ab^{ll(xW91NBJH`4R*qM*~KIFRSniL zM{6P;v*c!$b7mMa>v6(UkB*4c0>R!QJsmkSsKh#&a6#Og9W|J^a)oee)EhJA;i6ct z1R_nRkF7>(VQ^}sS2=MYU?}9?kIt8Yc^GILJz)K_DDl z2eaOEOs=i$si&MfBa4CaBpL*oNAu-W;$$&`+QTD^0XJm=uq{sy0Ov`gDD4~sY6AHz zj;c<~1er!s0zts`@AhMBjbsu>88pt5MpA`o`IgXBJjBS-NGdeMLuMo|K2CG<*)G6x z%O_;1r*b4A&^(%_wk(V+4S+<0fJJ+*1~*4?<78=NOEd_yfQD>NYISn5WNZ{#p*jk8 z9$!OmOie~_oGj1^aukpwu-phunsuKoAB)DwLT`3V!x6{85Du$D_&4qZ4dN8!5@#F< z#B^}Ur5r;pY)3`4j2U%HDG5=nnOuu{)KHRKkDMaVY*fo4EZ?rjjv=8*CD9phY5+M| z^v^EJa7OQ_gA?mDh+7aKXfj5HJGMbzcTz?FOJzK1;YmjZf#%UXIj1;Ta8p?k2!ta~hU~Foy?HEcVYxA~)Kh5`AkaLT zqjGkPERBLhgMdZbU4xs?!ZX`bMi$&`1~0W1TR?N<9)OdjwJ4)n2v~0U-dbXJ~B1Z1ysrOjbKX7+G5IHhynI zhJNhHI*;oVggjbf<_oE4dRo%GDlQF|Cl|KQ_)ur0Y9L@$b6+1lY=Ja7oE;{pTG$Y1 z9?fIs*kj&#!TZUAp@7IrN(Pi9s)S=z)&GzeI< zjWxLm6Na|gAbKjr62R&kZZ*+dF(o)z;wDX4;8sWBfxcRAuG~eVZN?2p;6iT@u-s~) zd2+g4MNjQ<4KvmdAT+fj@>uw07Zu|PT51ysfto;pJiFl( z!AR{NAz=I0&(kC6dC7#gv=~p)mRe69Lz6}|kV!^Pmb7Ki?k&J5?s5=3AL8q{5Qm}h z#H=bRp$JAcwHUVR%t54i4dk|%GXoKo?Sz0;(o9g2XrA1%bB?4W8H+=pd9;8zLDNA_ zmXsvXAYjo32DP{)>$s|}Wb;AXfO6>_!fOjPxXE+^ojgYy z2XIp-4<6D9F`ArQuF6j`vfx(DQO#(DiGh>XR^fo6o?X7Qs%Vl?a&2xhoOohOAg(kD zoEl;WYfQr@VkU=_YB5R1$qXE)hOLV=2;t9SCbd8urceXIsSy)1mlmJ8r*rs9Dc4o1 z)RR+@ZWJX0}lq5^*igqQTI!H9WVJ3Ndc7^%>}M`%zB$dzelP7#b$Q~-gnZ~t11gkeOB zvC@caf&d1 zDoTPt_{OO)%?nP^rrE{apY(!bJZTO{Cj@~6jV%ScnPOpcb^H=|8b5;;4(|y&vKgPbgwfZL|B~zN0XK zngwy02{Ry^8J3y7$r1jZ(vk+`?9(+9TcqA)YG{JxwrnRDD+=1| zdTdwXAaVr+(lT&r=!wd|K_JYW0n@i)h@QBvoF)O|2sD+DfI#zTfjk=FWT7Q>^9KSJ z?Zz`TH;;^sj^6*H(HxH{}s@P7@fN)l{T1@&b+~#l)HA*afQe7E5NXON4(=T?F z327dq*R#*jqvWz7w*6upX%?#}4KZH}Xo)+tXpAgPWsMeCw3Riu1uS5+>SAOGP5OTb zBxrI5;bM3^;8nDwfO=}s!gU=Z<ZR4if{6cottC%dd(IJ*s%GQy>aw*UN=q_1$Eksdvh)Fx zbI?+Uu*-;Cdx_@CdlQ@^4V+pdxHl1_NiBKI-&RL3wJ);wCc-U8+G)@#TmVWEB#@Li zM|9A}1mSB%4x;)cuQ76J=$E>|;o=&j>DTa)T1$bZwG7faNygf*Na(?cAz8h9G zjDkwQL%^baT8o=JrQl@A63Tcpz$t~{Ruhe_Fgps2EV$WI3f@yB8y~z#2brEsf1+95 zNtJAbKuw@PW~Bp0k*uleAI|zr{}y~#kEAD)V4NrVr_cJ31Zy6RWf|=XU}V8fWx_lp zLJiuvqcyjJys*Q`0!?K@A<#UUC(pvrHX8uE$SKzo&cbGmM@-!1VtsPxu+cL;1X@6os~&Cho~oB9T&#LW;@q{VrX%H)EFfB|Cm)NJb=X7a1r;*5OD zC|qy=f~uRxV_W~s1RcNn(qM3USmM?#h1VY6GKjDfoEkK3=X=-?gWNVzGjU{$$f*&C zF%RJ>ad!wr#)yvGwD`>EPG5!1i1muqb~awmdjXcBfq+Hpbww?1$?~zfXJm<+T%8UgPQ#7Rlr@$2emGgoi|U1lYw4xQu9v}@ zF029Kvs36QrGz7a*z<}@>7~gSMB1lI2AGl4}tHwqFw_Yb|*!rDZ1;<4AdK zIf4*q9xY(P291-Y*jJ)Kz@qiOQgd^q&N*2`Hmau(XdW$)HjI;nV^uG7LcpS3dX?tp z$rz541vhQO5W|_-RSV6PaS|sBz3CXv#z`H*ldsm?e5E%=7Co&ICmGvc8_kn?<76@4 zl-?jfo}@$guNvI&F_w5q+xf=GqNhr4^!1iz(F!a8G-#YGMnTzr2!yMq)Y#{;gGU3z zdD1eFi^{{zlUhIlGcwf`kaU<O=pOMT9`}XfDeY+fFjF z;HEBULcpSppQgF_Y7frHQlKjBD+HQH^B5Jwjg!SJ(|hnpZ@sS3+#E*5pmDP3snQz+ zEH^@vwm)E@yg}n+VYaLH*wzIw+n-%i;|`h(={Y?bMH2;tuXU=*Gap(VChqG!wUxl( zWmuS=>m7cH53&{Q_hb6tQ!(a%^UNu;ubYDx5fZF0E z*QG0Ve`d>-$A5J*>RQqN=1X{SB?wQQnLD9^mmjcL=s)&rkyc{53@44M49BQgDCv5* zEu_kDE~(0jc`~>83Z#>UOL^Mhr2$K2iU!kV%vZQ~1qLP0J#0VaZey_2Z*BW=WuCJS4al zKv6|nxLBf7v2fscN_Toty^pDr+N|a$v3aJc3A~l0M{-7r6VMwH^ zk$j~DRvNEp?ysiHN`AQ_dxBR9$x^2(;+-Z12)jimsOft6o{}Vu9eHZPK>#0)FlT!t zTZvVTSNqBR(SJ!5aoSU|o)s=t64r^||T z4bR9{sxApHs+E;dDd0F!PA+`Hk8(8WhGF`pW(qLQ5928b77LUdwEib)xM<$rOAD9Gd-3VAviWF9s;rbZrxxil zeAP`3QwcXc<2h5Y5rI>}DW9BhCFB{T@xeq_<5?=e6Nw=y70t(5(qu~JqDiW(QYxDd zjin09a9L8VWxPZnRaiz(Oun}T|I9>Ur6SHSB@V)mnAnQns#K;=?#C%sJB9a)by?7R%$ zdMUGUkA}EXma`fkZZTIsxp2OKBSbNS;ZJJ-;>x7zNZH(75qM@lW%M7ZA3=`t+i2K) zJctXsF#U3gQ9T*Lp`P+3sAmp(V?5G7KE7fuxyOa{j{=s2>WMvNC6D*5;C56+%LJ=omjSRSw^%Sp1sOF_ zJvwzu5yW8nzKH)&5#C%?g!xIAp&mBU=`wt+%6wIeH$wI3fR2~Jz?sjJnX)MU%hTi+ zji6#l&R`Tdbl#B$#3of~uw+i(QuXi^Dmwh&WfcILuaWXXe3K1fM7knz5wSZ~2tGZb zh6Lf7>RdJcgLg7_ zhqy2Ru|T7WHcmvnqKyBj9;N!YEG8?W(R3N=p(p7ww}P75rk9jaLA8>c9{^N)1}u^i zrA#@Qe4z}lpDx1?JG8Sl`j6C)ipU+Pisq#R0ccK@PJr~XxMyBPT-kq1-vX@p!iRYNgl8BibeovUg77$@QNjA zR8SAwnzR=1#jzTu8W|dDgY+M%AE6%h+i76{VsWR-P|w_d=VVY1+Z9=_sJ7*(m)a|u z@yFN|rCO^T=VyAwj}ZX2{Tyin+g)>Io`p|BjO|(K|G3?l5s# ztUBZ}(_~Q3ywjPkhodi}RrEhnKUGxz9g9S6nNiQ&14#oy37VjJw=7i;J~0(l8~tMo zuKYW;2W*+xaJdN2p(mGl9gQ~vf*6#v7V!GE%8F3EitHUKs_Z5zs+25LjV)=q5vnKr zdmj4;zTb;Ff@NZ4llTvSMU{lcB2oSw?;onb0`=rlbdlban~;1F_ANC`&_8;tcDSf$ zUUB7W#cVaVq<9(CQ~n*_A(u-L^~{w_E{k@(qRjuHqPfqIt_a%FrlX$Bn&E|bV9FsO z&z3HOW{^;{WpVt6h6~ES=L;B>v@q4v{vDo8H(Zoka2LtCB=urED)e83UQ=UYc0?r= z>!F_7zavDViway@z$+*IJ#yPIeoZG#_{3>Owt47NTLi>P#=~r0YQosw2obNKIF;e^LJ3 z4a_?S0?>R~oR?uanH%Z6EMLUOw&alH%jTPqvL3m2qyNYQVnLMU<^q7`ESL*pj0-9o zhFq}n?@$-L-^68sXI_x6mf83Zs)k}!Me_y$7lt!2mn3)@0L_=Jcp2)MJ9NB^>M8f0 zujs@QDxzwd3}&l7(L~-+`h3KCd3nqRypnS7$YPk)MEZ}^kBTa{kBF-VAhz#va^VlQ zB(vIDJ?ux(jOYlCiTNb7>#vB<6Ut?hM}#US5&+FzT&`Bkwz52OMLqM{VyYfIxzsA^ zRn$z!dN-GDxiBiKGXOMfz9}#2nXj(!GSpM4Ip84~OqxOeBlV-A@@!O5np*@u>KGRF zRE_{0F<;x{WKa*bkPQjxAK|z8yp*h{{Cggjh6|Gb{uq(+?=Y>g24COB-qEP6b(*vBKIE0e~7-#Cm1+u6d{u=#u!#ghh{h{kX{j9J2le@ zn9_ggjv)Vs92s44Pd5ycu6lwjiztKZ#N~;!ESytG`;vnBds4g&1W4HLiTDqI=F?@O zqWLZvFGD@^9y>1spn2V%mr*_C-VwRShh0(phl=LS5-yDRL!EA;p84j2s8_=h;!H;l z39bytTyO<|1+{<2^(eaU&Kp5JY(LXwaN9ahh zfqz>4092Px5c!yQRe2+*hx-p{S!{jyzOb7ALq)xRFPXpSAONvIB_N^=HCbWcR7Y?% zPwfFKNaw1_g<;aFF3a>Q;w>a@(q!_;qe-iW9%E2+J>%X<|B?DJb_l>#Me~tut_AGL z%{xTA3=P9sre)#Z%?moR9^by3@kc_ye6C4UG;hT7GBjLcjFER#LxNqL?uhy8T`4@r zuheil`f>p#m-+lV7ecP>&j89Ez#+UPfvoEekwz4IIOn#DCZVB3@P%&4<_dxWkt?^i>*O20(Qv zN!8?%MLl61p??G*wEzox|BiIOP%8itho#A&BL#WPhI%R|i2Xr1kntZXs?<3qjrmB7 z=y6H=cl)lm1Zfz$8$@F0?o_&w zm_b0`Kfd4h-Fx2~|Ns2=d3c6>&f0tJwbxmD@0C%*w{jY86}`d$6YhnB0$-jA9RWF# z{xt*7NeGdsw_Y2(GI2#FU*_gIu17)T1#L!Vkv7SnMECVfxjO<`KEN;-hi$Xp$k&0X z+AF4gi%c|`Szq`t9$B?6=c9(psZ58Sh9WfmEbJqUY9n@I8h4+DziuBlcHz5Q61{?y z-Y1#gn!ul$)wN0iVU^I^p_Ro)i*BB`Z`*H_w%#?Ak>U=1#E#(|6`b9QW~hH-R;uT-$> zCfg~z9qaFlcj1ti9VpdgDgOM%CLqp$+j+{AWnd<_%uCwnbs*asQb|S?LCHByQ`*PG zO`z+r*y(9Y0_JwcXxNeOMgyg79&I+i{^u$p99$K%pnX7l31ZI%GA^9?U`kn7Dul~P@(XzY+M0)e!EV;WR4{-kOVSC zab9~d9pk;Hicp#gzqd6SmjW@EQ1j*V=gZXDBn8mu@%?wW5(*jKar%vkYk2|Kk;2Is z>&Ta_rlGC3zVsS#gc3l^RA@KCI95{d7~aq&11 zCQL)&R_(>XafuaKO(A@unHGB;556{tabX|iTWC3uBJmB07;@YEGH>O4({U#}kHK2Q zwn)zV&17M5Uz+6nuZCp|zBD`JCSENE-A(Y^1=d#ER#Gpw0BaYE%oX)@*{eXbX=;Kd z9qN@T!bvE=?2#5mkhh;QEM>j(==r^7nvuKH^MwBGHRp-=mhP{VFWcPAR8%oAQej2e z0fh{T7w_pju6b<7Wt%l!y-N-ylBcE&zPul7I&O0ySSsNWoK4;Abh(?Pf*=X-KRYh5fRWXy_n z`MsM=;>9<$SM65f*KU;7WRw*WDLO<85>Y0aj#I)C3&$-mj}Pq@6`#K`qK`AN`95IR z?6D)Z!NK|JPKbGuX>CCrwd`}a%}-a4@yW82X^slhjoHzw3=b2#zs@=)0)C65WgTDb z%UaE^!Y}EWbBL{P?fj%$zEd$z+l|~THWl1)JP7-7d@<%#DB`FNurJ(15>-@&{ZPKF zXO45ou<}kW+=|O*O;fux;Y68O`Nfgcg0pOywpVp}5!PJ&_LCPTnLX2@vBlMtcc%{4 zA$o-Jc3Y_q>nuGH@pqZB22@Cq#Y9O0&^u#U@VwEyC!`ig5m(|5Kk^`JFP*H(6X8UM zoGlLW(blCO;(H+d1~c~#=@|BFx`rG2UV<$Z!yJbH3<5h<{o7c>zo7;GhWGEV z{~f5Empb-8Q3KKy-1QgX`u~|+0HmD15b@86!KM9|9)DX9xU~6yV+P2U)}_DJ4q^bA z^Y1MAXSe^Elz(F?@MqxP5dFV-@DD0Mj;8z%O#Oc{?w=EaO9TGP$NDed@o((;cNzG< zu4$(tXd&@46DHx>>oosKm|6Z!y8{-4QbU8nfV z)SM~}tbRNJ1n<|wxq0cIOl9y@$INZD(qbJ7W<1#2yek`mGSL+RSS;{kSD)AN4L0C5 zGQ*&u0|uYbNPkx-XInPT>G~9cljW?Gv>t%MJD%Bfe<>O)3ky;KCgq0QzWBTEL8DSX}eXWOriUt>!f zuA48AmteY3;C#ro05s9W2VogZ!Si32c>H-tx2&^REfq!Ul9$32>V;ni-yd}5aek&7 zkLrmQ>;2_h{f$&1+{tg^`FpgUVe95)rmx^|X(3N`?@jUiZ_GmkCsXbR)6_vznQRG6DwdvN+zFGq zPOoQ4gh{^?BGgPXAl6-UxN3p1yb&V8!F)%;sEtaNlQKAKyw@$br&Y5dA>@Pf^EbQ~ zV7524sW789fPQSm_jX4=Q-2y+YS_Wm3LL3Kh=hed{H>O;Kctk4GMN1*F%0|>C_Q{^ z?dlqXx?(YdK2hEx#&ymdNICvvJh8)Sy?!yZnC!@3eT{T(A#2UAKAM}VGGK9QQ}Cy5H8pZ{Bz{J?(R#pPKuHW_d_xR65ai_Qy5FX7N^w6 z?7}*s@E-4*;BED1UJSTi=k4}C+#{v1y(zv)OJGQCg_d1v`D|(l4>ZO}e!rnXK{YZN zBm>HFxeLDE6C>m7{9d8#3Ji{{$ny4Ye5)Q|%)4rU88;2RjR78ego^&TqLI;s@d7$x zOH8#>vFhlG(LOh74w=aP-Dg#?^ddX89iKW2MtT`bOtZh);0wl3E|4)!Dc+RN;#A1R zT_{~Jc^#(&)kx&ffhxkUaRo-vIz6S6?k10o812J`>Dt)zg(U}2*Z$UNufX{8#bfo0 zUp6%8TNShbmh9k%^tm=4pBh;m z0~gH~M8FU(lGV8rA@0>U4}u5A&4zt7YAD=qbyTU(e|>xFQ3W@p#%py@7y7eECvcIL zME_W0<4Hh;sPb{Ax~9~=%v7VFfXXx=Zj`k2W9HSI=ahufYLT-k7uIpzX|?EvEL-r= z2mOE^4%qL(Ib*#})dv4LQIqGbq>Y27AZL+yjIXzaztQgj;_fHH`8cB|`9^DDINGtX z%;iSZV0m1VcD048*$r|`Y7{pQ)1{o-nEpbsO}($bCmoD<--tEJ8~3fm95nzt<^!VK zsW zUtt?tziKG5E0v#I)RKP7m*O06QaGU5ZM(SY$RR_~70TW(NQE43Zyk;E{^~ipZ{(n? zOwa8uk!zr`gg*_M%jNjZeBMX?^mIz_HYROdT^)p zp-(PFfVdtN=YsTU8KPwob+ChoE;XD(_yuA+Q&8vITd2{(y;SU8CK+}epW0ce%KrS> z+fy_bq@u#H$J$gKA*Rw}Ffg9hhWk0MiQfB%p#JCYW=nW_v{+yT) zIj(Dj&vXQmW8lnI6%V3SllTl_`th4of;;t5q?O1yYHVg>dScWGA)X#o`Fn2Kp+BAU0p7r z%tv|h&Xhw&42Z*JMH7UvtOzeNINOg@hldZpmLeU$jpHpPbUK6;S`~#CiXk@u@E#pVsFa zt5$GSMc80;u84AB8STEXabbl??yG?ZYXY1mE6ikvRgIAy>2CvGUQJl=u}LN@h4U*R zENETS1rip-{3#s4!Tb}8|2;)EuEq{T?jJO8hd+$2(5c_3o=KFn*I% z<_n@(9yg)H&(&9}v2K@gf#SM%h%YhdT> zz!j(MQ8rL#C>BtYvrUwqz)vw&tj1+qFE>z8$aNgscN2%@bn1S%4*AL(27nfm39fAH zj;w#JmfHTu0lCf)td43vpgpA?U3%8k?5n+kyL)pNw<2G4cDm8*Tgw!CT=gVeU?zFM zA!JKN?JfnfTr(qJjz+vCIzIcrbt39}6&jP;DJ?^ET#o8?$(J)cj!rqG)~`d1RM)S; zG&rXY98^68E?)U?If$nXDAee)_(ZQLn{rQMl$@$hAC7xN6$vhbnr&Mch7`W(RdfLs zN>qlC%A4pQQ7e}yExcujk*~+)$cNs4162+M?Id*zq{(;2;R_eZOs6lVD84a-rDZoi z<_7OD5A1=(Ta86HYXiu57fMoM)Sk+1%{U7 zVcU-W^RCjYF|ir-7Y`?6H>?I2seYZZE^yievw-*PrMcn2IP@It4_L*eN^S5E>T@2^ zqQ}WnFVoxcg4bJgjR$E3Sy&KOu8|s)k>fP_@^)2($cEdO!){qlUCN3pFl4bXl`f2N zRU157TEq`px|&KGd5qfy#uG{q{{q<(oHn8FF0{1k`fuNTdUfbSH`q0Me= zNTlzI|t=KkX(M>*BecQ&)JEaA668^>0H>@hYhkKGvX;$6L20{6vd}@@u1jJd;aHN! z1;9*hc&br;GVGR({syg&3&JigRJ5of_MU&R0mZ;0(WvmtVGCm973&i*@@AbUA(_po zLE=9U%b%T{4`9%BiRrfZNKQefz(}OYOBg#@N-(YaT|Ex@NZ)$ys}zAQ7xqNZp4R9A zqLrU&(#-tP_`34y)uvy8DXtFHX7YSbfw}m|&W41?@_dupR5(E%wO0u_<VtWXUTaf-B22O?H^37i|7yw-T+UVdegFkPF< z_Sy+yKfg0&+ihdw%KMV>hYqZ%*q7KB`OLb9WeRM;JStLEH-aF0bt5_eprvAGVD9a+ z_N;XF-52DJivug%Z?wghJiYrBLavbXVjt)@+(16m*#xgIO9?ShxkK7~coG6$ExA`X z@kw#V+8Y50)Dgy4z6fua^bkurB$Q_tZp?FV$k+SJeWugBkoKBZmnsti+atvWM#h3$ z={9uS6MfsAcBMs+`i=r$?urr^n8;jX3P!3nMC8}+M2RsIWqt2wa-Lj zA>nvrZ0hJZzU@Veym?4#rP{1f>E%w`EuO~B*L%g6I|anPc>SaG;@Zb>#^)|#Uw)Td z?gSrjAeW- z3DRZ$7p*=M{}E(VeHl{TaiX=B``lD{sw%>6(}k%7hy)dM;nl`mUKUVuXR7@S1Z*-JflY>5 z#w_qA@Be5FN|rNz;@YZ2aSCKlnMLnyJho0Qq}0-p>E2?bv)lm$w&fVbGi3Rs54q!B z79M4I7`O?;oAbs{6MH0s8}bXdA=kqikC?1H?asawOODCFd(!skw^?{e7U|>_yn}94 zX@ycEsZXAfB5zs%H=wq~M018D)B6>`;4W!e<4hBZJricNc>Qzm__a||>qy#OhgA{s zkaU+kN(AW>xDHt z6rT0fA-O~ef1t~tH?4UI+;RG$`BJqR&e2EJ4F{Ph`}FU?u#8I;3tTR;0`iU##)ur? zmAMY1LNa@1mghaAh8DZ5ids1iaByMAxr+ao`km4*cj9vZ`8wl{zFT$f4DB}oUk zP<=^(-dE5F9ILtllOeess*JXLhRO~~u>ehm`cOWh=D?;|SaZ9Kir`6AwqbpZPghYQ zAITK(w(@obdGsqA)wG*|@Z0_O733qIFv-e8>KLh-X{LM*I$)vx!ryj&rz}oV-1|)m%zTKrQGq@Y?UQxhbO6n;;%2-m0(WZ+24@62{rgMX z>~DWS$l25;-3O1uuk0y423)F=tin%kxw;p4^rd-Qp4aHjY6jmxWqvuFxixkl)$j8n z;3UE{z-B?mQ}eD35jAYX-H&i-D|>XsFPF&##!}Y`nFCdYWXr;iD++4# zXxf2cdg%UodnpaUb5wlo*w)T_V~@tCgK4+AV2Yi-Dd+C=wg7%(9ANk0f>bJ)u@Qqm zsl<&6?_x%gVFvFlQeS$?a$$dZ%J#iN_=K;5=AlHY1$xW$U(HleLSRx3;2z$7h5bT4 z-7TA|m1!n#`KW)saPXAfVC6?QZBf{j5v2`oQS`j58YZe#cp&g)9Yng%Q{h%Ekt%nM za0WgBA+WNRB|;#>NUnC3!UK}2LHBBj`J&Hr>>l#)C#8vpf#03?SavAhe81VVMX|MB zp>RN&_T$bb#eQ<%TOZwf5N6%}-%&(83N#OD?^|Zxx0EdTGGQ*guR`zHpANy~Hf=EjLBkn&BvEAm9|3dTM zPW0c=@COm_za9L~1^g2)xRly|k?=2KIGy6dyUl$%{$hjCU)22zq>bSRzn{L3K^}0@ z{x9k$%=uyFe{qW6DgN*M|1YTi1_I}E`HP|P;B@~60a^Qp*Z&|8oaH}$0^qODbIqoN z<6$uFYDK&!i%ss8!>KxpZ0o7uInfT_*%?3cQSI-j#x8|3{>H_fy~w{>wZ9D{_gp8I zcJ@@x_`wICj=3dvAU6EBfy;mHEpSxp1&#yz{Wpk!|NY><;RRG~H5|0Kp}&-JK% zZYlOJQja+QqVAudbF;JU0Of`;1fV%|`1srDt8JXC@^07R!-UGS@0JJGHlR zqKMBdHA<8Db8sAX_)|YUIjwf7r*xm=v5R~DiufF|^tv%<`RDetqf@bmIc%BO8Y9OE zK1$7zU}T60=m)P1dCZYrWGVBMPdX2(DaHuB(t;9dof>POEBO68caE3lY+B$Oa_jte z096Lyx%^w+djNMG_wr+My_q*0n zV|4g|NJn<)<@Dw2E72uMd6`&8Uh0aFtKq(YSzEbGNiLoDvs>D+D+d z;Mdkh6pl4qGCb7;wI(*5#;~w|RH4zb~<}mOm}F z%$Om_*0dD}qn!GCJqU1Vj#*5ytil{F<1h6}YlwS#j3= z;^~cH9u67D0FxR@r_b`7PlNmG*d74Z=EJb90!H)H&Zq}L_qI)cXaq*pdi{=FfnWNR zUY{qzh5cxjUQc8bNqZn}U?ml@9+$nx8M3SxlIKev2S#J&T_9)~>Wm6#C1}W5-S+lu zFSRXLpael-x82$_H;b-evLPC=G04uv^zsJ;QeGjSz3%tSVx#=S;xzNqfM>T<(vM#! z%!mX}E!T+*d~tGQ$6eI0?6z~EeI;3~87=_A8jjue`wE3C4Z#2CsCoBlatrFQ7O7DQ zjb!)qE-#ki_Ply|aX;vCG)i_slWhJtbD0kB#T>Ctr+bl4IrKg;mY*+mrgr#?ff=^N zG#w==j<7uRnM2oCy#Ta9!0pncApUP%lF>sa6k)uSw>VII`dYK0+t+=mHm-O$oYN8=!&Tc%lTgoz{v zK1xu@HWxP73Ce+NjPy{3#ap-RHk^uK_y)TjH+>z=n1Gkv_o0Dy!SQh0l;Ak`_HxD0 zc@j_c(0LoZ`IAx!Q_dVu!#Sy>ts7(K$G&1Rv4!koBZr;30_9!-c;v&h^aPG{%?wp! z2_8@!&n1J|K~-emSvrN&5o{Pp8nk<>3d&Jl+n*E~<8rVY<%7rm+ws|ESr4w~g|r}| zG3tjVKtouLb<5~uH8H#Q6wlko3^cB%#1t$~Rns5-3dXRb9~|2-J8#mWC>LH&ToeBa zG4mixm~8&D{xq394UA{BWUtWPYiTE@b#9SVP%UJ9 z>QwrQLStki^?h*<@^U>)H{#{mtNfoQ0r`L<5Hr04$d&F`FU2^mD`PXxx;52+^O{Q? zJl{TZN+5Q0Q$<50hTPcg?u0gQSSMFBLp~cUZAYQry&P*PlP&i$QQzv+I!unk1{>Dz z4AH-iNEH^Ndre#6FJY0HQDDz#WHsu9M)jU(<$g3at}3Fdu(w*JxMx~JN!1I3b8}m4Iy~zs_+w8M;k1e zUYAD}W5C0_A+D#xk()m31EsRTKKui{=PVVyKJA8bwx1uuT~1skWgWc-Uv0oR9#dVX z!%q{7h{{7m+}m^B!9z~QKppF_df^@*+ul!EEfeI1wnS=B3@FzseXwZ|6^2cE)o|?|h>jJgODw^3Vx;{Aig?!+PbQ|`% z(*?RRf9~lEx}~yOAFZ#^2brd}hLstuq(4Ut4Ig!7y0tamxIoD}YM*L-K2!RoK2`+~A<1 zn*_&pfF=Xgh(z~kMA@Iu*25cn8W#wL;7;mZ&V;W%=E8~=zK6I2UM6La01hVME~X*l zFpE@Wb`GY&L-j#0Zzn@DI!}Fn{YKtbQcuYj6Ae3^l7jQ^PT9CzV&{5w zT1ig>Knu!j#45-|C$(OuoKb5g@+uRW;5+b0fnmssD3CUo6^WV~ZTTJ3gA%;ITrPpP5%#O1LDqnHr8JJK zB`QTF(D++Y`>3CDPtQvZoOt&PJt2UzWX4bOoKRSM=S7c9EF@rLw8$q5ABq?|M$W-( zcPB!t30EoPK5Eu)bnXaI_|;vMZ1+f?guy#%x5)0*_C4`u>(cv?{e&%;{$SD7GAKTK zk2>5azoidUPvW&79^o4nO0l!9>h@#}@45Ms;$P)uo)hp*SA;F2!(V1iA8gEd zx84o($_Jx5=Hg|05eYF@19{d1t5^2-mpJ%uf`MW?sel*x6zs?;qfLfp8eE4%r45{p z;58lVH5Z*itxP=1R!pW7jwm%sc+xaGHW>!3I1&sn$OX0(B*pJy9UEq8)kCHX!AM?L z7xx0uj=3jJ7R)SQ*wm&iydo77s6C{>mL%CuvP`y?iN0DNz7RRqUY|^gJS=C@_(`f) zXX?0hbMK9zBx{LXt11F+^t85%P-bdOq(yNT%kWiMYKW7-iFR2XU)%GyQFz>?$O1pzf%%dt*Njb`f7aL4rLxSwc zwJhvG{$Or+@|Rp2czpKL=P##P%pM}(hVDL!<0AJ#MStmDg2m~~kswYsL*2MEc>MXy z@$iE`aj=YgZ)QQ);(7p>p1aLUAkP+ zy*h?(Ek3kiy z_=eW|MJ~BFc=hy!h*3iWd9B0g-29JwNb6K-jIW-t+hjH;1l4^Qdrq~)xhV2E}D{K*m#%1@$v5s(MW9+fSdTn@%kca_0$q8gik===4rc z*aj_ux8Zci?sey-(j-9R?sSDXK8*Du2`A)n^Xj?3; zuuA%!+{|X|r^J(Nx6QOn3xg0`qMF+s7JtH1Y8XPdv7vTb`lj|+}(m#88 z*?eHl*UQcPUJQ3JCk9Anq&li0Xis>C8O2*J>#QlafEM5e40Kw1pU~WXB0Jzqqu3S$ zTK$$k%r}LLQ-XP;PV~nDBU1gm88PGZZP#J&R(^ZzB*T-Z`F3)l$8CG`-ukA3MC9;1 z5lz>66`X82h8a9$tb5S3cVJr|P*TyJ+%$0}eU24S_K_I?ATi_&MUieK()48?7NrWZ ztHjC8blx5le+Z(mm3peuBKciq125o~uDATl@=@nW`kdB^Q0RlM)zkg(F$NOUph$(c zfU7DJ#Uxr$Cef*ih^lghphbN-ygR@{Eqe4@e-b~n5YkFyOJ^vI6_XB$@dpEsF?Wys zRL9`?KrVFNI6RWJJP=E>Trb&!+V7m%6;XQsuvE9$IVujD*;pipPK~OJ z`s0+y)CQwikH2ATS~FTpdwh2Xk7a3Zb|Xf@+d*fI-o}MUuumC_Xt|;)@+PKJL->&= z`d}d7%58FY#ca+%F^u(_E_b3EWo6WJ4S*3}n&`{l0dQ5mv+nRkfDAZz`>BCrNV8)< zrxFsaY|3nJ#_i)sg8X2{n%9{bg(_E*Q%x=s5USAs=A+OQ*l_<&TbBOj4KJ3Tq6U3A zQv)mg%dq#J>6S`pGo|OwR(*LhgTJ80krP>K+`x0b zzWVI$NtBZNIRCg9ZOg%XzkyFCwZ>X3{->SAleL$IZjBbgH#ntEo7_4_MJJpqCncDUadz z&8dJ*uT7T@PoSFHJ?NO5DgE@Nh);oMNAX2(@&5Z6K9ih)T@BS5B)J(yL9qOK_1LeS(~#;zilp zC5%pdU~H3AgObbx%*;zChJ_{t@~H8GM$>+v>2QMk7!*kzNKDWZL4Ua~Mwb&C!#6JR z{9FB{kuqiI-3!tocgi@S$mp>%aiAX!kta}+<}EIXuua=M?~x3frx?KN(GltzT9nIm zB9q3XTupx!3(Ly)1DFTXa|AiqNQ`fH*vlTFd(L$V1-qprB%+yEw*7*4Wv0G#Iol-? zeW9q(TJmI5=1r?AptL{>tiOD5VhDr>Q zH~&f-4UBX>{_$y6(InsdaI70XAjeb{j$iSiIXXFAN=P4B+HjiTy3x2&nz$IF);myfbgc2R_x{Nx#5GEb?aSsP4l|QV^Ets7_->RdW11eiidH7-cKll9y32 z)TCO3v*Y%aXpa1F8kj-^r^eGc)9UGK5#5DSMN6jLs*q zGk42oxJ;cD_5B<>O}k8GOXS_8ib&}TObkAyLrnm{vpww%)5(g8T_LLI2C@q-GHk*6 zpSL0d(XA2{vZ{!wQH(AIo1isbA@`KHNC3=R3m}YDX>m;SloMMRc5(H+cjYrhRku@Q2XGK330z9G5VPcPikR46Qt3Hh+eK~Tp=OX zSOhF3xaIRR+$v(`t?lqHv$7=Ec{gP%EzAafp$Dq?YQ*0_(Ab*ttZ_Cd(!gRT=!b_= z3`-`YUM2z=6xmsK`5j6|fGRD?w1u3V*Z6?qgrWG5_Ne3V0P zHASuec*U2q%d<4)RFO`hwVUY{>794QTX7ofZ5f(HFqNMk2V zKdGkg_AqdcYTFpW2#TFOO9L zznHgj?kxO<9B$d_@@Cxa$?*mQ zxe1r9PXD7e!qHcG9Kei2xuox_H`!-grv?A?GqO7PgosN-{{@x*F&k zNBL{wdYh)IF%dc)(xi1A-H+<1kRf^-dFP%-q{(6{u_?QMBo1Om<37wpzNb7r@&(L< zf3Z~y7(P2}pA5y_^!%NpP~YHnS#qYH`mu^nqRq_8-ARY5r!zYRS$?0^w{g1Lpzc0` z1J%gv<-6H#z`PjftH3*o?=p5+gqtUBH}sV{ha&Dx{0fBII9z=UTNg>Z*c+Pnnub%J zMi^Q5;_-l_;Z(zv8d!zU5Jd9ORTZ(%Xay!^MGyPA{)vGxI6~i3!0&g(TQIOA*9||2 zR+7h|bS`y$c+7cM-ENVUv<<`v?%i z*$K1q-CW;%AF189PmcH2Vxi8?R+HCW+F!!C^!c$Z+E~3+TY?@e31U)}J8UqD>rFpW zfq1{G-+3Hyuh52js3QDYEpNthZCCY&g|dOlp{cW7*N}{}uGoNgE1tJ26#Ja@`eQqi z3zzm{TG>n=Bn2toq5|3_>A^&k^4%rwkzICu127sSynZKKa=2FYCMDvdH~H$eJISH7 z-TRE4v22OE5btnw;=LN5Z$CjLAgF#9K!FuE9Ycj%HCi5HFpaIl+hF15)Z_WMNvcZ{ zU|B+vFLj&x_d}L}-#AtA5B%j!*?DGqIsAdH?Y4(M-2p@0 z?^9tV+?`Mh&g?Y%EnIHYS=X3z{I-hWQ$FF3)tZgIn?832P>Aky)VQ!H)ypCPWdb^f zRX8i)kB#rWwtQbYL7-0IXAwde@ab0n=-AeA88GLh@aR!(qe&msuRrnO>4zd(Jbph$dpd%+Z_DrnkHfRXu@PisT7bL%@6@o?!h~$LpnfcFxc|S5!X`FE>>-==q z$!yIu3N);LY7}=yN~PQZ&y!;Aoq~dL_~d89L$XF!*OT9KVBzfH`R^&<6j#_ap})rg zSh2I_5NXoTk$ucZ(|?Lae%r9tcw1`DbG=nn*B=LrN{E2NUPPtRV;L%nivo1mOV0?4E@OIuX6AW&ZG8YpSy=Cf;WpKT56IUen z`NMQ-&(H{<8OjG1D}~;`SRxV7lh==mTKSP2{n(K2hFAfOM@S;9bG`{$KeMj?#tp2Sik&0|9}&{xkNx@HmNPWZ`qnd?e9fM_ zZ$j|cKJqzrQVnU~Vtx~5HK4s>#fG9ijH3=q0f_lJyHPT8EKP=KvGFNH#zc;w3!)!# zgV)d0&V1^MXRj(rS?}uW2GDb((P+8@rht(cI5h^|M24-t3HipDOV{t+=VvU9 zVQC054mU}9{1B1Ct9umDmL2kk`K|~`hJo{HmFiVH^@LE#a=mOz3rr4`syy{ms40J5MSJ2J| z8QF<$g4$BdcY;-7E!n03Gc9y{1`OFE9)mSRYxkQ7ud`FJ3dJj+o{|@TMax(oQp5wQ z%5^f`9=9ZClN}EPa~VJ_e?MT?%&89=d@oVAMG*CL4JCNxK3S>C6znU z&pQ+NCK_=6`#qA%QH3lR7Xz3$GxT6H^af7yv42Bf@5QIV)@vM~n<=ps6g!4qv;!!p zE@u8Q97sJ2kIh}ajnw?WHFu5u-%)l>90}hYv!cldK6nKuQD5SN4&CnG`{3>ukIh`F z(2j_>)KCCx9_{DtZjpbl7WcYuc<{`#;Q+XiCA=rTFl*~8d%H`^_%0@4Fv0Owg-Ir) zJZAzF$@4Za68 z>1U%hV4V;efn_{Ee;0{sDixpZ=a`rIw@5H)=R6U z+$TGjr)QH}m15_-6a6W~T(=$%RiWCE*t)0wY>7U zOB6=o7h^30I@T;NHts@3JrdgiC{n3I()|{@J#|SRlXT)z$}a3T-tbV3Ygk!l%BU|J zcj86VXSrx8g*KCZp5dHtmGVkQM1(gynzxDxnzr$E70k3y+fmy4sB8q@L{U$z|J(s6 z!6-crLenmKhs-l`DZrp)8its$^Um1=J>?taX+d;^vst-s5;9=@+cG1ICH(mX>AvSG zE&W}i8#_jg$$=j)p{;)Ro_lW`##uYHr{v!dQnGZfwSJZ+HY%J^9=z~NX*!b+^mc4K z=M-_X)aMnbv^o8z6vG>l6F<^AT4?k<;5lzzIs9J!dACU<;P6W=nq9z2#W7kk>e~0I zUj{CB8d$mH-Y*7DO9PwYH^CCQ=`2kOy^PN#Hj(i(AENpkgsw#)U#v56P(vP>t9EIb z*S~!8RJTPDnZiR2wI1*hc-ifdBye8=bA?Z^qG&|-2^|X7r~~^XoONd}#6q=PJeW`D zwfXjjUbvfey{9!cSg9(5Nd)y};3TFqr|1wJSPoDSJ1> zP<~poOpA?@=w1g?rQpq~yh?^b)``^J*>d8=7=tc^z?k(*Grk`UJZ|p>Xb0=lrc&%Q*4fM~Wp0v0xzw$5nh$SH@E= zteg8yawG@9c&9>M73pk9*ZG+Oj7%NTf3gZKny;WvrY+Zk9o(3(7&T^u-=zu-`{N>Y z=|aJ_?d=MPK77IIpYV?tl~@f4M5;wE6ab>$(O+IO6zjfI!^!Zm4GB4EsD4DYlpV&} zlU;u=aEpS zCc-i6W+Wvjj|Ko1<6*M!$8^)Lm?`}J|5y5Qvenj4ADO$O4 zs|Yamr+FeKB%_k5d`tJWVNV}M$-Tv1N24_GBrs?N81RrK4KukgQux^Nd(8a6y;HM> zE#O4p{Dh3LC~BS`(|ChH_^T?yU{5}eEfXqW)T_}F^|J-RX>=gZca#rJH6#<2+pwdM zB{gkUGY6xZB6|Oau>X#R>kHd};dCV;Q8Rj%=!R&~BZwZo_f8N*&o~L9j@}7^=%X8= z4AFaM)DXQBZG=(Yli%|^>wVYy{`l6i&T(c=nK@_gdtdv$uj`s!l3a4l!@ayV)WXK4 zuN*-BGxv6AtiVcyfZFhH^LwLMmv~GL-*AG6MH4wxV+pxB`a*;2D1VkKYPCccI<7BL zN+PVzc?p1xcw10qECqTr>aLhG299*{WWfEKEhx1x(rK?YhyK8dVwKz z-|}_%Yk7?=h{_!>z4rfF(mZ)%R5z^ay#tV~!s@1H{naO+>c&U@zh#cbZuyYrdHD>F zkb;WowMfZ#y-;$S%AC`MqCpB69-w_lV@mb1xIVegwj4RLW=ZMB^nSQa)=5N{{L?|_?Ynre3~W6xu`<)n z9KTtj@&*-q-Oj%Sw7uLl+Jp$+mZl#@6sIcUFN-nn&yhSqeHrXr0Tv|5Uk1Y*%*7v{ zpL{g7N9vSUt3_?S5-u3w?%${2N_M?z+J$hT8h5L0f57WX==y)~X-yid&hx*kOuEBv zHH~#`h&A0!%w}<8u-~hfY^X=0B<&H@zlFP%voc2Bx59$y2kRhRCZ7+VuF}+C~RpN2YTyuGIERM zU1Z8Hvp3^kEfx~KvCzLLyTr2aTwl7*mM$0{N#tg}xk$COYe6JUDvp=Oc%f~t=!n-s zu3j8PR{JLwt+Ewl_r2Ci1Wd`Ml1YYNPX>Nx+OO$T1k<7_K+-QPr}XgmGu`0Bfdvwh zN!Y)z^g+SV%yD2s=VFr5ZwTbi{ewX@o0O(g1?Pj@v06PN`%ok7ypPTI@LorYWwge8 z%&LhLvnf{Si|E_ECeZblWINLUexI0F%ZWb0^PVKjcqKY{U(= z1+$D;YmRIpouEZk6+MnlCFM1yd>tN5wc0Mwvr0@9&#*N#TUOd;`e zSN8EqT8hN3=n4*(6kN+jfqsJ0Hwbb{iBbab@PEB`h^|v}ZjoP1=5d`)w6{j^=$ONo z?uOV{d>wk52}Xp?09ah*<6}~48bmOa%_X(Le+h7irpsHOA(^gkVufbHZ2C@roKBr&-C!5nB#lqAuDhoUKRvID zasAnYcq8a9eeb_yym;u3GaF}x`XNixNghrnynFV^sby{UUK0a07 z%)8Usa3F~#W8+hb{MXdvtgO{dT)LEoLb09usg z9fu8?r@-JWhUQ`E*U=$mt^R{2&;gJzhA*6wnNn=?o#prmnBl6=?0CmT%%uH^3kT|O zSoH?93-PLbW|Q>ZpV>xdEyWBovjEz7?OZke20J`eK7}i&vMdf?Ae1`ENUJya_Ww!B zSDG}2GT4y6AC=z)+&eI0->;7j|E1*-n>(sbnW^2wG7+a^ehA~R(C1~j0^Q${sQ$`B zD9NNjx4F1Yez90IZjCUAJp|(4so2P|>q&!&)e3h0>V@NmfdS6e%Ao(@x*bdA@zGON z!XQly)qZ7@&t9}H3Qm$>;VJn6ahQ|s7*d&hFTJhFjNjJ|ugS*c*#aJbtsyf-+VM&1 zo0_TdxhE?FBX`1fgWkcCMYK93Ht*ZT0;&6>1vlRg2DHdt5Vzw0FU`=-l`zPcFw;C( zC$zFvbg1Wf{##jiQ(&bOK~odfDT_@5vk54C32B;|cHtn8I)o8JYNA<6^fLR z)wxZc;mNiy`v{j?Ait2~>kc8+%tx*&|EI6=S%_c6AmkST!MWovM|5uE)qn@E{Co5W zC!{J-|Ht>OC5@e9r|}M`It!P8dkCuHB`bRoJl(J&Y->8V!S|5{EyKVWG$qs`>Df#; zue0%O-_mH>?F}Dc$|vdx`pZ@=;v1=jI-}p3l374i{%SSX;p>xI^<~zSh;Kobg$)PW zF9P+HTJfcx5XnwhaNAkh2m`*=^u!_~dxQ&JQW9qF??3`yxZG_FIEu}}3&q`-3n7V% z&IRhhrLrRaiH4fN;_@!MG7sS=AKweU1+A4p%OL#Po`I$anEWf(n$kc0;buK-Gm@J3 zaxdQ!!Mg+A-eX#@C4!ggW##e&Fx)fwjdP#)ISbK>{yj^+=StujxMx}QIO^xq)+evR zt8u%mre|T~ttkY4{#mlTtTGSh!Gn88;_7$;D)$mPsBGl1oTYY1@mfYGOvO0!g)Im1 zxCUi4PwDh#m~`u-S|X^%UKJ`+J)-emh3zbsK1&yB&T99C3jYg4ox`(0s!A#HEytVJ zl^+RE)?m?(hu00T`QBHT_>>-$!hg?W8ncmXrF&y{(s)^EYbE;@de`OK+2caqiT=~;2{e`ibj@0>bU z)-3uCzRgp8el^1m7?S(?*S~k%DO)~9)d_VY#z<@(5|@u$2ckVLz<{<^cC)VtVBu6? zIly=U%M9kfWQ($gK+VD=SN)zazI=JSS4t6kk72(hPGT)SShJ25lD90*XOt_h0@z&P z2Jf&Xxt0@H{~1VFEQ@cQBauu={?iiH>g^Lb-@_+`Nwy$MhYlXstV-y>;;^{$5i*He zBg7u^z~05Dk_+2;C7f!R0~qXmb`Z;-E^&SFAyUf+JS zE)VKvQ8Fbsk|uEQ(9(H*@{DaCy?ct#N^ zg=271Ef6k7g(RmIIr!X0s48m@8q$D9A1 zYbbb51J_W{^X7MG>4ub6Hs*`ZE~IGtKKgZV>6T*8-rl6^88Mo@djx+~vSr_CCpH}7 z8}iTNqZVX71@;@nR<|EzjH~VazWIImxzVHY$oIjn^RnpRLb*@BvbHhG-PUj^wATn< znhPInBz@a7cCjE=*9ASE_bMN7ztlJgy1I-6n~CIumtbWX?;kPE_S`1@06&R7m@CIY z>vO-m?&0U*?+QZftK+|%4Lv>=z7juur&rq+%08hqkI$#B@B!pN?p0n88{B%I5x0j&(4VnLXSZ_JM|H=~m`RLz+x9y{$`vb_g8=zwz-l^X1 ztCqCH^4~R7^)|91ycoOdHldfJ==*cP+t@<%Go)w)&^9*#SdxVB%y}H5)LSkt6jtXP zyG(sDP5z8)w>Be${Su#K_e^Xs)O+fZ?wZ%XRp({WNdSEUPglbOd<3;*O`dd*sbm%v ztE=;}(m^5;oxzky<;fiQ>#2o(oUFAG%-$fKEH_1WP7(39I#GGJY447%d0;?o7{3Fm7!B@yGSp%%<}_W<7_fJe z0`0uw%1PMPJwU6hoQ9IPgi^4#ByadH>Z3u$50vmAy115EDhe99(-5uG0(nZ5!BKi zqM)=or@~{~zd9X4QZ3J~PxD9?y|l`RkzqvrO0j6K<)dyQZuAb$-#4y`5y+O-G4F60fwk zVAYuyna^ZlbUIwJD-hq*<8nJ%Sqr(@A_MwqjJcKysB|A{!s5w>dL{<=c?~aRDdIi? zEJfe`&La;l`9pv!E+8W)+|7IL*oi(rRhV*^VO4s+`8XA1=E&Q?SAsl!!zhZ%n!pz- zI>)AXU?5Ggcca!{(+ngo7Va@1_l;OVRC74{m%a`u2fF51r2T6XIHUFCU<-jaP?Q~j zoAQey98ai9J3A3|5v9>gf#pUIGD%}qJ)$XdJcBl7L%P#H30N#H%OcBlX2x#Aje&9t&dz5ePJ`BM!WEq8B- za>+^lf(BrK+pK#S1!z~;-=XDEl;H~B?j#o>OuK69fm;-t+A2$va!?p1{nqNM==3Bz zW`IUC2SW+&<(A*4rs9K3=rFWz=3+u)ZD+0j<&hi@pK8hP9CfSe@M@8 zUoVaV8O$F6Ii(gCD@R7vJqSQE2RAo53EpD3KlH$2bBW6KF7)J2q^lHM3@-lc0j=D@ zZD~<+$AOV`q0)+;5#X#J->)5C6z}{o1@cFUr4Psi034oO2c9(+cDMC~lQr|mQ0*Ii zh)kE?B4lid2PBx&GC=bMbmYi@rIZvAPovE3>?BMWMJB8wr1F(v&ui_ruZ~k=x71}v z&++h?atd8*A8~=AChy+oWdgZ-{Fh|2b`9J^LM(L_ElPN4Rj`rxvU+P8pWW2rhJ{Fc z?{G+s?ttbSsmjOfHXsM;4=YrAaxtn>MJ-Y&ozdqCSg^J9wi$KDfk-}i+tWn<<%z?V zv!@qB@S%t1cgFU=4NMo0D7qjeG3H;@BRU2+&Llpj;63*=T)f`apcA=L@6A_mzIo<3 zW7^U4F3k0?v~6tps4lEyY;UK z#j#LZ@r0kl$P1Zw3)kS-w=!S$)5WJ3Qf3UlYeTmz=!H191^D&&dhQIE_0l`?F_C!( zQ`Cl<*aQQp?ojjKq;Jzq7*}=ir%b2HJ4J!*19 zIT7nxS0#9ah+iw=7gC&gX1p{#nVzz@N2R?i`3T6JYm*v`ZRkotB{yU$FEsLkOPgt9 zz4G2#8K|8lCB;pyxDjCE4K9Aw4cr9WSSTJx4or0y0K-a`ZcOJA zR}G?=dSMxisxdLbVFtQ)ky{I-Z-3$RSSRbifN!#1gf>IZwq>>N5%&z(E`IzruAuUr z-wWq;I@M4Q^?7{JAT{9D&@F#<@up8phnD5xBUVH)4a`>grTcis%N`45CDENIj+!0_ zYq0OEq9{{-UWP{s^@d0|mROE6^q!^NA%ta`fHijdcw!iyEo-$#iEVXwbN!R@urE#4 zb~Er15!>gq58c$;<^=lf1*Xls{fl00N3JtB^IJkdVKF&LGcKRY+%BLC)cPMJe>Nx^}5ep>O6*iQhzD}lw) z+@i>k_T@vUxd5H-IV6IJL;36bDrAbE{hh9!<5j;5qORxoY``3ZnF}?-Bm@cn?xcjg zBPUOI*4^>ah->)?)!5w4j{N$So@-4;)xItMCz>V68*DjtG3pN;U~D_aeYava+(nlD zWt@hKxMf>7*_P~>^FS}{u0f95dp90Zy%)XB7=AS8Y#wLqF3}!p7*f?I5i;a3yJGb? z+=w@Lk4dLJAHb|#O6%4(s6KD5?BD5+TSP^w^YNZQP8RL9PAa3Bx>As5vt01cEKjH7 zO8npC-SCYKFL9aoIaEJ5ku;xW${wN|VG6=iGJ#T&o43Welfh-%5+>Kh{$%p*#R{sO zLT)t)_f^7VJp6JTXf0Qf?Cw~9)}wj{))txgG*!gsiX(UI=)2; z-B}=cFvBM6=QT7GE}hRG!3z<17t0Izyen=FBXy^~^%=et+QpXhD0QPf{4=uRRP@g4 zGH7n%8L%$ajrR&lNgx#p9d59XC>SglHzbTs#J(gLWt+;Vk%>sCjxQa{hrNDV{@i|1 z&X~I^RCcsz_F4HEZbPj+xvwfDDj-8n#6lR~v*{&KaIV=f?@PRP^@ibr);9^zWoQa2x@Y7pK%hxNUqkpr#9Ilh%fk)zc?jIh};%>Xrb$w0m`s!}Je6J>m z{}w%jE`*=Z9O4*4p$x&KxBKz7@#2KaLe@$2nF-wEo1PIIe_fV>L{WsU+vL>4HWQt; z6Z2EcG(%gF4K|%F=XQR(M^(^wbLW~R^*7BfJD|Gg@rd~xigsmbmEr6<1MAq--rI-+ z{GM1Gl5c+>$uMagdOMW&!(xXHdZ4b`wJV54o4PM8*QEca+0&Y({hJ{QTxczqva#jfyS`WoV~TCJ>qKr%(~Vf z77seb3Cx0j*GN{?V(!dC6@cE_*;Wp5fpk4joA`5EX%l2#fCiHnOMokjh zVbSr@Hxef>8|jC+Pj0d4ld2zoXat47qG+CZEe(aQOUPDROGQZX-XfCQldpQ6Qsp3# zGZlnaV$Ce~iPyRp8JDX7sjRTYm5CT>|MYDmfi1|K^!W~Mqq5*l{&4PE%JfTM>WkVM zXV${-?oDIn5Q=&bRuYK}>R+mx2kIF1UVI4DBx)Jt?ELVX?&V>ok1}Hro6?YRee8(H z-P_SaP%>=F4BffP!uR5pLXuh6X&tt>oikMau^o?|zYoP-UBWWYn^&@9Yq4CTi4BdS zI?NuvILaREk61am7QzL19?2m9b;)rvJ0b^HN@YERSL8ds|JIWfjzRy{u@pOz|JG#b z`d}9;xnC{Y7L+#`Fyq&T?-(x>MUB^$ARzCNp3?x^%y!z&&ZqH9hn|`^TEEgBD=V35 zqV7Q_4^zQ8{q0tuzK#0SiO@&Em68%2*O1C`nCHjMZp)JuAlv12nC$eImHS-8a+bJ$ z>AaLQDQ7_p581;g3AkQqYXoMWy{n%i5u zN8K~;$tfh36ZtIu8se*lQh~@V*Xfv`=ty=hPaHYQ8w?7}CQMMD<2lNSbKqJWm6(Q% z2QuUn#sbq70J@~C?ciZkAba5hO`S0!;q7$r8~cwFg>tqfB$kRx1;sij$q#A=GDMX6 z6jmrA)T2LCygDEfA&7Srh7gYUYEpyP))bzAtKvO!tu>LK>z0iuZtTo!cBp@p`K?X_n&E!T4^KX6A0tg_V|} z0HjK1Qs)Mx@^+0Npin^0>Tq-_-R_!bD$A$(oigFf+0);TTBma0c>Q#xpSp!RKJ$tb zyi&CNi6ij(CS5+XL3E-fDl6#^L$19P0!o}w) zT{7y|6{Ni)V8UWZpwEOMmx;)w;|gAg{#pT%v*`AJaW23Z%Gp&BGQwy%J%?Qm`T=a< zGF`n&M=eTn4fv9*#I>2V#U1W;5IR;PH?{kL!BuLC_ZSw4C_r9rLzg)P2k? zqO1=%PhBD7VIqBzWCoV-*(vv>r>cc)NvIdsfIRNTFq!gsqaiv)adhqZ;!nYuB;Y0~ zo?(Bn^4G8LN3jYEG+wG#sHsvc9G7V;)$Hf3*O02Bi|b!XRC>yKKFN!v6}Oq5UYPb% z^1gyIMUoQKkq9g3|2nK< zv4l(C2wYmu!bTa%9pH5)_}vz54p;O2+j;~|ThQ}UN0j16E2HGRQAtgFOT%GWlU$AF z)Jt`&kd7$oQ$S~ec5~cQE4p*Flqz8Lvek|Cq(`xhzOjGjr_P-bvp#%}>s=olTeInDAlr}wIGHIp>eMj6ffW^E4e0)0Z5q*JP_ z^4GvHf*2M36eF-}-PYqi0BL)Up8^Xq)^xr}B0DvlF);R=bNqF2P`>R`e+O{q5W>ro z`t%T4^-D+N+pe~kt=}(C%f=+!TRO5IH^HegDiO#^-3p(G!2Z4AT_F=aU z{Jy*jG#;Tns56h{wb+Bn=C}4NCk2}Z#hw|KAKLQ5@5v_e!r3b@D&!)cJ7MviUY+BZ zqHc@6Via`Nj@R5t9odLkn*c02DAmD;LoIH_d*pvo+sgg!w1*nJg!kSfDz zzCw&21L^-D;G8^@2f;)~!mXcWg4B03Yn{|i|76+t@RBObRZKnsqV5LZYNCs%ma_NY zTZdNJ&VwN`wYcNCLsfm|z!O%)dpm`DyM}G_4+a`01V8A85f1T==D8JQF5*38c11bqtyqMwfIxsk?j{hO_lgTHxk(KX^qj zz|_`5d2-)!RRqIsPWm~Mp~c)DMg0P}u04`<<O4P@w?W^(;Eo)epzFEGy|fjper&1$l2D%}-5~iG$9QI&vNHm|-ySck zS+M3ZD}-1G{tZc@6V2C!sI-ZLR;>ph*V81^+3s3FBfT4EBRS2K^|&V)Wk&de@Ej$P zL656&Ns@*xKrm~KbQJIgyPe>qM9wYTQ<~TDy9v)^pi~KyiuX{!y-GewTP#6Tj=bd*v`;3))v23ni0a15Q;#wG!cD-^uz3L zF`e{6*}}2$3X60m6ig$8N-rrIyDrfW$)K+){|6nnq7sPc3+kw8p>O*NO#^+QxvjIk zX|;}sH_03Xr2H25Afy?^K1UR~Yy5JYf6}4U4@Kc0y54i{dgn0`bhka{umWGoeH|O$ zwa9?OVe_I!w1F{xf05yipK?6WZyEsUIzAcfo67sc^Tts&vYCU9GsLos4m4)oP|d;H zxPX7+G$f7hH{l4j6{p_0{`GJUqO9MU+;sMeIp~%pRpJ9{g7d^uRg%2tmi97Y{(3*zEk+A>6z0U0Tytk)|9Gu zuOE|)T5cz{pD2!pqxw^PxonLTRJF2BNuTK|I?|1_Tr_SK>ATfloan3Bxlu4FK&pn! zRXn1fZyy}<&Ug|kIn22vxP%%rO~LBvcIs6it8t6poZ!;jzj_K&hf{16DyXIC(eEO8 zH-%c%7a7smEQ?P)Ju&>YK)g)2S@74GDY$v?*Xin@Q*`4I;&whjJNBLsKQ6Enu^t1@ZW$wMX+J{#3>uU zoGAZR!s7=*#9j4o;cSlaJ;?&u^0o|eh!iYmA4Ffd`HaHysc#z4HDdcXg!?VZMvI>GT-yk;Vtzoum z)tJub`Ds~NRseu!LK@9oFbc%9t#q4ZT23*YOEqIJa-uNQDTBA{cF zd`V9%q(~u5j!*7)ai0+9{7k7B+PmJX^!W6Ag_L5QKEI$ai2_~<4My!=sCR_Y2OZPdGq#ho!{7*LcJ36&CUuH+Qf7H{`+y=)khsKhre-vGYF#JUqR>%3 zqEYpwv-@5f6OQe1UKF%SnD(5Y3jqrcvalj5FlkFb?#TLxg+r9}G?3rsIi;9!97UmO7I#=%h;c&zNEQ&&PB z(FfjO1IY$Vl^MPN{$5qg3VjQydCMnlOU-a`780ILUd$ScD{rN)vVNMszoc z|Lvm`LASZcq|*Rns6E;t{!O1DweJD`21jiC%FnWt=8Hjcl5K6Xj z2+2hiz&{)WL!xR@2>FNbUsWn%@CBg~^Tr9pw>I4`-q(HoJzH|kvTfP%COPXMaQwqm zj4v&qN9ucB+4*Dfu{p#1nY3h%l0K|nbT(Pz?xS#?e~dKzg!DnIV4~?JC?8sHr;{uPEycd@AV$OTCR@KVq$$^0P15>KuNI!L0af z6k8j|5A0=p_n-KnE>hH7rhD<@TUoUaKihiuerJhfv>aQ?yWpqrzgf|{_}{-4w9W`;)e+mCS^0yINvZe%`{x=2%* zaW9NbJrYI!Wyw|sjWLiMa9f$>!yVW&~g>xq3*&BM_xt^Wb@hI-i zlB~h=as1@46;IvIM`8ezRmz=hTErUXPMR2yF{k>>*7#mlm5YZ84Wk;re0-xVYpjL1 zng)WZluX=31C6dG7*O{U`%r)7iM~pCZ&1ymgCXBHxi-kndb=nJ4uWRiCdU!%o4!}N=0J`$@`TGn9zXJl zk8q039j&322eNiMJ9C8l!K2Yvw=fRhO)hucer1lE3jYlD~2LAgnAD|O?y(*fr z3#)xyx*-^oRXx#JO%)fnY8284rMO>u&%PxN*e_X5Fdj-Q?-Vt0F$MRSE=e<4wefST z7wjkWb7D2Y&a4KD=WbbNX6=<;y+Ak~{hr&{!(Pvb357gq_P)NN*Nr`O*BzJ0JZ`QL z>gU>%t-CKmwnJV*HcGX8g}M9)Ijb64y=2yned?!;6?g%&bxAUu<Z1WiH7-{ne{(cF?~N zf+Sa7{GsND(M6cT=pK%rRsNkks}%m9%?NPw54gz-z9Wf+aVB%koFP0Lsc%LO4qJO2 zO2ftUoZSu!IciElyJP+Tw~xyfRBGn#u(?JkBE zIO}SX`=nJ!lUG-nPm+JUs2_bSHx33U9KRiZp?^(4K~-oEDONE1QtdYdOiO)V)mRe((+%+-b+U~D4z|^Vpc9j0Xpkaqk4DGX3`qf5Xq+b zr}JkDS5sMM2h7hJ;Vm0)uSHjPTt;u6T}pg^>zS+u-Dxx!H3hCjcF$UbfON5on~mrj z>`0dzIUrbDc~d5_jxySir#j#m4t5$S`${M>oAS%wf(m=E-Tt>!!t;DKxVWXRa_#_d zxz_PHy2*}I71=+T?Yb7#p_`POAuMouE>UAz_t*rs3!Kdcb1wpZPL?;Ql4uo)tl0;X z|99b=VzKj^`pD99)Wd|51(rVT1HM&O2dsdb$EC5mYfs(cXSYdF`TFf&59(+AukbKJ zeUl8)*OE1(sfY0xu#oxd=?eJo=79GH8?l%Cv?z+`1-QIRSFX^?X!`&A@-H=b_q#4j z!4>GZ_W<~3BWA--TTKx?1Md#(T7gD(xBvIPKW()9OQu@aOC7ps%d_b&>>|;eH#O9p zDtZNXy6eyo25Fh><~E&sdwM45iQPN+)dH}qU6*%TX1RY&{r~efkNrwgw}Pe!OcDy~ zJvCK3(`aikOmL`gSy2kB^%dE_@I)c@fY=!~9-Xk4nayX}3;o^~%+*pRZ{bE<@b>4^d#EUtMo-s*xGHu@~= zf*FNT$ocQ}CLWD(Me?xxc&_TP7>B zdp}09mtLMwDlr_#QM9K%ckNfDvnU^>DO2ULJ3{B5MF;Ks1o6-#Y7-#b_jHj*1Gbhj zd3^GLrNN!eb*;HJ@1RYpPw&Pc3ISB!aPDa^>Zn2KTF#!3A0+RWWGDC{HHHh|hJ#P- z&WF&Pbrne`Z;$rPZ%{QXxgV3xyHwB0w*PiTCF)O$c&I3eNMI0tzU*$MD_K(?=VzEW z#3XvaK4LQI{|M|M`u_&?<%#CM+Svc!<(O-x#s`-JM;K)l*VOKOG9Qmi?X!AVy)jH#DD%n7a#J61ZZteseS2-7$_MjxJVLrw`r zi!He<_c*Qlh)`7p)lDs@B#Gs}8G|+6 zTn;Y&8z+DQZKQPb*T0AZx;}0-uY`H$p7ePq`4%ZE6}3jibPQ&1-Oz7Vm)=(FuR)oX z_0#&bx*Y%LG&jPvR9@<}RT&=>r#JXl@QcS&RR~##BzW%>qW%vi<%!Q%*V(f1Hf9)d zdC$ef_$@6yhI%gSAcT9t-S(&ZXX9#sM$Pa^N^!Lk*mQy8rq5xVesbkaP0|)z-5?Ir z064cC)LW6Ouc{1Xg!ECUL_4Ow`M&`@Z$5+SOivg#F|+sPFlkn_DoODSmy~Vn=*5vf z|Ez|%iyL}YaXV9D?d?zR%u;-5c^#8rrh~)WiTYw6vk#`m!$P%)fj(eNyaikJ(5qx7 z)J(fM%S!Mg|K`)lj~|{`32(GV%+na^%}6 zGcio(HckI4KP8cs$tgF9p2`A^XIeTYlZzTeo0T5dBV=?sivBHn#cAc)YodnL^KAzD zTd{wxM`%3Gj+af!`%VC?;Mm~@wJ_h1j5QRkE4Ss@7HW%D$#ZdPx`A74;VFsq*jA>l zw^#i~G`^b7`1n2}+GJN7{5jM1JN1`|^Sc`w`$J!_+^fx4xb0l2_Eg1-RatHqThWux z0;Sc$G~N2q&eK&G6WPqN@m;dN`r_WP=~qVm=LUK&|N4IVMN|VEy_Q*6twoXD*s7=v zyCP`zoFX=!{=;UO`&Ekd)<67QLyRa)_kD}CTD%yzqR-sFQ#f%QcoXdKq3K%CTLvrF zgp&KdRtFb@7cp}(qPr;q;=PrgH3ex4Af)1`$6k;t5gnvp-_z%zTJ5KgVPTr@*PGd3u8UTCKhCiEy+eF-*yAm(k9|aR=8_t) zZm&g!K9V>_wMCy2BU4#>0ddrd<(`=f>SF9)PdW1#KaIQ2`&tJ-$eI-P2C6>;gOdcw#=x#wBq7yzQ?e^S37k!zXmtT zh`%uYo9cDrTTbY9x=_!+bM{wTbbckvUUFgo&zHMrTO1TC!*v?z@h{7-21jBq!@!fx zZ#TZo-72yM?_yED5D1(#oFDp$TyoLY501`pcei#LMcs&J9o&gav{X^UfY21H3Y5*c zoA%br*XL#J`3R@jZvW}5#@b{SwPOYBs;Xg!yUu$EE5$2)k+gws6*=XJXLqlzSgX${ z>t(vf>mE-;c!oy_Q8?N%ti;i-Jhhw<^-W&A`gZ9bZ*TfZzkmFfn1h^BN%@Z?4;6IQ zdZbKLd-Fkz+b^f7n3d3o40rjSIl#6)ylJ{*wmf@F)USGeY$2mq)TFzwvR8WB22lp6 zD3)6yR$L`j&y#yI{j4w}lW>8snN=(n`VE>yxd7H%U ztj*NJj`w@6nBQ~i9HFo5s^=>&be#V$3L#O4D(=S`RQQ>lL(fBI%Cb5ei~vk^S|(?; zXGI<0kNywg!%(s!{CU)~A2Amn$ng5lk~7Gz(4MZ^747o+G+Pm%`J>9>^%4C&)cYoRt+)d%V6m45mDYZhqQ8HV zfNa<1rd2JW(Nj@#L(uGMtMGucs=WCho>N{= z&bV%&x(i#T4tDuly1iqiQ7aj+>*WkoWpx7HoIa*~?VD+`hrF{yR*O8xVn!d%R>5hc zOz!RyFb+J14|$b;#Mrh<)_!;C9I7?Anx0FanPfj>sKfeJAVyF0b`op;MT-9dMd!sA zAEUq(+RM4b7yAl}DQ6s8E$rH{YaSC-WlN_9LltF3$mqqQVZQJYjoM^azH0EQkh9nL+V~Or_(N9))Ao_9CvAj0t@x&@QF;$4T-(WZ(L45g8&do>d z<06ZFMhW(eNZY1A`!Z{>U)6rpPjWB$SFd4=KU+&i{8gu4Oqz{QoLl84kP%U3T1>AV zRpHryk&i9iSGeAY4mmR$X=X}d541h!vX#6!mK%~0SCUrs{+sPG|4~}-boGt3wxj)` z`0v#^Q7xtO2St1m|4(0E8m>oioco;bV=+evNr1I42ecpwbTs<{LLiJW`yyil0-04{ z5Lyrd1Oh(rlN<7|+L2*y*t|gk7}-D^j>BS1;w{)RBG>lg3kZx85<|d(#0v%^<*n}N znVPCO(jVygy5D}Qy1Kf$x@XQsU;NzE`P;vC_YXh0cHPsD-~Q?iM{GRfUrs*e<=5A5 zy5_Z?&)#z6=fi({{C_T9a?ZQg{`mQ~zrOzGUweG(>VNIM-W&SEtJnP7z90VQttWr5 z^*c}AzU|2`{Bl8l|Mr(Z+;7FI%O83@yYqq@e)pw=*1Y}9^!vZ|n{4^^EzjJ){ft)* zyJ^W6w_SSWZS#&k>HX)oym{9hvk&^yhv$Cq*aGy5g^NrP~-?e=0tfOxD z=JezK^Uj0*&wrhI@!y~H(lg2V4^Mh<`V*Tjd}EL6Z#b-kdJJ;MQdQ zD@We5^npWO{ot7kHgDei*u|$__&3>t3;*%Ot5^L01xx;4aP7BF{o(ii>ZnQa^ov%U zcgv3+e1;m_=wID#519+-c`g=?1<8?We&+wkJ{ zQGM}-8QW(U%Y$xzSv-IH?7ncr3){!zrw8!=arysmqT0MUuG;Mf^zGLPbNk!vEBj^_ zPw!j(_DEj^ar=Mc|4WD>>OZHmkL`t~cHIHo(o_FH_Le)P*9-$$YN;39`uEa;$o zuV0m~rSfwJRORn>KqoHg6rC=EIvj=Kpt!2~m-M4!^dl-bM*TYhByk|e?u2%m2CH_r zqU;n?Y^EPqMAeUb?#ysb-WfQl!?}Zga&rBW3Z-_7iMycSn4Ez^@!X0E@!nkkk~xrH zQB?=B@2;piuU5`^C|5XtO9dy-iWWv7&ZQTXxLiPewipjK>mYI6Tr?VOozxFg!Abqw(dfe(^<)kk<^Dhz`2$g&06JJ&yf)2w zgksGY066GxQNcm~0fl1n$(1x+;Gb<%;A(wyVC^(9%so=zX429yVg%#rOCP30H3o1#I zi40~P3dO?*SCyZjA05)4so)g0a1vmS`(y=k-6RI{PgHPhcACsEj-Z0W_^Zi~$4-HU z)yG~6U1kHZALG9fxB4(~67N2R^>I8E9M8{F!S%8CRP<5#^-oX5PY&V#Qo*r&kqQoB z@-#F`9mHo$$vL1ePh$|jrhLWeVPKj6&yY=v{ie!w~7f(qwelq;NH(T|%htA5;fHlQL0b)G3X?fmU* zG;|974GP5>v#N%3=P*JS&mmFjmGT=X*WUdds_Jlpxs1(PDmbYgpNmF`qw*d~#f?W+ zQXRNI1G$crQ!WuWL7(c1Idl}|om7CR#to=5;r(EZXTjy8abIE+r zeEZmn=G`b)J-jj>w5)A6T!>uZ*uoiC#($2-q__`-;->SeZvMlRr&s0KK6J5y+D;^InMFH?C$R+Xn_KstSC zg>)8`w;WiNzlw4N_U{=eRWoH)P9;a_vpFidVR(oNZW!J|p}6U+3Ucs3P`Gn#MPW6{ z^*nj(K!)=>Dma|vAmA)aD;%tav%0bM^g_MEE{1Wn68xFao<6%mTeyIAbsZJrV!^_` zsl{#w_Z{2u5-Ln9EMlf_R~bw?JTSlBnd_^tUZqu)N?@#5mA3;V`S^b*=Q_7C9S z{9`UW_w4hIShaHPVFQVm7TXr~jq7;X(6~eT_I_cfGzjA2wbMHL7uVg@`AjkT=Dxj$ zHjVCV=??eHlbxYm$9MjF=OTCo#NL_L*<)ufi}I*=?U=q|=zCK;ch8&xzc83ZhyucV zFv=)cO$Os6rCw(TRXJ%@XqUfyM1ic%9YE`UAt<{&`U>jF6(xEZ+Wq3QRjf2 z;>oC9GzsoqdjX^I&a2y7M9wHC~$ml;D+W%9XKaCu^=IGAD zouOYW>ulPoc=QS=@XJ^9jVk&d>dY%nyrJ*H$8YS6>lD2eo!{@|rJp!uXw2yDg3i$E zt2^(H+Bxz7RlN3Y-?TfHbk+}Ddwu7_8NAk84|FE=Q3qe|6yJWh6Hmdc8cZTY{k}H{ zv!J+gFbF8b9Q3^6D|>b?8@g^|XVQGYT`{z1OXt@kip{_28(Dn*ozCf2`J3P9JlnC5 zLx*kcY~QI92E~}gAbZvuoz+9X-_p6DGj!x1JO4VIFk?GIzu(r`edno&#|FKK%rF|v zl91xEK|cVuAREln;wy)C))pIf>YiMH|J>q{D?2No3bej+*Y1QqN$afLx>xT~iGFaW z_{rGrJ}}SUj_poDTvCh}*G+Z{gWQWeKS+zC$8`_yONvLwclR#d8`m8*BXjmR|M+F= z)(-e-{a_KaWwtrRyq){T6;F)sjxcTFx{7TR1{KJOYnEM(W|fuCUj)dMe%^4%c7riV zHV;#;*}TcYhy9>=@%9wULA8%rZ>D>n@mVww!oI2fGoF*-fC=5vl0?AdHXxkUA`?0j z$e2Aj$j5{tqFg9~Q(H6;SVb_0js|up-UlU#7Q`m?M80Cap~N|2Y_RDBC5DCuJ3_0+ z#10Z83vO|!&`L9zKS^_gUoq8dZj3CU8M|TJ$PpQ%Yey5@g1CM_l1gqg`3>5K;HHL> zJt!ru$r75i>1#&0p(GHkd@+=?w%ng^34@BcaKmL<1{e z8(I+6c4rMEuE|2c%osf97G)OgZ>DH<(K_G+E7et#1vfYqYz4eyss@WPAs?qmjHG;MVB~89^HU)ndamRpW^y3Wpx9?xcZL)(@@p%ydSW!m z%SjQ@pcN?ggm|1H82QrL(ZCMh-P5$P0_irS+1M9)&f$k^?68Siem+26EH-KVYn^dS96O)p)O7qI?tuKqrvcK zek8b|Z)WdtsP$HI%cpB@p=$aFb>hq+&vH zvb1E|xZ##D&ddlzo0$ON9HIPFPDVe9h>~&-VJ2kb^vJ>_5E`@s#YsJ0*6^d6B9xR# zQ$+)-qy;mzl0pQz4e!o*LP@cmi%*YpN@@cM)_ct0`VmXrv|TJmxkgCeGcT1{WO!1Id1C=7%B~w)yW|PI|7N=nrnxt0TSAE zrRY`SjGV2R1aeK`)F6(H3yK#i#S(}#;fUEsDNa4+q*!TrXkZQIr53cH-l3LJC*w#O zmS|`&JerS#mWKIpvf$>)MH3B*v**H#KQKoxETNq7oGf`G8`)hl6$5wCT&zw6qSYnb zE$2v1ND3GsdIF^x%K!LcqH=nqDdWwG<7n`}W|AhNbW<}U-ALaWMWt?Do~x}OkWodz z=n)&KX*95!Zbg%OD)e0qByp3TI`B(w5ACnbJYt&zW1*ZZS*RViXKAjOaU&3^u25|` z7)P2ba@^3s3i#>)8qH@rjB?U6ysX-CpurB%l6vF}8Yc_GRNEmxDV4u*UW>Zq6y#)q zmRQ63!oE&j?I5*0h7!7DBN*A4SDa9RtRK`&{G=Xrt0hJaI>#X$IsUJ79TNhP1Lvy< z)vKwIIMOoFz^eMF`5G;fcFs8xnwmAv&IwJ<;Rpx94gbW+l7Lp#D+Zl_kFeEx z0fKZBY3Ui-a2oR_5UHh5GU3!PN9;n)W6C@$Uhs4v;!8H19{ihS!+_AB6)0p0g3_pK zWsy-!O{0Mww_|-RpD%p?=Sj3=Sc3+`qlL_vOKyD4^R+X;gu5icx^i8h*A+h$o}7~f zH#^}re7J2~aaDq4g)sE^iK)UVLR*2>R$>_)7q)4uR<)hIj3Q}E&6&8AuN5t=l~Zb~ zCQBnJy*VyPS#Fae%?&A3F=yDU0$M6Z5)Fn&^W~b3zAJc|fl_a1VA0-aDv70M z;jAEpn!RXXRnmqQ;$WfS!#PK6ag=941B>=Vsudi|C6AK@x4=4cKXn2Uh;jyjm?E4b zD5)hc49YRznrXC{8G#uy&JmP+IYrRG3fPLKc7%*9?Fgkah=Wr3Pv@Fjkk!L)xiPXp zvs**9+Mt2u)`k`)5{;3?BAChPiv||$rUNy%MA|wh3%yxeXWY zEVq#8t(sG4ueNDuKy1{WC{(#D#tdTF-D9z7f+aGdxOssdw^*iZIX#j_StwGrM$?3* zbR!dqoFgdNylrvPL`P}K!5Rd|o|QgI1@je@F8@)8w>{$^(ksMrsS6o3Vj{;J4Xj+Z zE!0|~JB$st$2k(3nk(2^8!fe?$(hHO=f>MS#VRQ3$Z<2uxQe{BANE%WWlXW11&92Px_17 zKuLlGa{8gmYHYEn+LT}M&5^J!oWiz)MA8#;YVe)q)caD!tOoUN!YQ)5b2k%x) z+CcoU9v(AZoEkAPwzT1Tdmh|h+;W&E5=sjS8VLyBT2L{cQawf`1R^I~Bsck-8HQRJ z4jL4{I;=ZW0tM`}z*-=q2eqjEJsPwEMQrG;Y8XYRMxAP)LGj4PS{SiK+W>Kj#7O$c z01-wTP$ajDoFXxjP6-W)>ke;YR4*Bp5u-?qBv633q75iw5v|n`qX#E-Wz z5_X6l`Lt4zcMwFaK(UN4IYnZm+!-Rwfg?1dm^u7XM>R!w3maUrhy)EsH@)yj1T4T|r6qB~s^sakm5D!|A$Al^RAZNc;=^f>==;a$q4C*WhLQ-ED72RwiWBJ2sjYn=9U3QuJ$HFf z&^H?G|9GtKKW1BW(?2H!MOZ&pe3O!tt?ij5nD(3yCw#R!4F zN~WPf@z(L$A_EqdSwR>xQiOC?XwV82%Pb0~2#u&qDQHkkJyA0X*%^pc4WkG~DwBc+ ztw1r`r&-Y$Md;Wb(eRZC$9^ZCq!|S&Gs`G~k=jb4fdOJ;V)wLi)Hp@5dh3uEjmN0J ze=4%F-eR5Ejn(qP=s_$yV#U^kgDCrv{v%}6Ad<=>#930jdn$JRe_pJE(olL6&Jk$p zsuUX3Xi`go+AA}%5U}(u&(kFcqowCQse|p99r2pM=NzHxa*KQo-Jl#6B$R1kP7QL| zW9Y&k>p-@j+`>dDhf#w#HXB^L8gURcUNSi1)WF2*q+!dE)$pcI>G29=U=TBoK(i4N zLqmfdp^4QoW@O2#l?y?=~T z;VGI)Xx^o^*CVa}VAKFou2I|Ro{3@71`^04-k4FN*=ohvXKx3xxrIqA10hZgI|^kFP5LyGD`CB6unj9JJ{Hrd9ju9gwTxzk-7=kqGy>fYBWbxq>0aHdg&VdT2_^cE({`WQ9HWjFc7 zrUQ2fqA~@H8km&U*XKKs1JCG=Q5sg+Mn(-FDoYUHwxZE+J6gRFvg5@#Lc=QU7}(`& zui_(1HMdw!bo9+kC{W868dz?vXlyyQ1J_u-V!g9Qv|uD%wN%gAkj0f&QbrbfQ+psZ z7#=NVmlG`-BTL>$Ze-BFq8;>^7H-TR8Z=IpOjM$UIE*lowxQ7revNH6CrfisrbN-e zqOCYnbBkpv8GTpqGzaC3MuQ!oF^jij%E*$Wa*2zPbu*IYXK8LBGgdnaj4aSp>J1HQ zH05n%x)yyiN%3_MDiw=zH$A`9MoFe?IY&@ZH#9?>mKXtB(O7oN3dlHODAk3#5D7Sg zw)|}E;bW$2Yi5irIWfCeJBjv6*24ot`X67N;xLX7P}u_-6wfY0z~A&zr~MT^+Egb|RFg@F1RJ`Pa~+VXR?qyaM~t1d>CQUfx_fCf846SI<&#XM4$ zA2_|`=V@*cqhh#mvVJA7R5Sog%BIU9fPta0s5e?dbp!p zWpX6ZUsFuL)qx!H*)}G%D>fjU8ZogTt12e#Aay`%KsYsGV#CRK70Ad7H4|S(xDj&` z1Y*OJ$O+Yw>t1E$BRb|zPfi4A=)x_*oNlI9Tz7HZYtVBgW`otVAZ3S>%31Wk2TOY znpiwKw(|kvgG7151g}Bqg84 zd!>Mlc~qXcKT7Z18A|I{X^@B=ww7|$Y9lS#B+i+YTm&KwK4H125`;5})LAeZSh6MZ}>bMS~hmj$kY=sBp3f zJ4)s66Wb9~Z-RvK{VGlmY}Az$G$1xQ{$YD@qjJs+O0pMLS=uV1*BqB2HFL}wZBqd| z3N~n*C+2ba9_I?W41&<0-+)M)3Z-91m&_9f`lK3u-B7ybQf*VQbjh3}1XSrXG#DN& zWa&jKAR`OCE0>H07VW6Dnp?tR9;Zn{ zjhE{w;7h%6vXHd&%_GhGhBO7*Kw=i3TQg%E;hW2>>(g|3aJMV;`De%?a?_r(!rey{pBkl?s89you%Jj2E%rz1@I zH?Yz^^D>VPQony?cSa9qc$kmk*M#=1&1ZPMNIk+Ry|{bIR2;pJh3nJvE=@sj8QInSO>q36YL#OZ|IZj+15ynB#T=#)1A-1ko*T zY<|o!=@EK0>m?a&a+=T5jDo7>v#giVn%8`oXS6@(&wS5I$%!_f;e#?lGrotzMj?pr zAr~YB@$uOmn$br8PCDPiU0KyQNomK>>^M#7JiYlW!$bKjPsuws>iJ$%3#5PFM_ecw z`WO<~F;ZN#(Q5+Y`!8%f={Fy*WRa_A)=P3a&TBqP^B#rd&4*bFYe*tH!MmS|On`4X z$c}Miina}nK9I8_*N1<-%DC_q=G06HJgtTV-&dgsjsDfol9b$S^I7TuSv}aa91^6z z>4HPu7W&6qUm1Cv`XN2TkVwtoiorkrLzTuTno&eO(VW*prryTSSzpZFZ8I z%zWb+UfiU;S@Rh-rcyK5Wl`q5Sr741S+7^A6>kR9iCeQG;zk9Sm^Tue^-}Zwl;*Q6 zqYYm38SJRpN6*8n{3)gR8WnN0Md4xd8RoWBKQ1^@5Zdyy3s*U%H=BU?pp7Jx;9`iX z2X`xn36q2tuVF@hrz@+obw)tR|9lj(6%M=^V%V zl3%r{_FNHKYUTTpQ@tqH$etfCKQ*m8_ zYx80)!Bzp2R^cT^VA8)+A@10FlwfW$#I;l<3C?weW}q##+TpCi90LkGm}}jK8Gmes zOg>Ch%;^-AKZB~(^hC$GT#oUnkwn^&mta*?fz@`Pv3=3%s;3n~eUkVWEtS zsF+Kq0zk9JYt};sKxhl~Fn1(goXE8V_0pbPbRdZ*S39aGqgzN~UJm4BP!Il5b__?a ztRLwZYg={vhlMg{j-m7mIAd0lc;=3Kvtwitm2u$&OikkiQ9dUK{o`#A<(FwVpW($66_Nwbyh0)Br9R}RXUqr_wWI>j+zu4=%o!GchI(F$#OC5&v!0i^ zu)m7`z|h=_5fznFLA^u<8+fP4ocr>&RIiG1${+{z%qQSjJ?KA4QcBvghaNPTX_tv8 zM;aFnNaZdGco`iLHt;-ndC>>zVQCZbAEE^{?p}h0Lm3yo1E~O0PfkU6R=pEOcA?58 zxcCnmG;bVo*67&0Zo;2|V2cY*&27d;Js*P&5e7-Ug@gFKCatDJidnL|VYgdhJz8n(lm}TGzJoGGV0ZU1} znJwc#R73=*Dz+>-X{Oe9oW4qT3q0)EBwlX5p2W4_^%5jIq$F^KD)oYTayLtUOQp83 z0P^*nI)ht42;C%ug%X=}5&x0@kl7BZsMdGdJSpRXp-VwLq-b=UIZ}H956c~&vL^oU5-i8{m>9Lf z1?%i)lAAANHV7hEmIe$wga@Ky1o$d5n&dt6WyK~SMgGmk07SaF`3&Z(k`t(BK4{gz z3-GxIjc4BWYUKa0aZ>6BAc8&BaZ7ZI;S`-Ns_&xhnoc zq=HSouE?DQbp}by*MCLF%1OccbG180fFzdp9`$gefYP;OaSKT>#VeW%k5 zC9$uvCs^#jQN({<3d2-GLK*rd8Prn=D14~VyrI|>1U4-N!M7Bu(-s&10nofZ${Pa^ z`7712`5I`W9w;l{pCSy9g22ttT@2o~hmOmwaJ>nE^_$y+ydvshr{8Q06`K1dq8<=M zJ-nl!S+Uekt+=63(Ro)kZAPemXmhm6HnviL0MNqCK zG2gsx5=6`^>;r;e>|7`1sbdP-a!7gsoRoM-IS097VOyTll_k-!c@d63L-NYpvgFTz zXRbr@XGEEjTxR{R!s-;JsU*SHPD^6GC*A~v_SLk*`HfslJlsC1pOyJP#HVU~r@f*e z14-Zun_7V5GB4b6GE`4*-y`!$xn{i{f?J_}#Bh4MjUAR+-#vU{y_UoVqo~(d-_aYk z<>tF)&5n@{AVEm~PW>2TOtPkQ$g=oS3)lmi%QFH{?HLe*nS*vw&%7}2o|*AS$L1op zdr1F9$L5RU{22h%RHQVJTHon_U1<+o6k@$RqHz~W1=nUsdGh4PxG38rB$PURFp@l$ds7B zG*MNg|49*lRG!N^6{Mt;S|J=VzfIDphgholjOz8&`i@9Xu2`su4PUb{@R0CtK0`h8 z4HQlq_3%fHbiHyo>-Y~9Ra^%^^SWIV(90!h)H9bYMLld?Rmb9>68eY0=962zF#ydw z^ZXg=nU6yAXQ+pR-DW4)IpxwmsGhVBs47o-%4OmW3}J9shRj#SMaP+1-#xq7efee`%5`0})*#b7Cv55a*gPC6KaLOztLHMWA=_&FMP3dTBr*1?*VDtSu zqb(Qzp<=Eh8pIk(62y~gjFGvOLqh34Eioo?bLf!&%gt*kV(f4X-mHjv=KiUyr`C74 zIC%;ViLpNuc=&(}hQ#vt#lCf?yBpi(Ih)sCG594#}J#>Z#igsAt}CZgz~r z2dzPKb=2tJt$>(cnL1cZk;qp=f&@sVLKofQrj!~Id{t3*oU-M;%>Tgyw2U47Q8W^y zvJb3^=7k6T3=-qGT-3u#BKL(MRdOdFEmwCj;X*Z{YH0R8KAM z_<}IRYQ}$b52j^(N6n^msAoP{!aG6ufs;jDPp*`-1>ru$&ObC&>pNmwmGeP1UF_e< z!?i3rskt-VAc(&aqptd;X%A^AaL&42iLMlJy{nct-W~_8mJrpS+)jZO#{=NoEyM=H3dJQ6O~sr4PJXO*#VcW6;ht?#(PtX*|x{sIOU4wD!!=NK*2 z@gEpgx6pODGTGcQwHg;%=X=lFZ zRs$M5m2ie7=!>q{(jfkpfVp{XN&v#0iF&wuBJG3j$jbE{t0K-!nr%@JCqnjF75~8q z)p;#d)ayI)3(ESD*3*LIaw(@9qv9Fze~?#?9pX+g?g*E$1}aHZwgbs4^Oszj8pLG^ zxt3s&4FVDWfuZ^2GH;BI&9^Z5Gt^5|dLHqIbl<3_k{IL_suj@1e^gPe@Az|K^6n<; zsk?rtgcC@mnM|eU5mURk-_5X315ll0!xoT~6@VG8MX4b{5>$4Kdidj|eSg>8YniZF zWChHhh-xSj2L=)Xi>TgW;Ix>pTZDnFFHZW4ryuN2!A5WX;#I5G4&a_Ay*n^4|EQJc nufoT;VU;N1#eqxLp1o%6x;4wr@5dz$q?Y<;&iwcZNA&+M+^A*M diff --git a/Documentation/WarpSE.4410A-Schematic.pdf b/Documentation/WarpSE.4410A-Schematic.pdf index 0af31a53e9c5308c7b16bdfc91835d3dee92123d..10e5db9c08d2d74770a025479b29a5a7a7b8e4d5 100644 GIT binary patch delta 886626 zcmce;XH*kk*gYymPzfR+y@Q}4C3NY%NRgJ%TR?&oQ9yc$h=e9Jl+b$%AW{_&5JM-@ zdslkzz1{KmzW=+{z2EMayVm5)WSGpHOrG-%4@Y+m9P-zCO%G$3`kH- zeEznc0M@LX;x%k~v;ED-q%(e*j_Na4zYS(sFwFeIC zl1ztL@g97OM9|+ti{-t_q}iC_8+Wvl5G52tl688N9C(jA!PbgAyv{bp14pGWPcZzT ze#GrnWOC7c7xDZwI!yI*V(eY&(CcAcJl&&=jJ8b=doNydk_a|)3d-R{kq9=~Xx`y56E4gz ze^C_X`4j^RnOxMp*Sh8uX$&>jMtlE;h*AmZG6^^OBUCIe_b+1cg>$vqN->l44z97V9EtU72E@M&hhnA2bX*w6Z*5&*9eElX zIwL>)o;4jT>ygm~h(Oy)OBD1Y{LAC_jut$X#KO_AA!k}H%mcITWGM7G*$Ymez~rL1 zqsMW@S$^{PiBCe3?LH~dISCMFH1~~*}z&qzA!i37@9E8u8CE0PZM1h z(uCB#dr7H#TewT&yL2BJsO%&I3L3W2e?{wsZ`N1jQ0tX)-cbaf zcc(K|a{jHWc4WO_CA;@HL?Vc|a1*pUanNac#hlul7sFEZ=Ssf;ad5$Mv%DjVkV2V7 z>13X`xXJpTb@hnxvwTaMIz7N1UsrGk98SqzUQ22w?zq1>?9fU~+TwJ2`!fEmZyav2 z@3A1NZbQCTuvsvk*WBHZ9uswXDmBI*DCu}U*Mb@(w>*{!`JP_9ZU5`ByWY23$NW!F zEmiB1qlF!3yzPQsqeA!rVQo?+2m##$iTqa?%Kt`&i21B_XkG2t+VjbiePX4jf*l@O zsH!;7Tiwu)$7gltZViYh;?=Twu`kAgGnh@13W4&pt23>il zCd4$IDi0?Lf*8F65kzRu1l)D{%BJQk-qTazHYrIUYh}PdB-2(Z3PS9MKwDYMyV$Et zBkoY8mOT3MDk#s<4b!Vc<+u{Je;~U=%WVyHw}>Mug(IQRr-fyokzo#VWsQm4PfW*9 z>4i@VaX*=h(OSNc#8`-OqE@9@TykKzx?q+47G22?Ga8c(LcR25diJ3CD_zAq2Q=%r zjM@mtOID+8B7L$=0R)qdPYMt!OfEsoO~q*Fh{cI=MF%O6kld^rlQ-CvawfE&(zrhz zl2K}vOV|gg@eufqd(aZucMRIop5pb?!RGb#1cEN*BRZHjAcVt%9O2qUd@RM(5{H+k zk~kKuTrlUaucnzVF?uLcy7y^Qd%f>k8)K7+bHD77IDFs(w zwI^}tQB^XIz6SbopyvD~45F+x)@vRK%jxcVZ}M5mZDc+p{3|T$7rX`hH6$__7USVW zOPVV4(~kqcDUT86kt*eoV)9Jz)tgePj)xRj=c}rb+@EHNxBHikTY)Q$0ax<0aL4Cr zvpe)rmq)1mna(SJomcX=Wy^hX{oY$X4MpEqq}?6c4%Ky(_o?vmJ1hc{wVQ zT#T#3YyRDq#4B<^_>DUgakSSL{iaNv4B7&c38OYAo^T->9&Ed-GHRV%1YhGA#YVx3 zh;mLkyXQk)HI@HosWc|<33|k{86B{~U5u`1R&4QKI<$we?M`cZ=)y-Md z6Sq@HC4Acz;569@EK!*qK17YUh)>Uj{Zdp;0d>-4K&DruT)n&(`J}pFQ|R8Hn6nLj3TJ zSoFxFZI%jjEQ>m>Ii)Y;zZK_bXAY=(OGBCj z^bo&=R+*>l!;13tY-UhA?s-pp4Sx;a8Wgi5X4fN&IRz6N?a_~gpBJ7yC`QgP#7=Veq09OE1=aL5>uxE{r970|UVBm- zq$XVVMegq*JHFP8+C!tEm?lq*WL!Z+pNTB0`5`ran+a;{;fsgQ2E;`^q~x0!ny`2u z$`IK*tOwkngsDCt*5fW!)kNaSJ`GbZJ!aDqN+3}<--a~ZnW>#`qJVzc>Asxj9wB3q z+bx;9>DzfXD?h;!G}ksQw)d<@jLg`tJoV={jz?ALX}TlBQ{TKyC2}z@vftbf<<~1MJ&1(;_R! zz@r8`6a|eSLe27cb}qwLSZcJ|MC7 zR*uj@GQHc4Qspjo+fmKe7dwK8#9x#uh;f6{gWZI?)w!Few)VHsReto7g}#rp2uOaX zU6)xc@ZTq@xm)a5MX#jgwyS_ivN>UO8}?D zkoWe!Wjm=JStU{IGU1dDzO3KTV-LwP0?M*_Is}$3u*m+`b_V5fo7)o(GY5-`Prb2= z6)5sGH!I_0`4sB|M!9by*A6~uW$`bMUrsK-W}itj-E}0?sbL!~_(<_~TA*m6p6{}{ zT}hvS1u>eTyI_&2m$Y^4U0g`!MIT=e4p3KLCS3WhdY~t6PV7k7uR-pRQh2@#tZFf; zd-*Z19ai(z$4QJa*}*SJ*#;(}I~=E)GQTyJD*LLyW`W1~p}kQW*`K zmVkluqw`rv;N9YzCmnZZhSpT7Xhx+vClwIG!h7JSM1v36CQnp`;flSuS;d@t-2@5_ zVFwhk1xY=G?EY{0OhcVbU(a20du0?`4vjY7yT7|#{&8(@>Fm1vqs@BZaO!BrVx6`- z;;NO}-A>V?qWxR*%@9jd&t{)X#*yNY=hxGXxy!xgtMx*bZ(bveXZMenvXL!1n$&N}>a{p2y{k$qZRI%YP+Cwo0ieLcS2nCv?XO1j3y z52;3T*yqc0_=tO=?7q39gMOifCeuxTOM$Nqnk_tjbXo{S)E{F{(Vr2CIHZHdJf zN8>o3-)(47IWA1qEHr?yA8{*ot3dFOk$HPG^hL_?Q1O@Fm|m=j;vjNVW(23i*&&PK zKdBxW(wsN;o!)3tS4Y7r*UG&Byge|~&}|ILZzqfQ!7dtS5C51HOCJ^nFdXx3^XN!? zs)|~=frQ)GR!T#2rw(p-C7r<7kmWeLkgj<{9-e-mCd6)r*eD4lO;3v#hBBaM!|(Ws z@}_4X*7wM|9otZVN~Kr8R}DqY(bH2ML>37%aB+)23p3%wDseu(o!~uarSb-*s$90Ql6-ZukxEKXTvKTmHcj4DA_Lx1MI zHq{7tPoa2DqzLyQj;ZOw7E6L{-B=SgXX6;yobU)nc3J%Cd2gY#C~k`2CGNXJm8n>o zucjabng#-3@fIl+h?3>u3r>$9WKDV(@%wQbyZx4Que+Q^5uD4oY~|t^d8=~S+itmo zbhd^f2{7y{Pp92t>(OJ23y}U`I@d})x06SAKz|%+3Ne!cCu3|i z#J_BM)~j+5jFM^?e-y!H%in9INA0tm3@tw`Vj@1CzCd;@m+84>Zlw#}B@P&0fcw?h zO%Ned>>j)&dYxEfZs^WSI125-tUpCT^pn-XP3i@bmTXSKMx$y~A_iX>O}%kNzmVv8 zMHa*3iM5!ntkn-)z~jp4Y@qW43Sm+E!q^1)6z9)~1s=zSH|rJxrG<%I*BKED##}jf z20$-)OE8L}W|iT|jP2?CwCBf-o~=rpOCR-nH6gANGQNSv(AyKAHLf2$(!M8Shqj*- zk4_F_q0V1=m%r4-XSk1^`C=wE!pSfJx6;*8y?r(BD(=E`$&5ADEO$(n;=V0JB(WrO zj!!<0P<+Kr&H?UOe77bMMzTjksayR*C$yOdwNSW}rNS)$6q^oZ$r6FFj6%$Ll4Eyp zS;uL=-jKw4fa+Rx#-r%CxFZwG(BUOm2=Bk9FF#(VyR1q*KLA` zvx}X>t9AR) zVk!pi1sfs8P}j)AUE}mcN8cATCG*BhMIw6=EF2w1ux?NWRO3ohx~ewgDCYe@Rp<;K zX?a19=_YaEFG*zCFnPB-4l9iJ*|unG@6}NTjA@-!KhQqbJtahjeal@<{IkbhfirFd zl}BgyH8dRk#V2?XKL(LhZjfdL&-#_~igr9s+5K_q252xcxD~vMSB#!ne_q+CN!{p7 z1Bq-}PoOQ*n>5nHJI(SSwPnUj<(yHK9uaJjub6*(>)ZA1CHkt0M~SYaKeslUQWD4B z1bX`5AK3hPlD67AZ@j1ok8uv5u9(V4{D+}^CDv)46jl2s^6MN%A!png*P)V=3K~X| zar_EXe==G%N9)=b+8~zV-0}3kfyC5n=z?9q(wX)|Bj!MbmxO69XUxb;Uw zoEQff>-OmZ>gFdv-IV@9%GVbg2@JE4_2Qpk0`07B@GiH>kHG{HlA%8;V#qG* zkLK1!dIF9;eE8IfXZqK?>g25{&Iojo!h7Xlx+1U!K%p27k*3;nov;RaK2{YXkc|tU zkT%mE?S0*z8YD;Q?|gJm`S9qG&OA>Erfio-h*I~HHJpoQ$fn|K3%y1@wR{!Yav|UJ zqsd;Q)}f~A^JGC8UO-mQBNnpudcLEpj>AjrPQXdwPNn|F9e0CufPK1@Msys0+ImgX zbx7&GetdrJLaV(-rx{%gqY{$dFOX&+`=RT&HDoBQEZ?S%{H>9TllPdtc0b!gb8?~At?h(h{rfip5~Wrd-D<-vx* zfN-CpwTB`z^+ZpI>JA8rK^#1fETE|Nu-LN|8>Pp_Tl!vc_db2ADg7@0O%oG^bXtfA zPS|e1Kyr|%XM%M%?|SJ01SR){R#b*Ht~m_iI9AiukoX=q*bb0U&nWZQ3skS(T?=AQ zf+`1_TYskvH_z!t3Trl#0W(>E<0l^trRK2_j^_)VG+3QrW;^64qJISkUYgg&_LCG@ zdj#$DvkP6pyNc~pFay>P5+ZE1b>3`qx!7>!|8l)D-c?xrnMJ;FLRmST(-LW@evRB3NYDz^id0D ztr6uc7gvVOXA3NdlS0|6w>Ou2v?8NepW_{?gRvXLVF%)J1#wz%?@=ZeU&c(tU zIa8hvq9+h8Z9%}UtMX;+aV0WY>DkJC?d`pL0=ipA<+auJ5Mm|k+>%b(#W-6%X;F4A zj9H;z2BUP4ihHXL^i{cR3B6*3G5h1%@o`P>&Ou7~Zb>|{Pmp8#NIEZ}yt-kMu*FWk zJ;5i4nCX;=S7FITQRh@^Fa8wF!jasEBEBj}czWUMUIkaz3x~~u2 zih)z@eFiR!Yw{08_KG5>Zfi%}WHKY~OcD1=n79<8TsUQ0NbQx@8k~ze*=9ao2vy|l zxD!F09L7!ec3V|E-#;baLH0O(ii&*;5>Zz3KtE8)y6*i?eP3Z!O^9#Yq5PQ$P~g)e zXpz({8c10800FL_VDYiFnAd$?LDcEHXIxuq5WJcXcYJQGFVBjO!F+n(RJq&s)dkFc znstA$FD|6pMI_rR3#Wi}we#~3tAVvJ-#@mtr)+a{7Vx}0aqptVADp?HpPF21GOhot zIK>&@$dLvVE8QxO&|FZ)Gb?T84lWKvp#M-pwB1dK{nH*b{LCPwZLoIo#cTFTZa&84 z$e2)t<@!!BWGwfRcg7Q;8iQZgdYvX5XzM4D$gb2LKh_d-7`NKzDCuCB*lA5o+UCYY z3im)goYv%%i6d+~s{;>NNxpDIF!CGAYg}*I=Lcn+{ zA&O4*sK!F>)m9viQI9ZVof_JoCtZ*32kQoxBrrzaB}M5=^XdN$Ey8zAykqjwVi2q; zays#qVV24j2i8sZkN?1JUFq?xeHrl;V5Rfhw$MKCN^Zv~lX9{EDlKCR$o#LF`95EN4>mA^_lRnaNCjK`5-spslGM zb~0ONhTkfd?g!iGRooIz!XNA5Bb)FJt5joNCNf;x2R61JY2vpM7Xv|c3P6ex@gCM_ zpF@HwhFLoQZq56McTWr7d;_5p^xk|);}N8UUP#Cl+W|6G5V@xzxgwQM0-Z@KqcyU) zV;YXkKyR@Fw=Sp2?B%gYU?G?IU&g><2e0+%jYaPBv`{lY77tSn0IprMe*iLN(WD^} zjs}oEx5M0mL%CU1L$f$L$*|y&7#3tU@$i(|aK!lz;+(-vq3nmR#^H@@=g`m2Lh42W z_ZTxnVy1HQkLQ;Ql)QgNib-4R|ALR*(oWXv45w-Iuiy_dd1jhko#i}GxXP5h-tH-H zx~NY>uY2#QN@U#3mBn^xvq^R@$;e)AG+*wgT3(fZlaXnKi2d5^3(8G%a+S@qAj@Qq z>)R{lM#wZ?Jk^8-nJE~#uT}NZL%sqsf%#E)qWW=_V@xRfSpVf&V<3eU3a7W zR}l2*R{Km5klmE)scXk+3x0Ike@HuS?dKm3KcUdln2GVt{f!XnPb0oJ zgrkdk*BgZ;>AA9Q$+F37h)3BzPlRFIdL1HYeQvo$Cwo<`2*lH1l@dgsGUyzGfXe+` zh$qED!Bx>YBlY*3TZ2)yNISTO&^`K2f!C-N`{}X~m$`4O>QoRnJf&*VL@Kx;aS`#O z>v|JYRH(Xz5*``i)39*Tn>vOd%vERD`cs@Po*i3ii3hCdk6v|zOdin63}@Ud?kM

MY zw$`fSE;)Iejt6yj%cLCap|!ebn>2hS%>9&(c-!=%L@#HoLRrC=sYSVpIt=se z(di@jx2hYH6a(s>-DOBZEQwX@L?q&y~=Q_wu1`6M9?hn9FVIBwufQi|m_I@$o- zeyySMR#E9;@9pbwL_K=PDwp!LCX?EoLXHuHMF zd{|dxURd(qQfzJuZQwJEv%9(X1|+0=bWH^uiuuZ9i=<{NB*hLZ77J)LX4z^Z=Dui( zwYgY~vt*X>2Fj#{a%Vl}a_zJGn4<+I{QN2t5pr6MxSC>FZdML;>}dACsS7=AsS9Ok z_T*#l-4{}sCSTxzgPWoT`UI8GW3O#Q4S--}mu5A6#l>B`quTl;mhST^he{ewX+&j+ zJl7Cj^h*s5q>G{yx&mg7_wd!bk%zHas^!6|GmJWBIJ`iIMQ$7(UZ8hs=t94YEXB;F z+Iv(a-y_rn-+dBXw366=yUcK?5I!cTv9|Gn@10mlF?y0s1XzyXJgfGoxD|F*h* zM?V5SWFPQo@N?*y@&QNevg%*EBY_p-3$*3s;WKkhe%C_Bj9%q~_w`TLhqQDv3%n)l zCxeXK{YcrOC<`*eirD+ye3G*`Q*v05PYb@FXq&EbHl(ukH!T#Ygb=!2^Fh3VL44tQ zeV}^D!ys7EuVO#=YjQUv@CEB7S-(A#d|tP2lp3i|I@Z2Zu*TGNmr3WQ#Wkip6v*W5bl8Tscn!hniN?z9o(@dPZ7hu7u{q7 zH*|xB@()VB7{td)qK`$jBQWw`g4KsCI_lG0A4!y}tcik#^46MExZU}zPZ^iLqi0S% zW1Qho1SdJQ^xdwl&E^!Yw`F~sAJ!Q~J}o0cfj^hnt$m)fSU?=`Sbx*NmaLf=eQwlT zW3*VeC0}`g4s(d#5TE*($L%v6xs?mcS>O36~OAXayAXv z6P>NP@GCcEDAq^1mr3W$1aLO^*^4?MaF7a?n9!U^=B`}eV|7@~GMEfU3&bW2hGg!K zqp7nKwXL^zAdIa*JXbaSSoPnz18~k>620dyvfOpPd+2*k1gvH{$#jP;Hvoc}Cpd0$d;XpTO z{?i`!Ow|EA#mr|<4@D{y^t?t-8@_ub8kX_=I_bN9zYk3%e-4XcO+OHe|Ea+FRVP`f zxrmUOJ8-E=-RfHB?&Xwc{7;LxecK)bWa4iy8v46mg`NnD`$7B24sGci>tq4??pDna z{e$*L5N53C2l~#f2lfD7f-IR0Dv|ba+Be7wy50MbM zE%y-g)FMNHfb)u`-2akL`|8R-?o%?AMzds7gvquDpecE z1_T}{;Fi@{46BrJTd0VL#i@!iW;@@)$@JjY?!7q&Lf*wLWDFbC02O4=mD+P?g8@W@_&<m6zo?Z_UY=#to~y4Eh|+3$>9=Y`b!;xUlLE*%>CQ7W}@E=4Odls#(AZ3qk(i zgsT`bqA;@&Tj%-p<;K(5ot0me3GOF(mK{g>Pn~shKe{dKMd=T;!Ad zQ=}bdm9QPPzzRqEnAsq3An5n&XduzoxdJ;jAEUD$zm60TVA^oG1`l~tRK6bnEc;}u zN@_KH)}rsVJGg@3=Dsh~w6@#DgrBu8{mO8t`fFl{Y@x&O3lloc&Gu$fX$?cV6VVU< zw?E}CU0PeMNf@*;+)d2Uyn>n{P$XYc1s`{4+N(Hkr9ma6Ip7r1tGMiwEiJ3s^)vYu zkoAB@Olqe0k!;Pwi=A{Irvm_8rl0RzRW0v*iSA>$Joi5!?pSKNu#DKbPD<5iqMp0r zKbg5P@jGdr_PpuwKWWB!-Z<{f+_<6wL>?n9FY!(>(TQET$hFsuQtE{8+x)T!@OY?A zr@j&dwn9cEuMu!<1=g&{l%)mD$?(n2mid0Ct|~}Mm$iz(y%+8)wj-L;{NaG5P>O+g+OYV_IUorHDo*2>}qI zCCq&0eIE!%4b38;w7Y3dv{8B%zF8mKPd5+xjfQMj?iAWznwv@Wlo(KZpY%CH{5R`b z#)WE=ImJ%=2c}Pyt7evIe*0SrpZNPL%;noj`&kW4_GNBwY-N-%vm7hkRh1;vo2~9V zy?T1!LL|qs0ur4$g+{ASA8uEs3KQPYDlcH>eN2IK_Rp*r zc^x2Tjl}poH4?zI_y6^9I_I%QpTFsGOMWV}OX!_I=am3C8qFxIW-c^y;FgBP8kM6g zO!^eg^vN8bwIAT}R^GfFy7_|0TzXtHcgn~${k-%{TYF{pu9dh*^93vF8u$iw6m9P%|XZD@;F?V9ZR{5Owo5T1QkNzFId9hrbO<4Dj( z_IDQH(O;jE5T(Cv4*Qy~LcS3o6?>mb9{coN;-!zWB53b1`bwLFya3KXR3RHSHb8u1dn>Pukb9=3eH!sL;$v?>!K65?37pO_3tG8#|=0tcW-jzLP zwJ9W?0fFRxqKYi8dEQ55`>_~FVGYzAP@MBFKyIx(U~-KuGbA`Vio|5BzumyBWo*{)G)1`DnO_)&qNy$7n~} z0#vfkfJ%1W7x>Lrp#aQ0qeD)(5}QXHri>`VL_BwB0ZnzUmpda@0NHH#{jf4qEzjQee%+ zP1hdN3{o7~^bXAuS?wUj#w%t5w~&qJ2e@agCRCq~rqE2D2;V6dh^lR1atm{)<{lzZ z(+Qk?g&YOjp<#$_fu!V_FCYof`A-6i~3uI&Q^DO{Dz~9SI{fq zka5SdGiUvyrm;ux2i`1>~tR0P3-UK{m3iLi#*6GCSu@( zNxisAuN`PAs+stU6U^r+;og7!>8^~bsd0~z$fUU+MFnDN0wJO`YmGkgT6|F?Aar7$ z2!+Nx$AO7q>N(Ut6fv02hT?|b@fduD@pZV@IhJ0+d@BA!|6PN-ezmkA-P}Mk}5`^ zu|UWhnV_?Yb=;G`TS^mCN0Xm9UY`vV+UC&bg8;v_134u#AD5IFqbK_LHZ7tCPlH4V zBEL4DhY)` zWs`&cF-E4r1ilV{BoXizS5RmKbfxzA_0Z8g;FB_BHbGLmbC%hneatoBbUxOloHies z8>yKahj${<;7IYZ(U@r+iT5>j>Q|r0I-BMDC&jx*AV*bdorqLL_c}ZI-fD*l&)vKy zj=ASeX%B7R#Gknz{SPD2H1=)WAp0b`?AG=1W5m_by++Ggg`K4G{tMe@!o@xV1S7zx zk!a#|0(3QAql3F5q9(|+k zvK44S%7oI%FAKGF$AEDXdr&XdEvOgx4V|rwkT&cR)RZ=G1k#&%$y;M+jr*`9<9qw$ zQ;XgV?>oX`I2BogIaSYcV~;*Rre`^OVwW4!Of7_ER((An{nFn86n<_z$+*^tGPXxl zLbw_GG7;v-BJz(#B$jFZt>jniMC6x(q!0LK2MDRg2osbkA!d{i>PQV2t$w{FAJB5; zYI4NJf6E%GPKF89C_{;$sZ-Q)DY2M8fxZ+9g5Pr`zANk*FNw=qJ9EnK8vSBMxwa$WxObOoAZcV!E)rfZUmF3%Sh@3a3a~ajao3?kpuxeHi zOJva#`nLl}wOh0p{i26Ab^JJQh6WhtwfRhZWYwEU&%o^|fK#ax1o0|JI?xs{{-Obd zp8tqC5ZY&|uuuIBipE&8!t`^koqB}F`)rd#%ex;JVrfL5zvO%VGGhLD-TZUjj|+<* z7pm_>q2lB~s7YBK1lRMI!19psG0nfFQ2AY&*?)`XyEN(+7uOaS6T*(J+Z_&C_wk6y zj^AW0uK0bX5X%_4x!27{4mEozFeI26o>EUoE0SMGB!UfbaP*;?vJ@{zWYVBV?ZU+7 z@rPzDIoBr!gRh{?iSI8>TLbv~6)X!-XFJE?yndqbPVwe+m@~g4mtUz*} z`i=z>>AI!!`>F_AsE8p|AE|X>n1i2xDnl!eVuJHX1&DW@NT3INR40i+N5PC!Z3E+M?YOh7!YJ@~>goa@dg^CflyvN(m_T>|5YgL%^ESr-v z5D7RfpYau@w`t<(!WnXCV%!^4?xEtGF_!qU&<*B48^nFpkF{9=TnKODGf}LSBmJB z1vrfEUBz~~sr<$x1-LQ2=(=A3jZqh&^mk$Oi|$hxlAFf`_me)sVywPkf?H9`ipV0% zq|M0(C9LMmV?H!_vKe-AdegGp7C*JzMn+#UbA3_ECu5$#lj~i-SuvdL2@OJ6-TK;5 z=VVP`WClZfWS3%$gR~u*VK0;(pU2n2me}fr$H4ygdFnXXnF@uKE*at9Dz4Ri(($H7A!wgwYs_1Mez7pUud4qNIP4_G z6}VALo!}ax2=h=YO!g;0Gh&vbs$!4|IYz$_di>{pA@IzM)mjm3HMlN~uO`wxy08|X ziOe@`f)o+#-Qgsh^#6ikFmE*Ml;@C3NK;%UVq$A>*P;GL+h+IKBb_P`D!Z^80Z5b7 zpLKfx4qwHwh&KcV9jWh@UiaYd0Xi}RMpWOi5CO!LYinf22hJd5wxKQ%MOEcPD8Pud z8pe7Axc&mU6YgSPsqIV=0|v0v+iK9szqXqGOe#7|9PIb*zRE(9lPmW z1gRpw9Ett-R`*N&$WTRpkxtQXZN>&r-u3anqU%q{bq|~1B;FutK#_0+ic@Ey8^FFt z(q;@iyrW|Jky^ET#E^q@^)}qPVuY=qm0X$s3@wBMYo%a;V44!;c?ytA`B8KxSc*-P z@nrQ>K3lX_|EJxX-)ZQ(A~nH-p8x_Qx#>I|iei8u_1dC|juQ&aH<9ZQfRzm>5Sl9`jLg25G^$0GMlGBDk`Of3Da+z3tbr+A=y8V@|u{ek*t*lThqK)=O5!zzuK;?bh$Rk&w90#tOGjVL?XbsXOo;G&^8a1xBs zI~~z$7~%b)r>0^o)N&vfhjCEqC;}ia3o-Ec)9e`TTr-bbFFHI+h5^Z4)Q8L#0UB6$ z#b-E!Z?9PUelJKmoEwgoeC<+O+vwjnRf<=o)tee=xes4p<$~(^Ud{jyMNoCXv}|pv zd@A*vDh5i$5@Q{fvu$7NUO<5Km5cBYASXL)(x3u zS^$0Kw+SBJ!l1LoTCB%a_@XaKQd5g7N@W~B(^a&BOSoAmO}MxzI|Rtv&~2Xve}SNA z$--pqemlp=Z-A~|hXpl$2x!Koh53*{kTWrqXh}xTSvkSQs7S#Cxx2y$O$hw5SLxyP zmBRm`E#N#Ggr>ONnc2yY<1fuMUHf3KEybg@F5W8m(GQ+V9iJWs`*+MHR_A-G9#nk0 zt>R9(Z1n!HTA@;n1RAvZi=t=IxN;dH;dZBPr$VFUT90G6UT zHU_X%UA!&Y6=6>C3V@U%neNrW{;&2zXa3^S&phivU4jsEk`ZA0J!Isz zx&7?;)uqL_o9!|!CkYf7nh}{4)8x*{MfErDVVSnj5dfim=bRSQ`yK3`n5}F+Zbw&O z@$YIGKYswv2EKX9up%O~*T@r|8|Q#7dc|h+5n5kIw?+Tm%C4V=&jDY}lI(^hS2BJ;YUi&rrk}+EMZ@b&MrTheEPI%8#xXH4d-1az@Vzr_ zFy@6*C>EZVx-blSm~D;BTRa5vQiKNu4_!?u#>05>AKe&d>*Ew_3>_J`(x0N3ZUwSm z#$K;+*Yv1Oa?vmS3>Y1t3jf@aRYLSrOxpqq4ZX9Q07x4A){X6B#t-U@G*2Xs@jG=L zJ|-j8fwYS>AAj3)Qe|S;ujlHGfM;^{y}^f~#-)Uq7dlJb`tRx}rl)>Cmft2SKJhnx z2g%aEaX)E|_WJh(adk?^vS0-d(5eprE|7PASf4e#7pSxUt=Hk*EF@RA1;X=Nr z^4V)D(ven!*5D#9ppgB@3`kdddd(-)fAi&^us`C$Oz#YTxXw&2nk03lWnkbEc-=6Q z00AOw?p8Oo>bf1{*iOuX=Oduj`d4Z#0=oH@$Eu>KY>%1<(Ddgx>sA3dK9)o1kPw50 zIotRdt1^boR?HRmB4R}a!YU`FEBWHo>~Mi?)PRUr&; zX#nihlfPGu)B&S$fRIE29b9a2oiUS~EjVfbr057%7XtFa0@tHeJW0K}BEQ60=A3Z4 z+APMFJ8 z@+0u$W^O;aVE>*H z@?-25J5X@0qX^B<^8#G&PH3cR`O@!zjzJ~di1f3~uTc{)X^iMkS0=>hOQzA6=J99B zz=~<~`UTUdOTZ88dDpkO%hR{BBeqP1Ta{5mp2i*a4W$c*=A$l?d=VKy8RVCAdo88) z|FM6A?Mn~6W~!Bez|iuv5o58Kd7`(f_3Hso<05)$|D<5*3oR_*SR>*{*r+5@jA-hi z2kAfB0ZvhEQ0=#OtNf*crCI2Uj?o^9$eggQ&>!h(KI^9hVNZOx-LEGEw^&&O zS1NfaN4#WdsRR|Nq70I=m5XeVu=VVAdZfkA0coLs+U)Sk%lGoP&KomXHR^`mYK7R5 zeoWu5O&@2;Lrhf4J$E@8HEuYef4J)w)9L0H`LgOulnEM6KcWxhrDK_Yam@((C#(wl z2m`V}bKf-D z0OrR;3CA=6S0k3^@qKq>dpyznXD2(U{Nmv#7cF)azt$_@^$Zb_$sbtHq-wl>z&U?G z9!e!k`4OX}ZI_U)W=AD-^}zKZ>8sdP$i)Fe!A-KfmBFq)zR-UN4G<;;vGEJURPfc? z=g$@Y%~LK%ih(f|Ne@!UCtu=HAHK4u_nrYKo36iISP5GHmMp+>7+-eAP`{us@wV4$Ke45P6IO9w6WfYDxTR6-D5u0BD{2zS}s@MJza@6eYS zcr7PbbP(P7J)8UgBaOVSjL-lHXo{$C;+zc!EuQ&f-8=+3Utrw!c*eqU%@NLcr|Ry! z5X1x0`z(rwu0|2Acq6^;c4p4EG4f9+*og$FiqY~v@;H&90cE)qHsho__?+zk&X=^I zHE9jwF=U2Iu#o}CH46|qRdtuT6}cm(yZAi!**z)OWfGIucZn6Jb#D9lAzL=&H@E<^5OH6vrDjy~1oNK!LI8)a3FDQ~h=s|8; zNcu90t_yd?frSOcKDSBg&`{p%E*@LuyxhS-@H`AsT3a z^NvlO2AjHxTaon-eH&;bq_BMv;B5hB`u;;y@&@8EA za#8#5sv-bKAAB+W=MuZhfV@Tn%sS_H`BC8C7)*}LOXnwM-}4LQ_x)$5zfjua=A+zA9YE8zM&-@^1DbU|@AVNWR)%I@*=>>`bY2}_{D6IRb z6&sLNoI@tIy88`#s6IIZfcvWJZV)W2WjBxx^OfKqPbFjrMD@D}J6a!hzHWo}w$J`+ zNq7aZ)9u4T*tnn!!0~pkJ2YDv#|DQqfps1qo18hU5NACm%GQ4tx^@@8@8V|{8P36q zHS^Q%3nd7-);xIo$2LJjB$59z4Jn=&J-%}$)$>o$x9_tx^P{ZvXiye>eP)biog3kia)xz5BTaooz_N zvLGko8q`fFfP14UEyN>!idTlXytBQaXXIyg4_N)AYQQbJ^}SXF>^VA1=ks#@@BS~& z-a0O-;C~la6cGWXq+3C{TUt70>7`scMM=Dg=U&-2WjZzfY$nG(XUX{nk4TBAM#Qx+cwk9DCIp9QNF zXJml9Ys(nwi9+kOI)I=R&%8_<^6XK#e)+Bxrh}|`_U>KFm_an#Q^A6{q!~Z@BCvy% zQ>VWI1zi(QAg#u}pbc+~)^!HG&C?aexfSqt(kTjn{);6q=)XK21N|4=hJP|66kgq3 zl2Ugn$&0Pnmle~e0|j9!N?PZ!F4gzUnL`pom3J|LB`Q$6grNTMN~8mvv%CWP*eX8g zWP#D~{fl&R&!-?o(}Oqg{o2;zNA9%{jYLp3t9+;|US+{%_v0PDbvlNybcu%vxI_~= z{ltM(vIPv0H4rCop0+NCt7VVxOVq0Uiq46wSsbp~J`(r-k5bCByxt~bw{Abp^Z{N8 zKqugL48*V$nKh9fqAFc@ou^7U^B6fZ2(s&yM&ePkMc{1FB^PnWQmoW&(OEU=&%v%)0V8846@_OA_gX(>NzQq^!ZD`!7S6x z=^hUTp=Oo&6@%Qvj@NPo7(B?}gS&*_fPn=nc!{a;Upf6n=<7a>N;|bYJ2ohmm)orT zjFu=oG0O&9?>mw?5ggbH(C&#sU_!0-xy~Hy6CNO;MW)FOYF}DFI{nA#Ur+_)P3EM+ zD^&-~<-Nj{_j*(TZH}SO(~o%@(D-8y3txbK0odr!4sQz(x#n$EeXO*@L7c#4MR+p4@7QBGC4ky329#?d9IkzS+AklPm?aq(g1YAkHEb!c{<@G_}HKWr(USU*D zA#a^gH|yDRd`UUc#(1)h*RP=<+E~p4P9-B`9SufY&2D(Ko_ynq`SwDZ$VlX2>ssIE zrOa@GrNwtp^v7w(5`2Q7!KVy_v=>G^Al>%KhzFEpu(eiSUATrhI+hH$%9MawI4RTJ zRJJj|emWpK7g?(Cx`A1wj#&f(r()q0Y++W8B4+H?_#A6rdm-$6`2l`aBYEC;-pKK0 z%&E$}9<(Pa#4*6iX{ucM+=kJeR z9D!Y37YYZNlPoj)MM@LSa*Dc$TnQsvt$18oN)q^hG~vNR6~nSRP5pY!GF#u# zGy=+?qKq;U^~bK>CeOUZ*soD~?LX(AZyW{{T7x4EnM)v0|Hdq^w6>>}J5dscKkKKz zj`109b4W}W`GD2mDB=%2TgfY#-KnOk2CRn);l4^&ZAu4brz%8A`mDNd)K-(Lo z{qy-u1`rQ~_~a$5gXf<=`R9#z3^r$J$!_8}-@un5M;&WyGww@D7LakP7^l9pA;rWvOFK4WvX|_ap~p_<;m`D zn=8lFIg1Yg?axgrNaBbG-539~PzFM7f9;l$lvlCf2DCP2tCpk$J-dFukY8nbQI#Mu z93vdtyTW1O$Xx=zCKr-d$yU%1fb6j&1 zM1RncOKmvr8<^m~$+FPlN$BH3;gtc`KX<)AuWcl2U?kJ@U3lHt&t9Cj0wHzVZ*(Rv zP37Y|49(;b_h|?Js~5`ziVuzaGd7_MI~DOX!yluskKK!omn=?o<9E1>9&rP$S`tep zjXO(N{l0GWKWY!&x|}XLhI<_ddT`BG*OEH@|2duees`4`B-T5dKBNE*q)vu&;Wc$$ z2i1Si|Bt6@kNMt!eB#dkwZi}V^8ZKa5wP}J`d9jWjgOY#qF!bd6Hxq-RqU^2lGLi^ zJ-f&xF}UNq%T}1Uk)-PoLq4}zbIxbSgD$`9&+rIOCkq^6q)s0hQ+WxRL@yL~e&PoC z@%sRxJ-;72pFSMNg0Q(8S?ODlc4Z3!cLE4zonsor%2rzuuWag^{ z2(#|DFE3Be+x@o98#vy@u=@k{QR_8PcZTdwE=Eb;1;mIF$a9Q_6Xg79^yhxmwPLqr zMVZ$z1hds`m&8AQr}BcJ77-V<7wXC!eJxkx`g2s`pb|X&v-Ia^c%D)Mi&P>+E)HfQ zf>Zf&jfqpeU3#LdHm=!(Bd*Sb2tcKU616jUKj!p)9KJ77yD!4tw)VSity76xf4AF^ z>)%P)!;Ao&i~vas$@;iAJ)o-*#wiuM<@s8mN7)Van~`0n|2JpGq*l^rsl32kCGs4F z3k9+GUhbwlp@$>f+OUxx&`k#;t!QZw9K?7KSu&up*ZEJr{|yi_JRxsGKHCE)Ix_ub z4>>efW?PqMT79=ACiL5%r;^bGev2W`r?asLCgB02{BH2n)1Q-&PVlV&B0Z+3m^95C zn}0i9&q6az5VRu`p9rv7=-&KQ#efmZ)F*<_=BB2xr*@YQY1Yq4ShBB(wbRN3%C;_K z?d|*}(sWx0K?H`z0UYj)GF*~pB)k5O~`??{iA4&WYY697O|GADc~GEl_R%&rY9U= z?Zj8&`d~QSL-fGR>8@ZJ1eOTUq^Yc#Q907!4^0c7+<3UM3-gG7PW?+exVX6%gn--R zIRz*r-y`UBpXU1j4$jow>Z-+mzL8+(P=r*0{__pwu5g2;=i|Q<8B7XU6~!oDJB6c) z;iTT*zFa4`G~f!3Ut0Yl?VvD>*kzuZXo6r{gd5!I&gJhwbOi%~^A!M|R~p~;lD_NN z+WCWSnqS=G%O3hPb$$2`cPfDkU;NZm3&G~?BvHpi@5(KfiSPFauL~4j41@BrhTnLf z!N#rO1R((7jlWAQPq(>Was4*7835%$c3NKRh0rL~eyi!)h5fcMx6kX#n35r=GfpdK z5s4eSnKxtr=KT=SiGS{}Mbw*fnY2DglpG!ue zepeDnc09C2BD82csj4mAAA87h`rFoF>M{H8HEzlVw@v%Orzx_>Rg}JuD+y)~)V5rt zb>6Gg_L*Vpy$`PhlPMWw#d^ZK@=~*$l-4!Ek}(e84{KFm;YcROQFy|;r_eSuwnu=e zn;@U>vHO0@wcUB4{qaUr-wmaLt>SVImPiWG-Z)bANz#v(ZX9Dv&-SZz`d!n+3lq^# zk5{d%`;U(~)W!LX?W29cWl!PBiNF-MI3sH7_4Die&79$HDoh4dxGCX`KDy`O*zrE?=O&w_;gk-n$p& zS21;(Bi6AKMGjgeWP>>ceb6lRJ*1Tc3M``#*0S~J9!RDFNOt7k?R2fP#4b<=6rf_) z)u-neFs7=lr>bAr%@QG3S4ojOp))7PA054}-pt-ComTGs6=qz-##Gey<9JC}6===G-LAbPNDM9- zw*^Eqc!dako3Ke*74D$+UnRrKM&Zn=|_XOO~0d^ zf{@E&Uak=~vz@rjeK^rpYom)|&B`i1)A?xxgLU71F!|Ap-O7Omm%ark|Ed<$p9(o@L@r`oX3NXRiQuy zkB2XIZ9=QE5E(mC3idOmWPq&bx1%HXD(`K>Ne$myQ{ZIGW5!{y^hqX;l%+g(_INV164^%t%B7o@65wrq(dJR?)W=7pgYD! zEq*2;gTMC)ReL_pk@y8Bi}^X@|B~iPCx<_-3mZVwKd~QFb6OYg&uUxsNr(_BVStWD z6<$=5V6SUV87wao?cXuNP)zn5bXmH>QFu;jg?B?+?WE>!lvK6Fg}`kCe!c6jn0&k;4BC&7Hvdr@Xg1!*psm;&pcJV;oP>RdGV9Z@=5&&o%a`mKLpz^8o?zL9lX@ zF-JnRaJ9@l(Qd;4IjiHScj@x8H~06L3%A`lP0JmF0^Hvb&h>O}@BU&%fC%dA*bmQ` zcvOiBHg%0eLnKZF(i;il5{rJv5wC|SUKgi4%0~;TYHQ#{cPW6HZDwlDNUbnd%G*$PkbJsq;qLCx4#14p4*W>Y({^aHX54 zyOQ5ndUtSh2PK)8qn>Hx|IAz7qA4{LCdSfw98vWsE~AR%amN#tDiqh~iNqyNdxLDs zwZzi$GRgc7?d;uyq5TrK)`nS(@&*q4zX?~h)b?8dr=xkgy6m>4v1zsh-S|Goi>=x3 zhCT)!4CmV;yk9=*x3f!iUwVXlP7&W8Q&HEPn(P2sN*|W8R$So3cdb$N#36dEPzlm$ z{9bP*og@1+-P2l_f|oL+H5#n#H8<^NQyXlB#~ zlu^eG-$Yp3pL1I3=_1m%er94?!ZYRLc@!oic@s=j@nPe#ZyK3SshBY1gpVh%5i@tv zx1mX}b&f)&9=@k48}WnjWvh9(!OD+tpDLqEb21*m4kJf=_S{?&o!aSU^@OyooTvlG zqAOU@VozXoxB((@9k>Kt&b`a$xQoodR8s@}v&b(Salf%WGysAp`C~r)h6DJpc4mBz z7*%W@DXGM`MA|leGrRXLQ19l-TCNb-Xw_3&{M|q4p{CASx(KKf@zJa4IUY@F<}A3F zak;o_Hs@*xcY^OEXYa}kuDD&JOUkOk1a)Mz)fXwP>r&+}v0uXuQ@Rv1j877zm8t7^ zBcip{#_?&)0e0Zy}dS68Oq-x5qA4UQiH6zZ>SPJ3x&>2 z{xqvjNO)s!`#vtvo)dt)e(5J(Q;YhD?U3HNyOiY~$W7f6Eor_K>6ihYV{P0=NEqi| zOGqrHKVCdN(Cl{{_QgrPWT3JCh1BDS`5|a#I2h4@m?Kw_|mzz@o_U@w z^N&Bz_B8Yl%5_K&RqVyvGSpN;z9B<&EPdr({XD}HfylUyT6T5}*|i$o?6Vmb9vn^h z@Mll?OTyPMXR)0)E%GN()>?1s!`Uw@S$@ySyGKIE43%hZ zWZ-jzJDeO>LHh20Kq6t7Tlu>oSr-*gD`i(~mI$SwfRu6U>p1wwO!d``_>B)@KP?XW zj^~ceip9SF&{^s$At>e#&q()tap{QdN-fr$D*7GBRRlIu!y)Y@F@hE|K78;-x%(5m z$cF7No6ES_>O|ORn!eRyVN1RQn<4HKT=TkB%0Bl(k=f5_&=Yr|^!XSHk$d@LvUp<^ zY$@++st zRReSJ20)*G`aB!4?QD4lsmr8_o-BFyq8zDkkn?;yLDXxOre;R5Ko-3N?@WoUV4Y|V za(WT3lV2GXurDOX%eenj`k~7ro3$mofIxnP=`d7NUM)c??nC>W9bLiG#*Z6W_)9U5 zUfrQ#X{tEzl|Pn(Fs6T%$<(lfn>8_~)Rp@x=>vAv#FpcC7JvF1m2lM5kPcd{^R<7N z^*?XtRZja*oV6{p`NeZy?ejtbdwRh(*GC_E-@?P(^Wux?%N?)9*RUbkSQFm7*9!{_H?RPq#Q`JnYOjjGr7SOy_O**-BC9HgM zl0Z-36gb$!>(usHs7*g}WA|Jl3?-88)MWW)TqpwaVyWg~!xz!)bjLU~L484#Ai&eo zK{~~h!ZmB%WODYVYV%Ter_{I3d-y8SX2S6%!DhnkMqYbeP*0`Eu6_UEZ@8ML8fXpD zI#TbY%sRwq2EWE%OJz}7ed_P*%%_QRkvxd<3p-)C|6NQSs3eTZ#exZATk;77x6#4! z>oy7^O!rFp>6A}LpxFGj_OhIh0kJ1IbYq+|D!zSPNZ+peq(8a%Y^q@W%#1L$z`?l= z-n+2;l}|Ec{uZf=;*jY^;_r~Y&Bm$CPa>=-9%9JtzSV>}J85!6o~GqMj9XR&;%h^0 zi4M9nLFIWH=Tz|W$M#Ulg2=O1c*<@JQ?CLC!)YO&?Kz#<;N=o*Y8yd-8dp+s7Vo({ zl*Tc;10D533VT)$xO!i|Mwf|g`4Im8f{liKYToVDr7T%Lu1=q}C99b`FHz8uoqgF)M zD@66Z&0ia;PB1Zu_mmm_%mj9!NxnQC@Ik_3F^?*8v}6}IjN-L3tCouGM>>kJzFg5n zu?+VNaDCKv@5`z%rQM$MI8jvR;_XNu=tKZ&`&+u zoc{mWcZgx8OU^IqTIdqQ?HlXR9 zfoOsZq|T5ZN5F3v8FtDC+kfEoVE)bUnCF6ccr?bldgeRU_?W+4HSegC zV*cjWyfZ}i=*LFpom4-Kn=!e&!U4>8a*y_a2by;<@|hLZT8@r2@ANH-*>DU6tg(0~ zs@Dma`Sw!BYVCfxIukep8h)P6va8aj)cKx_Zt;0{&hFNDBQ) z-|N{@kMNwUcF$DRZd-=7xsUd9iGzw0L(YjqM2e}4;Rk4@R5tivihFV_ukuH(xsBIc zbF8m;eKZOqDn6QXQP1NDx!4bG?wiz(!J6LXwtM|i4?(wa)bmD&cyi69l^@UJc!(B} z?z(;euPp;>{Q>?~^ZcW(z&%bi2^Kbjh5G*~q-7u+!tt;k81(wH8^Kru*8Xl%i}0Zk z>%NKw6WID$1Z=(N>%QcP@QH`yZG#iIHHt`O?K_c0{HnT7 zrbcfHI-lS<<-fC_K{t@>YiASys`@H-kfN4(=u9F26+uUrv>O zgwZ{;yuP@dr7|XaBtwoMdn`llVj=a=lD)~}RZ1k~jKkc>>PB$2?G7&gN4xc)&LER_ zoRO6-wqh=u#nPf5FPRdjBgLP3V@5i(*bE4A zWsrn1Hc8mLxjl7q{bRi>o7!RJtP=RcdhL0W!!~ie=X~kwf;h##q+ z=~YU2Q>!Kv_#&fQGh2kR=CZ*j7S8_IcCd+4RfRfhk_kt6Ax!~*}Cu)I=gYdv}s zi%n5?f=0Q5c$XpIdD%OCps^ zQ)C@WA*9&EqK>hs#fp&nVG`l7x_Voxg#l{>BA5fyIZ2n#<3+42jv68D_|4+3Y^=@q zjmo(pU+%Dp(%Y%M+pFA+>(vIMfMv5Zjpaq-01H`TK%Kg>!%5FQ14m+y7Xw7LaKr^fFwG+${?~be zQu$$T?Rmz^)>b&AhXB^0)TI#+=Obw{m*wlbe=YcTUOSmxfrnYTojyTjILKB~g)EN< zJ(;>|YV$<46fVu|YP^&i9>h}$d6s5j(0{(hAs=62&TmGFROQBZV`o%xwdW8C#zW-u z`Mx9z-K$TZSXfo*USDmt@0x_P?X`y@VB=5K+3|?-SE_h{ewI3=aDpz#QDkyOKeCDo z*<2!`9I0uszA>S|TQ&M?Vt{6RVApQd2EA*X5UM?OkVhVSo}g$ij|y^v4KimDJEbt2 zM~ye|CZtm6$aB2T>dVtq=ICY}U6{AT=iL)KUUwQ+3#J*{satsi91OKzp$$eCXtvmI zF(*+A-WhS?ALZlrKg5Bb2LQ@gq-+Qy|A%P*kZ8Z3TSD5u5b2h1Z;Soq7CY9Yf&0H8 zIBD>>sB*igl1kqiWnc|nR8cu3TVO!-3QJ)Mdxr%!;cwp*2dGj2lRNuy_j& z8yv^C7Wy8rB2R`S!fl=B1K3L~Fm_~g$EP|AZ#J)RUcj#6esWdRN1e@gJ_S>ZF4_;a zv@hy;l{F3f^f{FU!YhQsD@HQsevjs$LJhWMkHWbY*GhNz#Ao0;0h(L4CwlABnHQtY7kDauH@Zcr|MR+Yb&<1=ebU7qvep&U7)W1)q6b^R6n z(^{X66(HNM(7{g1jONqpI5XWU*Ei}ac#2dFQpzOv@+IP@6z(E~QVz-A8S_ z==_uEH{PmOxhrjsMZT0sudSp{GTDg<#}~VOb4@9j)~I+*$pq=QwM@`*Ug9ox09Q>? z_9asKyqsb?U9`#iY>#&t-mXlbK)FIh<(T?$)*fK)2RE(&Zahq*iDvFmv>5eR$sh6+ znZQe?>gKgtjdCai(|GNmeaxND+SdfTQzBoI*QxwD(?m7iDY9irsga6+a|c=`P{kv+ z`UzRIdj)n2h(!W*+#{Fv8L;ZX%i3X}dxkaGXVv@BLQMv5Ai#;x?hu#Xw$zf61y=M2 zNTTcw(v{ZpHoPn`EAg;@tKg)u%KF`|LM4rp1NiFJHB0p;+En^%=_`)I)uT3AEXcoO zVEeH|IF&bv$Yxc`^RjX(Z(nHRU(M6iVJuktf0~piH>@p(O}bdfazIV6FiNYr^ING`urO1*}a&n9lucqL)cMA)=3uA9`@OqdMmy%4*&=1)6o1##p{u+&wO~A{?;x=eL^`eO!nc+rM(#$$LJRyddCs_Vef1F0TMnp`y*)qH0>QuDOmC&A4Pn$@-Jd zP;LJQ82t_|2`FD$?Q~X4{diB^Q$8X3nvNN?B-&9w-cHo788l`kPV@tK7lK7Eqi2el zf_Q`!cYgVkRo=`iFibxP)_hdi3?qf)x{TUg?p3Un_p1k=Gd{+4M?FDF!Z0<(6DI?k zy#UOEqf^P7?v~qwt$uK~fa$dBrjjCB&z4V|r+b@Dx0*o}hMVH+^E7q~mD=QwKA($ zFP}5ljaTs6NPFJ=@oWb7B2G2>x^`J#A{q)xcn^Q^c}(QNbPA?6i>TW-3ElfOxI*9+ zoOkIDl_za|Gh+v~@Rp61@l?DcKRAmIw#J(>pbgw&@&<>aWo znU?o<{bg%3KMn?B*Yt}5v_o^mkEPq0gKiwioU=-BU-jm*wU?ZC#*pNcD4#q_fenj4 z6{;ZDMR==Gg5V(ZsTw$7Aq#LtGTjwlJLj)BC{z=Nnq$x4wA(MYDIgo*|0nANX!sCm1Ql+te%c;$;!f#K8D!W>0^z z)QJZoN2b;6VO_>F$rsGXQXJOq49ytWKov?m?Es$C|)em$)(Qgg-dU16h4mZ!1k!oe~m}g&>ecbL%W_GOK=s z^y+Dx@Wmp$o~;=r&3%mL^;jG#K6JsoeUPzb)jK#CYsjtg_HDwPzk#z$n+HYg z0u8)r_*vGIC;l;`Wvn`SM<7!&L6vf&&uYm|iioPGl+IVzzZjCAolAe(ROQ@Wplx3a zj5k(z>i_IwS7?1ftMMwo_fkh^%cNNt=kLWw8OYyUr*loAPC7ozG{oDOo-RSvDpbWF2NIr zG#FFw4u^q_;;tgT#e8f{uZ7`q3HT;Dd7b>=e_=^_V}}PxMo3_YQ>$x)jMcEk4eub= z3u?fZUp0ABws7%HmI9apht4|{2>93W=nIqKU1pc9`yAe7KFSjW_XK0{bME2vmKhez zE~qM@{sUuC4STNoi+pog6N!|{Ha@aWS4NCm2=ReiK-&u7TurbVZSGy# zw{w#IA{GhFQ^y2Fcc0We5c7Y3@a|}bV4x->#VNWxHsx6sj6q=PQ;6ZcsPXQb0Q`h2 za*wKIb9M?-RTj%4TcAkzi8T+Ne>zb6{^Y~?=x~|grbxIpi=|FMWbt?d=)7Cyjphc; z5jy%Ni$ivbKOf(J8=0*oNy zD*ir>#&R0-SEd}fJWE6wI7b>U1OFw^aM<`A1Y9$PuX~OBk@cR?`-aGb3Ff;^@!va{ z3!?)Y#XpF8?Yj!j**er#o<6810Rf_&TI2$G(Y1Qb2_?c}hdGNR&!gcaWhMoZV4R0N z8HGH1fzkwCPJ%P5YN`H`7hV3n(b>widzITCFm>s*)3tX^DbdD>r#^LBQI({r47Cy zyWU%mTt*J%Vhsz-sn66!aw{Kf_*LNKYi-s=U0MN4eloTz$xnzCH+z3LuTNpyYOc>0 zOIgB=mqfT+7?PUhJU7-20~vj3#v64doOS=l6N+2^*tSaa^sgsWoM`>y?B?W5y2?V| z=P`u#S)7RCfRsMDl8% zLkM9<-41B799amhIRCw*_Ipp3T!lY_ zWZ6@idg*);Fnl2I0}f^fb7eUBvxRGWCSiqZPCmaY>aIHr*N&!CSBPjzcWH6b4#NR& zN;66J+hg&!VkhnO2h&gXNZ%?9%)emDQf5ifpg1LW)a!9IE9cAn4jUt!CLbLsJ0Ebi z_SSA^UZFMLGK1Uh+IP z6Zsc@hkH5*zzb)Dpas^)C}aLNwtT^Z%*{U;a0}0MtZBhC1<@AJzsZ7EHxZHrVW4*l z-r{(;3xyB5cAR7NxawEXnjs#h95sSpFZ!i`q$O!{f^Jv^d8F<|01TcPpBW+IYyv>K zeyUsgRb&G8L|2NRTGZVTTTx02Cv#ZTG0j-sNB5Ybum&+H&Ri zI8aJv4j&8|$kc3S?tHIJ?m}GJ-KOt(@QvNU4p!ZCe(CJ!DS_GL-6(F3%>j*Nk+x4* zrm%&oxPQ$Xy8JxR7ztc1oz-B>x=BjV(^!2JU%kTe8M!_NYHrc!PvRc3Iduox3EfUa zLg}7HxpnZ54BYQova+!heR}=#6y%as%3h3w^nDrFcVW$P2(DQ2AFd#83&N4;&mpCG z%c#!;;l!t{5`mohor#)n_|P@ib@H^#U@dMr1b<)339}rdB@nVR>6_?`%XO#pA_4#B08=`r0?|P+2hX?Tayc zEz>iJ=EMu3|)t_FxBmkzFr*$E<&6>yaMF+rXT~JC&(X3W`_2f1w<`^ zz8vlog^<40nFHhik_Y>wh2Uba0%yTrWPn>4X!S#Y@Tu-do4#`q8!l+0G(od3T{L%hTAXih8@Ew^Z3ThtM=wv#xNvQFPG`zmi}QU8-&%IkBDmW$4soOz?%p6l0Zfp#oVd6rOrJuGEqH$tT}rbH&r!YO7T1n7UHe10qk8&N$i$LVID_D;Eck~hcn+!I) zH-4;25$ zZF+91OntzWhep<{Bxo45B-*Xs3LlZQL6$mZxqZcl?t@61$~Ps$XK+-8&hsjt1=`^p z^_i%5&uElmhHfV_%PNPZ&k|J2Q)!mE za++qq0S?uRBgI~APOB^ihkDmGAj!}my2w|tp)vwe#SD@&&=-CBo>0-g9Fm+Sen2bE zZz5>MC#hTp+unWk6~?9YSA+KIYH9G*tnLMxQ*!^oTdDLeqtE|B$bFBoVli zuML*Q@wIVQcHUrHE34EOdfnO>W!;{iN?v#M>&858?ZnNp7Y%}{4}vs z&RM`DEJ1#b;GLeJoFVQJXp`TjY=qZ zU`OefL);j_p~U_!AnF(qQ5b=+7RI+6zrO`VdE0+?D=umgL?ICrlTPn-8*mA1;*GOR z)|BS1B7shOV!~C1J!oKg$A!d$YaegM+0+X13Uvg$+?{|kJAuN3CBv(nu$JMJr2F^t z8TTMnAaqP=I7Thmq&q_6B<#vk{f{Y!2m zGI?XzZywd`gt0#M+~r{U5$=R!O1?hMsh`^SD$#C(qpl`_6P;ly_72v|b@&EG*9P+W zZz`Xg2V`wcJH4}rbgWTXAjk1gbYs9`XzR*+*&rvx2o3LqJ$#rzWnVEAw?(; zuo%#R7Oz5z*AbQwht74d`&9q@J5!yQ^UfP%pW@>cO?Sg5=f_y|=gT}HF-lOjYpFMr zVfa*nWxBZSnNdhHGQ?{(@YkVu%aOc&z0X1|>=8btB6Dwwaf{zSK+R`eHz+)<31okZ zon#4yQ5)Z0Lf(GD!%7)t;KR?RSAa6t0-A)Q0SP;4&13~-k@tz&PlYM%Hu50(azzIg98-mfx!DwRKX;AC( z9Zo(OM+pYtUqU{q#a;A5s3`Z1zQ$X9jn=aCxw3SBn0Osb9JAe%x82kEUw8!G;lVaB z?v|f6cagPFku~m?&$LQ?q_vBKVOFnb=7^|n@L*Dhb$j1PJW&Q78%Uo%+TXBHs<(?B zvh`KcQ~RkiX6-hC=2|bSryB%BU;xB0afh{ZO}sUO z!+Y7dnwc9eu;9T&qu4AM-=oCUJO_DVqF*2`<}D7sR4q_ABAC9Lf06r9(x@Infbs!{ zq6UcQ6$p7eW86*_pci5Fn%|>=?4Dmz0HaX!R=nn;>#njGEvpWFLb$%2EP1npN+1;G zpdk^(fq>%KP~vx;bX2gJt9&OhDRvyHx*+@E3{rVb)C@y~6*LIJ6!#L6v1qG_TpX04MjoHp&WdKQ=j2tD|0Tv zL0Gd(%yJ}8QKX;YN%(yby;sXxex8NwIgL}r-i!&XE0c#SdCBasUdnjcy^lFSrCdxxxE(&lTh9Rms!)0c+;kHj7pU*Y6ccjnPfPKC7{ z2l+Lf{FhKn5bB#rSu5+P8Aw@g zOdF_exLnLSa+hbJO(V5M8Z@sBC(&Bk@i^)z8$^F)VhX>9E9vWhX zYAPs8<^3RDA4Va{v{N-B@CJzq(L*YZCy zxhI03t$3Q-&W}JhUMEsFTpIxgp^d*#noeONK8~fHT=-aT65L-RTIe6!P3^9wvGrOTLTJ1S5lZyW&XbYbMA@9`EY< z5?-adC-`F4^0Iu)NdKZ@joA^Q*{3t>{m4_gX~UP7)&C-+FE6m_&JP4%I7~Rb(fxBk{_>FjZFRF zXfn7UK%6u$m)Y9$0HDQC0|ieGIv~T#!=^_6C9zPIe!zCS>LDzY$Ahe^)SAl7vIy2i zPxEZe1}lyGaXF=Fbor}$ES3U?<)+t^Cmpqd_6+oVL%bJdUuf>m9)oJ#PQ?z895p9k zOF>N5&gCBa-yjI%4ao$QKxFV~sP~-0BtgiB4RoMzhdDrM+wpOS57WGhwJ^QtB8np$ zj_&DJvL7z%_Lzj7TH+G1$bQuUiNkPxauvyEcyZdHZ?{yjzZAT1x=ZOU-4fwm2>wIl ziXudKXNp1IeDrO+<~;_+h#8A0t_D4z`O2gTCZ150RE}pK9iZmLAV5eiuBBPmfd{1rc6&^%sRcWb*M`JCH!Z zTckXJ2pInYs~KO?leX&tRk*>p=23a{?fRaZD&zupe}UWqL>Uz{^CqgvG?FI8^E#_L zXFfc4_f!{hYycw9-7Ws(=FLtXPKFc+(L#gi-Z)TFl$IxFIi*O+dtN8rf|u*XvZkBk z%VnYzZ{tY0U^UG#;U>dkS)&aK=msLQmB;%fDUC3ppix3B;gw);{L@o_a*FSc6dvml%N-{w8HDT(LuP(}o*#{T$YN zkf8awi#E1%rr0f!s zO2^VHD=i=(4brV5AT82;55Dj3_rLeK_dd_Cvz$2-J9F|A-)YUqcQiL1aU8giA(xt$ ze$k$Qtw8a%nt|kd_&PpJHXV8KkgB~e?~zY4ykin+&Q9X&LdX3KrL^9xQ1lLK{kUEHCh^jLkDb?(4-N-vP3 zE+<{Io)Z*GOldCYC<;*N$+TBrfv393=P8Jd)bV7n1mlI=*Z(SUUhtSuVpKS6$sMnX z)9rKl?wrqWL9QHGpSSAkLKkCZfry>LTXpv=+(e3w_`T_J>j`4|_TtdJ7?hw&FMRed zwjD%p&f1$DRBC8iQ0q_vB%p&~7I|wtUf#v`y8y*7xcP;kX|-w8H=^O0`U9i*Li6j@ zg5;O~t2-XxC8}#`?8isLY`Q_m{DWQpb226HTiR3kr*c3gFgU%PkE%$vb|O7d z0CdIZl|>c?z?}#I&_uhn>>YJGJp(sPL9jLd^2%f5k=#u$PzGzp*uentgM#s1R@AM^ z9qSVXK<1s%c7c1dOY<(FtULaD#Da%-uI;+XI_}RuJ4#9G4|vbiQ5ukFW}<)!Ba7|7 zg>AZsoM17jsPtC+O2QC|(^a?<++DI4EYIPvj?o8YIQ)?dRI1MxMz~|((|rB;k=LHb za$K%7{}Q4nFe%F#;HP%()zsisf8LP1?^YCWGDY9f6u;+2aJJsDUO9F^VS4sU=9uqn ztKs(v{IFfd-xON^Su=Fz>+>sny-Kz&+Hb=VyX(7XURP1;biT%7Goc816K_u+2Vt6! zfzROMrDTA?S(%XRnRWpVk)!>mSTiGOE?;jOA)l#u?KgGnT}fGM)dbedHB%*#$=_sZ z1s(PGeukLk6KyP1H$+Fy?Adq7cDvS<**76zg3MlXP#xVf<#h^B@fQdSXj==1D@)^S z^UNr6Y`}--PYs}jO0U%s!Q4AuyEmM>RzXmwMbJ~cetthI?dkdB37(NDrX18r!+{7{ zq_iD_mu6Eu$;(=}{>m)8o8oK8H(+uuj?@{4!JHRG$V*j>R%!^V!9b)Qf#FNL`1Vqy@*snJgUtpG_*z5Ov8W%gG{NSbrNrj~HmuBS$ z-fZ~dV#;4Om>KZc@F&uke|}?Ts6)P@LB?iWhj6M&O60_qZ?#j!A#vd7b;~)Ky2@8H zsVp`=xUnxQUpe=I!>GRgdWZlDc9pSwY1J>y#t*!Y&)rS-xEb*5@wH9PxEbmyaXQP# z7&I%3z?=7@yPongPYjr*))tbQZF`%yLV-H{iq7s`Mm5;mNw7r;Kiol z^z=tnEomN1%-sYz`92g`_nsf>3fGN7;1=I(*(S5y?J2;phELDf7t@i=T~_sAZ7DH|CLFKw>hm}Uh;$;S+K1K zXi((*yrVfDGR)w$w`G@5l=h|kvHg^&g}cVc7fPk?+?(R^@DvC+pArl&L9q z`(=j89)VF2^}4S@PNc(Dm8!9{XkiY@Qz+K`0SgJUe&$GAY7u_jZ;VF;>so?iUey{g z(4N~nfjW>{f^WUN5THIjd}WHXb2vM-iAOMjXkCcyVo;3ed7L$DA3M7Il?n>e0TVeF z=C@U^%t|0a3+}{j0UoEZMXA;m%qJuakYv0nPN^CgT}O4*e>@7;#APsInyr%sD_ufD zwuX{#Rg;`hdf}5nWuL*Lo;0*9umP$bjj6$hJXv?ho&wB>`x;RSOCGE8!7iHAcGw1A zSbTMCkBddD4K?lDgdiO&9nmf{D1ObZ}K_SIwM7zRlyZ1Z5hf zO900HQD6pwrncpVq@DaKc^m^^rjbCt$snHb?ud$6j3?MY5)NsBN+5Zpg8&@@)?|?# zoxZ!)L7Y2BF{+uUR?4r|_?C^ee}hkWa3i}cFupoQLa|ZqJ$|dBNxvixMtuBqc{uJorCPUm ze1%6X%6na&DrDGkvLY6N7)7wEmVho{R1v7&@4#wnW+!#+*V$88GQ6Z9i;{JzrE9A6 z$48XEN6iP|+NssvB{E*ZXC*ieeg*6ugCdG6d8vY<0?x1K{Z&VNJE&V?FqLog`veTc zi};(MBgzh^`O`^F=Q2z^T z#yyvG|MN^~Mc_g*wIL`L2YrJBM6hHIT!XMYpy5r{6;bW0iFjo}-&5C}T0@t1H&5Pz zS`b?!vHB{Z=lcpeDmec(Np9=d$F8G*W9aL%`@D(!loDYGP9+GI<7A7A6**r9KlxICg)whwOkZ2m(ZU$p5C3m` zrHrJ`eiQiBNE!SB9OZbi^oqXVMSs0DBc<$d2-jFFqnIi@e z#^}^gz%Zx22C|dXH}UE&WO?eQOcepbUVA5t%mK*~{$#1>+i~x3*(~E~9?4TZ;6<*I zcfmbt_^uf=zy+Njm5_TE2%P2`aHSH)_j2eyvj%O1E!S0@(yb8+13++b>WgyDLO8mm zf-2$u!3kunZ)SDG(ynKr=yBf8i|qUeHOq$mTZ$AV*9wQF?=&7$;ST%MQao7ayP1E% zr*~}V(T^CY())Al1WJx*dNuCO&f)K#PCYu8@xluCx=wrhkVwW>Ag-QFY$`YNI(gKg zImT_(?Gj-%W}`p7jdjb2x|64Qoo;v=BPVRtU6JE?3`o~Js;lU|IOL~1aqyi1@>25P zs=I?;i!+^I!B(i+*(Sb-H~Vi=j-_qlbQkY6uDYKF-W*1Mvov?{*2J6TYgbmNy8i#R z-Z1d)1jjUzL zbXkPY31De^q0?3$Gvwt0b7XD4JtWi-WGg}L&yqSfUdmE~i6MZv!Z$JNA!uBEwv2 zaEAW*O+1T!$32#tjg$U6nQr|)UX<2G7NxdcvO{A=*EWMPFrh%;Y8ua(LuikZ7ZDDL z5>k;Ezx@K^^8JPpWzNTB0})RosnM;3WUc_PmU=Lyw7Zy6QeR9dRVIdCq<(mzl~O@P9_ne( zxjy1#_eA)K-|4B3d+Fy0wCpSRF0)S8??UXiG#(}Y!Qw?bz*e zWlR~wxtmV8angp3DO)aXO5NGWiqyII5Pt*F1M#r$!EFVefz}wo3kqZx^YHk`>|iI# z(Vi9n%KC+Q*9aaOHx-?oQTf&Zf&I=i`IgitX6)7l4i*<3S``hdSCBj8T zVOu)hag?iap1LBM!D^i$yLB)5kQsu9GQUnIZg=d*53>5He-rnXalb!z9rGT2%*UDg zxT_t0zRb&;+V;8N*1I67n+1assW~*t;W-!sT0+TevjZ`Q;%Dm18jXvPf*?zPM&8y5 zpJ1;ies=Zyp3v_HL4Ar3a+gs1n+~*82E`~5i%$~5go^t#W}w%m`$Y+?zpfPRU&)vD zug$j~*j0(Q<`0rQx#Mk76izaHGdtZxF^KsiAp;8-k***VFz=mB(1qH61z8hz*?q|X z1FalkPccbyaXV|Idz{iPAEV2QNcF(9p2S6f7x3+f$@c$|J|pS&IpsyZFD4^*E@CPR zAhtiG3tj+Tx&5aJn{e(qrZQx2F%PX9aB=@lz0N(?YTT%3c}Um(M>=)Ep8{k=J}*{A zP8XBnl!M)o--@Y`m|_MfWe?=N-!+jHRv8fU>5p`z893^g@* zxd_$xeeMjzd-P9PPr=*ScUy95tfbr>R>Du2oy@3OE`kCV_txr~6w{4kLctK~;fcDP zG*D@#Bc_+-%qep$FRayY@bT69gg?^cH{HHumV)YgZEEeY3pHR~kM=~Q$T92hEnuM; zP83XtATwPxJg!3NIw?k`{`OT-Sk^VQShM0F0QhmGBcoTb#^$#e*>4&Y;jp+&Vs~3| zNGDIPQf0r~TV+jCUBTZ7u_3y$&p}N#<$9WQKXb}V@+9fG8a;i(vz@JAlBJw|(MMAH z|B;=M66hFVCoOU>U>?aeB@6s-+-bCvRL0lV7sYq9A&_Vd>R0CMTSK+_KR2UG?aGExP#Gj zpI?i8jk&ZUc?3*twP@jnhqL()hLPeXv%a;Ezlx_o9ZXTv;hnsBsWDYB*_x!Xizc?+ zsdLv+f5B$B78d$lE*`X$Y%M)eKetNLpQ(|4m2Rb%$OO83CaB~}XHR(EcjmIz{ZA%y z?se~TxP1Cj?r8j(^JZ%DKMRHNP3ju(Y>AYF8~VYAjwQAk-8nRynJ>%khSlj zY+!fQDaGGhs`aM4r9$KNOYdEn0Cw!1nz<;vzyed_P(W{xqtZ}P)3hSkpp0Lavyody z$zdCdVBs4XzjmqvB>9MualKv>A1x zApL^J4Yd_Spv=?tmPu2$d#D%Ci?79d#AMD%ubu8Toox|AFucai-W8+j+WY55cAElw zVNhMp*`X|S&NnACIcw0tx!yn;&A4E0*lpxFMVm+IB$3jfpHn^0!Xe!>hg_wQ_I&~$hn+i`xk$th2kE~&b&!C%c#+5CUGp9i>6@PoX&34tddgFWzdCaZXw4e9 z70RVbr}V~?44h`9&skZ}`+14LIzw+Ly(^^33yd?h_Wz?YXO?8ahLsIJVF=Z}iqi3x z^X$-Bmp1PEIM6Q3KIWt|G%W>zSA(>r1`D!tDDK<7D9HfF7)OijuT}^+_{q+`L+xw zUzY_uu5JEB5l4mVs!>!TVD90Lvuke@_-DT3Wor~(+EX0CwdA9_9JWxuF0;_tjplv# zXD~v-7F>q-f{~ulf#-Fx~Zm3H6AE(X(=)70r_+aw%; z!Q6_>3beDCjf3yxW%9(Kw=fN3OsOkb6rHm<=aM_i!2~YQHMeRl!DI$~ft^%(ZI%Ls zIJN4aH83_Hih)A>bQGxIiwF4~FRxGXJEC;D6nd3{T$tCH_9sn_Xq?6>H`;X)_t8(2 z5ZVSWJLnzPISa_I*6vC=^_2w$e%iU+3jIfWodd7@nMMD7f28Ef=F#RoeOdjyU-A!l zf1!$%D0BE

Fb2r^S_henQ)kxu2DHWWQDOI=C_U~)6IJncT@^r`RBS!@GQ{pnR=^wo%T%w zF}lC&O+#VONiVKlDtSUkTCnk4{<`N&YAV2`F!$X|W{tY~>YYfA`(-{E{jLmqO zj^`X+7(v2}4$pX<(uIvz|2IMWhzG{xc@`}gRDXOg7zyb--L(PXfv4F2O-O#f7_VQ9 z+W^}AU6MqmVzMaeIP+3&f5-XH?8i@KUZM!=4t$>62DT+6z3zX*nJgdC=rm{w0$K3| z_Jj(Ej8{^f0O2umJmkekEgipXF3@~N&q#A--;~V-EV9Y!{a0qKj?pvv(3i+^CyEQg zpOZep&p8tC zIXORYMaXi`CDoXwBoA8SeNROLgy!*6#_pAq*_W)leyU0s(iM5n@OEdT_*#FSECGVx zhUTQU3xh*BTu4+*5W3T%)5?+wKS2NvGQX4L$=9(rmB9w~Gw5KVJ4)9?1{|I5b!Fey za*I5aeoo!}Z1a<{@DCuDbL^Yp!MQaR494Bp>K>?GAneu(84PddJRf|>(Frw<5-1_E zHmSOc8u6}HkDvLaLMwW0^PIFE;Kz%?$Ibw@`X%BFNo=3mSkzIvD*Z`QwZ6Q4!Vwrn^1!nG#U z{eYOc3|Bq_5TXceP(4rM%7y_wnjbvzLy31Rq3w-XT3{`%f%Cn)M&(77R)+R%C075l zoE=<>aoP=pQiF7476UG(!pm+=ROdE<4=B_vzMra#NWam(j{}Gh%?HE$v=u z=-KlT8jA7UB`OeP#&o6o@GxdM8#sR$djjgfUUi6h77^$GoY)ajB5K*W>0t8s%LBlG z{ER@Q`b~AbtZ;A%!_+`d?X8OOLF~K&Wx^5KjsEZnn7k#(-!U@^gb}nRQR5P}8vPNl zy#4{#=F=?$%wc^92rPqM5SMcC4b4x1{Cq74;LR|%pXiAsI!Fp_OhiObFxftK-h_JnHDY#}M z=88d$xM5H=&W@y7-zz%#BU2vhQ7CZmk^{XfcP5eba(Sdkq{%JPhkwQJN0a#NJ0p?H zMbt>CS}~+tEh#jU)R-&~NCc9jmYw)>OI_70{_muYk7YEN>CUKSuvlFo6Sy3a^ju!; z(;s}+Z?w3-*lZ8Ky*`s|6wkz(n63qD8=rW~+v~3sbjVk8z0xnpVtT;oQOcMB z*ev+JEg5APclv>K`lzD46xs7-`bL3r%nYluX%&)z_rr0clXqQZMZ*X5O`d+PRigV*h)e-o}a_I5#KU9&*5jX9MZ1Wr*pto2z?M=Z_#{+bL_ru{zoQR37&R7V%v) zaNrKGB%UqrzkA_OJ-72wP}S-gev!h!JT6zb-_X|wo5*4PuDztVkC&kW=ZzL8;Ob`K zS)qJE%GzlE%_chVi)K>wlwtQh?llKK-i~^dzke4|%LGx2GVXWgmrvv5#5(Vt;D6vT zeNyamer}m^bnJ#Hm{RI0)m7U$RnL~kFJe-VPV3~OG;>nXwNvaumH9a6DNG2P&)Gx{ zGFQ?zK-Q54BG~%PU~edT5L96S6X2q#G^J4O1K%*81`Q{MS&6Fi^6J@c4e3h2HRT$r z%o$8Xo%imz;H@H3wD#|p1m++o4F5y~vNVkwu9c1&CNukM1O>J{sjuH)Y6%D^TRQny zao(^wEz>oJKi{Wx+G|SAygiHVVo$-U{1H%>kMpa9MCj|aGtnw(%V$x?%*@*xE;2t$ ziuhIF+VJrnq6kGmoF+tvZms%d$Wg+Kuc%K_edw`1)tc&8t{hcfg~A#*ICWgInCU%d zouq$%TN_7w)9yhR13!FaHL8)PV_N_#r=VOuU@%II1ItOQo~d3lptQBV6IZXaXl1rC zUIsZhDLDzC=a$8)W`LY2x~(gT39E}L-tQ5p#;0i`# z{$D2ciTkcM9WJ;*hjnf}*ELNi_91cCX*`dP6w5_dp9A)h@E+Qhql0&ms0taAIq?<$ zHyVosVS)Rb9D6g3dE-9k=~i>={%-=q8bG(bq{(}QcQUam9CCOqxp}wUgv!c!h7i|?|>&H_JbM)j57tg##3x1(zO40deMiOg&?E& z<_*t?-897d<7q3NlA9?$i_%l-A!wccvR6}Z=Is-em4PxpGFGoAy=qF$r>N;V{dVz@$Ds|-^+Xy-^Mnn zz9Ff<>GD5g6a5sXP1j!<&6ntvu3ZiAzADu(d2}gh zjrYY*qx4HUsJ{{IJQY5&tyNHjYNVA}TZ6$D_j+Dkr*SW@J!~)WnTdGK#L$x0Bv2w( zE!Rn`uSl#@JyY}<6HV|)^CtTHKS**!IybXG8g;m7-d;2AL=rAQdOZ14g2(OtUdgAF zlzz3&l$3kc8pHl6MZ-@mZWT9IgzLn_zf4uKl)UgAD(0orDRZlAj?02 zSt?4)^;IY}K9pfqTQ=usO9WTm_}6tkay;l_fV-Z}_@vjtvm&QY^}TBna;cJvOPw^l zokMzQ1>(CJ5|+%-rN9nz7fL;W6Bp(M9Szma+}!x;bHp+1>h|M_Nmo1m*A0zW_CXVL zV1u}cDqV4yDBG*=smgnt@!Be`8rQ9(Jv>{gL$oz&Ku`6Ls^8D81@p3cN4KDDwCi48 z-8pVrqK%XMmsCz|t_O=hS%8?|)qgFh@KG`03lpHXJX$8f2tr+*1kIhJD#zxYm?Vr~ zRXe4PX$<0OTN-n%^eDGK+IY`soljgDMLTwaFIjSk9@_Ai-g@h>pJQzeM+El-pKB%=KFbK5M+cW~*LWH(4qH)j8+tX;` zIJGrr1?dUF&}OTX(Rs1`Sb>=vwrR+oh5H+a@YO{F+jm$x_K9-%hO1QdWL2KM5O$VR zOtspS!PjPJBlUOqOZe%|+Gz@bQLLW~Cqh^)`=cd91{j7MQ2;Tp4d44nN-7ndNtO}& zhcm}HeMvwx&hOZ)8|^%sJyvSSs?$e64V%zSG(7~~woRXxn5m|u(I~*!rnyzKjoC$`gCAHW;PiSTJ{FZyqPn;dT68du zEYu8D=O0Us?w2gbs?NfGjVRgghhJ$&4_!ag17X);Ei|L^Yt_*A%yE@;Da;9ki5Mt80y2 zyecQDdK`;VMn)|SOlQ#5xRsMDb{JUFh=FKPar56SM0S{wUdJCNk0?D+hbqA2=>{4| z(y9WKc9E4Kfi=-J?57F3^z!SrZ19K=WRo?TKgtDN|UB*IuOY{PY$!N{Xu)8a|qTGVd`m=(woOM9Sh&ay<}FcdK(lwsV;ue z1Un5EUFL175JBf^yBY-s=k!;0yJBpB$l?6}BD0}{BXh!x9mj{CH`F97XO%e=<0Ny} z^0;NBMm#E2T+AVyT3*T00+bb-ZKaw=^XbGNZ{q1n|8MCF1||vqPxcZCk3G0}minpo zx)ra5oz4Z!AqhGdAnH9;{xx!;HF8m~yic?oC(eEWZ~6#t!f6e1|GNmZ2H}UE{s=uK zAv|s)`nwPwvrz0s{Ijd*8rX@?b)4_)60XE%-fI>ydG3un3s#3Ku)~4m0vT=U%$2j2(B;GMXdfVTRO=hX z%?Q=P3)njSb%mRb|DOxt?8s~P;X>dQu{Udq(6jy`Ksz#kq@jMeJfy9z$`NQi@CY&D zg?*9J>h8S{N%JLz)Ppzbgs5(h>s`Ij=oXyd$w+F%FVAwXVNXLoCPG9`8=kmZ_NqXZx;MUJ|sIxr)4hnN4E${r|DnZ zq$yolc5$Ha1weMZwqrF@x1Fmkj+TClPGtz_9N2T#UMwbMR7kuZeqMaxs_0^x{%AY= zKtuZ8IO)f|;(`VI)Y{=sP)(+LEAPqOmA*2GFC(8MO#HiebzktImbq$(q@#2aZjX-& z=3aB5YL8>$Y5f}*fa}X3W?OEclCbE*lfZK!@Td#S703YgN6o_TL1EB}83|triZ={3 z06v@b88A5nQk<{47G3$_QV+CE6^b7eURw&?zza4YTK#TQ452FM78^l zny*q)(2}_|UX}5-j|sF!)7;;AU*K9YfKMA*O@jY^RlY;vPL#zua7>hCFm}JFF_ayH zt?|g+O>$OHF>1P`1ttJ{Wi6=F0ZO4=5%G2&2K`JYR~$W{ko8mE7JtpZ=-uQjsTM?> zl4D1UgnqA1Frjw4$%^*mT94YIdaI;Bq3MtILEfA-=U`s0~0p*#; znZ-K38o#S3V)t0X^)Vgh4UH%Ez$B$JE2lrfemtb<0ksI)LfTjzNK!9uB*&NHi5RBR zrG1U?*--ygbV^n35|M~qcmfIH;mn-`Xv^|ekLb&F>Z`Q{)yhdXP2Ru*C8tJHD*lOB zMNm3}`a$rT_+4|*eS|Cg% z{B0{hm0g?2r zd)k61*@V``_c~ml$f`i+Ifd?w`7IIWc5R>L==Mc#;cA(AT|jy{*2>KQ(6cs8>=;DB%7T68*F5V4-pS1--$4=1?8lRs-4ET8%VmUDS&q3BG$+k zcc0Z{Y1r{4m*z54$6YQ^R#8}dAA8b`zUV0^iWa+17d(1l`IH3FX?75;Mjk7UnCkNb zt6ww!y@z^r+gF-jH%M}M-m1C}%KF^c!;6r%(g*D`f%9d=>@4Vn#wolL1^7w3@x$h5 ze`(45O=s-!`5E@v=Gyt+I3xW`dogJV=f~&!Vdn?w+kdL2w*Tz>ftD81dUdVd3KA+_ z<%7&;C!`uQ{#oNgq(0`elsPAUeix49M4$Gr$J>AU-iObC@3_SqQg_ufJ!jpij)iB^ z?6bNS->s)aR%*v_ZV}>2_JawnO5g7g1}u61z4#A5`O@V$>lVqgyt#`y82WJmrk#@a zE%_pp7No`nWOm!rX0Q){h%OZT`FfH;uG0q!>Njz3}kb171S=4|fPX9wt zgvZu@kK05`MAHg*0lkWvW}nAO)Mt>-4b7ai@7BcJbD;EAjiS2*gv*?XCZq#}KpjlE z=QCZ#PpI?jFo8j_`hKexV(^vVlLy%-Gu@XE2olCQ;(uAClu$>~Rfs|%FwJSq!O3i( zzFIV%YTd3_F=#F9!AkjqG5$skK&4m{d+2(r!mRJ=$a*aDoQt|$3E-}j=!Jgs-go!8 ztn!rn{l~gXEFTH9rp=!J&=`%V`RCil71TH0`vUd{Z8O){H+b*kZ(KQe_Bg!P?ACLV z>1*tZfA@Y{&wO;qWg%PO zYQHl00)&A2Zv-f@kI3hf>lU0oBtYc4uC|Z&wNID7l4WdOH-k9;vaOhHLKVu3xHb2D zp_(QInoF9ZCCIfk+E1is{rm~($yy5PS}GIQhy)dF zXWDE8a|{(Ydiq1PNv(r6>=*t%tK_`m*6L;l;E8gdD_prsai5ib(z2(wo;P;0?(x@ zT1`~5195xcRhw#@laljVsUnl~*B3Hc`S{*cUrv=4l5I6T0Mf7DhbUnjIxSBdyJ)a7 zVSS`sYsI{vc8j~H$hXh&9LG_6V}vGbvO#AX>?NcsqoH1z!2kr%C=k2UPh*w4dEK3i zu`(GnO`9U1ds;f=#0M~_K7c`iH$TA-+iXl~TGll_eNfwQ@)5M$gRs+aK=~Fk{W1eZ zxq3%X16r4RTG8L=Op)VDRvcOLK@`N|uZ-f%A{(Ctkd%j&i+!9nX^(qkMd0H(MdBpu zty$MzwWy6v;VRcx-=?)~P~QoWfF6!tSY+w=1AqV85 ztylKATX}yau>Asn<&Z7VblEptlqzZnOm{$&KKUrRv@uV7WpiZXdpR(J=Z%apItzdX z?i1N`8qY^+YFBb<*G=hQph3?u5^~LZpVN~<6joxSxNOALb+9{(ox-Oyd5MCPJ3hz! z7|niZSrsJS?QyG03OohZMlGfI-*fiBgoM8G1?b9MN$>I5o>Z64*c+`v-Jc(4tZeSC zWu6=m5EUF1otC}q$_VU!Xj_=)L>-Wl1M_loZMA*@`s72dR60HGx|~|sie|Q4&`RJe9npK`+8%xczqQ)QkQ5zB)vkplvv0 z5oXJXNj0z&XTdH*@?duaVyA4)gGU|6>n+A;a?s=>RS3R9Ft&Z4U{R9LkOzj79GmhZ z6`Tw8`6dQAspR?~VO3tg6ZL*{Bw|I)t9G{{GAEt4g*yw^Zc2}@P}j-+edk1b1ITF9 zDkQp_DPejI@u0$7Z^*GBKi8%qU&8}eC+ktCzcz#c*A33qs}mKpRR85-8z%@DOs>zO z-{Pl@SxW#r#p~fO$&U!C!0%{|MmTCxTl?zp6>|82y|z}3D?!o?DQ31M20zCPaTC5m zw;%t#NcND~H1K2INwl|sc!U2AM<_ax;EG^M;3s%Nqqv?`=6e1O6>BTX zYCu0?-eyz*G@0hzfOmSD-AJST;lu7S6-+A~T}59r1< zt1PYp5*{B%6)=gx(#^ZglyO2Bo*0buR2qQ2h*esXm;$uG^_cj}6AMS0SW1Ji7B6I= zS{Yf#7vh|CIejo`kxLg5@fS|Zt3Tk|q8?<3f{7{K2Vi(}QUcaJ2o1F_Wv19G_LkUTM%!7|{_z+AFx)nzb-Q8;Mn{F_r?WO29RL`b zECa~fd=a>SpN<2#ys{c5fQmLRxt z;}{YM4IeflkK-4eTmsZ3_~88~7KaPTli%e@F29>mf#46U4}J2RoL>@Ol-#mGv)|1f zwHwWN^xbt~)B$LZ;|P(X1AQ5;153=HENlgY@43CZCAQbA*FU9IL`wNXJQ`@o3nO*x z?_GOXo)eTD?Dr@T-MapI8}j9jIByH~JDZeI+*)qz+}X;Hkv&!z?c-Sv4Pw&T;%WJeuf0QqY%vrQ61|Sy1Kk~`hi{}n=s0($h zFbjR`a7FFb@~X(h^K5IbXZRx83=3D|K%IYlU#Gi$?^nyZJCV}S+4_|@5P->X2A6r= z!879V)wn*AuF+C_C5a|)Dgvt5XO2AMPttj$4xeWy0Nb-GNU6RtaR$50+|fS6Xd1+N z&ta1uB+S#H0JYdDY$SnGI5n9Z) z>``{@QEpB`PA4G)z!fDit$xO3PFt{9pM0LZ9pS(aR@LhPmD2Elip$FWfgZ|PmzC{{ zlD-S^Wa&NqRhINHtc_NJdt(5Of0LHX=T{jH^2zu;4ZOj8a9Uma8L<@Bi)#)nFay6I zi9>x7G4;*j1<_fX%WuAB-18qI2jtl1rZ2eXjHXA6iO#?IDw>-DMsd%V+Ico5KSoT= zS-dzsMUIZkLFCw`rliwTmhm}@>9aGEwM{-(2y#C@j+mIUS6gqKl7S8Qj8cA|EUTTG zefC0$KNPN+J_(>nyERHs3spbBE#f@grk=SbLVG54+}W4gUtgQFA!M=Y=MRN-nA;Izp05r>HbfGg=9)D-!mP);pQgmL z3|M_zbO~V~@VPZ#OI5>YN`e?LDwYA?fdyyRtMdZI5~vQ_V~_s{dNYT1Ln$g_X7Kz@m$<~a(gD&p(`eRbX-4Y z%vW~ZAWb-l)h@qIy52>sW6WqBKhj7+>Ih+b&8^go{Ic zn2o>#cU7``?8`w;4ypWTnD5PyhR6^o(8lYbXM|V3VHVo8nV>nH%=^#5+!km7 z4kzDX2R|y(gslpyRs_%JX5_VvmE4spjfb9(v9nW4AJgABedzGF_*uA=d1UAcxy}3V z7u>{Q8$le;$m_bD+O1$%pS0L8(pbF2H8}n~$yqSdu9xQf2p1*T^b(=D%yeq+9Irbg zpXouxNIG?}!ij1fS5-cLFQcGy-bGZU5@eR()-RMu*2$@}lnef}xz+Ht zai`_Tp8~9XjdSP_n0=&?&wXl`D*xBJV#gvmb4T@TZC7;fI?}u)Z@hZiKAzO`W%9Zo zSh9rks>Si z38<(^jdi%&%{`MJIlQiV`MlS@L_0?S?$zB9o-6>5k~GV8aU?WWU7~sz&K3C;)GoHb z_WK0id|#%atoJZ|-H~^2oVUg?>#i9HAJcsSjx}@2cJ#h3xFdynir#7`gch zVLR5dd``6;Y=o+MmB;bd=K8=~&;T5BpH@YtQHiEmHgfg)f3yoTQARr$$sl`YcpwJwd)KGTo8H{g?%y6o9{FuaGl`>`V&Q$=gX zia}_=jmQoNLOQjrB#&{0jteCMj7p##eExiKgkeZ9*%vB`t5Ki`jzKGUD6tJSQz~hfpj-j? zM2#F={|Bbv)YegUllKalb&I2q-ulWc0x?YzMwZ1NtKe;$iT*SpF4JwH?p-2aRh;w~ zUSxKQ^7e}(hxE{0p@#n28MpE~3JrCc7~Q1^(&q9Y&T~Zi8udT8-u(6nA+_wNPw z&$nz3=fp}ve;q~E8;prNp7tq5b5M1ErgYzH{U!b2)gbTlPvx2XR>iZr6iTMsYz$gX zG@3U^iFS-{7)Rn4nI!}>slZEf%&OQ!ZaewuhkSVS%Q^&tuQ!`yhLhSCy=|j#yu-3M z*xi69KEF8kSCwM*o4|D)A6Emq{NAjN}+u|=)_r+C4K#u75BVv_oHu# zR#Zjky`oBA+HL-*c2@(b2P1UxIsBIK1V;PNe*VYuphwF=bZEb6Wzf4sGr5DGt$LG+ zgA5*j_vh1Fsy66~`T)9buDeqCg#z$ShEnQxc#u8}w6Gt1>ZdOfZ4Uj|Rjk51#AGO5VoQjU<)nu65@a2llvzg0n(70-q~#9V)AT>T z{!qq%|EJGQIDhr)HY3}bL9*Bm&~I)Nl)pc>R5Owpv;R%^`uhC!AMXZy_(QpOXd`(X zeu>{|Hp!Z^Box*fRZ_qaRz7W~*ttYr^tnUkFMr3`s zT1B|pA6CImRzc;IFU;@0FuQ=wjL52RwYYG#uORim8{8O?&WzCiu}de|1uTEJ{JQ}h zu7YacPgE%BKk48!XhvkDd2RQ>vYIrem-2N@wkGy9e`c>9uf`Xxb6OKuwrz3O_HSe(Hth%`T z+rxkTl$&6Ef4kmZACx1F%d=nVEA_2g;@WPvF{0lJa&9%E{DJ#f|9;6VoUd)b#Qm*dD?t-m@5jr;s4$AUJU53w4dAF-qV2{yw{+1 za9JkmF2Iw!gLey`L{au~n1K6?cQ&V*QN@}9B#q{`>Yb{Fg_4w8P_#~F^cB<^KBB1j ztU!4Gkz&Oiaqc{)@G|mWd#OH8oCuBGX9v&%D2k=PFI26`9ezXQ?OInqGZJF(NsvQK z!bJ3V)$hy57A^Rx6s_F1uCI5$brt}1uzjuK&n`E#K8wc_B47jW=dWfCR%F9*ifm|s zD5~jpius3`D=7Tsh%HO2)ZK^o?|&N0f#XHMc&v@nTbRe+4#xbsb-O(`aIk7qP2L@h zjrWV#BLtkMRubnZ*)bniQy^Kbms3Z_!C@_qdV8aqcvf?S#g#~E_g6g;SDT+O^*Q}y zB^kRWNQJyESJj7h#52K$Q6zupK)IMnukvG(54bbVjnXoP4H zogBR+(L0CeQ9=;C*Eo(6ErKBGCJ50>^xoUS(WA$~LDZ;+1VIozdi1;V{l3rdeeW~I zbMO7*j&a7etU1@*d)4`wbIrAPo+wRsI@~y_{W*gSA|(l97cz^IVTvoJWJAa>%8?Kz z9=#wg5db0Vz?z_%^>f?JU3-`lr_=Dc7#LGInTkwzQMjuQseN)ti2Y$7cpO`%i_Sgq zAgcZqD=>z04hs!3_}VlIWE(r z{R3y8e$L7VrA6O~jq-uy+AL0XQ7BYRS^Zu#{|D?KaXZVwlLIKiVKy6O@n@k{I+S25 z#$R70ur2D6WXrmUYUN7xaZ)jU84-WVvQU^H%y`r=YJKa4`z=XkMMyWvNCgf!{e-H? zCn2iX)g=N5@gXrn1>Qgg4kvpG@$j}>JS~&2b;F95o}f536_&6+Q*cKaIV$NxR5QQQvltx;@v}}r9I%X| zV4B^R{%N0<=Y|qf_G?o}@U{};zF@5JQU-{t3bt_GR0+VMoh+klwYb8_s2pANlhmLZbeyM}Gdlb>w z1F8Mo$_1K_dqVG_%91c5DAI^l!I|&FMyl}~u-()milz>HW)lK4{|tL>#6}1X5xt-2 zXEssVfu1Nxt->(2bV}s*!KXp#35*-H#qh?!NSuGan$#3E*a1bp9y6u6zs64Yhv3CaR(K z$uAokPV=l&w*{s(Z3#2>DwdA_(ku$lqq(^6vrGS)=@X?gFn(mv)X#%fTz0KLoo1(? zV&i#H;{A#RZgk<%s0i>wO$a z7T1q)JmQ|ba>Tw4()~Tm*>BN5N%P^gc|cZ^yPt*wT>T?f=$lTYhp zDQTu(b?6&-7p2TRY5-3Kj}xq3alMEu=kJ%T`u%crBj@J&a+0R-ha-=FQMzS#O_Att zJXUjAk`4vho&~__EuPcscnHm#cmi?|2UY8A5uV(k;Y_D8z@XOv@$X;KLeBuI{B7eFgfSTQ<@Hh3%yFP#M4wv>+`aLqunrk(}Mi(OTU>kP7JUqk|ACn znwTJ`)m%hI;=p&TMmvMPCsureqZ~vIFLqragfkuRT!LD9^plDqR;Oc9l_9S=tr87O z4;+u2b{yaS&1XYDW+A2)AwJJQZ0r9XH2Kt-dU?RtzE2pt)njjy4#3=2y^e{gQ;BuPIbiySYyJeDtdXmv2lq}_g zwMw;;f%nb^ybdyu*5N(G`64%3j67-ID!i&iStwnHdCWcA4xpKvAQBKsKBJl z2w;{Hms~eJoY6NRYDlGje>-KKH_k_Rm>*oUncFx5A*2 z!k|})xDtuDE$Xztp40vkwa*m>{_hl@L|mUF+;fl){+wxldC>k6v(E*8i-kc8g+T!z zIq|>9Acy|HLjIA_5o%kyug=7csP(Z*y>j~lnpz?0;23!3L~wf}OArQa&u!o)a?ot= zh9H+~-aQHp>JhH`45-1hd^efUPbdm~!B-95X&M5(QM`+6ARsdsmEY;i4sCTAL}d-2 z=GpFgv5mWDlzvGo{o-8v+OGC>(*Q{D{D*MYDOZnhL0RC>rS>)W`=1Fl@-B$6 zf0@8*_5a8U{3GXo)6og-HP)cm;Qe7(Vkq89MlhKEg6d{tCuX}#lEEXD$|L2p7HOU( zO0G`LC~Evx!1yi3da28L=`VX?Xc(CL{Qo1N%XUc)u={^4xCgd>u*fBG%uht`vzRPX zZ}*E+)tb{w*_ z{zTwmyT{sj$CV14pb3_>hWFKikR6kCdsyIQWJBk88WJAI6?r%~O8Z^iXzN?Y_9 zw7h*VBXv_GV69?ZWpq#B15`*;6|m|?o6pLf z{di~`Z3RxR1LQ64HcMzw3d@J6%EAQWzn4csO3r4YUngj+oe^Vn+(#3tfxVb#!=DVo z5tOl8Js8#d0~#RKLF$6O2JljuUNFr3j`r4;F2sSt7@c6pAJ_(1`W-0dA}sxC3*&xV z{h%4VRb7ls($e7s;of(Wt=f3ewta`0CzeV&7*#f7<)}*Q1P@SfY-%q?wccnMafSRL zV9N<1_zTsnh#sNBwK1v`19v%zj1$B`{iT&Z%!c>AAqAlY_E0H-wV+b_j&0lAgvz8M z%?_5jKqEl@bQ%Z#`L^j5RoJyO!};l(w~G^bH&4Q@u^G-ujx2H&Zi0yS@Fb3yevNgO zDBNT(94@;4y1AUo18(e*KIsZK>uX~-_QY2?845Qg;zz(MFlql0cr)X58u7Tr-H#(7 z{!3}%Q>|421LKvqvb7+PbZrAv6ciy>FK^CyfFg9r)Hl!ACP6bwB}9#o8op|94l~E| zH_h|acP6U@TDDphQn1Pc z9FV0Gq@2ZFMnf5eAI{r8llVWbErUq%sh|TAOOKE*AX3@o(4ap&2Sh8u2gpae`>z|o zwW`H4uT|Ls*3}*#QVLDW3y(fk3W$%N`0?60AqL^maX)uR@Q^ayo3P!mqig7DA1Zuv zbf4{d%vOd>pd|F3aHqQ(e2pTw7Lj%VzeZN{1Tbt})=(nRngb)(?rZ>2L2I=|@NM^Lpk{*}vEj(qdtW!3zi>)7Fbj|YrA~`*pv*x?* zg{Uv7uByNA3Mj?m-m$M6&mL0sokP^KgDFw&odHC5@vy3|(0?aQsrnWtowOO-kJ=VV zyBN&XfvJq76DNbYLog#F3HWa`FtZ{F+}^U+1XIo+mr*BQ8D6}%(d_tRR@6%x$VmnY zt%=`eCD<6?6bkOspnnaED7*+IsMu=!M03tXWDIY}Cj0na^fTt%70)q2@MEJ<=f&xZ z#Tv=Dq1`8Q+r$s+$dg1XWCsw}g~Pi~0HIcF`#O;3;0LBuc8Cjyef+`H0La)N87JC` z-Fj|hKf6u*6J!Se`-c~lgBWD1w|4&kJ`cS&VKX;dm6Klo( zk3az18@xLxU}2ls8chA4wEs>rNR6gi`}Z-x^48$v{XcMndd(EgKE>5JUwQg3AbX~)JbZA%>~|u5=?>B?A<2* z2#OT^|CHCx8#(S@$(Y|H{`;0R$rFOX9nhc!Qf013ht7ZG5Anjmo zk-mMs10K!h=N!!z(4s^!M5~TLe8s6#q7Zcy=|X%B8KzZF-P%rsp56`wUD2h)SvH%{ z1yjcz&~I5&hm>I`6l})K`&mANC)vmf6xQ6Y2WTCuWd+_mSi@GJRhT~i9EX0w>Q|@? z*Yf@IJr+bIpCYJ_@ZJ~We&~f9k*Zh|`CKfqzt60Gu(>iNa#lW*mhioW>e8qDwhVpo z70J?PU)&4bx2Mj{>Q~C`qBaAsH1`HI9_4L&8!owY@Q&9yx-_NNmz*xz&hBhndDd-v za06Syl?~UvFC6VKN0@IWD^1@f&KjpRT6!C$=Pg#|n^qD|%tRf|o=W(w?=&vZwQiq0 zYWu|)lkh~En(>Q_$_dEaqoa^A{|17pV|4TvSqSLtGg@D7Za6$D^Ci?^JAbvkQ%=K_ z1HB%)+CgSBmhQ4nnUgHaAlG&_{NXR|1D|B*idR|`@pdc>Sv$%af+enY(hKVOe@PuY zof$QQ&zz}9pS&rx_PHo)Q1rRTD>{Gfd9CNkGP}C;?ZbiwiK9j7+MZ^paj`}i%M&*e zn->o+eAbL6@cqC0_zaFPXe{q+_;E>UwK8cCC^(jO?qUi(1Y)ip$cx3lzg(dG1+v)0|c zCSRP2YZ&s2iT&jUKv|x*4 zaxP||s^MpVL(2|8(H;vHOq9qriJtb|H?nC==J7sgvPijRoII>cK05l<_jWn?JCMn1 zWq)JAO9S$=JE?FVuKO(~7uK7MUtdxDtV|v{&CO;qnt9~R>z{d4@@mg;M!O6S+diy! zb(4k8pLBj$xbR&mn>~6f;R7yOyssT?PF#gn8{&{tMX?m6cFqGg*t0)-FA_V?7_lDL zEu6Jd01vL%I$E*JO>_LsY5KgCj(r0IVx+xjUT#|-7esL>@`T3>Bg01J{1)XnxoIGZO<@VP$!k~BdU+#!|3;_j!b%En6b4Ftr>*yINc*2_5jxCv} zQPFTyzl%&%p*nfd>^mPz`lislsd=G|wgP()6mG10bh!G&+c=EM=1u{%Lw;ue2 zUIY*|hH5L)qS6W-VMBOuxwXp@#YA^VyB`-92@SS?>8doh;gfL$r4 zB4Gv(&Eld~IHjnuA)nwg!VCnXhldUXX&L?%Quvl|kUf_$_&Xc~>58{aYf;SQ5TADz ztvs2_SR-l3O);<(OKK+A4|xMakCcLH2EIsShf5K0-B)CO#05h$&*+&#g7LM6;oCNw z$Gzq23PREq#gU<-R!+z{7+{J651!J^^nOAOPn^ow?Wgpt{TXiKDN0HZs&1g+l2uSH zU@eDNAn=T=6%snEiECmgAI|cOz^w!TM{< zv~?!ZpSsvT=+42R8qkO7$63#$-;i-RAxFHXlayeINhJ}zta=#h2Eg^~HdP)9w;~LF zay-@p?hE-SqnV#N9sR8_UcNv$j;9h~aH%{@_-M{Xjy?ppFu_<)Cq3fWcy7k}gF3byLrsCqLW~p{7>E z2_9;CV3{)p0Kd+GhrW{B;j17or{`|!sFJw{oi0f7K8RV+0_)~$elmX{$Txqg|h^Ubu z<-9RiXatx1?3hyY&?Bp?dmsqKeEPUs7lH(k1KdP9J-Z69IN$9EO5FIsF=3I%$`0jM zf+B=SuOw=gYV-WKt-VD$J(N*bu&F2Y@2Rc+K1?j{q8o=@?b3=`>&>Oh%`g7z%?a)S zebn29^~8(BCfR_C;HJ?M!>Y6Ol8KAc;Qfrw%ht}Tpx%SWBT9hGz`18z=*oQP!2@8; z*r+D!i&K?3f8mr}N*-f#Z1s5Dqm3wU8p)t|@zcE@D#qicZ8M~?$$et#e+ubna&9e1 za**JT((XOZ&dzR!M0eGV(EK(n-!#52YV5(oS!PFeBINz&RfkgqOVZaDcJYp#mpJ1H zA-5xTQg9~dGR8*ug{@f$iW=kL{R@w4$dutTu!xClN;vE?^>ot zmz~P9g>W~(opN^R^1*N-r8K4iH+66~STB*rS4VQ4%cULeAa=WXWR!;WPS?eQFlL?? zFNFn}vP>`Kkqf*|%FM+)TE2W>r$5>V+`R^$)X3HEelM9J#(EW~Ve|BI7bD0U_~1Mp z>H3kAj~w>-%PQGJ+TbJPo)+XMgJTJbnb>HQ3RmzEHC#(3HNQtBnKd^_HC-^Tk;hw4 zBI@#-AvqQm7z&k#RbRcanu>SkV76DzjK+yD0W*ZZfb$T_nw#B=QL|f;Y<3%0Q!ZBZ zxr*jj?zbFT0gw^h7|X2KpS$UCU68W~sYwoSI2r^~DYeJbHX2w1|Jas{4|ND7C7 zYa?6_LxIgBCeNI##~RhHM`ND(m5Y$lnf9KtK6z;S$!MFhoSO|}pus5Xc2V8$b~Evf z1{rEgHwn9HXM+&>Il;b&yUoTbpE^hS9xn|~X~2SssERhPCD>Ks%B1){rg&3gF##VX zlD-LVCV8Ue+s{y*)h&#nHB~E#{yMmKyBK|7lGDc=#+rMNI;_@e!$W51F4;hA)B4n59>@VI>^=UGXNNuo+?I(1GxbNB6%@w4hwh6JRW3~yw zCN|Xro4C(7RF1w>N#LI*2CB`eQ?2f@O4;(`D0_nC+oGRKMW@lL;d1KiSP|(I&h>(wT56XC-hkMJFql%ilAFkl#3Yj?9Q!o{ zmGC~OIZ2*(`<(9ERp^tRzO&(S))9w-0ZP%aRTog9r~F7C0~ zZWz3+BgGDI9_L=KE6VF!#iCE*rMCeQT%MN$1rJ6h>{?sO}7`^k`FXEB<9 zD|;{Fd(xkl>@zH#EVSy6P~Z{3i`D;8H$uD$baOA?_C6_le}njcPvu zMoV9V+Tg(!JHxCmF?G~%yt>-Rx%`TK35Zo}cTkVX zap<|o(9F_YrfBAMJvE3g>yzVq@hN44dpS=aO`r=fD0y`Bp5GCU{9~$@_>0$vVY2a3 z4@-`AD60y@H=PeNaz5d~EWcBqeqLeM&#P zWrKi9ywTUdY0qYY$%j8jWAwE(<$e_w{1EJ*7Yv%s)q zeskB(0xtiIAHhQI$_W?2M|bY-HhNbX<0Q&T^Aj^sp^P|gfGgzGC-|O$| z)fQy*?bq)rv&oC=t5#aIR$txPHBZT*#bOe=VBHPQ|MQBdeOfbDoAuV{ z6D3JP|Em`Y!(lC2!Fk@qI$VHjd2ZK$I#5;xV%Gd>!j#`&IkvPGbljcx7!)(%+ae{Y zIgiNC6Nc!e-}>UaguXkAas)ym;>Lh*3X~2@=r+@Miai|`BXIu8uRuh$yLU4m*~MiO z;6Q|^Zi8=|Jh?auW53z14zRxB3DZ>qBr5-0EZwIbD^&V!Y;p@>oXxN!E=9h6n|`G3 zQZg{ui=Ofg@3UhCchZ)}D0VE$H?C_V19js={S63x?+aDA3~akwl=ZsrC~gtXiN8;J zd1pr~EX(!I4n81+muF6%In8`pqrjtQO6t)bjV)50_N#m}k~Z-OFGhygjmzuREp@x%_;LDkl!mZ>Ff9VAc5Gy?uCm?b$gk z?v^$Gg-nU90(Q44ZQt>Y%>HuC(Umha5vCsHV}BqiM){dmFONj7 z&`n|Nr{YHW7&y3#%H-L9Eg$6*X5|jVm--646SdnL5UkbBmr2RZf^Q3u&hDwt6U#{k z=q-aYG?yFDKn#gHjM=liaZMSw|t?i@A=$&JxXZwqny?fUyIX46Ay4wYSVgPeHa1ncbv{*7NRz_$wfcTn$P1iLn_fhZ}_K%kz$F)4@!bBM|Ikz#;EKkk- z`CiaCZm@mPCK~}C1@TKO_B$?RG#j_gAs7J!Lb1V=6swe~<*D@u^ryC6<9e1~KHdBA zbbTLd(k%GTRdCv$pDzw4s%y@*(c$~VZN!=XxuO*9RB`g2E@;asLY@K6D~~6JM|D86 zNs5}b%zV9IG+_auoAhES7_3Mw3b60IKa;37X5o$nvuIPrT4|z%hHsSr0CQ2nNs^aCuSdnj_)dGO>EBMd#=D< zzW&nY7=AadX21bF-j7?chA>_)K+n+hOSIPLI_zxi;VIir_>FU?JgxO1hXq3yr3OP;aXQ69&-H%D1(U%hZE8V(Za2dxgy^I)ZOaX6uKN6eN5N|9T>4nbJH z{+hf};WJvn8a2f_MkbSj^f)o+#)2f}S@r>UH9U-9hZzf&?!B1f-j@@I>GjhO9>2!7 zE_Ot#&_v&5L0hZXTaNcP?YAS^MWYEew^hS?G4=AfC5s05Y`ub?6k|d_oHk-VX>{uw530C93Am?v zSKy7Yx8Ck3esgxhdQTNg7&5Iu;V8ramZ)EKO*a8|QYtr)9x%W}`NX{M#qh|n_gd*7 z4!JF89YObrz0;UmJtX67)6lTfcn5MtHJw&RHe3x8Jf+Qu!RjtZH;F~Br6i5iC3sJh zHh=$??ftyYT`$=;U@5T`C_>LB zG1lgho~Fi}FW2cjGSO8oA?W+BFd9(NPSs6>9ZgzP+~iL^(5yWa)&>`kYEpiN;*Qh% z!6E9?i2IrDXmCz$jA~mtAyJnhsmtJN+;otSx-lb?DS~ieVhv|9UDJ_eA!sa!!e{PR zjE?Rx!UPjE=ss1O0y(EW3g2~K>PNTGX2gDx8xAu0a;Vl(`B}r7?@|8k2}~QgFVFJ3 zYb$G^s;63GFK9DTY)7{qm7zi7^?WL0hgm>`Uzx=H@gm`Kxfyq^Q{E7>loHK*p;xKk zIuS7YY_$SO6J-DD!=@U1W_1}B!(r-wg%FwH>Z(&p0^CM19yo@!9lwl2{`v$n_%oLMXSmzok2h1Z z-+1rd;8nLBRD?mVyGc(RaS9}2}Le+f{Gfy*?Lw{wOJ^Olk3aqRJOf;OIcKi{px z#r0$=yHqe@RbK~E2pz=|)!0QdFB+*(;tGOMKGh75RpV>CHBNtxiR=cqgkW*62XkTv zLZV#rWSXXv(_CooyV`#GM!kIRv*XP>)#w_Jh!j=eUSNvKX{+~HfC*`qWbo>9IWD_% z^bcc71yJ%O&;K&F)dgeJ7bD_L@I~1}ht&(lh$3qoxw+j9<@>)2NxWYxnOHM~OM5#}|;oMuvo;jhnWpi45sz#;{L1r)9!g}V2KTR)Q zNf+z~-vce$u8BFaz-LyH2AIK*6c*kWQl-Q0ZqH#(yKkp&MQ<vxgPHWBLHFGc!Cx>na^>3pytb<3^Oh7^V|q@c3>bafSR7IJ8zaN*=b9L_pO za6<3Vn*V3{hMz6U5C_^@)mL{Bm7pdnNOVy6VKaX9$|AGAN-aRJlCG->$eAG&D~~)x z=J-rU@9YB7V1Rfw`=~%~=nt)0B*UKHNA4G-E}>m@$YKtsU6sBrX+gWgmSvUi%KZh*yGN zi|u*Ir4%p-0PPeHh{y|6dohWaDxJ}s!^H#r&L=n=L`EDOlqZG3x}@^VosY@6h!z-( z34`a7XkWaY=+T_C6+U09rplNRB()3?HrHU8|6p`4*R7rkXV z04L~lDd7VBsH_H%pNtieC}#2=E28`e&IK{;YWX&o^5XJbr1w2f?YXeHfycDGh0Mt6 zZ^g+~NPiD8Luz#3(@+$oFr3#Ml+Q(p&OR-%d~n-UF$Q%4{b9yD^Atlm7;4Erk7lG( zXTJX~NdtC~?x+!8)AdEXvrJ46(*_v%W{ZL0<`=Xs8u5Z}l{vEZ03l)7kIlQC%`$1m zpEZS;iIkr!nE78ifG<+q>b$;SI@3@>rB3@vv@hNm0+;KZH}PBMD3%ng0sq9oKRmvZ zq;2yyunQjw&?{7}+MFGIzi4~1>MG2YY|)*w1vTBv2=|umSeoL!I~%p11t_tgLoHRk z8JT~446<~nENLp$X%!gqj)lKSa1Ve<-j-$>{l-$u?*Su*w^|EU zZgEi}?Cu7!&wms9>_ZB^k}oIXN~{->i^=_FnIQLzr2&~8&tHYNLY={;~ zHovqp9Ml*3QiPfmqE6WE)j__|fiIFHn9X2Y*+kcWc?#=Cg$utggALJiXDUchz1IeJ zB>2M_iu*AOkC(p-D17d61yWSOr^)HMp zjl+qI|6E7G93X0FfpsIkC>Uj65%PWyF^IN0IN!TfgU7MPAK(-O7I~#z!Lkp`X4f;- z>@6y-yRG`(fTbvA|Z>nhEt+DYZ!47vs|7ehjL z#pKqQg=nsHOf+K%((Z09O^dXGpx(MjZKjI|?4NhW5h#JDsU;jl?$;l=SuS?+b}59K z*saEZb0wJY^r}IBYYeB8sk+WyDRM?PLO_khS~%MR_ZqF2Tde~=fJq+e_fFnZZl?>l zP^2J_@w1v9h^@b$&y}CUkR$QU(S>Yx{14*{eAZ!O?mr#spL4Ez_X195fSc2sVc`7o zT=9!L_vWGfb;ix_R^TS*dQJAS?{tRaiy&|j{&xBklzRwvDb4?+ZG9tfXx1jJ9p4p_ zQ8!7wG;k`FgdB)iF;td0abk=UXW#Xxl0EiT+Rvt-5H+?r`eyf`5ovcA!cs9}Dw^s6 zOSMW9w$<22WoL#7*)hi*(gop%n5`tz!bykYa@>={hsZy;XW>A?+dMgSRHM|BqX7Qg zQF=znIq+lDf&Pd8?v*YM~TYZOL9I}eSSSPJ;VV9~!k==E81W#T5 z^YK#|B|nk7g_`diB^-if$w{O}131d3=iu$8Bd^iprbdxx&hrrWU!n-)K)HDm1FQQz zs{rDGGC|Mz_wK87#tl%OrFECsy2E*tnRcF^u&A-Se;T-xmO0Ecb72($I$#aHN`-BT zUX+v=JpB-VK_y>xVI5Nu_7)O8B<@H3c2hc%9o%inJ_|mt0JjERas{3PWch^xL*hz- zf>F16!Lvz<#Jbi_Rd?z2R~h1m0}Y7=2lyULI(DaXAGVSFVn5{>~_uPQZ!`3w4WDQK_j-B_L zN$GER)>X7aG&G#QrDTZlG-3u`%7dG!zYK?G)3soS+g66qn7HA&u@Ej$Ndy2^nD}K|Sqbq1eUcG9VKWAL-!AK=~9XUn?r-FU_DB}RW-9pIe zz^gUV={Zu}iLCsnl@!72j_1*Nb#bAe zO$l48T0TgrlHQIo@5Jx=_&XZXO|P(5jXYbcGqk0K9|DC7lX48&I9;gvLD z#?0l zT@O_lkjlE61$GiT2t2AKjQ&{T?V;n`vZ#ZRlJnY$*8#`$bOZPEW;@W@z!KigcnYZ% zD35|g7|BP$c5CWsx}Qm1v9j>%NA5oOsPgHaOn9LkZlf``4sT4aev~-Np`KZI%TsW9 zt!lU7xescHhSsRne5AJtzbzk?yePJ62tfLr00ca#jvOSRFdWZ%vRUo#Hyty&G$EO=g6BghOtAughMZ zkpKZ_IV_A@vH;@lpPcLUL*Qof6zxCJCnhdWmotRUUVl+!{sM2l&?`XtPff}TV1Fx8 zHefzGv+ZJwio)V^}6HSlrXF>`b(e%wj9 zY$k|%M(Bn(S`)mEUvS-Ni8bxy2Q(tK#6d6pmD!LOyzchU;QKT%w&564b(AwnnieHx zFlIpPLJXSXeGZvJhsEIT?StvV>u^g4*G(sl9dPr1s6wULk0y9B&_gslaF1U(vq?ud ztfotK%b#hwdXxR(5FuSvW6O2RTzL=n4I$?4v)9+We)@DNOTXL?_B461bdY~VheJH3F#Rph=9O_@cf z95JlAgohIf&T3k1$#~!l`hA$ysgA>d@Y|Wy^ppy`!(ct;IJBmVi<{)Ll~dgxW5e(9 z4XUVG=H8bMu6hlRWuU;6l{_xIfvL0?oCK8BKJAV*D7LNLhk4Z8M8>4{ggY%~xw1)Q{eJ4y%oebZ=6(oPVaCtUqC^u0pj{ zwMv`8sgXwUHxcYN^+BWz>2;%{iy8I-%gE#qH+9gUaN4e34pOe?Rzuz z3vchaIjX+7@)#_7%cXI-b>38QoGl%D=<;I9XN8O}jY?)F;PAq|Y^rcsIHRQ+VbQNQ zu=I*{KMf1994Vlw1-dz&y30J@_0Wl4s(aGT4LBt$&~LS}>!8xL!GM6Oybxn>%1TbQca4l@C%jj>xbhR0c9$e+REE|6i;(Q*%O4|!7JYX9A=mru<{<<@ha zx_LOXYJ~U=D1erDSGnFwfJcGMx1FXNKU=|Q(W!~~`oY5)H8=rqxMSMZ|1#Zj9&~xV27haj+bF*3^b{x ztHqO7p^vr;W39di>1B1A&e-A>0dHyi(wWGI>}L_E94*Qj<;uYUS{S=PVwKc;V8f z{0cl|JNW@bi4bS1Mt3I5E;+a);bZ}ald@iK76=N-EjF09940bZ!5{}y{Pk?`DR}R# z1om4GN%WA}5E&auMD0u>Gs$L~*J!&jF}J3r6fKH1fZ^8|87Texm7DX>rQZ$_&LkQS zAX|H_jveLruMLV+faIw}nzh#)mQExP_IKTcFwLs--Rxy^7B6K|=WNPGiN&uRxu$ol zg?7=Qp`)1H>`{6-sFjmfdLBH~8oX)qUzM6BfZObX`2h*$5OHdYl2feVx3}9~K$?dx3lq?Wu*;WYH!I1FUh5<$_UiIJs*q2SOg{lKVCJ zq%{>KZ&Q-C!Wu|PV+l>oSyBjC$A;YNO}=XuuA^th_80To1q-F87rdKjKi$2E(*S#T2U4&VJ7V>3aQrfa=@$0ho&_A_WZ;ZXr`{H`)A z&MGM8IV|qtcC)H2^JKAZJ% zO)sx0Yb1=-Zp2Gh!v`ovhX8-wQ z;qSg_vLAfzc`En0h0H8SA0u<>R@@YtkFt%J!M(Gl3X7}$GzvpNC;anQj5z}|-ZR&Z zwrO=xiE`hnq+3|GJ2F{@EL8uiNPHV;M)L$jjZYnfvlU=bMxz%G-jywhQv%?+G)Gx< zpbsfjLNw3f?g7mOp#JceSR0(yDY8=QyfG0|9!5Zy`ymYFa)WCmmNK`RP@D*d7mPHy(=6L)WZ!ctvQ zp(T4B9aBsWdY^DJ3-kLi8oX`3fxC6)2QyV@uR7YrVFy34iv4-x=sjC#ha{LnpuOy% z9T`)9Mk@AK{2o=KV}sWuG-*v4ZdIX$g=lP{7Vy@{&-y0OJMSa6+G!O4>i%_wYV=;o zo(*%f+%8O77fu@bem(Qp;gX_#+F9{i)lW~bOr5(>#Xjw~d8u`aYV?a>?7kqw6|bf+ zvZ;!Fx~}oB72pT|j7HjMZ+^x(L|H@w3)Bvb)#xn=e=lhV=(Sw>&gXa=!xd;_i#H#I zMf8jL7FG31^kAOh3JqW!9K;8-(~|6+Kr8kMVsP8DJAc#fAI==RZ1uRlzOMA2sx_sx zqwWknNMd?l2p`Hvx!)2UU0Dbs9v5oVu;eCY4t5=u!lrL{YRw4EKAici98K`8FBzKw zYU@(Zj6#Aa?m2(vcOfTkD+1Cme`O<2!0qe%h{k7ET~ohn z`oGEzO{c%1E*lRV1|w*P6D!i#4O{2(YXEapDs6Qm!bGlaqu9VS-PqaQp`l2;f*1Ty zf{0y1QQB0pEtqZMY(L_lCJbhS47UGd|K+Zm8y|8|bN{y-$Y5G@5`Mf^|LG+2r)g!O zbO}j`+F>tR0XBY<6HFF=s zBv!PqjSZzMxKGok8@s=>9nLFDxst>ZOSzH6qD;}3!lJ|hsk$k$Qdrc$|6&?RR}gk2 z9!7|ZG^~wfLc?tTE$c9xC;m@%J->xJ{!U;8eEP-AoZQQ_Yl&=bhmp?mtETE!x3x@D zvqhE0YBLXf_@1W)<7@pAt;4IdvnmZ*-+7nv$ALsjE&S$2R4MUYpH7GK3T8lcpF+1C zV*yVDpoSz?iw`=`aSaVcXo22J9q9Y!MfIm(>~`sATHkzvdqMF7pGNux!@yt=HE_pa zpk)M0-u){C$zc#B*AecKa}ww`k3V%Ett5Gu8+Bx8|R}6VyhL0C*lTycvWHAQJBWuE1*mQN-FxLS?_y*(ftX zeA$@snj{M|q!RGd7tC zhuG@Q*PM~Cm$F%4HPbZLq3=iE6_Xy99LjB%9MaP9Tx_&~YrLOCHE1nDe*zXqC5P-* zGFdZ_+D5i1NFhE`jw2WZ$W-e{#EOMiCjx@Qi#7p{AA^nG<+(M=jN^4R}c)T<_&e|5YuO-6~lC3XXlb zzhnPzaw{4zMonUPMCwAyY6gVVQbCo{5HiqjYx$yEE%l-dv%dLgC`%!ZDL+ME=G*Qa z2_?^mu6dg9Rz2{12CQnlXYwt9`xK!WG0}(na~cLMwnJKtoaH33_vOVw&)vMsU2PrA zbC+IcWiuGJq3^z6p$~t$%%c=jV z6*8qvvePK1aZL-uw={N6;h~5u4xCmy5B?J!$ORq+y(jzC&U!uF$2@cg|24OrlQNKK zT%4mh`sddFMc7+_MYXl<;|_vS3PTPpU4jgagmia@3d|@VAV^9Gqln}H4&hLOgmjm* zQbU*0iYP50NK5H&f#;m}yx;fzum5#Du-CfR{oHHK&b8Nm_S(F{HCjpA1F$g|8V}46 z7`CFt;LX%pVT)d&ju%D-HXZIN{ldCjmU()RwjchPQ8JXJ`pWSYNe0>`sj|@fjO#m# z@959DdB`JAdw*TKi|?3SVny)pzxd2(1KPa!7;JOr%MQ+EYasdj8MTq?<+WTS=Qt|m zyq>OG6VJE)CrdBgqOO1?w_iWqh*j>_>y9s`7|oSLa`PlE>b9RcYh6O0cfFj7O6iA0 zf$pE0>*XSQq}2A}yEvr+xSz)tU#mRdMyXe;JJb1j{ZB4t_^kFniFz!r3yPR8{@lzL@rTqNcE4;SkysRugr-#%&m%<#DOWS}Wn@1;MH=Q`){Y#mm9 z>2|9b$lmZ-=iJ%f=jh8G#>UG-*iZv?Set<+e2;a`JdbNFss2POpR^wQ0VJ1h2TAQy z^wnWs0buEqmJk2{G4bETU^}j;er@`cBvEnu_Y-~D>$1dIWZ#0^?Q!L$vvU&Kpyu~m3>YAt!m_B7NIVniY{`z>IXGzjM zTcZ!Hiz9V*D>prI3i`E8Qj)gB#TM?{O??`vs+0P#VV5&QrYJ2P{C&hGd1!=cy_;p@ z>Mnc>vK~j@csc||jsZeL) zf$kg|U2%e?KLyvZx{45b?2H0LPT(&Ha7VgxzWi~10H_`nyoqr-3NQch1wbBlQvpJY z`rkOH1qk$Y=lodUPKowaX^H=RSC=+0&h9s#2gA3AsFUxsr4os6`&` zJ9uQ9%6V%c8U*m@M<;^Ysf3XH-8+gc7 zA5)8RlsVaKc^XxuQDkI($3n?b;pMiOIcu{=85dCC#Jqk}>5?fJa<-K^4Fc&3O5_LQ zpUFk1dqsy=0S5TWJogq~xyx0&LuZ@odfVZH0~GKWV^vS)XFzvZ1f zqd>_Pcns11ft5Sfs> zwcuy_)Qd@18WW%P5DD($J$S&VY-JB?NHutTLksTcO<5x5lxH`UpTMssP4q@f*_sP4 zP1La~z{;cVwidy);dd;mZ`vjvUFnQtLtZobAW6}%S4@ak2qq%bYs9e*cX5sgLbe`E zaoQ=vg;vJtvbO`A9(|4m!M+Li7}QaHdd+knrCNRbitjIOUcq=C`(ffgaK=XKg=eD< z)Ha;5{Tr|M<#|hHP1&kex6zGW7Ag2zX__7=gWOtqOSTqH=kMpF9rL_9EX$ZWv}`hW z>D7C1lYBYpZOiae`0_3pV>pOY7ID8He*^6Z?j6&1$@<$tQ(abEUv5zBaCKUauVLn8@~-p8+1H|rp+!(`Ur#Z6mJ%8 zyravEB}v6EQ_`s60dDam3xGK{hX5>wVvKk!u~5^h6KUQ{N% zOl?h%AOfLPKE8d+d7x~Gq9jjbBfce5ul`?0Ni#cjsi zr!O{r@3@`;t~grfS3vYm?+b5{*C$t&^iMuX_kl;R-z{xX&yS`{h@SA+G=Lre)hc*N zpn)S%1-v4)ALJf^ZgNpjlciuSJ<7Qkh*HjJOu>s|?}k;B8N>Vyv$j<5-L=J@!F~J- zyg*xD2I}!~S?Xz>^kySgZYc0^Ri2AYC3t>^Mm`p#`tS&4S7|QhJLM zAMvfS_v%+A!{I*9?XT(`ZNM-Qp=WmSKg!j{+NUC>d^shr5fo=jl`EAnC53N)T(Hxs z5I9Ox1%1NVT^lS24J+bgX3F=0<@-n)ZVDN0KFFT6&1O@rmaTqJdanOTnmdYN^2(|r z4;b)LIy$zC1`j)_#Gm^=d%|<@&eDLbA!CPNACKonH1u~y~se#I@D(IsOKF!HXbGDuoxkufRaCc?!N^)&XPw36q z2JdVX#E1H4Qw^A8*<0&5ay300sv&6_gmvh0-ZNh^mn?A7lDw^pkDOW&D_}lZZym5_ z@=$*Jyp#_5kz1|(Ck6`ll4VOv5@(-neSoew{onY3efHV8EGc$`$e9_jBTycy?RKi| zi|DX^V02J{!blO96)466{T;rb+!t0Wbd^q;O^{Rz7ac~z{(=rl8^SvUb+b+M2k6>e zM|w5In6SQ;!lK=^7 z@A0f3UU|0-;d~9H|G*FZz2kC$6|sNIvTmeMFtm4=KyTVnxA3dSq2G8%Iy?voP8kJqgwi=EPGN5 z-=p(<;JiF10Q$>w26Q+fih&5y_??I=`OK|l!9prHZw=(Om%8ZTqgE%2pYcZEo8}NZ#f9`Vu>c^{%$qHM8aKs#&$0+I^oF z&xU-}k(P=wLsGvtUsx42J>aD;gke22A<*-$%OkM2H6coRuqYG8D3f>`Uo?(S6-ljz zq|PeuF>@^1(uhis%Pub%HXjA%P_*Ju%V0pQ-4p)1z zKQtl2mkLyoCTB{g#V@oVR7&q7dWs1Zi?vV_mbD{B39=D0bB7rVb8BruU!Oe>t-Ic;kF~`35Ad`y{QgblMOR z)PJgW!yO=S2eaty4q!l(ZiysnyO41|RW9!)6b~t*s$hF0RVx=nxTa)#z)Nb%7E#G; z)aR$|HinF_h~XXZ(}u6YJ3z`#mP>jSK3UXxr;<5t)KA-c3|V0j(+vn?Y98PTkCAXZ zGn;0$0 z1NO?hS;G~e7?hnA|64)&Oyp&C;?)%y{sk@DBN_ftqGuU)uLf@ueoTHc(1;h5455Z0 z-?fevlyO(%r(c#uwUrpL5h%eL1K0$VVao;c%X~Jn0^rqi^-b`afg%-HhcGY*U(rp{9AFvvg{=SlJzqlu~2o<$gDAh6GMA!67>T?ka&Fk?1PAI@jY zNX@7EtjDZC{~(*Vn8h%oJ8x({Z|IJ(gS)YVFrO$Lb#nZf_n8(CHDC1K$~&(m`9>;Y zv6On?A`)ki(fyy=bfSrMRG)FFJ>$w!i~|M=rM#+*Zc6(mUVHY$@i|#)TC`|%XDO_6 zX*6Sw?^rK@Xm{|}=-Z#A8#5%aF{@UYwf+mwCRWd5s>x%j)xLQ~v4D815v5ONP_~NZ zpk%$k=HyWjt8Jk(P>Z#fu_Z-W?3Q;8!*arqQXoWTgcU^P^mtU3;e;~ZSt6y{n+!ER zA#-?NI%+L3%9k4Wp?t;GnbpaHXxkLN^|=}Uv;a?VRvXF*%{CzwrIlI2#;`{UQFrr% zenwmmVm1OZXC{CXqVlB<*dj9+0D%$IN5=@E9o*THM+n0DgunkdL`BOcmmaZJAFayv zNFjev%c?wq|71&N>j7N|PZ+p`%?AB2WU;NYnnJ+z?-7}gW+#5rv^VmPrb0c_Vbk2v z>y%kX?ZW|xvz+F>irT(P)=}ngfWf!s<>h7vPis$4Ytab7>-B>be`u2RdgygZwf*qn zfZ1g^Y@a@a9Q8kxBDw>4%tx!@$R%H+lrR%ZW9tz;Wn z*2;dQJlWLx)YlMJ{-sbJ^q{Lk=<;sMN@b7gx9S&1+!CYOzMm~QqZ)A_ZkX!I-tmZm zci;^M(Hup!^idr?gHknAKD}Lc2EhX#?wSSLay+O$jpkK)YhF6i^y-q;#I2H{2Ff5Y z+--(xAsZEY&g%sU%Xy}mS(f3^1Dc+q{KLZ*;n8-S*RO+Ak+K>fBquC8{F{I%6>d{6 zsegL66*kI!vy`i^RBz^`z=dRikkS+}fe@BNfe^BOnx{zu7wnl~ea^#olO_=l>>^wG z&M+}6(gA09;y;jx6{#I|)c`_=T71%yByjzzeGRPN89wX0nWjS!5GDD#*5y6%;$p>@ zPj9Hd5dU-%kR0m29o$07`lSR9nON%mXq5EIBlinKH$=~e*$|};|20mI(Z?H$2NB76#CBviJT_{tW#)8PEzDtZ^QuUm1H`3PrGy28bb&r_Jtwj z3aX{=Z{mN+*CL+hqE~SVabNY z;nXQ~baeEqtc{9?qQ3Y#fvbXQGitTOJ7W(9Zn7quY%TXmKd-jTec2`-fmIdrVC_11 zUpJ6SoLNS`q>NBAmOdC>-kkm*C)FNnOUnM(G7gUBs(`h{X4K2WUQ}dRvF_`+Zbn9k ze$a8L3wSAJc*HxV9#w=%E?0HL(dSEzsrQ?+iy@;%;>8R-{=m^E|~JFhOfk+OlBaEkyLy@J86{6ymI(<68Tq7^%Sdb=$S=HV6AXr~E+>0D|9(!&6@DRyWd14+mh+rD9QH$PZGxsp&e=E`S__6jyb`}MvDFM`W~8jNfax( zL|#UU)4Uljnhvr|pl>~vbu)|ZDHT&`?w!nkt?Pf*5b!Qst1Dcqxph(w zo57lmG93N=HT`%p{Uiw5c~^q~?dlCa&~uH{1y`Yb8iJ?>Dsgjc{zY)3X8O=P1`#l;ZORyYpOR{F&m{QE z(UTGr7>?MpxHZhnvtR1^>kAjdK^(`0-9j)jdXMqRts~RXM&(P*}FeZ@j+WVNQ(gp%i0O=#gq-XdRxo z%w+8#&5W8Fr11z4;FMXRPonWoMWk8k!Dcmord(Gz;4d zhyQ^((j-+My-2_&nnSp0|MHjzzQ}eg+%?(*J@pIQH7Gh#T_2HB$%di z*FbF$fu!4lL3V z!YLk4#&`j`^(g+(tF`bK=~t7e9|h4r3RHchyr29mdM4RgNdMJ@>8nY?DQ3dnSOART z_9%AT5^}}*@2m+?X+f}=SFoAM868LfE@$J48F8ter38-5LL#Evg{rgO0PU3}ITnQ| zV(2iJh^Y7*f~~3=j77}?=r0!UDeH1C_JI`yhBdK*TshxWWQE08K?Eqp)O^JLR13X5 z8b^e<6C{TH1!xY8a~g15+$>Bl0-i?I>0^lA%!bWr82k@N5*LR+b9Vp=Qik`fgADH- z8Qz7};M)guilwj&GsX-v^pJ-P$)*K~TkvhTVyUEJDbFd!uewaFwoJ{r6M;3j3Hky5 zWGVbqh?-`>_lM5tKmu?zmlH~<{L*(~&@5XqRC6r6U@!poTixxLz2m1^#AXcD!ru@G zqss&+fc|3HpR&NNz`~2WVaWJf9S~;!_;lVdM5D4Le_;^70Xu#Z0y`gT@ditB3nE}z z7(G<@r$y{LUE}WE6afq`B-gQsPuDtXts~su##?*7r2>m%F9iE<(sE4HL|61gm#VWQ zF8p*GBiI?rF-+x{gj4JeyLbgK3WiB+^eym9|IS*3M-{cJdbg{Zp3#8>;Bty@nMFkD zC7a{OlFTFEhK!V2aNvNYx($A5H`x5@w;=+eRBFyte_8}(xHI%~L{Zp*3faPo3o>FP z(KVn+MO>BaFn3xFQ;D71i3sUx_|<_7H9<|pIw#Y z40x%peM5hl}$R>n7}&C+x@$MhIhRtsyKn|Ktr7 z;k+4%j2VgXXZ$k(4Wf>n1jWrb-Q-Or-DE_nXouT-s<~|5=(rphw+>u4IrAR~r(qNy zmm~Qf7Uq=I=8i412FF2yH8>80S81@r)(`>IBs603tQmKL5$>k1(PVj&a<34N% z>@wJYXl`e@=)cMk*=3SvOyC!L%H-j_3d%T71>vU!rXan*|M~~_cbU1+HJOeH-w5Oq zFK)nyQCbh~orYMGQJMT3Lch%Ak=Aqj4=eAK6}kX?%D1UH2aEtg^310LD`gAeJb!0Q z0PAN9R&pHsv$(TngE~{=d~j%EMiE1}UG^44#E@0Q@BmN5uzNdD?x2Y7Tl#U#+km4v zFp0aY;7-}~fjw!K{C+-V2vkQ``Tn;dv9$4B(J#OJtg%$1Uhq$vEbVouS&rmL{Y>`b zgbiMmUtc}9Il_*mlIWW%nlC8N^Or&nvLzgjpG)MyT=m@=6*_#LM$BE}!!a16CGvRw zfi^EtFI?h_{)bf?2v{p+jIR3d&#z{I4byB8PA~1R2>zmGauaK$bFMPID8;y76`eCQ=iIX zn~)F~3ivLZAIMQ0$e{oo4$aoVADGz3a)uODG4c4{**T-rdCLV~GFHWm=zcTJYdKWc z7y^Ywpl}Zp`zj!tqbz2`g0x4?ku@=P7h?%qoH|q&Af5Sq`k(z_ za9T`G_soc5anGQhQ{;~(DTdKny4`f>s2z%M%b;FRHr0s@;Wf(!8+PzbTSjXXm+?JlrRiB{Gh-E1`Rd4FYAX4;GVK`ox}Y zyVY+FQFkhtp+id~nd=cUo*h2R5nBMlvf4p7vET1Ph-pA}E`uMZWX4E#3(j0W`-g#D zx(i|F1_dmeL$5zpxu0Aon?5v>@#ObiHl53`iVAh{lJP9u94f{sz2U<`>>-r+JxXur zu?cn%UhHo>$Ymi%P(GL8Bxv6|u;4s-bKu3x-pPu()Tp%TMvFo>Coc=&d7Zg_rBx`e z?T19|5HplO|;4P?_RrwY+lK%v(W>uwKW$J*FKs8A(!l`$5*-%ZdT3wbaDB5!jm(Pa!Z-Lv?gc>|%eE0QFSqYoW z#j8;VIMLR<`7o;s$kOk=+V?T=AG;?DDGgnvX3edo5}li`^fY=<6tiywXGT_QI;2$j zW0yFFR9#TpuggPfqSQ9tzND0JShQM--+3@l#AwI#^T7b|lcbHitA06zhjAbxC|b~{ z8P@hl*3{HD?IT|AjGssiv+A9+=(==Gdk4a&yVj?%sN?ro)Gt9L%atxvqnntmtXZF0 z;?8c8mLHMRjR@~eEk#^jDuTjBO6<8CYNAw|HOG5o%3%$= zH&A!3nW86)CGFlI`dm5^rz3>_hG3Oe26k^|0rVFu>XZdKk|z$}0y^sexnkN|RPD`U z_g%m5M_7?xDJ5c^?kTke_*5W&g)^Vi=knr-S9xrt9x?ZGQ))ceM})zAf*E(O;7Q%6 zQYRb{UYE$ZJ~)x4ECf2@c2X)hn*}2X-rD5q}TX2V_yORbsl; z2>2K^fTGJwV8~l;XfKuj4Ryd)doH5A*y&brzf`uQ_tM$k4j%I!G(8u1i?lyrYDkzV zcRE{iS*kxYw+u|@r9WsY6L>3Sjkawz4+IlJJY2I3>>Sui0W*xem;uktdtJ3JVNe2L z$TwRI?g3iU6UE2Tw|ZTD{1t`7_}?POw^FpQaP9t{jfv`G@CuJrAW{@neH@*>^Ch0l z_d&$w7pl&uM(B&VlFX?H8OaWx2N7Fe(9sv|MVV8FeIBSTw9qk;4LHb1X3e$GMK#!Y z%18=mF_P)RnNw#0_b+$6i@DfbM=-X~XQ|lVwb6!|2?fUfmJFC$Ad6}sOZ2fE`dE(f zAOLm{Ah~rkw3Pz(QE3%ty0EoWk6s&RwI{1GpmE@JU+`m9a5cvvsc&+d3XZlj=l1EM zW^yG1(-FsV9h*l~bNjr}Gr6$0dIyhZ>^a^JPBc4b$pj8;Y)c#jpiI163DW5v8ISIM zomO-(CTJV9vVShXgDib*Mt_K54;pOIaly%VR8`8!7DT}H;b1V^nDliQ%z>n@p;cb& z`AcqO7kvtAGI%$T%^3k6W5qDcqHQ_Wa31*5W7I8os#(iS@7YA4zsKSlXIAp*c1IX@ zN6>zb*7<32_aJ=NSt`y&;qXMS)4Uosf2`VxCrVE;u+coxB>KEW10evpTw>XfO-{sxDPwpB#J@T&f7D z3&w6dl&|=0a#?-vfqX?Tq+9SJM}yRr$%V&vu;*iCnVy3Ht<%&rjv>+yVwJ978+`PdZ3=b!o@-8z@mT^1kDb6w<+kh)U$8Y_JtLPzc` zbvV%v(WsvKi-47Jfe7ns4A+yG4$eKQ3sFhUSY4hoZuDdBNhyrMXb^mii7*r3xm~>{ zh4}D`uRVtV@gaCZlvTW+JcX-0hp7Bs_=KpEb252~U6_v6-vIWj3pg$Hxj-1XRPjfW zq54j2ug1`iEh!<@KZ-uuio0cuX@=>Dv~z{~4b$hm4X4Krx_~(stCUZLfGiyXX}1v9 zzNmAra*v|?o1$|)tN5)j9f|fFlGB_Y+y7ZTWzT!ka)UybFdbUNhfDv{Eo8!zReYK} zMX)`G0rBD4gr|~oJ$Z^tSk&?gLE(|$`BgM3shmZ4k2Z=(Koy<-dK_1`KhrQXY1FJj zAyj{3xL+Vv@Qr$Xoyz+Kns6o}u>6B0gVa2YMw}Igz%<*v#j{lZCpKHAYLA^(D;jw6 z%OAJZ&~}GvuZwBoYb;L~6^c>RL6+wgiWT(>$wwRs9YOBdt1)GLibB>r6GBHZ{A#Yh zkV0(!0|+zL>H&m?o3HhLKj9lOsEdthUriS@6?~c#fd0Dy|I|;sTNLuD zz(fSUnn>Y($ba0hm)yX#WF|YuL8EbanA%m$@7b74G~-Tg0{hw*XcR1tgLya%u95ll z9uYD&{zg@&LGAOh&+MuKW5;ffO7>S3ADC}IvkVCNr4Y(Ig{<*nEQF*2TxfL{>R1NR z?mbC7BBbX1FbQ7&Y5b|@KEs`ks;BZqSE4;u z{p7T4?^Q}O(nK$(_IA@OV}@{tLGdl?4ns(w(y~NwAp5W@?C?^?jL;54_$fkh5`z8Z z2I1!?JPFB|VWSyGo8P1?ueEERO%CgLWqc*nK12+hRv1;ZF z`5&c^eLdXY_EUsiK5FeW5TBHU1cG`;hc@`ma^Zn(L#{x5$d%*plG0yI2@@kdF)egl zen@?I>(WVy;@iTE8I$FQ*kX6EI^Q@DsumW8kU9n5DpVg7+{(LMwM@WSP7~j5dBEt# zi=v)2#B<|Syct1G%dS9Oq$_bNxHew-W(2FCN|+YB{WZs|;U9n;%hvuHcABG70&FZI zOba@T;CO2Zoi!vqQ~2A3(2X~wmWoxd1Gr!{Js_kgTK4c!Wr|)aqSF$fuE{3I3H_t+ z#}}-@MXJ5K=22MndZLgq)VpO@ZyKo-<1eaioY1x5_t~6eH(c!g`{r z;AmQ3@=NHL$kFt>2ly+^v>OddHlY+Ni86`U}D@ zB%5df0L$bBxsK)Vf>2WC7KFRRzKQ+OnP*X*edeKs74QPRb4$ZB3FZC*Ix&6;{&Z5* zXXDB#YhqMH@Qse(8!D~oh}LwruX>mtx!FJL#l8;momp~g`e^WtLSRHFQzBE|a!{Xg7#_t6akX&`@zu>L-OPd_gIw_BEwd!bC0aO&hZ*1(4I&uj zc(@2NPFB|QO&@(ZS@|`}SfGqn6QkN9g$>BOsh?48 zF~<$NGry7zQNOn~XlqdZE!=d?&s{Gb6-`i;r&!jw&ilV18*xW)V*+G$8SiA{OIMXGpfoiX{ z_VPDHRWR-@OXF^7tZ@98QUAzIM>?US%Y1^*RU9#);0dPNo%5z5A~9Vp(xisFxP33L z_|RUvFFH;nUG$=pi+PdT_M)$NB@AA(f?JV_9CYI<3}!m1H@*AOb*h)}cXLgDeGYW4 z-0|+1SQN~vfAk&U8`JUuI2mleUNA09ZB5G*HN{fYyws&rh&r)*_L=!+c4=p4;pZn`N{x@fALtmv*xfM)9ivCjoG(Hu>= z?Ec$ew~bZdLNztCT2L_?ja@?@Rhwa_6ot=W{aq>4u4c2KO^p5b-p!m)gQy9E@k3?? z`q3~ZQ_0UeyGNH$1K#bI3s(bLws!D|kf=h-bY?!=Pl)L^lckT{Hhig7fvA~hyN_`< zpRKhh!^o_}#m{p-vW9uSD%@*7mg?72ijFoU@AZnrmp!%rw0*;#$2N3yx8?&W=T-Cm zTY|Qq*ov1y`+QYSTQ{cM8g0S>;^JB@CG^alc;f%chSSn8(e;60Qn1uje8)wtD1d9t9l_Myo7E!;_YsC^+=UuG*eQE? zcR5-edAk=KSy*+u-jg3t%zXNJt>O7{nCp?L>jk)fT3Kb;+HPd71WI$l)+^@ojw;y6 zKQM5jtvFn(h)DeR;_a^6%24c>a;(9Ioqp%+3xSn9rK>7oX44woS~$)aE1@|-+rRRG zfgo7GK|&MuMpfFXwRUMxLhOnR9z$ut{gW=_v`Ln&kTt;c_RkD6R-IG8DU`^){tu{FzZbaRZ6+jg9F)xMQPRhsp; zJ^q;fg>uS0uix(-l)BireQvSIr1{%%)A^dCtvb8|$+W@GFxEOzUEF^c%w&r7c1oH# zPl+>KJ!Vc6a&bG$G+Cb(4)Zu49kV5tEjd(Jddpu%MHb3il@U#hr)s$ffZu44d%%!n zT}`QKDq~8N6-ZE6*(?A$F|B^#6Kw@E0apscFeW}@V(WLj27}A}YJ8e{?@(geW^W&i z^F?>H%?6?t`368!3NPF+bHiOO)T;I?nMIe6GH#>YB0Z?>(f+5n1C_(AyK7R(qW)0S zL9FlLJJnmw40&F!qw3|fN{+vVFw`7G{F45CD7aq{W3MMyH#vSdX7}4QaA>l&rhH=| zVAXjo*SI_C2hI@8J?WUG<1=&l{+sKOnD4uJ$L4i+ELtxY&Q+QRJc_GN(rf)`QI)~H zmRPyf6%@#BerQHi%4kvl?cw z!S8wk&BEmQMozamTeHK8*i=QREYYxj@8y%aOMPOSO$Nc#5AQSIqek%BcAV_(ZTdL< zTAKOtqxxj%=vRYZV~o$*O%(VV=h4isS(Wwena|pr(o{abcCA>h{phbJ4$4r9s5_Zq_jNM|v#nm$7N^Ee8dEW2!dM8pd?ogLWeJt+?3y>XG=jLql6G0|-t~QeQdp99|e_T9H zIikXJ8ttv_Z>g(*vrA{Rsw|>X?F3uzidC}=QnQr%f;q%A-Rn(Z{#5Rp@%n|j z{Aq?o#gwT%N#ynk_(t4^NtqqbkFC+c(5VU9siF0Lw>q-9K-U7bRp+*@@&M+7x?wIv zr|BbR{M(ByGiWB$CGod?bMtgr_XCoy-=`xntvXOcTcz^((an7xM82n+!`S3fl_QnO zbZTmzQ)*9)d)kpmkAU56yit#{2^}z8^qIH`N<^bfz=kV^7o);p`^Or3c&+U3E=wRf z9oA!%%7*z6GQDx6_qC*>tL$<+mV%rR!*=yys@>QDx| zL1odZ%(a)msXj|cZ`B@My!dSaZ4lWDM#NE|4esgbF@#ZgP33f1&|4wV%fh}>+dB5A z`^>t;ODpumcn6i*vJ`u0M}6+hU{?#n;D=AP9PBt#8GfjL4b37Jy0V#kuujOo@R`?V zRAo(TY^PMx;gSXB@JUhE(}Tbp%Q_bhul?T1{k^aEmMdfYe&O}3MQ+7)DO<9_PSkXX zLmN18WgB?eiQu`LGkO-ei?f3hp#naiy$A))G`?RQ_C|f4-}aLSn>$eoyL`OR6`5G) z4|f|I=r#oBPWnTmXB4v~Y-7HB>FW!&=R_Av&bdYCqL31FGgJ4)!UI~PuTF{iu}0FA zg^p@$WSW2tqI4)(0|UJe4W3n$oGZKC+G@{Uz;D^N=LqJ@B)goZ!w=aWnCZJq19|+Z>#!nJQRtI|JXRgCc^(=C9Qvwp53~NZ~4+R4x^Ce^-I~u`z}E) zYX9OOy&XZ!k!+6q=51R>&kJkB9}%VC*L^CCypQJ{+EXr|YIlx$>`tp4N{GhvE;wI~ zMVqv+-fN$TXzL1di>wl+#5LtsCzVMNQyt6xUc`LrS6@#x>=GY#lCgKIY3rgbzOYBX zN=K;KTqD3jdTF)cU}`sEsB9fBTGS8{;x(9IJ1r(!LB#@kMj#RJbJvCcfXNI+=vd;%k+cZMpe{9xeB1t z%WJ~Sm2^d*6aBKpvG2=cXlBRLHwR$>!>(VyTtPT@v?#RKs$9OzhLc?ac^Tp-hZoUm z@x^;2Dd{>aZwQ>6-5PGn_75;G^2=!zdn3j2r%ra{g$Her}$Xmr4to9CHph}!YqQk!;Vj}hH zVUlad>e{zc!jz5_^x1cN8X&x zog}=OE_WT-TGfx z&t!!OqE8|wP}%R?8rj5oA21$;!Nu%}A5q@!kuUX!g@*MpitWoFFKWD)?4CHrgMN&h zI8JVvODn&Yx&4)2>s?R+5&n9Q#`ZUle*3jo3!Rg+Y2Uz!1$mrWJcH*uBPaiP5D)Fu zc2Q3#y~x?$^TAe(i0m7@x+E$HM{QWgLF{rwi-CY8VNYaS_$x@d-1MpE> zA*Jw%uOfpZLpbnp_w9E!RS^?15|mbG7$?n}FPrDZ2M0!?;#{Ffez{x^mQ5o$& z*e8LIp)k4pd`o+V9rjc6oli(@M_7N-VzYI4+kL%@SC#yg#%B8O1^VuOy~SM7)UEV` zf@~5PJ}KE`FcuRGVZpu+2GOat!QhEQ1rgcgDIQANrWEqyxvftZIkPY+8C|QA>K9h* zc(9MoA{jKry7lc+dh>u9x>mi480Ln7J_>vnMu)ceDun!WRF^5DYHB6<8Y<-ImSsdA ztUvhXfhN0+DDg*q)tcdh$kp$63d0OCMXO-&S+$QWt6tWPxfefwxbeWSh6$CBtk$kV8M=F-C;+^sZ=tLpj$N!aDCKjjD?RSC+tpmE%j2i21dK3_jVJ z9G^Uf!74*=u>8U?!PjL`0x_U-ZWI)@8Ak7CYsbwfAXjkwzBYzGYGiwwRJl+_8j^I; z=k4#DtU_p3X~fHz7F9H=`Kq^^Cj-2O_+sRFoIprcA7t)xC~_DwXYl3PP*>$PW0Rw5 zeDhsvk*{{#0h{Yv9VwO#hGrQ{(5!2{wp47Y*7gQ9V6GIVD(C!(jRG*_gMI zQ^U|y|Im~U)-?noc>izQC1lJ+(MdwdNg|^<1=!G(0LP0GOl`GIn)+003D`?pNP zhhKNYWUex2y}^KK;0kH-OpX|<9XAXLcR3wL+kGXJij(yV?ea`09Y=#LtCfqBGfZ{z zOhiTsh^ie!EX6Xgze6DOcZ4gAb{~AIs(*6_q3t@R4dhm+_A=UKrNH>}R5yCUlq;SJ8XTjFlm{@Pxy^$NO&lkK;> z=L8#SdtE-=rF}RV)v$&Q2!*hsQfhncKHa4}LuLgV+_1Mk-IZ9ch-_GExs~P{r5lwGLQ0JGkD22P(@#0 z^lZgg$*VyBC7*FFSAo%`(R@X(f{g1XdAef8xx`q_FbF*=I*F;N{g-Txh~nENC){$d z4Dx4vuG6~c!+qOi$LLSbM-vhO%EsMJHSV)JCsGhF#7oKX@xRFzQnme z!GYPM20`){cX=W|Wtu*$hp#u8Mr6|Y{cL-7nqpYSMNi69S)B~*sv zXA(Z)36ZB_CGQ{)W5YrsAq={9-mRhaA4U@_toBv=EK)1<_C2o`y&t#IzJ~0qTrc;v zJZYxncfDS|8B59E9Zo&h9wAwdNHvFYo1!WCXWJv(5GtwWaNVLXN`728HTH2Nga>uO z4I%V5a+)NaZMR8OU?b%Lj+1< z>Z!nwsH}cY#1`z&(BNMwn^;Ig|+*~hpmn6|z52YS3 zE*~&HXfU%YyYlgNW^>{5!cqMhle`VbybZpQhs4w?4O}Y?^eYX-*w<(XH~V;>?yF|A z$av}xQra+snFJ9F=$!qth$)DFAmME#nrQMK87yHGgb7|a|HU-!sTJqNH_42j_@rt_ z=f8}3YS{Es&p+*GqWQ_D@XqJOjU<%S4C$>stRhzI8CD|-Tqs{gLFlkvAcgAX%jk7f z#F{8#5sFy03@vzu7G~&m;?QgLWkbbf_u}xI!BPI{a5h%{xT3IrzVRjL4zZGrH)|R} z4lnJ{`H2S(mh(lo;Sl+Zb6+xjxe|G(v_J7HpN~`A&Adxshwd&WN}O2nGt>T|B9Jz3 z86>%KpfjW+q@MMFeer3a1`EnP5})F(;aEecj0kiNY7@%{XV$5SfDLM!lR)My#Di1( zP^Ho7`JN;Tzo#zt{J!5CsCmD+FlbNjM*gc?vn47CFTNY0fhuc1WO8%d z^Q@`ie0}sQW-4o2dZE8;wnBpgFozcU7((+h%{2$aCq(_G`~>_qc18Rx6Eus)I^UX_ zYH($%PI_0-o*FrNxl-Ag0pZ;QqH zn{e!}ASg^Y;vU|Z=4etsx3QkD@oJcK`t20Q9LO=ZJRc*04L;XA^@?nYft998QM^qr z@-uQTY2=VHi^Ezyhp1y8Jcm$fh^Y@u=jUsDKin-8(LoQ^SB)vXW%C|NMt3&v=Nlp#6rZER3?fxyi?P3-gLiV+6U|hF zO6gk~`GR~$2^USEeT8g^W^p#R#e#fI@V5)j^7i&>e^?+nJ^WTZ0F;l(3TSF=Asd z5Ps#RWa#MRus@?scmC>rusl3T$6SMIUu=5aLVX+W4dJ}dY6BYdedWC$xAj45YO%rH*K_qKnB>7vc zbS&hmjTTqn=J&7(XQ;yR3Wo~0)K!?&)i6d=F?Vy5`LY5`TLD|BNfe_gwN%kz5F<_N zz7Tq_ZhOBu^u)Juejrp5ZTsnf{Gl9Lnq_t%w00Zw=|E`xQJ6Ff?j@PqQd{jdE4DEf z!ho{#77bN>yV18%5VzQt|8Nz7)u6943moqp_or;2Z zqA$ILkf?k#>EYZMEJ><95YR*er@6CvYBSl zZ|JeHVUlU#$Q;fv+|wshu>Zj~gpFp5cB$h0;`sQ+MS_jMC6oq&1ehxLq$0LF4x+2Q zMo(okr~vW4MEujj{v7!cbvYfCa$#8it1DnMLSq=Y!H%1^dWyFu#q+L20kX$P)t*2J zCngRdA`ZbPFc?@Nh9Ib!!{lMgS4dT{TJaD;)HTL3d2+(Gy}AdBvQNrxY+QRLl0v@N zA1smrjL2U6%xb6xoVijbIZ`LJB`Y-~k)lmy8j_Vs5A5O|*ol1Yeu z-?+Lac)BFNjdQj1G~uPcoxqn(x#KQDDPKM+MoAGSYsJ5xk_}XjG9&__e~&T4ChtM2IwD zCwGE3dLB)P2HuD9&gWaS3Es%hi4a<%1?;?qAPuMJJ5uObw)2uTyJj^>Ng&ye%{=YP z`Oh~0wCp}Kc+G9_8oyKoS}GDYN$o%0B>knSleuO$>1toiQ*+z#QgUba@EgNN5Bi}I zdJofONwBc*+&b)M@aXM>xp*%=^dJMCwV%_HJDlc61Nec{#=wj7*cU9m*7m{s0bl6N z+RN<^SbOR4Wj_&-2xI~;}BbRM`thUs1yD4WfD|ESxr*r zubIr>hjf1UvEmF!T_lA69z(2n7}5+0;GYU~e*egyT!jY<#G8+}!hh3-BM*`Qtj8P) z5rTvw^O1n6JS;<$CPOqYLu8yC{*cCV)PnOAm7WJZ78<00O{a*xEFUg;FI=)_lxOBO zBia-a>OeFyj-BJsVUq@{Q3W-*S;8ELkTF46%`o>26QYh>ZZ>F4u+t`ud&cUv`@fX= z5R*gEu$pWcXlaP3UGifp-cT|!A7EYAb)yfgEyt+B1nCfhbVyh-gcxVpZ6?f9ld`fo zH!3U_S(yxolBLNIR)QuNtFfMv5PKe$@@7chD;O(sE*V1ikdHkNLm8t9-T=4wa`~1; zL@I$AmOvewKutRkjc+LrMY5)VYYQq01JT_7G+D}rRndcN7;#nfGT#ZZcfuYdQWGRl zt4s89FKT%h4Ju<-V}=%nz;s~A6Wl{=pw?Lu4%6x625HJ|p;jaz`Z%2>9o)sRWNoOG zz-{+`DN}@iS95L^f&he$bcVnX6>dsrq_!%swllxcCkaukLXbHTDJq3AFa|O(%ES@U zDPqtoV#MYKDQM6sYIG=QNHMU&7+7iJ67Ut37!;MDx4MX1-OPmCuC%y>RYuT*e3lBn z9HmqUE8!S6y<cWR;z@T?TdZ$8Yb&6qK+E5n(FA;+AARy?r9q6`F_XJ?~ z1Y++A&<3XBOR7R8Rl~BF5Lrxd{}xb|c}^tvoQSRfgT4Uc-=@G07y(mS-?;>2&Aw?kamZ&Kl*y;(gpqq-qM8vQY#H0he5gXYE zX4_XClF~`*=6T=)9f|2WqfLL%aVD95{h}C&l@9Xw4WvN`asK2IvMgw!aB#7{p<_j6 zr9q^S7ikcJ`w_O;(QNASNa=J4r~G}DaY*C&>?hs6jD#J0&9yg`~^*DQ#WmN%38uu|c$68}su%$FVE%Pu2MDkn~=C{9ZE z04p{o7}@+DBKG806XBU2!>!6c{h*WnK_tV!1eAepty5xOZ%1FR)K3f8PYc@HF&U6! z?;+&O-B^c}=!cbh5@asTtPeibA?c#Eg@4(CBVF`_3{~;1*hL`W84y;*n_9T=&`xrg zw3b!Q#5-#ozEJ74{RmDSB9{`@Wj)4QVO2`B&y84x@|@j{mgi#MkR$N#heh5*n>mxXcg5tf2t*p-=O@s?_B{;R$|W-*o;u#R zt~3QgCkj^n!Z*{oXy$1G_~na|%wC3nT9PC-%kEwl*qvqF6_4K`r&r&4KF49spd^;yUrVqtC5`KyyV3-} z41B1wFI7cjZ#u`9N#i!ug1jA-N(DG;J+7AZw7AUp9)>&VceUn+d3p}g$w_^eAbX94 zGW{puj>qC@FPyI32W9&D&nWy#AodgCGd0<*Ucu-ryWzfAY%x6gdud_n0|_g( z%(sap%Pyst`ZBv`LX6H{sLY7C&yw__<_UVynB%~e5}!*tyj>A@6NX7luXv177$j6) z2-7)ur=7mG$rrvVBv5n^Cno>|AtxY%9La_}Geu_`eT_*RhZ4+Wg?4$S21kJ`qAMC1%RIXV972=I`POrxWz@1g`F> z3w(UwtYQ45$b8m#6-Oh8pb?Zo`s9F6O&E>fzv_6Da(I;4p*T6AIAfh5KsI2~zbgoS zSI~M-gV+p6fE3VJf+Hh^2x$2*&NDh_} z*X*POCKPgnrq?{FbF%!6kz+qOv-^z^Os@%433kmv zgN-X$PQS5CJf&PbC9*sh!j2Y6+Z2gEk6g+HKERX>|8hp!CLuvX(M48Ar&ddAu9ei7 zX{z8qS2Fg5^B_j6_{t)ZAWi1=$pzCJ+23uEW7nM7*El)XIAhl#KsMl=8*u60Z3lr@ zuQC2EuHOB-czIyi(){u2^0nPrOxs-A`58~Oio>+SCKm0~*mtZP`Xc$*DZ=rhQF~lN z_j;Hamvq;AY2^0;()dF6*#9xSLzYYb}9*U9*D76k?3kl9>-FpwQ2-Q zR%}LM#Ze~!(nui7A2}7FuP~A<#UaV_!P1vAA4072=_}NK^4CaWPsN1CW6?Ywp&neL zmns!aRBd0O86VQ5SfUz%tMDt-gb1M0qsXN!LcS!&+6-~?TcJmhhxA~7;OYF5Ov`Tt zrQi$dK`2@{ekB}CCqWM810=m%WkZ;`3r|G1EOBI{Iy+~!o{`COc1OwG62GUrC0yrV zo0T@7hJy#!S8CVm<)cC;+g+OQ49~4~v0VAK%=Hw)lZq$vRu-!j0521ob0xQS))Q1o z^4>`WgnKhz-Td&_)j?qNh#zVv%D4kN?kka@l*{ktBvm6kq%y68hl8+31}sfU39_^( z`+@@|Dkn2ZNLu1pMT+kjH6qA_@KH_Rgqc=#ETa!;zmBHNgd3HK&(l{d(o4~kgW#`w z9j_ihEj&>Wh!LX4i;Pbd((zUXWByJ>c?%#@_r0PlhsigK1qKYzf_zlZMuQH@ z*IzT3i^feL;6HuudK@wRI#cx?VSF$X1}s~Sd~N7Kn6j6LuIJLc*fq*#cH2Gs#H5tD zBh;wj)cVU8nZ?Te)R_z)f>6t;qs@zBF+ty}CuXBZQ^q5v)h0_~ri5%&6OG~x9CB+d z{T1efa?CMrQC@WI?%~l#d4XjG9*-=-@Mo5Y`P@0&*@xfEjhJP}|7w`NF)cRt+j{Sx zJzo09?CT&V;C3OYsireaG{(inv56PL<2$o+$grS2c_6&bydGDtmZ9vgnN!~SinH;f zTmO8z@~$gqW13sP@d)E6>Tqv5@11~!!u4;*=+bE@A)^^vE@6VG*yX0_ zG1_0!4nep`uBvCyoa4cfS)>omaRYk22SEyHgwj1k>F*%!m~Y?TK2=cb_!f+EtfrAA zgnDOInYe%va~vDhR_aaaho`D6&-sW8Fi>qnPy{|a9e$q0L|j0QIUa=KF8!wId6pwO z%X2c!aU9ewq-qg>W*@&oUMhTlZXB|$8@h!9p~oDTej;vm!CsozZgJfbPvfN9utvh& z^kaNjpqz86xv6n&Vl`D)2$YPDw$@U0k#;7-Mn|@;!^Qmqb7+jQykGsg>;0;wBqj&Hv{ljS8h-J>|C#NBPgle>O;>9P59t&3 zu>}c42tQu#9I89s1Bu zQ@@1}teB3z>?DKCw8p5vv-)Luz9x~Ne?`Pzr$e=5fOw@C4~Hjwy@ z;<$}sIMbLe%)Vz^jpmNSu z&ygg_5NEKo!?hUJsIBKHz+R6zSQ@gb18bb9m}6tFCr_FUS{3YcO{OZ+7)`z9a%~LI#mS8gu^6<-zT0KUhn=nUKe}a;@{x(R?G= zh%k|ghaz}iM+TwyQlO+}Exx*xB4p6kgPE(WBjGLE0*%~5i=jarA7+QfwBR&_r^GX< z>KAq;e_kIr6Md-hn%gvyrk%k6*A%XYMX=(Y7n*Igw@&d_-?R+Xuki%KuhAd|xg_S- z2)}N-p1MBLl=`c(R{7++;wFC4PC9X7i6cX<`CX5x^k4mK<_TpC)KBC%i=4$%G%5*l zezFLYfBEhZk5DUnEpXu7^kp5uFr|*L))ZvrV6{W-G>@$x8LfFrEUnxWtK-w>b6yy34GH5 z-`3_bK*pXfz145`WYFlA?&ymryHcR6EX1YIG3(f%x5Ussyeo(Ombe>=LHbIa)qIZP zBk+NVeH`rw+D8wnQi9~*O?3MfaO!_fIt|u%#=Xm6kCyp@&xtKP3OTK!XrB)i)C?LX z?zD=iNHL#QArREWPGbcdfx!4=r&W0D^N|N-;1S(kL5`k=K?oCi^g%6TY7ay5RXd9) z6YEEWx+j&KfcfVLr6GWw8+Sccy*IL~AcJUx@*hj_8t4YnhB<(@R;Z-?Bl868H)l`N z!A6tZgaB-}`IWbP;_Np=kR0^Xq_~^E@BZ?`9Fj^dePxLk}|r zzyYtppj_cra%)U@UbfJ^Y%%}pE&J8GJNGyOh~hTMtt2K)K4L1>Whf<+slVYhkbGrk zFL|VNB|B7JEP)6Q+|W4>+n8M8U;k?*^BaB*B`_`t)k<;$Px=ONx(3xGhZWr;SbxivwxQBhV89bg6K*iiz917N+lod^^d`xOhhfCD zOW2hsmItG8o**W?O0mx&x!%0b&_!Zi#@bTO`n6iEIeJ^@Y55wI(_FOZ!^z8bPk4x@ zD!7)jGTe&T_IUIMB8#R;y@Y#~`is-E*Ykg+3BERoZWwa4h+>=vCy1*V$n7v(#SpSC zUfX6SaGQsc(5PL?ZRG|Lh)6}PU~dU&u#BUF_al(3P3L@~{N=<{Z;)K^znKx!Wu%5V ze31|lgPoY}gDCb_S3G9$x$-74HOvLSy>m^a6Np}#q%Uzy607i z{8M~@YlCTzCd#|LuKWtw;;=cN)b5}I zg&MzH7te>H+4G1Ew_#E1?6mK+#dbQ@b_n0GEt}arGHZuLh9mgF{&D4_=4SVcZ}78n z09%8f9hv(3X}}+ILVoWtx@WGp#yY(J*h+s=;hl@uw)l?ZSK}bVjMR0BN7NOw8OF^t7L#=HWa&M=?wztT5F!?nmU5`$C^Lu3ZNClYaS;bl@FaJDHmX!(a?!McuL( z0P*adBaeka-nxm7E7u9)VV@DJ$xEhf|8xIX%Mdz`{EV`*kK_^4Ug-zEvo`^ek~K~w z_)qA(0+V5LHa$2&J38~;f`ucA(~cl&73bd;R$i+zJ1X@_Zi_2k*Z|RQzwMK{eIMRI zx_B*Qhy|^mJQuAZ=h^joK!1GxW*^a*IR9k!1)mf~^Qk{xOt%yzftcqp2 zT|F}CM5sinvS;{ti6`2lMQ^$NzF|bHRqs-#meQS6H0e$qP2K-l(IAIdV+SD93Afa1 zu;}>30jBJit6<46QccK1gX%4uL)b$I?(!Lv+{7uj76ubNPWC zEBx^zupT_+%2>D|jfi;z#sj;as#5~^j+)0f*>}EcW^95trZ0xE6&5n(muU-~U~yz8 zLQY-U9!A|iX@}cS$p}~@$D2_o9i%lkbcvkz9I&~gvwU6vV0QBDiXI!k^n@cX|2)ol zZAVet5_s|P`r>l&`b21v+A`|PcKPuiSChdGcxg$Urtan9?mv77>aoeZy_J%pbII_r zriH|h+XoyQ=R)b)FBB)3EKH}kR0Xk$SH@SHT|LB4he*InV1pgw3ZR)=gidImlaB6n zf*)tuK+EcxJEw(xtvI}m!U|z%l(87f*VAHp^G7a+`(#~r5+NoZAJHfeK(?-R{Y+-2 zV5w0H{)4aQ57&j}5wRpO+8qU^pSOy1R4$vg$&UUf=fN1&$6%fhR$>(?tK*_r+#srZ z)e>4-T0^=rjtdb@Hq zbGCN|nU((M8yejCyD4RR+o9>+X=F z!~RROLEgs~Ivqt*OGUMdw$4J5VIUGFhWz`!!UAIS*BWkrL+vU(7c{g0mwKW8Qz%lk z9k=+GR%q{$r+!c1#L+2VN9nK_A7EE}WP5m72UZQ)+NLXei*oVIvVdE**mf#?-gWAF znF&8q)oZ(+jVajQ+d5c=#9Uv*Twm3$0BM$R?M(PomI9EqdKciRM*5WFXcuof*8_M zZ@d8)??j~lWWE~lv<4GUZRXlS4Zy?2o#s75<>U?PfL4XjZWsjqo_tX>F9ie-uYG=t zxn)tg_dL6oqH*teXu{9Ytz|rAUh`$c-SYQRriXV_ihcg|U`g&ZnsU{io!PS}vuli2 z`{o9s<}<0@H^6r`Wdi$~naLJIQyBr6T9jR4H&lVk5wAWWi_cpN!FM}K4=@4yXRFAZ zbRjUz#qRhtaaG6OK?J!eBJXy6h5XyFowMK77}(=JYYb^s&S9k%E$sdz^%O5|!q zYJ~8FT8f5L7XlCIZpL}xZcKxr7BO8}p8jd&F!ptRDPGk~lF(wxDwt24tjRLDh7TxI zfBL@sd+x=e7Y2!7gM9L-hl}Wc(wXu zh~BWG9A9lWho|z?rLG46=PTz?t3^-2>7XB>7#%<6py*fz55-soji5f>l*+vug3@|P z2r2!EyTeaAz<$7s^e9!Qc>|h%dCGU%+#p-6=;Sy;^rNB2q9w^;V=O@vWZ*@3w#^kz zC?Lb`ew`d&uqVq7m+bRT`TEH|w$sKHC}bMLP+6gIwHfg}XI0Ar>iMc>oHJfqS_cDX!{kjfvKc)rdy-iYa57XCz6 z`hD^9b=v|rk}WEEKJz)cQI)QSbk5@S-Idc1T9bYD&_^W zpFI~aJ*U5x0<-hF^AiA>-o+`dsw>hr)Se`*hw@}N?D}TvPUl$v=+Q^c*W^W z5PI-jc-f#S|y$RqYuIuY^LP4a6G}5`Y=`WG7^asG)RaKg0 zw7PciJ&yGIf+?gORY=Gf`W(8*Ir!##qGC1~cKr{B0AcveyhaxwNCI9EAB^K3!uorI z@YZF^6LGNpRoX~#^UvlG;c{5|RNqMP?pE^_oO8qux-QV=g|pHu=V9`pJ4kh1`?b4? zkl_0%A-a+6p6SA>qbB1CgygJcH;+x1RW60kgUZhCTE_3(ylw=QwPC}}J@d*VcFvGW$5G5{9(w^+{8FU}Kzg<_iJI|= zni0`(W8(oQfneud!6irFX(pgcQFg{ZVh%~g!n{1)x$sx;fv4o z{cS!ou;DQ8Qm&IA)ocDEhv%CEk9PVkbk_5iaB-tUsA-AP_>Y{BZw}VtMu^j^CCd5z zC{EuT%GR-9r&sV!A@AjzezMlFqwj>fW2>x9{Ti?OEra~!5p>_a?1ja~V2H&4%=qs4 z-ub($e7r)gvv-{0xs(sSc8A*cgxW7lHyzL#`zi>D=SJdvN8o+$`d72yinQPXE#>(; zpQ%s9>=LnMi~eor0!ebHv_RknM_GlI_78(rPQxYDa%r{TiMxX|72V_&%Ka z9huk$Tq$22CtnEJRi)K7rWyOl41T=V7W+zRUnXO&c2zTQZt;=wqzY$M|Hl!g$HPhD z?t<}@4u^%+DWZ1q=q3Hn7M58*&-_mK5jCtC=wd?OQ|hJOXI(jT}eu6s*eR4w1*N0L~_A3{5Ke8kHOTshb zC*rmhc2J$1DUYZM6qC6N@o`<^9D9ni$x5@yQs)1n%KwFtVuOidgAj+G2!|i!Es(j( zA$xcD_NbcuCTUb!HZ5$dBxn*)MCbewXtw*A$8J~Et(y`Dan~iw62%9Z+85RWSJm){ z>yjS0&OzqHpHa4}YKm+9Zn(~hgx%pyKgqRzKRiQ3qSpP_#RqwU9Befl`D_$9e}3=+ zc433#|H$m;ysfY}0Z8g_R;9l>XeAIT!Djie{A1c%hQ!te6ET5Mjhniu18&0?+ptHx zU9#HCwO`D;`bIVP;EQjkgv8*U@cy^D2R|k}_t|Kg$?+Dt-s-M=F818F74;;+TR_}* zTK=4N@IzJ9laHo3{Js;De>Q2kG`(s}SjEI}LPz0PK&3ne^YgnsG{HU(curW};M_x$ z*a}Bda@$ru%N#(r?6DQ5q~fLs=KewNi@fZ5#+d|5ewL=PZXyvPFjkVTB0a5}wzF*_@}?UVVZ~TO%44GT#uyDT^{xD8 zE?0D5yZZj)=s=;zN`B!vPlYkD<=;3HL!(iaSh%TBJJijDF@tj2or%%q-z>2x+_XHT zLM2|$SRi)QB3o0YKG}pr)H1_b7moG$1fA|wPVAj4Hg7W5BQF@b099BqEVHCXP3It< z+n;cm8FtgCv%*~br{DJC1&M@yRA$LCbMcvuECLmUS!~Dg~ zT>P=FEFHF?-enWYN)<1I$Ry#sdv7Ntk)1Y!F33F0JiCx?ObfZv0sLu<@5j*v5&J_y z->3kx`053N_QG%c6-GSuE@|Qw+6Yf{C?4bhzuPm6jwTy=)7tKd9u^vKfRB#{S)qMM zgR*q8UOTI4b$FQZ<{KJfn(bw%6=K?ULl->l7qM;y@qLR#)@{TVBCUf&--T}0y2XyHH!|Ld~?b)Wp^oM29bg?Su;z(RtFptb`GC>JQ^2CALzThBb;d z4Xln$7||H79&Xrj#+12wy%Ipm>o!W%QV2HOba4-AgXWOD+aAR0#KD|m*l6)wi9QaI zX?VD)m_SK})yCBXlawBOlWJcekaiLsI{G}!03Zjc%ee0&bVsPd7p=c+r(t`;!zIf* zvUEGMbYWk*xi{#ry#=tnNzhs-&{{Cxa)wBf{j0eNie?-niEPh0QkYw$)^RIzS5+~? zG0AwRZV^ASc&x==Xt-A-jc-C#B)^;>w|$(;r+)d zVtus8*=`5}a-bVRdrt$;H5a+p4T5T(A1_R>SkGsMe*I;7y>F38Cr7dnl3}>+GP4^S z@3t+v_PR~n+3~Wd{LdQo#-WB^H|;S=Xkodqt6z7WonJQ-S)5@ril4S-I_`blWAG9K z-m%J*-p!eJ;f7lLoyaxSb%^wIcx@Ch4H)$k^PDt3F>iHdMc@~ zSpH6PP|qbNjknU%xUJIxmFPo7mqFVILmgH}eF^=!s#fr?S!=FJ=|8QiE`w~{9Cj>E zJwwfPkKUV>2ZWx+fcJG>XupqoS9HDpd1A$U2*I6zzI*M&wdg-u)I)(brYDm0V^bfU3fJ4c|az`UUP-(NBM4c3ObE-t4V>k;Wk9?#U2U#Ur=& zjFh_`mblZwkFh(!{`8@GQpd{}X9jF4>IMqx2Fckq3bHS0Zv__dRVv~uGI0QGtnyE9 z^F9a02Nn)--2_r6(mW0>lDuHzykJ%(EFhW*q#6mNAAC2unF^e(8Z(|{&S0cGSdq2^ zdlSs$kmRZz&kYs|$gTBy2DxSuq&@gapcE>{uE*h1r+@N=;(R=8_%6N+VBVK*GCo(} zF-|sbdUq=d-LGH~NRB}WD;{_EE86Z?mpfgL3~u_6^n(xvK@A?`T=OQNbS*(Y~6e(f;mM`=8-~K@MfoRPd@h%nL)Tve{A3ge++asXhc@mNav(e8>vJ z*6e_4bU^cicyfbyR4^#^mlKHVYv( zlj9T54aa-AENuX`fBW-}Njw+HHw0`g)zkF_VQ8FftRtb ziI8}CdTtM|d!;^vWfyG2c5?e_grd?rptyZT&5rEA4jzC!Mt~li( zTSp+We6Rs`=>hkZ_|>KZtH#|!jYda>M#p5=`FF1K+^bErt4-=SPPQFssY|M=o|=5k zg&0n@$OoekT8yG4Rc%jAfkqUh8K}Zx5mC~&LQg^&$|{HpMAM$Ca9TL`R#Ylo6mBKg ze1*Hahqk-tAA?R}%1|Jzg3(&`R2sLE|6c~l<}2^aS3EyV3rkhH_-0LezPyBHpGHJo zcXA2s&N9E*MM+n|{4A15BTTZqXm*iSITMw*Pwad6=p@auZcy2>j@GgcHefG3VBeYW zJtW~f8UkLtyjXPLqqBd%#1%DAsl-BvsX5j2xLZB9BycK?XY^2b(?DhK_`8iYXrOTIc)~; zrL)Aetv@~k_@zNlb~;Ym_aNI73p1=*;4c~!e^qW8gm> zN=X#!Js-c#WFc(ZYVOBI*J{%CcBLCUJA1?SaTMinBkhdN3~j+%YWD^~TB%DL`I5Ef8M+T{1v4}o0 zw?FRRGjxi8Bp|!)JT$+8{Z4 z1!cV(mH!r6@ zPd}pvv*${DM)&K(#Uv*133)F0CXfKLJ8<%8*YvxGpQ4vTX@Vb#8Zm|$sp7NRf&dzRqY{2&>OPJsCkkR81 zDoh3eys+C=Ib`rSK>NQLhY%C~AQ6apzLxEfsd+TLpmn5YqJg{|2dNWLCLpv@Otv(J z8b{NJ)X)Uz%ls~M!})5q+omvC{OHb)s+jKlnguzEAEKFppBS)>kEz_2Qd!5xa-Yld z1wTn8Mp{llnDCN-;{VnN64h!IPY!xR+7lEP@VkiPF4!3EBMTUS#J{cW5T&pU7N+Hvyb?-dihvxRBahS~yCR`!6pvuk_qc@vl3l0nDp**Wl68}ieB8}-k72p>uZ@@C%ooW$}rf_q0`)tn%tE#t5W zU0T&UOVKmu=X!%?D>>|4y$lEMiOc;yt``kO7}Bicq!!a$m?&I+IH%tUupOaqr&Nrh z!nhM=js=eB%AZNGdXdR!@J1d9oXYpPUsIZlKbNKN`Oy~%x251g)9=7);h@9L3)Gd4 zbWY=hSc7Llqpq5Zbo=y|_O73iZfy6fg$LO)dN%EFuV^L>s_JbyQpOFcvL@pcOBu2L zsW3jt=6#&ad!WsdSiZvY`wH>-^8;l9wEJ+QZ(-cRA<9sC?vR#V=o_AQ*|tIm$;jsd z_X#%6;JiLNZ{Cn9>>;9T-sM3lR|6Vldwy^eZeON1(`iig2R1!pa(~ZWu>IoyLq%ol z$#<>)H=EqDc-8+o8vOrd?478lXDM4xR?7>vYksas$IvZbryfdN3p0q1796t3#VPsR z$*6qMKiKs^HRjIFh0v3;)xX7O={y#SNskny3!``55a(9a&ki8EQ<>~@yEWUGu+Ie? zhhr!Yu=Cy?GV)}WtbT&ui#0aQGSpea$PlLu}QGoTR4%_^=nKs-4AxC zBCe~WKR*^+c zcMdVzeQjo5kY=&|o;Ee5fmsWmU?5wPx&1xuIXVmaf{u)-g`@BWru(w5s))xbv%;bk z38=9mHj@8S@yQ8$mQVMj(LXV=WO0SAxS@}Yv+5aV6&hz%L}baE$dY9`yB~CL&`xpN z^-p{JO?zH~Zy6~3Tj&2|3fi7D{twIl(*B#sc?d%#^C=EgPQv7TT<{|H!C zkGQu6^j?|9FBX7fNUIf52yg0({^0hUEL>y0upn~ie2307tf4O&wl^W`ft_pnRzT`g%Th|Cy2l3Hzq&axe=r38n z+0*8KUpBSwf51CDLzy(!9S8R@>-Ver$$3-0pYTzZMNRpsmqE~B&AtDBDuYwcGfY~4 z(yng)P2zGnV5Ip&NM@>mVye-F^u$*UOn{d!*i-pORx%}yK+#W=5g$}@LY0F)sCKRx z^?b=6W+uCPmv#C53v7emXEh(@E)sR~=?=_z(F`6&@uHn9-aX$t;(1cv2mQmagvHTC zmdcF79YVvsAK%{m{nGe{TX0*|J>LRh-uV^O$~*hECy!;k8iGD=>>EAAUQf(0hwYui zss5(>RpXyqRhAzmjZOpq_}XP?@PotQFp24=NGP^$Ipy%h)19GjuikHZ`P-A( zR9gw2)QXeR;&th*DUK6q!qHzGkq+jS92L_{q_cM;e}Q73vwTwDp!`N7t&(x^1`cPx zlu;Zbd|njZ!+6#|vYo!JzvhLz2KUNX^Gfo{u*@K0ogrC$*gth_Inzdjc9h=N3+;4* zHTO>YnLPdN8TM2q@$Ck$@~f2pk4Lp~gaR4?6}fk~3^e*sS1t)pac0ZVgDvv?z?=62 z<{#NBG?_fu?!#U1_a7V6q)z8R@0P2oXd&KAG3h+&QNYaXA`0QyI{HgT7-i3+>5*VlU%3<8WgFTUDdH}N)-z+6|xtgrifc1@?^C<-1YM_YI~)aE#kHn+3iTbIBx({a+rp2gWP zqmF@{!rn9dQ&tyKFs}DyZ8#$uNkzea7B)wN{F8FUgNw3w_3j z8cXX;dz^u$&V+b=Jt5hJd7)zbmiU}7gkDCSA0|9A?bGADhOK(xEpz&g=Oz46wld*Z z-ou;Y>ci|oQ2ueu>AU@e49>vX(@sj{fM-F!mvhGjkM75Vu!c~c-wL1mb&@EvsJf)R ztln`zE>#Ksrp3J##wmP-(n2=obGj~hk-Xjvyqi1-k-!GhmpNsgjA5~{f?HC7-=^4^ z9Uq642B3UA)jH~N4*FZ(ll+EfoULV<7F!JR;**9ofyIYFv&E+MTHGiKY z_}T0p{CeraP|0BCqg#`=KfPfSd@+bxW7~th^q2&>*pyl!UGz70q<_&IJI_^WYf_L> zuFbKlUQ(-DFWxrMc~a|;+FxZ_k$Jncd--)@B!=C1%{n$MYY#5Ga5bHF&U~-sSioc0 z@G2?@7Rk~Lt@HH1OfWA|Ozbpnd-sI>o&}4gpfEMGlhol;`5h)~pS?lPrFN(-N**+4 zY~(F$$-r`-vfk}|d@EFB`5$uLcm%0%2&Oulb4N zmgEzpa_`?4mLl?Ktrpf5L3{~{)p`>p0-L5A+Q8^s=tN|2kKY>#$FMW_wIX4^6jTfS zQ0DN$WsQ3>chYx8j7q-aA)BJ*{Krah`~=TSry4nET^+paX}T)(#JALa>-0?)nqaza z(3>e{)*k1Cy~*eXG=Y?J3Q50mzqR?r$Jdza+;r0BMB!t|EA50rAKNQC-;eaME9UAQEN|go;+c3@BY1C>SvM{ls+27RQt`CYx1x!}!C0C7=6GslUio z{CzctBG$+cr;T^4Pl=O$bw;K1fUU!+HcR(3 ztvfDzeV<;u0dlj|t0z^=?L#F?KG{0`O#(p#4Go6(uEOfHv6 z2UoAbPjTYNWmLj~tFW0p(eV{IIpIo15`q0oe76zkiTBO%%9{$-^E{r$MnfJIp6i;q zeOm8oa_Y6F3O*DHO_Kci4t>oksWIhkV~VBYnwg_jO4{8qoWk4H78)TIC#|;SIiZO7{w?Am4VI1&_D*aZnG74mo8r0rbx_G1>_9E7$aR zgn)6I)E2l3JXPjAUmbW%l77^%ne&iVL9z4^!R$R!q!wpPkq0~9CnYY|+pZTg^$>#@ z6kYE>USV6Wtx`TMg3}jx^*jt=WCe#>9;vW^Up(oW2+0?=!Xo6-g)aL%7SnWPtUVR$ z4dUd|99iI=jLIIbWqYbSOCCzD|E!~30}^w^=0wuO2YsA==y9SRU*hAz}W_N$oaHLtA;##>TrTF?$PTr%P zw$n2v3ZZ}+K9qSL?~~Qf(@|^?jnPR!Q%ZXXsYaR0Q+3<@D^&BsFF$bT_|enI`f+hT zjMC2}W{I=1$>062&lB_mu-9BsT62h!uO_IwSU2GtN*BfR-Cn&f&ZS=;v|X-dUTsSF z`}V%dn4J-KlznDrEwT^^9x|z`zO?m8*5FnmzmnSR-R29?u;AmjnEt<5dkeUzx-D*4 z8Uz_i7(%*KKtj4x5Rek-awut}K{#|shjfU5bV>-)t#l40Al)F{d#*TLpb~eDPTOX9F$>3SdLQ6gpoZ^ zsc-*+IE53MqAHb*nxSH3pBM5hMal#sWfC_q?lUlMWPhk{??{{?2u;!Xt%MmXpQ@C} zyMb!!`Z(LYI=Cal7p$~5(~IL_+}@808}H4YKdQy8y+YAD@vjZv$9JJvEvyZvb)mT5 zxgs5Pg!@$9g+gXmC~Co-_UjoStup=O_KlWFxlJSUcV#f2WbVVDT}Wb|)Xm1sLPR;|wv8k&%mxloi{bFUAc<^s=@fN339{PG1_pFI zK72XfmwyQA?~;HS&FbKSDLQp_(A%u|@sRDdEKyUcnTY|3_)`d&z5bbODqi z57et=URX81b1Mu$DKqG0N<^7^EVA_`!S92hh$j7zG6RMLCCzP3QDpXf!f(gaSY_pe zA>06zCf6vAkhAKZKv;W-fw_hR$zHhDP=0-n5(#_hS0a z^vW()6;^?Z9orus-}A6_x6Qvu)=s;9QN1THc;=w!EjNKbT5nGtM9pyEac?wQtV?C?Yk*;3dVOjFxQf z`X||gZafR?W}%(!57q~%*;Ys^TE6bm6qhA>qQUv)&i?&OBVnhaAG;4jBE&!Rh2S># zfp27=SL~R$h5Wl?&LVSxejfn2^tl_Ma{auDDuDA-c+;9%Uco@}ev>5dd9fUp5_&oF z3@r>ey5m$nIV64roGy4D4(@Yp%@<>~-?5$`_QC89E#k%S>FT#6tL}q7MMgo@wqZZ^QulBfe8e1=dwe@&54c7KwrjqE+XPhlZ( zemI?g^Z1IGU55F$RXu)PQ!D%NYWHYMW(CW2z^$*6u(Xh_q)^hr9K*sKcTy7(bXKm6 zZTu&*8E8gT_OfJtsU@qg6+AXdU0ESlS%F+x5opPLV+L*PT&1ywi2^#l1=+e?Tu>$a(yqcUgyiGgrpM`F@uw_Yuzn;RU;&w&}M|y zpX82iPNQp1(?nib(y&k0uJ|px{Ke@DVBU{;sr6z@rkvAR z^u-U$_)56tzR<^nIM*vu>Z7O81lP~ zk9Urcr*(Yc+Dad3+$bv`xqGp=Cat~|hrNK7YBDZ9m#c&IlhHlm2j!J4@`$byoj*g} zQ14Li8_e^w?xDZJQ`^>G=P(Euc2Iey40aUmF(rcSLbGtOJ_c|=E=eA3@l7YN$YlUK zl^xgSlA{uGdMr)^JFu(C2WQgIdlnE}GNX-Ze8)H0olds_lk+?K0j2Z~8hGb;0PMO$ zIk<0TyFO8yRVj44pBn56od&A5rf0a&>)XZx_J2UmvY4&h&$l=~XPIBL@THW{k66ka zae=Er^GgwAVFUTKQ)ZkN1+e!kt}0b#z{;BS+5uO_x?ZM7*lM$S6h3tc$vB9X^SbuZ8+x!d# zd$vMQtj^=}pNDCDF{}{`g)MkeIqqFuV5!wjU2R^~T}|Ho);!~W zf&DdYE8=qX5g7k+<-GpA41V%`SLw68M^%XOy@9Nc=`*K5c`=ZEk7g7%0fsM`o+`+>=Uv!0{a_sS`!@$M8{S$NM6T-*Nmfg~Q6@8z7 z=Ikf$9cL0mP?ZL^uE<_J5F)Z(5a9qeN|J17<=58{~pq=ZzN?DYXKP#wiE zpLY-%Z$2#oAGKZvEhb6uP7+MZxSkOA)TomOD085~(mD{};6_4a9cw`WzX2l(XW#V@ zn&^t}Uq{g)%;BH-*)9@8G<3^Ls$*)4rW7p$>ESHN$N&j71uOsikFyQ}h$Nq}d9fbS zT;HaGjVL73VC!)nqCgV9lyX&e5}O6CTOaiBaukt@VkcNM3Exp#Mt;7u9KXFIjs=AvQvJ~4j)l3Kww+ysTgN4yJo1jnAUx2#< zw!YAe6O@deF^ZnzinfnAL1D9+hTsm2BmQ)y+?Z_MJ?H*7bYeWA4%~#fK)J}4{YP(U z*xPVVlI8+U`hu^LM~@JLXuTwE|4$P5=b}*})bf9B;+KUwdvv+Ej`ER8QfGICJoi%v zLr7-(Tzv@R+N5N_mth14-?@w|AWYE^DB^PzUHSxrEWYf3cRH`B@@g)`(*+Eh% z{YY=et7&=g-_11vz?;9S34HpO9@bE{D`J9cYcCi2`x<*k()z(*S4RXvIY_Z0cmI(|@C-@1jqnTfN zfJ;@k?nmH8pU6quO?L+_5mN(nA3G6mq4W~@f)k&eg=J3$;l3)g;WG>$!!EfaL|@=Q z<@BTIXCm;Hn$`7WvxwY6L2sEJs=H;7gHcPQzX4mgG!iH_E=U_4BBNcHf2u0zf%zQ+ z2X(5#W$HGi7@iGBBeYV=3CTB9x1~qKU)A;Mn+^w6huJo9C~xO$V*x=eoRp5wL~IKhYSj~VCshmP)cg+MDDsmILSh`&ERIBf-oFhKLYS9&&c z{}TN~L6=NWI5Ycb=B^C*gN7y>Qx%)h*f^AVc15J+0{uIUa_QB3 zt8X;d#;)+Vq?~j8+K-O8d!rVO#M~3<7`QTZA1)f5vGZbTHgM_N$#b zmlE6>J3bgNYkucq778B8X8_I2>|9duXT9oa_Y06D+O@@WsJ-o8g`iPC4%dH99K1XL zPt==CO`NH%g#mg1-}p=z)|vUF1yGoGu{l=KaItOUp?R-zHa@ht0WsfOI?2U^BA2t> ze@nwGS||n9zRAY5B)(18i@tHf7OZ=fTA=|%*>6j0O z&$P9^20hl}Qm=Q3to;vGQ%l3akVy3O=bvi8!|Z_J3X=3~a8L38-AMxz>_F`ZBY)f1 zdoM}ys(lMc9ZD8Oek}4SycCi!Iiqhv5m3Ea$OEVCXQ)c;_&Cghkpn>_Si& zEV>QX27Dt4dJVxxH?hk%G<9BEY5~cEdBU*0cMrHYjP=QclD-I?gjuLdZ}GxfCdvq* zH23?jrvYKu;4?*L(yoX<%fYZAzSPgKXC`6qJIgp@OGU+_HSB*%&1KKvCI=nsPhsLW zsJheEnGo@F{Z*mDSU;^S8)|CQ1PrT|-JqQy();6vqOPIL8{78<`mcG8!lCeYbi>Y_e9V7pp`sYNBG2b z?Y5%GfS~3>aJLdXxd^*m*#QmPu{e<`J}Wq8NqRBb!L@lW+R-qmL}tB{^_9^(@mXdb zJS($7KGche8kw^>112CG5tJi}efETPECbf#A2h6qZ2fs^BY9ysPqWN@UYve#rgHE0-(n~z-AqO!;0ePY zot8?~LXQFudQ#%ThpA!mdEpy%nI;8w;~O+?kJgB3a@$N2+HwKTcnJQey#sk+i4=y< zXsrjlX?(t)vf(aid@Cw_sh5m}zNm#h9_bi~wxY!l3K(QTkObzMZgN^SqNQI^+6Y0z zYtd2^B7#Z#8v~clP1)<0Uj@y8PhsfNV&Img}?mW58rWpRxIvHE0O2J?(5 z*vJT8LhdS9#+S}@V_NHNMs=SHh~f^Ey$_TP>zqq;zm@C0Er_FD&q7|$>U6!|{5`#s$h`Ke!bT&}BVtTPzthT2e9OgY@|+hiouZ6zg*5e58)@IO9N&vG7jCg$awpMNB zu8c~0$&uxJ>e6$@VUaT@)L>ck@|gPrL98pBp|@f0y+Xb^h5UH)0a>nD-!MnAy&ZVd zTuy@PKXC>7I#D{p>|uyqYoMm231RoE+SX z|K-slUuS}4eCL%nTe4P;YP*e9=zys2(%let*w5P2msLmmbj{mmT2|I786=U)VHwyR1EFHGzH2sO-y4y!3%$j^gs9Gvb%fO4(VI0D%_ALX zd?gd3$7?yRfkjJn63d>G^RyeBh={e-5|(P!uoIK`z3WU z7S^{dtnnt35GRvb4?i{@u7RU}nu~Z|aV^2vG%X=t(o>^S9`I-xb)9Rd-IsMmja&n= zj389$luS_ws1$>{l*`pm+HC^a&IvW?uFuaDu*Qka$)L+J$TDn>>_*8PWLk#cUOMOD z^-R1Fsay_p^k`;{VKZe*M>E254d>weD!18?XiNlquNw6dODYg_A(7&DzpxxP(t>wX zI=FOI7LjnGR65{K*8D{Re>Z^^)JliDf0e3FOz&8%Kd5v7W!`|bz7}_Bq1GSdWJ%%V zLDqmS>zAnRBKu@}hEyGV)XJAFE0Js^{G?LhAzpVTJ1g=0m7#rXsLVx%5OC^LYzROw zkmL(M1*vc_Bw~EziBA?fQohXfH1t%?Z<+(O^4;Sm=-7+F2QWrSp(s|Y6~P{| zb`ku?n8arhyq9-U(*+K(R+;-0hqsVZ4es`pp#GnwB4VFnD@RNtz(Md6i*5%?Qa~I- zz$Z)*LqHt2?-y9$Wuo53@h6Gnpf{0cCm`>>t>L8KvOs@*+Icb?e%cG2VBO${^%o!H z@39t-q)-%F0p<=S3H%_pYV?R@(;An?_kYd;H|7+dkrX3`Wqk(|jqkkb-!)!1VS`jM zir6olVn=>q;J%Igiz<}-NkfNU*`~F6x+2!8f52(3iKdj zrN($R;p4M9C;n0&_u``tBgyQA$gGhCW%727%}IJhJia1!^Qd!rnkXBp`8lG%WjuA+ zq%#%Z?3m=}f2h4Dz1L=IU!<49c{KF|byhH(F+8Qj&EA>omiOM=|`-Y>C=s&#jkE47j-(7Iiqg|{B-3H@LcBJbG_ht{0yVgOD)&rJD>w?Z2+q-4cK7Uu- zlk9MGbTeFbNO~4I6VZwXw0|kta8s5W<%GLVYOiBIYL(elhUoVMS)tQep>vNusrq_8 z>3uEpo6hsuugqA1tGw<8lJ15Fd&P*G;|Ey@MFU7RQbaXUkQEZ06%xVs=Jx64`<_Ju ze*f11!%aK?v8lA13jqJVh;@efeh00VwXH?9&2P!2v2?Mybg?yUi4G2K(MYp8##Z2F5i)g0o%7*b zTZ*mpwAPe6vO;j;l-5axf6EPHPTd&i0J!-NYwc_vcJP~})0~#{IC=Ch@bCG$nYh-t z1$RIek@9?C(cVltyNjl{ZtFbog_3@sdF{A)ZAh4% zPMDn_Pp<=S@4+k&e31wKcc20U7I@&^^V-q#+L%C?oqU)bGf(dbZrLA!e`u=1*2>Ku zt?;0HPC?kxhGDP%2IGT(+i;O%uEoU_?r%MFLv^%^YsvIq=9E~C)PcI`+NXwZ( z%c&7DY#23cD5{L#9bjq|6#5y`vx=8i5-wB(9O~SczdSZuFHB;NbHj&NRcc#R3Va@a zT|K@#YuvSH+~orTNzDH%@DNH>b5t#+nUuyqELoB!AzUTVBd@oN?dzut<^|;;pmS!2g81z@!vWi`(CDnhj3n}W&Py~h; z#8}wCD1NBolzUqCoAZ*nLZ`c_!`Nu_lGCcrJ4MZlI(}%|548VZDBidqEDp?r8uNWJ z=XnfR3-5nP1BckUcxo(lmemmiSP7$@K9E`#pG_8@&9rnMZRvin%Qr8VZ!c4o^;4tb zvkBs}X_xM!EZq-sdFtiT9QP>3T~xD8?Xml__b$}e11xDY99Gm=m4g_ph(eftn@Q}h zI$~ZU^>eQ_nvVua@&1+{mr#Q^2C4|C(WtQk{hx|FMph;gRl<-C_DtQT=6`^IpbQ`& zW{xUQDL;6Ft*PA^Pu3aVTkI;bwqV2JcG!>P%^1Kjy$5#{;X;8q;nG*93T6yTMuO29 zsM>=wPqdF7H(vG3ByQ0UeK)L0l5>K5{L*D#<*C<`1*MbGi5|CPJXAgyZt;b7mxfi-cjIh&ICPx&A(#gvfyu@=M>)?fz;(N)Zne!wPgC zPIIpj_EJqkb!Pm0Bd?LNuVxAA%t-|=2=m{ynJqS&8I@}CmS}1eyudCX?Dl#_s{Za1 zMdq^)++`Y`ln0Yln-r-Z?Jw% z#ek=wo;m-}wm*LQEs4$tskod0I z!asEoYaU;nn(HdnzH=9~|D|d8HE0eScJ~$lo%E z_-edg#$Q?V5>rt#YC z-drEy#h+#4ttq?B#RIiQ-;HM94Fvo$O#Cu5xlR_jPI6YNFRWBZLOA^Lac-NbD6>@b|Gm<#5BLg5M?1(wA5hAV(#cmMzbca)G?a~?*P{Jh zN%weZ!is>J9_$b zdhovhz;zWLTuq-26cOGD3IP8^&>#cr7TCEosS*#!vZKPSBvijbwSVAl(!m(BIECIM znZMx~uw_TBV=z+y7axxfy?Kek4d8$ zqJ@sWc@J?>nFmcdQ0uZ2TA-%h##As0cA#VOg>VPgf!-y2{pt$1>@w#c*exEdAE7y0tB}|EuO+##^*@EADupi4_CqiG&2q^oQn$g z;RF+J!BqQ#>?Gczao*Bf&uSKw#a)7v>*& zX$@zhjh`b7diu&oDHi$z7XupHvSu#q-&yR#CtDgm{`3Ic)9jeHbM|V5%gss0E3(&< zq`Jv__xSa@`@G}!oQO`pFRpGE2_M1X+FGVXg4(bu{5}X$pda|N<>LdAj}}DufG?ZL z6V(pz38Bk__sfP_(-1)S8S{)~wuz-)rRhnv>YyHrQeRpa_c$T2(vd7MfK+&Q0t)^I z_ELOD0elS;)47{RYAa!uFa`2*`N$=oI|k`UX_hBJxL+U?l>u5wVjVt{yZF-$S5`;6 z_zjb$t>mrd>r`oH+7IXTAWZCS*xP5;F%4JOw@aJ(wzW|)a2$4sdbwZxN^Kr;M7JeO z^h$Sk;etYo{_SUSGD#x7x6|b0K16(|GqGubcT>)feCB8Iy7!LMf;e5w_#gFM@) zW_K}H&sQVmkJ94`)l*Nl)9M5oqy5u(=(`&1#ob%5(6rBo zV;-wf7H3)cAHk-^SlKUvFq1abSU&vpT|5!x+M-L0;2Y=kOt% z+!^)soE@qVo2oZ9ySk~MZEj^xqLr4CJ-6Bm7F`0kSy+UUmWAD3MFFd2j8}sW3YWQ< z&U(A9@g;(fOx6-8u3qc8P9)3&f<|Y+Nb%wX?b`h`^RxAd#j}Pp&?tPSsj*{j^rJZR z%JrMaL{-XZrGoopuC?%S)~ZoM7_3o6=-r$B#n|nu`pb2?vsF0P=>(U%Wk#`Onn&Rv z99Y}0c&c~S#F(6+`y!djXpxxxa{d07=(|mnm=^61nWK1 z+grUB!j}_YAXY+qBxK9l8>)Acn=_Cn8VBWqoO93BJt2lv8R z^neO2fI!e7;JHqfa3R`UmM~6AiMW}YL;Z!$ddqz<3Iq&N`5y7j<6u4ZTP~U9r{}2+ z4m9SJ71+7?ZOXBi=#Jny+Uv~Oh6uW&CP_3&{0<5Q27 zbUE&9_xPSv^XL^^1XEOlLRcuu3;c9YG>P%VtzC#DM)wBlC!)=l3UC}t}sRK>@cpVG4!KUTW6MV4PqMXJ7p5c{M z5eCOyj=3~r=h_3kI8iS*A~bu6o0$)%we(={+Qk`ZXl0->=wGl5SGaad%I%nVBfoAy z1-i3+S_GqlECwnkDC`wLZw2sc1v`8B_XM!V6E2&Oo}r3wv)oq8d<^bvj?#s)E zVB-&TxZkUSCn_ffPjvka8lHeB@D7DUT9sdpLehblGmoQSu*oCK{b1G&!Co=&u#G2! zSiJB90gQr`WNdCR+rJ*$nzwHS!+ca@**(@JZK$$`=CQ#n#KxB%^oPj5CuQ0!ZKht0 z(L!h-1->hC<9*PhQU95o`j8pLl>sJ0K^wY*B~35~=yO!DG_>`D2M<+A#ua6ENSy*5 zcv^eOtx1EWrKQ%e490oyRgC7&pn@H95%4 z#IowsPTN`ACo$b=zXh-m zZcJc(x?Ajad`57h7REEt_u$KkkNeWqbYP3yg^>vij>s?ckh0hPnXd z?!}Qva7ape$LM3-GO$buM`O8<1@LH+JxmszAF2D|U<59q&ekY_mBlql87PINRp1}+ zAkbjj+z*KajLLBmfASLaw+5r~?%nvY!}PW!uWo;Nb|+b#f2rDI z^B9|2{zGOicVPMZIZm!mSg>WK0Y{v5aar(O+Z@Fp9Q5RYZx<|bxqz-bT|!+<{1yHj zzNLgYv54yjgVY9N6A`B_dxl!$y{|Om7-9m1%b#^b9`pLFIj;S52C^ZYiv;K8AtM*B z$7MOj*3J8VRKZLN+|Ba~yY6O=8YR^moeZ-)Jy~1MnNnr|y#+=yl_wdQJJQvh*h4A^ z;gxJ!uUVWT8pl@Pm>Po)8+!r0fq2zYHLZQ&hY$PB9_W$tX3ThWe%UJ%=(w$;yZ3Wq zOs8^Ith1L{|7VqBI1uQF272d?rT@6(E+-K&YtU?Y#5TI|?bW@wM;aV-_agN)$cqvd zJA#dwO^CK7hhhgricA|Mi;SwXODrdC2i;0Srd6DqH6Jg^u5+*i*u8G9(v4KfXBJcD zEw=2%%EfFVWWb7j)hyWph5Gvj`?Ia6kp$DTb*E zvQiQb-4Q@X4$FZ(%%2xw$KYq1oz5QywU!6n=sSXBQ!<}nX=;b5ynm-v%er#0nEHMNuRr*li8-=7Gnq6me7v!*&wbL9ct1hHrt_DCc}SVThGw zV+i#jd=*0s_@oYn7+{tE=71pl8t)lD;Y>rf%<-ptUDgM73_s2`X!*RKH9I-t)%QJX zJ{p(d@srCgbwV$wBot|gIG9FI7(-XkldkICsHcx4G1|wV%pMb8iSYpI2)8b=T zooMHCg8j{8d~P^=UwnI6(myGre?m+DB)hU9DzmbI$M=cr+U?2^+LAiY$lam{-O zv;Y`f_&R4*p~_@6MRDkecX(e%Tz-abSle6CE&BLi07`eifJg=XQW=@?;6uly~I-h#DE7kN|XkFD8-xXB`iHKs@$Mt;b9_Yd+&M) z&HFc0`lC=JmFGXTqu5x@@AlDwVK$8@YBo)9IFgfYQ%ahD(NlFrH}!rndRTYZg`rnD z#LGMnZ+EItXR81;9e@Aybtor#iwM#^Q4`Ql0s8qTU$VqDLl_nqRbej+fUz-3Z`sI* z6ES^%Fru+wyUv(&sPPq__PZ=rC9BfR=bm|mXbnL z!DGC&gw6sGMIkZm;CerJAhfUDNP5PbM`NH|No?$Er+KRb}OMp4QG zy>>Y@yWK32EA5M=#-4<8an+ameqoxADwsEzq4lr|2p30YI+IFGU@h4o zb#ZBKEVC}>1wDtoHwWn_vqCF%LATadq)(t`UN0HINJ(;2`)*$$Jc1$I(QRn8phRPU zN*}S0vuAlDw3Se+q;SSiOCh5LoDI-`K{lz>G|m2ml=U@N7gTnq=5wB#24woyZ3s!N z2wFI37I{>sdgJDpGYD!PZ zUb(|Wv-hdPe3%4=6E{_N+2Rb#at2@*HO?@1sC8!5T&U_?C}$w|iy-b%%4v1FX>}iG z*gI#~oxcFtH&zfxv6C%%5|^#+qw-d@mpx}Dq^URNv1|A z>pqq(fzilDbi8A;*u>;W4U6&*CzNsNIwdTvrz@$KEZ8PdpHVHa#wf7HUDrZf*J?d2 z@;cCI`?Iw7cWBS*pK~yKa`6(YVEZi_zzI8M4gv2Wm8N%&NWR}ocOHE4QguW!FCkSo z;x0k`gQDpDT_o}*B{(9{jopcP#jR+_t+@1tG1dS}%1;x9CH47D4XMp;+NHzg5XGs2 zTNhAstN=OB4!+uN{0~_$JVaRMx`h2sTJ~Zif=Ra%^UEBqwX>C8-f*~WcSv<)ix($5NHeTb698rgoEN7f% zk!(AA{bzbdvzPro#nvu1M35~nG^d}lbUU+>0-w>yr`mknW`QOh0cQ*WXIzLbB1E^< zC$7=5bfzBEd}%v*_<=1x%kx4`<-sdUCLR_I-`P_|?R0v$a6y;r6`ATWXqXj?stRA~U) z+lIRt@vV%6t&H?+Z&BFZ%7nZW4S9)|p^oz>v-}N$mjT_dQ?NFR$}?}0PuXliN);Ly zwO3h?8*@hRRc_c~*i28Gng({G={*J!gPbVG0RLIB~%S|fup^>{M%cqT%6G(!4dzpq|?Utb!$*8h`P;RbnW zz^)$8krz-|#38;0;KK9`*tcXrdW!+rt>!J2uX1PiMf4$&KHs0meh1khR5Tn_c*o?8 z#^o3Fx!)Oazx!t(TkcMjDDo5kGG6o+rOR(A&plSC*0ji}g9AFf0y^iYn09dJ$*Ix) zVrWJLAO^pI%_|4XMw>qjLEdJ9Aw1N9ycBMAg2IWL!Uk`zZ75BMNT|0 zZc_FQpy4?3u{cX9+I?#_hgE71Ln^-1ROrIMK7p}DPLD|EW5B@T=?nV=+8R00|1JUh zgpVuiGB<_F0Kdwj+601yLE5ibGB(k7I`93U{Wgq@Oii}N2E+N0Ig29eoivY$K^{); zB9Q3aks(7K{&DuFX;eqXeP@Bhg^TC6KQz&XKT*NaxGBKM`E`DPK%(}#7^Um8-79^R-tvBQ59$diOSP0K5eRJ(A2iR0AHda&wT9A}N&>-=D+8bPi6lvd`*0J@fW` zv_${?z-!4H`2N%H`9E5I*?-9d>J<(Ai)kv9aLpkC4BFjYPb{lQBKEN-w-6eV4@Zm} z-WWhJkQtUWwhruY0AnCfxR{Y9&}c8v$gLKywT<|*f#w3uPlwn~Ib^M?AeX>P(QZiL zK@Q89ut=ZV8|a3l2c8G3K2|x?WK1s7;J&fu%Z^}p)*BzZjr!B zxjr$X^KzSCkG4h@jMcrJgzC?1HfQJ+(0W3vKhH1^&Iq;zXz3lL_3F3ce^Bu+FgT@M z#2WySFn>B8WA%l21B@_YLSAEaZy3k}O&mKLZ}2B5cJ;;pLWDAl_^)LloyD=$Z>Zt5@HZ|v4F;Z}mSkim2rV<=- zM|Mqu4Cov~v)~$d$tI<&UkY-1fH_CJk?lOy=J#!$=L3F;Lr7-Nh|Hcra(H!ecm>}t z*{3hpzT0+>8FQ+$BCK z@Z+@U5lQ28=@B8wB)ty};x6bADdUjo5s7aEFzl-_Tpy(| zOwJy>R245NA1}$QPsnLNc;Klq?58n&NZG3g{s5sj4-m-%V9cFM&YjZ*?hys;#r-#6 zi3b>bV2~jh%LmiP*L{xZtR(NOBseSS@I&&zlW4?`Xaq_3Ig##jzy}~2S?QcvgG*XM zT$F^Xw>Olu-sKdc{#7#beB|csu9{sT)~cm0Cy}spcsr3NVX69|^0v}sfzSH!n75A! zzNQ+csgAs<4)c2l&UA+g&(RUz(Gf&VwZ8z@SkMg+Jr6kjssh%s{P3Tv{KvL_1L~tj zM^bfP)k?0LiLIL<6y{?T=A)Ds(U%v!;Cx-;9!6#X?`%5cef^%0!9oI?>D{u2juK*3 z=hzM~UunMJS+`BDNrN`I+}Y$9N@kG;X2DuI)Bz+C*s9cM9~JB7d`~p;P%_KuGs_7h z1}7w*nv2~eg!_dU_Y408Bt{Hsiu?^YY}bjxy@4%4D?-GiM7vVM=^>HBWqs^j&kEBO zj4WtHO6ZAOM)z@ZFcOMT3~T6b5?5p#@_j_wILLiO2x@yqi$b|v+$bX=El}Yp2d8J( zN$Rw>q#mj$rX8vXFswOYX4k=I*TL+xm+!P^rX1p=8meFptk09s0+$}+Q-pHkdkfeB>v_t?D3o#T6gx?YR=Z%Cn2nbF}+yL^=8$s*804oeLbXH;EW`{f5d2C(!2OE?VrhtlMT6{rre?4`zyq9xs2BLeb+lRS=D75RK3H5RKiW8!`O{4#^K zXvqDW(l=%t;G;l&=2CVUed~Z!>i{UhM2Pbw=_XA|kXa(wmSfnKqx_C>;Xct4A+r?w z9dM_!Ef=H>>$S$cuJb48UE^}$+}`Mam%!iPr?Y!+j|HOgF1Vj%b`tjMCiUxTiMUyc zxmhA?R$y#app?V82Cl9D0MM7if7Jl7Nr{^p^5t;Q`Y+(OrqTv=Ne6T%;RAr~r1-@8 zIpOb3|EpjUno_Xr@El&nF+qhhPQ_;lW^xH;mK&SO@SNy1^2-d`6qJI0mHuU(we~BT zM69vGud%{hsgYl)VSc~PmA+o-Sv2YUR{;2r$RB|EsG>>oL5Jn4zqck3nDn+)p6>rr zlDEpU*hZt7pojrnRtV#tF~&cm7|zoh&J#w2CPjp5iEvqo{TTo;uE;+C@;Al*RRgRv zDe>nT|85HZ<^unG4Zu1glr%DQk|-i{xs2FUyL9|o8H))y@gPR(os+bbvsIYp%g!Tte1YG!@E{C|Iq1erwP~G1J`FHlF zu~y$PSl}Rou?_NTQ}nT4=&qgMWiF4Se)Sna?{ro{J@Dh@}d6oLzf1~FP0dm9=I-rbnDOozfA*3O<_jT zL=*+?q{|jN$9)4`{>f8H2S^S{aW@WlI7E=AJ#kL>VLNlaS?E6Shy5hf@^e#a%4x(t zdpM^DA&~^8QDEe3hcDX(Zx{=>11NAu9}Ls#&ECWKfY;uRJ|B!C0oZ)hPo;n{`eok^ z2_4;$L%`kO+}b78;Cjc-djI#ff7C|(r<#qKF<$b&Uz$=|=dV}!Bm7SnDdB!f z41EW%mpo#;W+xX_GU|Iue9l{#X5n2d+tRe-(XUSa1Ffv>IwabUxl=$GJk7lOE#mr? z6JQ6!ulX~J%$t3a^ufJ+Vh{Q$R4`5}OoVWJ6@wV>Gl~?lRx>jz7?e4M%mFeIO)CFl zBr2`jq$xqmV9z`?4I9Nd@zg*7NI%uH`P-V4UJ{Vm(DI_nG%ek8wZE4b6$>@rbg280 zj%1LbHvd7><4Wd@$X!MpFJ{c)G!bN$vRp(I*b(8|2a1%4T5NfojbIE0Y2{Ck~+yOs6Say+m!@$mj!h<(X)5av!ne90RD!6*NL{ER@rfu z%X3jz>}mOEMovE>04nj%_eydT15j?1Yb5jUibdX%f$~2hzqR()I>5i1``3m3)b<$v zh(O@d2May59+;bY56mS$u>*WRmGA%mc>(^R8Lr%=Qu&)p1Sp2Yc)mo=I?Z{?8E%=k z)I^KUd{U0C^aLZ2?=6l40o58K{_jeRXHLeykpD&bCNZq!3;fTOiwQ<9z0=D> z<;&{zdX}3=s_yyd!;w9>Q84}-lMW%XLz9g%%V+h;lP)o4KO zbVv7|+RprF#i!FKkulwKZ+b3pUw^;yC*piQ5Xi=}`yo*(L^O6s%sLodJa=}apL*(} zY-7TgTP9m0DpNQBE7xOIwv)N!Z%s_S?7`W#r-?od0Tr@U%hV> zi=eO^IWWDs`*d-g=XRYi%x0%U*%xG6hd-vq3j2ZAjt++Yvq|Y=W#&xWf%Q{A?-2zL zCA!Jo^xvZl^22CI4DYu~@#=$45nPa@?O0c--*uyiXWAsE;F0;B92)Ai1Xrlg2Ll z&nH7PxA?C@pLtYYnhBY7t4A%~9#p(OctXkD*sNrSm43t^ef4v=7^B4_RBLZuN=UC!E==}$(#Z=xeXKuBuCC9|k%hd-e@$b|p?Ef_o~ zdKX*>qvw?@R~)^eF8V5po5Cb%&&2ovrYzCnP0dIDFJ+qXLv(K7vm(7k>h+@=Ds_-w z{m=C2e`Hv{;YnX#0Ex||@6)A$nLn|x|E=mjk+%O%eqQF075qlMscky%PYmm~Su`Ge zUU)w$J#9ji;N%d=`0f!bkEIN@LN*MNt~)(gquvwx(n&-On#SB5BJUyP0>9qi-!A@# z_wAeN6u`6h_L^b+HyZWq8_M--uJ!-Qu>LzQJ6P)^)Qru~xwVUECve&RdsM0GM z9BvraNv3RyL56h{?x`d&rx~1Qv6sXfTeDTo0~? zUMzB7)2joj-gi|$UbC#<)lfpHv&MCuq290e`$e~&e#HDQlM%?h{!76FiP%8|Bx0v` zo%v1qh3sw8Z~!S$hmh_N7#c=Ix}>{d zXt*1{@B9AV-(CN^?p=4S!#QW~{XF|{YM&=Q`!goDo1I>)z&&w#4Qtlu6hB7Fd~W|g zF|7YXzOGKN^E`a6i=qR;p;!N}LOg@TwW^>(Z~3DKfLdHTBJzhayi>?D^hNpMknzqt z^%86di)YasosmmAcU8pYF3$W5($0P|?KG+k37)?N`2Q;X|0?VV$LAvWyp_GH>*v*; z`h{JuZ$TsC8xa(Mb6q};VIC!N=iL;T>7FBatx1yA%C>)Ln#|I+e@hf0Q2GMC+ktL; z9PY(-JCMp>%8^|JKA-SaSt2PC{4zyWWWd=$K<3-gs@b zc3u$MqlL}Rtq|Hyr1DbxSepY6yWE$@c#pW>5i-9=uyf)_Uw&BHTmE@eE0Q(Oe|6>P z{jqz*+4~k(-!AA!BL^bx@-Q^E5n2OwAB=xs+1VlWc^RrZ#OV{LR!oAh?ywNSq&us; zF5Z2#cuB7w=$)2(NwN-0UX%~_P!LnzaraNgZU+63VA~OB-GZCaYzla!QWj#D*QMM;zr*++8o3uGd8UhiyG*IdAR-yaFWOfb6vwaRNxgxG)Z=5BAlUx094g*Qd_@>H0KwD!@Mt+S=r{!x4jolk4VH3k66&W{(u0O?F zW%zZI+WXlQ@)|!+xJFfFaId8-DUwJpJ|dJh_v1i1G+wF z8efM(- z9uLGnyncVf@`j}DtKx+DYjF1K+uCWjt<@gG^@7en&oOAqai%`rRM?w5^CppA5OazI ztm(7S3-IagGwOd3K{rwa^Q{nY4)t z&^Fzgi3#&z2btB$2Z#0Li(D2dtf$9EZ4qC8#|3pAJ&=l`m^KtG+l7zC5P9QSHLn&d z`erbeusGeeC%GDL(#wV`w<5n}xdPn%$;o!ol<$KxDWj`=O9Mesuj}5YefVDA6t+w1 z8pAp0*WneT4p`uZJTs;MFV#K&k2y5r+2&IcV-2Qq*(&jZ`x8pcfHTIfrv192Vrvkf z?LHy>F}Sl838d@b$ca;kU6Z0ccNy#XS=)=PQ>K*R=OqC_baWw|V9|9iz|=ACE_T7| zDcl1h2)Bp0%Ph`lb?qNr`8&~VNU?Z7}+~Zc3%(~ACsjCtRb8X zealw&gf)8k229~{rT`C1`+@bWi9kFXl4{WRLc=iveF?HVMSAlOg2n=iB>yTf{rtTN zmPy~c$3}7uDGC ze(}!1o<+>ZXoTmZnIz$RfGn_odkB73(Qq;E)Npb34r}FaoOKE6o$>(W^TPbV4R(&i znlN^BIz9)~=MS8$G%sTf50o9F2Ckh9kWQ_=`~XO-?B3>oovSAWXxfCjGNam|lVrfY z-Q%c!NgDR;w+(R>8nBWM{()5=6`3W!W&%|1ssbTAX%^Bs01%whGdlEg-+Z4uMAzov zTRK0$^uF!^I2T`wor(KaUkKu%k&5C|9t*;c_kRaXq`{1PEm9kINj#Ku=3lLG1A6Q- zw}~71nnrQOOzggl&l~&+5f|hTT6j`0U>JLIThj82emr#Ts^V4CJp=T;ef{=&r0(-_ zF;o6ck>rChd&Vw&0YG*_52s-S-hJ69VAEpyYB_(&sRne1eUW{Dzu}c8|6u888+^El z!2ZKCv$6J>Zp%EC{G(D|(dZ!m#`Z+_^w#6Y+B5qNeY5~&S$!;70S6em!ZOV>DU#of zA-mz+jO?oVeZDvM%wct#?@Vu|XJ3Mn{VVr1+ZjI`iyq!P z0vD-zPZuj5o(6~ud3t^>ffwYv4xsFk?dAuk3VtpD5Z6ES2XHL0OIBx9V-#J~)Q)!o znTztc4p}=tKvGM-eUm1LaHfRNz-C8WjzXChTyv*c}RZ1^N;*%M@C;mKV5r;791=+y6DGxbQQ05Crn&*FAZ$z z#%%p@x>Zi=Jq zs@dMbt{k)N+Mq*DwOqfrau%2Nkjc-*Vf*fC?KWa`&cVrjJg?q@CqB+!W7ez3*Q-ps z2W!yQv$AEEk%BgeaVocgZ--*mn^Mq%@R!ts+}I|o`}rgNA2WI+EI!wpRqP935Xnv$ z$200O2k$jjtO#$mIp2tsNIG1j_Et*6%lQ50BZ(yy=KHb@anhbqPL38W+v#<^OZ2ag zZ1)a4%hQ=^?={dAR;1S6+8Ni*8#(voH*XN4n^e;)snc&h&r#2Z>y}?Y*3|}%LdC{R zc}{qi*L|z&jTvDlg)^^f{)ksOSq(Zk&7at5d0lAtKv9b}PkPdruv_)W6iL`rbB(7R zc2C|S%``^X*Qe}V#o6z*+Zn$&pJQwnnHJVo>lN!Ud-WqPPIwA=qGtDIPq2~YJZ*(% z0}F?q61m7)spsPGi^*Xu?Aox9i0kELKYUdfQM-O3z296EJNYi--r?ROgj8gZAIS2jT_ZDL@m@k)o+q00% z9n}6Xgc3H7L>o98^csuK&@R412A5cX$?qjU$?cI$u2eqmcn2%T-7gnyvkkA;ml&d~0yWkOQ`Yl)Uq@5KmDCN?r?xh)hS--^JW6^{TU}{WG*A-o&1O1uI-ygT z2nKIR5o61sZW1ZC$xuRcNLEWAJ>dOTsQ5Y)v#C9=7Nmb_wrGEHo3&HX%lXZij@~Hv zSkb@(taUFGCCVvQ6O-5~!a5x})LA2F#xcaFP`l!SRT$bUr1|~pTd4mt{W*pDDf&G* z$XV{iG4TaP`jl4o1m`ODNslMl=f&9dgRVB+(U!S^nMQVB13}&5iecyO89T)etbsVb z(bFDwV+TqDK~<_4nm;J(?e44X32V#nfHy%LVUoAU+*USuk8AYc^x^ywTy!kr8w>TX zYx-dc+~wh1bZu8}O^x8qHWwNY4rG5*kRoZu>Kb(<&ksXRGU$bIA>~9romjFtucLR?*v@PFvs2#rae$%3HZ-Dal>&R zmpZF<-(=zZRqGTN?WlnoK!ggFMyWO{#D@?e z3X~u`@L;uO(P$-tM5PnLOi$y3!qGft#lG|n&U`5nq$GO~CTZgjL5!bQ38cpe5q4B4ZxySsEOlb>G zjmPcC^XZ<{NJ+{p=s0x0IrnRkG3==<$TxB59$z`VqgV8y*q>`+BygHn7Cd%vuQTy+ zIb{`F(v6Z9W;%{>Np@q2RU_FsZtP>+DI}h?Ru;14&BZn?&&c+(p5hCypqlF}CxlEs zQt?Fx91(276!q#JEq83nUahKF+?OnQ zQvB&@jaWqf!#>XO1aWD}i92F&!VY5*ra1#ci$QVMBhwon#Xsod*2iKPwoVx#6%{02 zRJdu705--g3VaEIfZWG_RPZJ6AX>72I7qz6AX)*9CHKEOMmCn~*AIpeHon0d*)*cWbg*zth&wyqIZ5hko}Pd%hJw}zWT zEnlAT)mOeWEqK9E%DPegrhgcdS;?ywi*J0IUi1W$ZP)+!kI~;P-WDuLxEL!uh`4LF zvPtfDIBS%4=Ywwa&6f|G;KeJ+EefAplN}`l7q&mY=Mvkj%v~Er!k8YYs?RLS%XW-N z!RXZFn8;Ln({2V<>G!7j3xZD}5m5wF&mo5|w%6~#*2u35ygvtD=eX$vHoQBGB~Fy< z#UdmvO9O-V#)(=J-b!v^6m)JEvwv&T(@reryAcGBfme#Bmo(n5 z((i;sbPFx!a=-uKXAUzg@xo##%}RdSWt-7*5GmcYL?|jZCC8MiF+Iuu7LPe$8PwGl_XnX3IkM59^bk@3P)HI%g(`Qzsb2OYAy)Mzf8hu%{gowuladHsF zS=wEWd`0+u&AKwmO~1!xQ^%0&`O!7Hl9N|^jg!`D9w(TmfA;cbOM9LJ#-3K+aj^&( z{bu4KH&#~uEfP&f>BXqX=EyT?;YfGvpVp;ZC-pI4{9Cl9YTC`(sf$~thOSf_oLG3} z&4;k*UTDn6m#$Q%IZv;AxjiZ`IV|areO{2U_-^3275%YNdz_;M}5;LC&AKwZR$ z09zqd;pCkR^prf8mhF7H94ftv{=#TVmMgbo49`R?BY~*YMV5=Lbmy%B@6S#Ggq8;6 z{u36(HZRxl{*@F8GY31@(c=YZ^PphJV!!x{AXTc*{i3og5sUk|JY@%N%hE{Rv;5^> zU2eYkQv=7t)xSy$*%D{vwDJLLEGHg5wfzFJnoets!cc(87v&nYY_V= zpV_@A_V){xuMr8^np`SodCcx6DlSE_bESWm=PVs))!2U3*oXw?Lam)S1F;$<)_Z(h ztd>D%6)5FI?KY`*kEG+W&if{1?`3uT#$BPwh$i?gAbXGLchTENtlDk(h*V9;eN!gI zcl6MUjs9HOhSt@ueR3{CTjdFDL&xwXpNCT!WX%I z%J(_tw>ph&pOYrKc+mGWU2Q#RXm)&{_9wP@YsgggQ#>B>L4aPyz?uCITdHU;NGj>f z1nf05gW$;x_!(XdL|pROVpt5sQj%fKTYeq??yW2reSmfIGzG6N$!kY3|?V=JT}j6)K2C6nNdE$-!(@{KKo zffh%e{7N4_L&}v<#VdWdkA)zitXDEg9}E4DsK%8bnpC%}jii;)-Io(w`lP8XKX&8tQ9AsAfK&AF3`hsm9Lm|+%hx0`3! z>Tr854qwINqKXA(bAi)vDLH?Ibm5}3p-vxju2t{+yv56ctz==?ey!rFw+1MiVC#rTH*E&{5!>nRU+$7QZ4e`sX%TAe_DL*~W?j9FN%UQsQ z{0-lt^gnH?F4Yk3nGyKI4P~dwK5cUT^kmsH!#gersz*JZO8DtXxl}`TTmbP0&Hp8B z7*G#&BRfu0x`Bd9gs>1T)wCw$A<-CBr{9_*{!CsPs8@^xTv{ljK+_jDUsBq?;>!P# z554&^N4Qcy??-;=wTf`z%7no`21VB@e$kF|4*uabFbOn8(~g_akr}PtnbV6{H3=mq z%Lbkq+tAb?eIoCeX-=1kDndV=mn#ABK@UQYsMUjTfY zdtrr%NQxEPJ4bdCS7*g3r=0!$yI$fG4!!8PA0GDca};((Sm#Qq_7!9jTX+~%c-Si8 zbW?WlfkHo}Q3-xU=Cknp-pz3K`X*B1%Fp+Ckw1U|&?;r%wceAbhJIJ50 zQ->|M1e&=LVnyVKFr6bCM|Q3kiY>dHBT~D=?D=+7=G`fi76~P;uSd@UpG(~sG5ns+ z5+UEIi;`8+qKYv}^THpQ6trkVz3H!Df=!2R);4h7#yA{}%l2X-Veq{QDiF!qY%|JE$)!pCZj zW31c+ZHlFPpl)oWuIy8G>0zs|z_vq|?_K90GW`&Hg%4JA9sB~kVy7f|rzF#S8o_+p z2Nz6`3r4vLCR+u|9xqYgeuAelxxWnUlnM`$QCS5j0ghLId;!!Hskq+Ihn0y$*!65Sh}E)l3UUF ziTuMZ1c6a%G!A7!KU!ICAXW?^3@W^q-v`L9eGH?U@0(r}B}vd*oQg}ERUQdU*)BO3 zI-Gtd`xTq0O{l<*#~2SG$YBW5Wyqii(k5h%e@mho5Tr{Y%kf^DP?jAJp=$);y8eJM z{sEcl2qMM^LT@4arviV=6#tY8=QUBl)cr=MuYV8?_r!doLz$}|eZtRfe*4ohz|Dx& z%}DVPH~AxOrhAD5_Yyzc>YDs%$v8pWd^+xSUUFgy@2>c~t3uvN(SMY^>=(Z5_sdP{ z#iR7+2#7I+4SrcdyYVW%8~WKa-bJ z%@qw`)K=~wO8BZnUi+G%_kYBrb#4_G#-dHQLZ-YTjKNUWZR0&Se(SAkVd_hY(5 zZfwwT$Za!I)&ia^`v}9Yv!sO|=Ji*+utWMysNY3w1wm8YcYXJd_e5pI)P@Y7c4;Qp z*Pr|8Z1ta`lvL03N3v#T*Fg z$?W-I#Ui$P6mZH-D4xw`UNPk%+)0Ql(WX$>p-|@}Ebd+5#@R9TdW^_10R~49!wqKq zK$4&UTuYL-{O=iVI5~WOOcrG6SAaZ9W>||^&v&sQ;V0JVy}=0!VTWBy5De^0*6B=U z>`a!K?w%`$_MO{}Pmo2eP-Pr**TMO{wKju7V0LgO81=LnE_8 zl}DIj9~b+~bHlIbt`)}O4b#@LFzlVBhBiG5YWj2PrehtcZGHDp_={0hLE{M@l>+8d z!Z;)0m*JJW1e`4!S!JsqTky<8SILLpvEPy-eoEkEN-%VCwwzf>LtJgXcw31T1PbnR z15d`*A)!R{!Lo_6@!jV*lhbR3sM$E>Gda_zzFk!Bpb>}`Q-~B|z!X9%!H=h_CA-4$ z-iJ(AE8utBdmqB=w4mR54ExO4X^^jMjQbSIk_2CKAFrq=Oa2vQeD6b|ON{r1;fggv z>nVhiGVs+zz*A3>0`d2&x0>9qyIhvo5$aDt6usHW%u~5Q+^@;KVsGd)h`xGbaH@Ty z*Za}Z?*isu-n|9yytGZ`*9KOQae-^RtiBOeSdHQu;I7?j)dX9~m>Y~88{qSx5CX!X zQ0qe^x+LaCddV=+hiO+T-{0~vJ4?)bo8IQ~$HqvdbYV1&CT;U(%oIi_TDzCm*NKKM zJ*maj$6Vlbtq&pda^U;D0A_y%75Z>Qh8aWv@7?|7t11WqGYAdCzZ*$nr6@pqHnGC5 zcUr2)TXHHEzG}bT-ACLrgK#4f%plA-{D^Tg2(O}mys*HRl%>p+r6(H9-hYIn_uVZ> z!e!n=NwR6=b#Ba5gv&6a8BSg0PxR1#j;Z<{3B(a)y5BdUmS2@G)s$ZiwlyQkCr2ol zL+&dYL`E~1JRn^#h?BAp7eESyu+>#FnDG5eQa|OY3zKXmsmx1xe3AR@QTWq}xK*T# z9_oWsUTZkt@*Lg(lha;NJA<~Eea|kJ1o;v!_Rq<;wu|CI(cpdCoRw)c>K zAl@XUlq4-AUa-#7Bm|`)4|*Y=#ERb^${&T_PiUFKpw5ISvVbsI(cF_sVt&(|&+0!& zVI}(FhE|G@Op?Jrf`YcUwO%r@uqdm9WoP4ZaLA~t;$M~sbagY?IT+*P8CY0?V#)rt z>BPsAsH(n?C6m2uo{3XcJ=InjnY@%lXgmWK%HkP>^jakYl`o4gEjnrBxGbY@c%~w zlt6QkHaYX}AQHQ`|M%|xOIV)tvKe$fNn%HLpFlVOBXB_+oWeB;eNCb-%P$>z#F#|i za>}rvWQllc31MIZ1(I$)MJ0Y|ZxL6N%D*1@xJ@7yd<5n^LSN%9NZTeL3N0bRh+9wy z$<1)Bl*ik0*d#Zlvx zDbq{)w_~)iK}p%BO4-H<_Wv$rPwt|=h#GtoH9%NfL)acc-Q>|Si6j4WN%D9N zbe!{YW{kf6UF6Wtd4916R877_JCm|rPBN3G_?tZ82UK&2acjsug!Xd?=^cgb$+xu? z44XVbpuP^xR#$PDaZp}2U#GB*=(UD$T}n|P{#ZjuacmLx&mp`l4w+DgCjteT(5GLa zPti3L?W07N>v+b#jCpko_I&N55zzJE?+EO32m}0|s-QAz^e9m=_HAAr$)NfBGU}#F z8K5CEXpVoW@!$GD*c#|x3VXGUel%ZQr1#FZ@b&*moLUFJz%=M!)9l8bcl(DuT(%Ec z^J68QN_S5^YU3rH+DO>ogk9_JKb9;#1M_~8L zsz@lSNL1>+^0}VbFHUmieSPN0Gw9wBOT-z4$5RA%nMwJm&B(7lNEL!R%{`CS6{}!q zyb-Y2S>waIedc}d_km&d>h3R|m})kreeVuURz64Q&iA^0Uz|-Hu~i)EP3k?JgC8|s zhLRaE)x_sD*JoBc^r&LmeW&HprxrW-l5hFHKCdg{vm*0-a89=;CnKq%Dk;s8b3XlX ze=9NGhk|3DM0Z67aT5k%#0`%3iA6ksLAc?m78;%_aWco&6#SFFNGqJ%C(;$LDR><2 zK2@f@Z{vL)ygpJt-2WwmDK--USrD$bj?*cXaeFQmz)p@X4Smfv-Hk>KO4Qq}A4cq| z?dxJGn2$^oOA3|lr`10fBg$NxY8dAs_`Z#U<1tk}r0^!4;QK{C{A6~B!IM{XLJ__) zgb|G$dc=AHT`IcGSk_@|^x)>kYErEUQca`7KeePTVbeNodEIMj5{9Xo9|S z30mal=7wE$?}Ty{DQonuy0L(}=U*AChTVJY9qd{A`EzABn;H5!QmW+pshpDVq|1{m zQAC?97{^9z!7I(&wOfWuXad0_RdNvSo$pol0b%kkkXmVKa`BMK<>uZkAYL8-UDmP! zj|@-iRZkPC)7UG`rewZ^nnAaobhz{J`P|y{);K530u%Mdtpyp3-uRFvPPSd-aJC<^X-({p6@aZ46zq>izeC_BvoY8NX;j$R@|0oS~J1%wqt0y1~ zbpLlx+abg?Ec6~HLBwO&@Xu%6@6M*qr-$%*a1f3t{LzPsKS8>~@eY&iX&%>f?n=EW zrK!r;uT=P1yqRr6G$M8})hS1#v%vS_$Vk9@pnlDAHq5{oH}l_^>kw^E!%z}-Xl$67;Xoh35%W4*!*Lop0NA^Yx4Z2hqL~^mTI@Q zE;9(rf?|!XRG8H&%hS=!Q97+%8dwA@N0c?D+OUA2!dqgMyhj(fnLhQvld5IidOnpn z9&7dpB+1vN%B>N-#&+&b4N)o*Cb&7sb^p@Bdy9QN#u6!gov@F_pLC>9)@@NEyL{*4 zK4vEw3N%i}L8e6r3I||y5<5V+%p9#E!_wU~79$0U21MB;T9ddU$VIKx?c6m_BL$p; zc!QorRuy|;BL%ioMB_O4ZOB^Ho05$AZ3rWig9P-Rbu&jML#$XWyD4Y{39J?Z1*l8s zhLFM!s6@bGi9k3&_}~>Hk?1rx*g4LGu|>ImjpD`fLhHqz=fze!ysn`rT|Di$ZuToZ zhOw^qWD@hF7$o6G_iS%*jZE65e`$z=!L7hR@V%kPdOLJF@ny%%$UFVaSa8`Up7m{C zN)L6az9f&!MJw{W#IHILDeoAWTp4c0CdKQLT@+lgXMsofQ#{MJ{yKnX&k?3X4G`Yfi)Thox(!7wH$t$PGDP*w4utP>pn-OBKkfOnbb&+)9~ z{LAceo}A_T*gEvB!m1eHJ)oWRdegFk^}FI*N^Y%!Fb>@RG#Ui8vcuB+>Ty0EvO4a6 zbc=yMA20m?GDkAFvmDb(M=v2n%$S~*Zw8+zF9&^3fbDr?$ zBS%M;7yAPu&KK^T8)rkQSPLbKX<_pxoUI0J`=l|Haf?+QZs>UKH3`jY?MSl&dYk3l z%NP#19?17t^`(L|*z$5;yks4TZ0E+wR1up_b#>?~s)AC_+N2itp%Mw3M&Cg$o}d{UwBo%xq0eVr^0dvp(U(|EOmc2+hLJ7z9rCHf+}z&#xQKU1B%O=P_WQVg zIZa-$=hNPSmeVw6HO^HTT;67(CKd}b_(vlsng?F?=t|czBO4#FSd~|M2iODcmwkes z5dY^sd-((^PyReP@iA!0S*xPlkY%PeT_*>;!ONHr(PNt%erl6}HXBIWRHsef-`)4# z&(`WQ?EOmJDi7RBt(H!$;6G&Iuf@by$bqed!^KQ>{(V2a4RgW1xI}uY-Rd5u^2*i^ z6%j32sSLBrIb<9W`Cji{t>w<%AbU^O*iR5}%tpHO4)PdI6j00Xo(R`PmVp|7F6c2Y zNjpKnQ-=5CkgCTFY`87~k2Uds<3ZeIKk<@$A&0mJJWfUMzXIXOu9CHrSPd_}<|D)0 z3XyDX25qgbZH|a^^rmj8|HYYFHN57Rl{p6yv8elYl3{LJZM9c7s^7}oOk!bRS)h=Y zZg*ub2@%m&i=^9i@#*gBvN8vCmwRX{^S*~(d*;Wj3n1n4cfIH2@0RB0c8)`D=Co?h z6*NW+x5UQ4&(1Qj=co(({9bq)%a5cWJj`!a*h$k1Y0V?!hmjp91&f9Ai|$+I)yWzlE>T2SMjnBg}In% z7m&|ae|pN+=`K^H8Nd401wrWoA%{~PzZKXp@{9OL1uYhgKRkJOe8T%J{G*)Qzb^afkhbh6QSZEVRadDKV4w9Ve5VR&^c05~!FbGcA``Hh=kG9k9giZ3#{c8mAn z{dsHjm@e^eZkMW02Y(W4*q=UuSlhZID2!0lGab5$w4*|Z>j$7GsK3%;5Uor*~vU7&x z%{GziIl%03dA{`%JtrSL8`rGs(AzY_J_9yT)Rs+FEcm^M;K6vbAT?2bjQ84kgjEc< zm$rUae--J7?+xHF{87JIMdxVQrWy3iHKJqpmJ#(}(V-Yj>_emzQFJ;fgzkiS>+~nv z!$}Ao#h-vHbR=7kuMp#VF}%5sD?!qD6+(yq2M323ck9iYHry>5go`VP@V4ARWah0n zpliftNh|EF;SKKuIPy=)RZ;tgZ_DX<4^9!%07mDEuF~W8iHo)Q_6>#ik?v{|Y2h`fE*VVSrjuGsu}VqultsA?L1zz=+Okps9cKgi zS!dHas&&(_q4@WTaXBZ5HF>@I28{9kn`OWJOw6g0*Le~jm; zW08Iy!2ecU=P3!QSIzN&Gh&V*P?)QZ8)@@>zIvcS|( zn=O@;ql{^XdIiD!pX%g7{h>A~pOO`Y`f+=NGLuQPduvl*3Dc;QCzO1rfj9?NerYQIO)jjK-znF%ZQ?Ig7y487GIvTf`{_=LN>&1h z>n6VT^$!kCK%)Czn|qsIW&+?&Enkgo2U?2Fv!2%}cKPIUuL>9C4cQtOhW#yTfU?P; zJ=D0c@2`kK;(m(JpnYi`A{)2_L;BtyUbp{pr=+@Y`CN!?~nFWoqxZ7 z-0<3zH1#(*x0)fDh~a`mVd$+};SbYeB@0jY5-g6Ee~7q?6l=T4&#(Cq*aO>PQRX$Z z2g<87&cpEa1WaN#u0$6gD9Xtw>BYXgaeX5))S)hT@^{HWta$(~93>A3F%Lx+(@Im> z@8G#u!gN=CsU{Ul(=dCtU&4>j!jG0|Q)g&X-J+xjhJv{Uf>B=(fgTX1N2>1ACM!+) zzyGNtG6Y?JBO21BF39A4`jz*of?1`m8O>|z!7M_DC)DLygTc~!Nsdkf!S-JfAs!G` z1eqs<1FrEqIBKPd86sZwP`UUP;m7^*b5-?^LMCFqX@>`&`odKhy0iN_jjc_b$*cRQ zez9_XOJwd9=Zjd0w0<%vpF^E(K#h+0R@67~hL!WD!4yqw8_4vykR~{jRGCr6d?^lW zcMNwzXFH+ymop#PYq@)*ax@4qza}nhcr^G*ECODaGU=aEEGoO5(r$d$QRfH6?cqe9 znW?j}^NG5yRkBS-WBAtCIiMxDK+zvykGmS+Z+uqQlSR4VANy+I&(g{9W zc;U$Fmh)=S`ndVG*c9|`u`^vEeT|jx$+dS`S|`)54mD3@OD_?e=GS<~QNWm!gzoy- z6xw_pc(j4(1mM?EzO8%!YT_Sc88clUBmH$4zcyg{kp>N{C$rLOrR|NypWm!cyi*Nx zoOU0f-nTSh+VGNuBmC#{rR&}o55rfgXrW{=Hb&`ETE-Igrme1}@&0`YSdoJIn#DAO zi*tI^5Rr;Gy!ZI{p3h0!O2c`>#T0<;NuO==HJqZ4Z7$AykNs~WtvuPR>Xa(23k}A+ zG-ReGW)C(^I_gbF?D*7G)AfsozXcoAH!wXy4y6uPp40*3b328%{(8j(oXM=XPQTK7OC(@uv@-Kd>E_sQoO;;Obj@#`I`>v!LLsCX|Ub{**) zbs;DV?n~+vmS2`dEgx{=gO5uH5ZqpyrA#duCZC3{HgtUKDHh*|lD4L#1b})^^QO4~fu`w-oJ%LAlLug=`8ip@=b~PRB|?(c)P3cE z_qa~4q2YD2J?ipmxq2N-vKCT}3E%QCRKGmmvzak7fy=JWeg)C>7~AciDkgxe8owOy zcdnnagACc$NAu$0ER8)ldyJ;7@SxPK)@mvH(qkTt4is8LB}1dvJb&$e=wi28c}4a4 z4D2B%aD!Irz!r4Ytq5+(jgmeF!WxWFq+176E67Qq14}0fZ)iUF4xX(6;!I!g`MHEG zH}l^~SmTOZk-U?`T#nyxsY%q}z!Cv_4cF5n^L++yL2*9!b=uk7{xmh~D_@uu_RVpP zs4h1tSEJ!#A4ZM#Si-Kyee1I-vhjnjIBPBWro5i$zg3=qTXle+ukUwYB&(4UJZUE(Vqr=?8^lB0Y5T75N}KIM_(t&{ z{I8W*TEm8USFMLa`Ug8l0%sj`nN=-$;BF6W%SrH-7Y?uZeUe5FCA6SeVB$t*izEQ0 zUQU=kP*~q!IuT~)PG1y(s_fSv$Jk#~hcq{MwuqgGJpFNbD`58u{#^Q(C%N0SyZ{M# zTzh#_Re8af@rnhp244<%rJuum>EzAn9KEsII~h8uJU9`&+CO!n+xR=zG_zo3}>$wv#wiefRj8iYu>c3W8F)V;E`Z=w8P%*aS~A1Oe?`MBz*-VmG^;Q zyOo`XdU)6NNCp5m7)Y|)00jQANcp8R+HmnZ5dW(U+}S$K*Go8pX?MIC1$_U8^g0_b zsiGHiiRj;WJFpf}P&!VI8SvbHW-B~opSOAbX!Bt!Y0C9CVA_&b{xgqC zdKG@G(Xc;tuz5fR4|DanFln33v37D^lkRc^Q!731&C3&o9F$Q?2HfQr! zu}zzt@S*d=o#ranqy0H=Wh?)DX}CpwYlk3ADaY3V85RfYjsE7nk$$e8CP2WGDC)hy zeb>>O6_XTHVm>pM46gmQ_G;{Bbo~1MYQcI3h%lPu|1ntIz3RX3lmgZB$it8HAJalg zxKb&xYNm-rFp;xi04m9HryH1Rm(>_4P<@ig#dFZ&x^E^)W9kSWG6LZqs19vLQACs# zN>If=9UO+&U`JRpLyYKsMJtC8Y`PX&*z56n(7$EmuvQgo=WfA*5!NZL8*tulwa*0a zo2yXMWtlPto84C*2aC`J!Nb{uYU}B>^i6kFS-zG{_YT>Z*3}Ga3ykud0f%qdBl;Iw z;5i>6fV(YIbAYkOoeK_E_ox*wcgRWW29K!{3+$lp*I_F&(z#mKh3fp+ZD1Ze3(FQ6 znK}DHV^SgEA)|x(q=ZsQ?d%*-26$uRFZJ=LMW+a(ZSlz9seAalF|_z z|56|H^soPf65@(NDtw|qFuZ{u3NiIQVA2#|(o7-EO(JFM3DxTk9k&Zi5?PH*GM!&b)^nJ>-B0L8j5xzlSP~g2|7qL?-#{r(MVfRKN7j)7y#v zz6`z{nB;yC;!eX0_p3!pM%-H-E241lM%tn-PD!6vgN?_!u%go(Ir{GG>e(nYyL>WZa2 zpLw+bhG#QLTFzr&s$o#q>_AqhydoZi;Kw=RfN20#V2!*4sQUnby5)Zm>V`~;Moh{> zqbiE~$2Er>PmSSe-mS#R*PR3~%nQkHy;%h?6y1Vhms{>`LEPON&@`EDLBib|KO_Q^ zVE7BSCf|bCSEzT$vrBorU3F+0M-q%|SN(@XToR0RK@4X5 z^Ts`678oYeZ{;KwZ=)k$m#PxRTCZ&U>HY8l_@L6)AF&YSD^^>^7r$yDeMu9{j66~n ziPWX?u~h%uK>8AI`@rYd!7=nVNFCr&-GQ6Fb$?)>6*(Z?W^~49nWq^!fQJ|igb?Gf zA!-64Y>0|L$Q^le8Wd6MN{f*hzX4n8O1gF4xm;^;1&uw4)y*G9fi(8`t+XwdTZ-0| zw?&TxE`@@Ns-OMs&B{G9mzO5O6d@A?p+WElLGBp;yC>SWMb`udNg{h9QW(-xANoc4H6_DgK0e_TA{OI}P}@TT6a1!ZWO zXUd{k_pbSZcP^qi2qJ{&41$oV)OGLjf*S8hw8W+m=7eq0`(j#R)2U}Sb>WD2;Yf4W zk9yZH*So%7Vyf$3oq#U+c55TNCtsv_3Sh*0{(uf%4j>eRAp-EJ7is@F(tmU#{;H!T zE*oE@HMDMjT^@2V;2?1ITMLerOeY^wf|6~>p|`n=jl!0olArs1)TvhmQU|T7jbfHd z>H5(=>bd(gpi1-aVm@f~G_OrGzpc_JX1iKg1i*zPA1r$b5HPK=Hkd^ewb)|C6iXU{ z`XXt1aInw&e3J=SWGquo*c^ME83-UzL&O8!s^S%J&83Azj9{lnwbTH8D2R zeHkZH(*eqa*u44^C7+npO&ow(}3wvB#e z>4x>DI4V&E^A6MQ*iY;GF?yTB5Ut;>rwLX>0bW>3=X#GPIK<-|V4g?bNC39f2RRU# zVyuhidtRiZvG*l`nqk3UPf{Yy%4yHd1}-{!v}gWk7a5$pbU8aj;zPQR5Ufc5ZtCSs zl@GnZw)GmA139oAw+sLIZKr|s#d@sV#z!Y-`p^@GO5zrOlJV;^QX~f%uPn&NheA(i zoh`V=hj0&sXX;ri3rYKgfB26RB2vOYeB$x=^&kFGm4yTw_Wx4D-?-{bk9!bM$%kF( zak5-rIKDIcNV{``GVR$tjEd28*y5Ao-<%SZNhOQ6FK)VO3=Q~)ybu<8{=wh}D&!N4 zi7_n-SMmbF{Iw^pZNv4ZI#NtW&K=^@42EB0Bx_&hrR;H{W!qEpq4oU1R&AoHV9*vnF~i56A2 ztb`?2gUmbm@**Z-FQ2nihb1g2a`pW&Oh%{G7Nm-@0w|&0(?S3xOgpzJtNd)|eD<`g z@?88IdOzRJImgbK(*2Jr^+2YB!oUpYH*~_^rR+%r>I;?OH$+c32)nH`>OYCdO!z`| zM?ZqcAOa>_HaHZT%Vh5K%VfFdIm&Blkk%Xro`+Ys+ymnGlufjooZ#e!aLOM7?->#&5xk9UdC5rQn0p}b2Cs(-iFBki-R}6G;@}A)2WkQU?)(v%V zUZGey-zZW(CZ~Li@QZ+O{9k;11ymeM_IEPDCBfa@1Hpm?2^rko-66OKCb)ZGa0|iR z-8Hyd0>Oe42<~4)-rIe<`#;|~b*iuY?yWmL-PKiH(x{JgyCily1H;F7sC^g+3!(@s zUzTcB*1@pUSc5U%4Rp9ik)^x1DXD#4`ocGx#+Y~O9D|#{w@Jy&TZ- z{iWrGy)|H$t!iE^xg`1>T}x+Kw$uQa_znJ|Ntb%r(~zBu1Wf!k6cb}~{Y`juaV+JT zVel~TlO(tp82L|mcstRbV@0lLV*d%YF0iT%!t5=TlfJb6^Vrcc=MtJ9W2#6g^CxA2 zlXD)^y9kZ|0*hDz3nb17Cd(WN%N&g<@wmsNlpjM=5R)qp*g%)VL6p$aa1cC$1XXUd zxOA+zGl3VA~G9_QU-nFC2VdQ*T zb{a5=d}8hQFLe)VTV?^4>XZ85cRc|KBqofS9@^a#Ya&un$bj5r+a4XDpyZLjWsyLF zTX9l9HnBzlP=CR~Lc`QOuu1?6NvS9+Z4Z9jlZ0}_A9MU@Uh?D(b%<26O46N-VYo+z zYv%sET;8IT%Ho~5vD7v$5*l-vl{v#Kz*5JAl3I;e7qX-}2b>(_0j7j1H;(HcrXi$_ z#S2<2jFixLL}+}>ApKYW!LR)$ChL`ztCg=-DqlHh;5QT(*B49I7l&)B(rBxK6BsaA z7{?`{qmjVe$v@(T=^EDLkoO6|GR&nNbp}b`q@}@hBghbB(Syw=5MZ8MnUH zxS|X+oA9qWOr79Wz{B$st;&~;6Amx-OGiR;Mt1%VVOd==C2>z`VH5jWxr@ymr)6?lv9j#YwcGMF z@JuNVbi|2DB+;|%4QABRaO7sjw^o*F`QUBmk@oqD!)hdcrKeQts(GO(kq@lqtM7rTI zj)a3RghB-n&`IlFK}BOgEHBu}SJ^R+HdM{hn&i`(f}zeaz#8r;UT(c{U?P2@=I}M2 z@`0_8IgBuYFeZU8Wke=oL}mqNvKlpKYEpJV~H)+l}7cWi5e=z6odaVbwZQyHTYW-d}nRfzHG|^xk3; z1L-paC`&8|L90j%FAk7C7-w*F50R|!zvuA;`;)y_jk4pHl?J&Dzwq!sniN2^)xZ?4 z3m`8JA+w_J=R`M_fziNJC=NlJ5siM}L@Q`S)G>u;L=mhg`hyS*WUxx0DX}1O6@Ubg z|F9b>dH$h8^@#dhZG_~*0C3O1>p2ujP;&JA>&E$Wx5$K#fhnE5c-N%%t}#_|+o2K{ zv8VyG#p`udK2Y>HpuY|q2lUsM=1_dsKXhLZxka>rn&EtA(SC3uH2br#de6io91_6j zzWq2$_aOSCLUKa|l?ngggn2lUw_BmPp+431Yq$CvN_I#9Y6d?oQ)sus`ilh6kJf;f zH;B4|%G|YeQxRm&l>UwAIqzxx<+*(y+0aIGk?#nK4;}mZTQEoVH#04uH6dhFd0Ty>EkTbVKNAc`UzNH^Cuny5CWz;KO%;lNHDGN zsszFjl{h~lc{)r8EqQkw*%6h$8wORnKboBHBNyt1A@YBMkF<`cV%;!q9W!U|k;Zmm zJaj(l(vD${bY5tvQ3#b`ZaF{oXn7F*QN7HZg&>~r`sm_j&LW;PK53E7oQ2(T2DPyK zg-sJGLmu0OJ@I(jqWc#KpdZZvH}_Oqw_~L9OTWc@Z(`8y#G?f1PE3C z1DAb4Nq!SU2BGp7#4rx@KNJg9eME^|Pzv9PL#5(DI8csw;1B+(O1p&$Y9SZ0McYDs z>ftA3i!eqAOzN_Y0P0g4b+j|7=vxfq zHqi>b)w(k&s%z>S$MO|mv;Ef{fyi@qzNqA~;Tg`e@P&fn;>gsnPTi1U?eObN&Ln!-@0TMw(Lcve!wRKbpWFgf4-569A{?Y2b zk6dUshKM0z>;p%KA%ze^EpCWNUIDXBPfnEpToSqoz(Njy{7*aJk|0de|BO7h1w*LQ z4yK*k0&($nfN{&m5xE*aM#z?r!&*D}MzH9A#G1D9d5SoLqN**Kx zKG}#+0Vs%2K~-oRiB9wBJIqXTSgZ)4afF8qiEe>b_$Y{G_$)yJ(|E$4)xuXg8I49J z`hgQ=vk*z-5Psx(au5wBV3Ihw#9dmXRvI3kwLN9Y<9 zL@O!t7?*lH#S1!rc&zoO0f!%b`7_Z-Q_>I zZ!|To1lv0AakWlO5>z*L#U{`P-3imqFBhcv55Rh7P!S4YqcU}JwoaJxnRhwUumnGaB zJx9`UohbMWm4ML~*NBHcZ)=Bu88Bo=_zhT2L*61M@YpE@My@;}Hjg}#^&tX!(5bJx zhQI3|C$im)vIH#%Ul0#pE=^7yyQW0Ep+C8CWjno6DJ^^Y^vxwfG-;#oqSkx)XhN(` zR3ryKk$Pd_N3I7IX$20p;eo^Art>GnT89g;^YbmvKzlDgF8PQ;;HK;U3q}4dlk6X; zZaOaW2T`F|8g6Xkju6G5i<8c!Hb5}}GdbRNr?3pPoHd?=T! zir#-7tH&DY71SvOnEdaY0@Q>X&hJc>u&U_$0da~*qKvSq(jTiH>rg|k0M-=Wq1@kE z00xi(7=s6200yuCd>Q`*Kr>Z}p2zBZaIuP7MISqlwcE$9sD6(Wg*CGk)2S(-s9q$7 z)M=KiNu1iO8a3sZ6DO~BCT>td0--P7i|I`M1F$+1_j>X6NL)vPAHy@JlqsF_A-_A_ zi)pN!i^~RU7l|>{ebvY}S3MK&p-dm_XME7t8b&GamF*JEQDTqoN_N}C#J>+KUajqy ztb3=b@HQqurLK^q;9F?vs<-a-0Hw2D$1E}dPW6Hzx8#?eGTX6}FB zvenJWnX1VixG=-4fbub4rfM`C&1gNGP7y{;#7C4t7A&yj^%3PCfMX1xpsZ;iL@WUE zpZ-#`(x4(~AZ7|v%o@|rnvU2tKP78^GLXp92C?|R#a$l@u-oz_Y9tF{35BMn0s9{S zls~j74Md_7`k;p8aUy?I{{xKnTqpW1Zf6#p2=(5Q3}0hA{lL<0E&t!i-NNtqm+*KST7 z-rlg@u43WdCDSUhe)nj#5n!-F@cjNc&H~`VkErqOqn98%z{}S2l`-P=G2+g@%LGaz zdrUx#Yz!GrTX%;w7H)%Cd_Z6UZ(U>Gx*`P~P(3E5N9TS-qz^uU$o}onxDRkRSdweE zCF`&y3q4H-n!^)(*A7L4XGoPT*+L!%*EU40$8Kjl$j`r@{47m{4LUH!LV>KAl%<(S zIrXp3s}V^rr!h*{9()Bh>k_X69Z;n|S4UyHe}De6zB9dUlmbO1=S6Uu?V8+;O(FPnfMkIETn)((-Ibm2p;!@q659?bV08 zTCRZRgw0h|j`1E+oFTy`{mOE_ePj4z6nOU*Su(iY3~c7GtEzF?YRgCm>6>3&SpoKD zF&dGR@ibS&nSlUf#t)N+->jIkA@aGzW^$S7)y?2a$fs0IS_!euDIU32@|ZRxPCj&ycGN0*SHR?QY(57N5lpBk)x~B zLYQY3f8etF-;*2Hk2D*Z0?bg8FT%8Q|Xr<}4NR$;U{_40?GXZG3zTJ&zhC0#e5L}UBWQ<*rU}s@N z9fS%N@nU518Q72q4(or>f53pP_=D{~Jpo|A^gkShad$T|hd3{uk>9*u)bm|l-c&oh zmL$1(uhsjjmd(4aWYu*M57K5-#5gZn6uP`DMs?F#3Ey_?zQL5P20&B*WY4c!lbaE< zv?};EU=&c`f;b8%4nBCX_kwujO7#0*UM_Z(Frs=dzSo8>yE_5>%|GPB-2I!#mdm`O zrrWWOkUFANGI&~lKg{(X{&sf0k6fr<6)_^b8RcVQd_F=$lo~;lD)ag^;XG%Got*AFPA2%G1>r^)p$*q(8F}TL;?R@)Mi<8WrT=nR`>%jQPxOlx<(rY^ za9|UQ@a6DCezWv8d>&5^a=l|7&qF?7Sio-?*k1`CQ!ks_$~JD@WiH+jUcMp!kU_Rg z>;DUL{o4P~%lAWuTi5w~?60cfsPA;Pg2!HWV)vXXkhD7AHo3#x!nKYjMu{p*H1G7( znCeWP>qa*dFsb{)h*`=v9%L-`wz9mGLI)f=nwqZ~nTZdhMYX}3x|Kqx5}UwFwjF2o zoLb&Ww{APkriW=Xs7I+i=|2cZnYYx-NH|Qp#&!rIfUW8TD2YdJbMhokIA8^2vhyw_ zJcO>YKv=f)LWJ}}De@n=6hCrhJ+aAoOz)m9_=Bor9uqdKIVRE=7-@_KD>Gs}U4~BR z9pfJ$H1RWtRb#lM)V+2>>0mll;N%mqfIo&XFqeenhKoCUC9 z;S!IX8VZ;ilCbJbQ!2yRrg^s-Tdp=6H5M>s$}X`(4T1zQ2SO8bK-3C@kLB>$ zJb2H+i8PHP{MrRCFQ^-RP#Fm)_Upnm0B}B zK^!rpdEZ2AZ#?y#cqp(>4uXV%k>&se)imLL1MUcw&MzKhv1$*;n}(%Pc;Cs>^r|uB zZOIXhCz6;#7S3<=c?}0Z>jc8@9b#=7;3aWlG(Wnsdg?r3CQH9jXZc`7;$<~k)8F&1 zex}Td4jb4K?Xy5!X+UbvZYZ}-w{5pGFtPk#W8h%pg2;RAWrr=*4crPhLL2!Zq5m@3 z4YZ~UPFu_i z8~F>W73Cggb*_OTOYIY_DckqbU+r1NYYsg~c z26Kz`imw7G;afc^@yeNkX;Z=;Q+FKmBdvu7k>kRZt0hafW=Xde=AYMoOjLi-NtGIG zfoqbj?4zD@ByaDCVU4SPukAn&UYJxBW(=CD+{at1##d^nK!*z(tyMYuO;vQbigp0O zfT@a~wdyng6Xq&nm@h5_z|<)!&VQ(Xt9B|O8q;Kt3d`t!Iw3HwDr8AfLH*+#?tkt6 zZI)Nq*et;|*dX{bGK zIIXm%-JVqvRNTUZ7dq0O`9YhN=Q>3AE-vh5*mOH&w$QoE8N&1@##S@_q#%ONQM;`hKBF|mZP5O)SGQYs9 zmu7kPm-x>8uwL)@Z+qz3K;nnxxAHCMjJA}^{R?1htKyrX$UAqUZOu6aU{7IST; zmj5Ok-O6iQxk87amYYI-ciPJT21cr)1PE833Y$CjD*0H4^-S6w!HdMRhV6|GVE&31 z$+$<@YpyN)62guXRRn3>&}*yN*gSzOTVIZfc<-auzlcEQ-agjo_hFB2a+}flrW(0O zY~-o*fwJBiqt?>-S3t83Lg1H@{ahHWF;ilf^OZ*ED^Xq}6cO#Oc)P`H2=??w#TAmI zx|h8hL2Sk=?Zzll-NGYyQ+J(+U3k@<0dY}m=HrZWQ;@QhS}P?S1J9FZ z?6qIuYM)W0jYEarD*)lPbj`7#UgM+Zb4K9xX2R;PlmDKK*sjPAA4k+$*rU~NF)eu) z*}Q0sY}_%^E9B)XZZ`C$a{^(P@NJ8~U%xg|%{@NlqUybvm8#y0#lGX~uVc@w^wtql zZa_CTqmZ_?lzp9mTE_Kd&FK5h-Cw^<2{Ur4IHheqSdZ(oE@C=WU?1uPue`ci|4{Cn zK)HDQ4$rTODAZZi6*{c%iiwf|>mgpg^le74-ja0y#;UlF#}vmXZCY?rni}a0vp8@8 zc}Am3rQ??oibnYy0vxredM%9Kg4u~RzF$Ir{Zj}#T!|!8Z)Q-rXh&vU!P(lgAWvx` z{#B9$!hk*r$^%lF^0k{PvLLTZ_p)ICpSKl6p) zA!qfkc)tI#vTww2sv0?zN7Dg+b}3W3MI&ZfmxfnT&b=)lEJjI`gC z3Bu7jJP1)Ep04SY>kw2WTyt;?i6%O`L`=;ru}PI~U~O78!zvV4m_lr41oaZ%?1Yw3 zZ!Qe_HyZwWO7`26-1VrqsU8-d_DiFoBP2PSF?X(Tx&=P8(a^Sgc3V+x5C8X8DBA@7 z2)2^79M-3;(EXJ#Lp?TQo02bh3H2&IhVq_hRiVO+A6ZpVG_m?Rzm(4HAex3So~1`V zn7nk+_H^z^r;c6p(Wwx)tau0PU5#)S*=Tq?KR3D;T0$@pte|GCflL{t!$v#_G4jDs zvnHQf+6lFRsXda?so3zI^ zs5A}~I{m4@HggX6*f^Z>Yh@!MCJ&i&ry?p2ZeGe90&-y1r z2T3+S?DL?>6{4rf!-`W1mH1?c^r(TAWaIgg2>Ozk+qlR{TGp3vd);{N98Pcju9txE zkURF6JGK?cD2M%95o-^@U>lNBxO!0uddCE${GmGXFmt#6JjcQep^{m-wKZR_nL8j7 zsk2;9z>9Gn7h#oJD(j$NC2>*0Idj*Z&QN{2MPzNjv@@7{Fi1Uv3_XG5;^q z2TP!s?3h2^0oPQ22XGe&t|jFB?RXjwP6uxD7v}4qm>UNYVt%RK+NHjRl`;)>l@ z1nhJG;l}QwRYzZ4a~`R`cz-)9o&!_tBSfT8G2)@fh%d?svrBzeyn10O%9al;;k-_@ z#hFQcJ$wBL8!Vc`-s{Ll(4}rd%_3Z1mD3=Ai%kS6 z)CmHEfwLULg>BQPL%tcXLLlnw)5uVIXT>+tQYT?z2fb>MzHKsd_SXt*GWp*azOHNrXEE zrbqx)LB>NiLIvjS0`$CtKo(rHx0zI(5zbOQlH*#`kd%Y>3Cxr(A+xJ`P6bJmVCK7o zH=Ee?_G~KKjVrJ)Yi)6q`*Or^);+)xxZD8mYL(p_9n_<4Ch#-kx~9VLf@zDl;|dF+ z+mo(UNI#=qMk_^G0o3_?0?r>X@cksjYRrnOOg;CvBK7+hVpZ7aj%s?M4CSwJyUSqw z656S>eT9oK!q~RR8DiMBY#@cV{g?GX+vbMiqa+pzPSr6cChffe9lIBgb0xCLPqI%* zI&|#tSwnjwNjwN9rnp~+gz4CuU&Lg*mxh?~-B{!CG_g9k7_9Gg_VXZ6n&E;+ zgdauEJNxGYV%URXQXJb2*11=AjS0ZsIGQMw>=XDb;l*>h>1@sUbGjUC@&$O6c6Jae zJa<9jypX^cHJO*Gb_8HuF1^?8oZ-!yFZz5f@Z3*fs;I(mmSD8;G%8wp9QHZGZ-AZX zpA&(Xw4T@I2gY#wwtO~NzqH;ndrnyfRITHzzbkRTBtbFG(#I5Uo^>N*4bF~ytnvy&^L^}q!fewUhVrl)GON5AWSHtpLzalBW=4Jq%=*_>A!RovH+ zX1xZCPKR&&Hs^m$WDk~&w)(gmdNER|0-f2>GnhM?S4(eBczla} z)>k#~myCyg|2RQ3x-LPO4Q0z$Te2lfPdZ_-Z5ezG4}p)Iema4_*5G6rSnge1I!a*5 zc1Bdxl>U1~{X6(B&Q>3fa;B0Loe6cho%M{!$hs=<8p=k;d?d_O zeJ?&*Z1CLZ)_A!3JS=JI4S(8Qq3gZmn%?ma|F2r4v#OoV>nlsG;bYjoyL;08o%gAi zbuRadNMI8Nj*@{e?kpI&b$t`5?q&n|y@T;_f{ zMR#8JcHc&;X#Xa<%SZy#vSnt?_R{ydcUozu*~7B>SCNI_afLB-_MU6!)q$VKU1zMC z(R!BZ&*}W}U3Z6rP^ze9PE@IpJn*q?N$qZ{Jtq^s+Gp@?tM1~_kih^$*}ct_$v61Z zn*i!Bi@eMaI+N;gh|=QKYm=^6-UXMNlDg|=TWwUSUch5x*{ln{qk84nT?nBw{<*M# zk<{~@IKQj)%r`vwa{o6OX?wk%Bmpj55}hW@_rO%K&D@DlZiy-6Ryc+GOGv3=t5 z22)^bK=ri)g1*I__h8eS-6pb!YaDmvSLRnfk&Od{V)4>s;Coq+_N`%!`i$l#ukGrL_WsJX?ecW_b`Wv_xx3gu zDG>;`+E=@uty^0xyE`1N^YcDkZoOp(wxqk=5%jta)4SbU7QiNlQ`2F?Av!JN@H&ONm_S6kr!Cs=V3`B}trn=H##1^R`P`*@S~f5gpSi2=iL=X+j7?1dZz_BQB)D zsbf{nb=Uh62%3=06ps$u?hE^EOCI7xTo6D>WC!T3k?L z-Ytv;XDaj*7Zo~ww(KCOLY53mXU9|_Jmx>KpvfQew!zn&d}E03(RxLI84iqX4YDTZ zLkx3kvTIMcXZGYKicENKi5=^2eiDrYDIbO|3o!+3nuZ7d{9zF+{B{jf1`!2gIEH=n zJ07acx>ba5=J=U0+T27Vo4I+R-xc+fjlg+k%JH1FY64Lx9Pu7qbeq|);@P-_DaA#;-;pd@VK#7e?coAcOc`IO;s}^`Oc?7xq-&@V1d?NXW2~D3JP5 zhZFBHMPW-?hZE!R?ctd4=g6%V>oEsoOM3bl7b?bFp>rtcYJ;z1^M+Qvi`lmbEYBRf zxDue28MeLfs@CGiV3N5_Lt-hj5tHjTre|%2?6GTdHMKY9PRljb)|fo!kkw~Q%tn@` zhF`vH7&caSZ)xUANvX0;excSf&JAnXpl3N7yRHZi8@Psk9_zGclNXlJfWukT)1BzR zp`F~It_2UaQ{;R5ZfuAx_BN?PHIxXKa$226eyiz#D zWO^k?5aU|HR#O+D0)Hk((}>YQVmR+bvSlUhqypnLL#Cq_6Bu1OF$ck6*Ey<~9dbGi z7Fc{GFZ`<(g-PT^1xj_i>otjEAMLZHnRf6s`84` zoC1Q+p7S5$VEhig(8!{rG(u51;*FeM5|+mh|K#qAl}EyS=KZhABVZanH58x&tIR*07>WvBxwG(?%{v`19z!P~kiqE;lY=hx8RY_IzsT!y zxhV0J+G(!j_*M_`Us3%Tt@tsuOCE?Nf;EH6#Mp#JO;}%K7d&iGKncz&T4L#0ajIMR z++B@@H6F9P;-}0*_JxUAUu(YH8z}o_y_*GPMD%owd2asR$F0)MsL>H$#4ev&HB-@; zQ7*A*TkmG*f(T9LKI{8ESdP4k!qC-t?mcB6Q4-m8l8&EHacgyHg4es7?_QMEA&x!T z6qu-xlJ9!WjneYMta&$PzNY4NWcPQZUkHUev|G|yS1#Z0h0Lz1xcMfntFvGun~y5vM8iGeFG> z#h>9)=h*XAb;!M%)S+F-RMcCAPd>|No3A#bp4!vOs<3yPrD0^G3OsGUBq79LoA|*c zW~qbP&k7;-Bak>CDM+-y=9nlIrd8=M)P${RyjYcMTxEhQbg14kRh7xb(Q2~lp*OZ? zJ8i95;o`4453``MeDm98yqqt$h=DBEb3b#-9(_7vNsGPzGWfd|rnuYE%VK(4!Jqrv zYy6UCQM>Lv8K%GKCe7{#9Q)qP3d$w&a@oPjvG+krEjFh^jPyjNB#*&y*QxP0`Pb zI9a^CkjVW|weNipZriJqhlTk=c_g!8+(i==lFgUe17bsOKQk9gA^ zt^m%|9qxOrU8LFAKKsZaO5V`8S;m_hw#H?S#bhPKeG%=+ zrBv_RzU8~og`6de;)`_=LeV$YEcIxRgVoWl6zgIHD)tDCNe5I zkOP@u?6emmmj6s*E)shK(pOS8jDcVY% z8;1!~<)vwu#HX4CW%S)b?VRd7ei%+se@G+EM(Z1LRPyl?LrtqL+TeD2C^8E%MR_2B zh<-%&aB)%gR>M-FPw2uE_VUEgV%33F;~$=Fvo3Y|rf9H=Y+ahYINy4CEjHQrdfeTG zo)+f5o^2vN8BGx)0>a0q&zA0<8~-&bGK>fFJS!Kvor(Dct3HNsiqmFb*)NPh1fnx} z?~Iq16vA){pILt~Bm|rG^;J2-@V8fo#8v@l-A_3ESt5uujA#nqipZw3zm4aj9V9~< z8(KsuTduLH;5D8tFIuSl?j$(KBJkWQI@(;*uXZ?czQ+A9S*>i*PhW<6Wyb(NHB{s) zN@|`XTN4+l?(uF%ww%gOnC~qHjl;vD*eoqX=~#Xrsl)3GbmG_T-&@0lzt_q{usQ7scp>(iHT*et zRVl%!yiza<@aHYJWuf8I6s}Oi4X`Rq>!-vSWco^lo9I`_F#Y;S0JmiHiyeYO;Uh55 z8q5%wKK8}=NM0b*B5=6gAThsrncr+irIl!v8tjvl9~(g%t0jEu3&W&<%KogZpoY~C zL4MH4#b)0lf5atLbdz^Yex)JNS;gKnN`FR$6Yl7#%8;l^7;!&}Rbf{ElOL*RC#%Aj z3q_v7z$_#ihkD7gFbpu^6pgVe#HWAoDI6;= zEnFH~MlwPx+ zcdthoduBiU7XoOiY2=R8m4a0f7Li%M+rROiT3jbSXa@KgvVfz~r>f7PK*QNP#ejgO z@ber`hC%jM2<~+Vl5Bu3NrX1@cdn%FcsNJcw=vm z;T7p;U7s2PEm4*dg~O}UX5smBV*dWhs&=zqluh1(To8!V8}6#RTdgLbuK_vRZM!~{ z{q26?-5Qm09R?MIT=oq1?Qc}v-ITZ7f5R5MAN~b7Cr;VO5+uB}kJ}9MJUSSTaKMdK zR;9BsrSY5%`*hqoXxFHGt!0tVYZ&H!;0k(X_0!%i*Qp!IdXAZ3+eSfb6XCpKpB}};teVEI9K z)X2Cu#64N$?1ESd1J=7a#f}0h}-HnCHM^BrQ40N2+dtAU>qS(GQ+|9nV zDq7Asld?%Z0ziXpUqK5zfvuq%yOA)2qC6Fw^nGSQbK{F@H5Z~=+JRXxitq2wfoL-? zAty=E+8ZQo2(?W;93b-CXPTq(Y`cY&ym$vez{L`9r;8=_4Y+e!X}N+L=tZcK<#TjS z#F9EO(4VP%J@@Z^RUTvn{O5eNvR!1)=SEQd5@SR0r(S6Ci|lEkk4Z(*v1PS>$XVKA z{k{9Tm=yT^%$?;@qq2onO{myTShzWmI3X26mu-V}9Cn3MghZf*Dn>4IJJo;LC>r5( z)7y!@jpR-=V-DQeeAF*yMH{oPu`d*j67wBi;WC4>3%nfUWae1qSIYJA=DIqDSPzNe z!CeP<`Yq@_>WUGpP13}uGQY6#3%SlBjR-;vpd@Eb46M+Nr{<0L zzM^%}ECnk08Qs?9GWH-=&2}?hwXRo_1gi3`4&|ezz7M3a*6p!A0eKU-L(}C{67IC`m zP#Ff_^+WqLEqig%)pe=qk^UT{=JK#m?*)!$Ad_XA8Slm(-pj5=hFqIglf-=Oa)Dl; zjrRErnHvtA9kQnQLd^v@gFx0!@;ofi=a*X=C(5c2voC)4C*>iQ669+28~Z~}PxR(W zLK=nvu<6LV;v((h8Nj37DPEQ5NxFtw{HjY>*UOUK)se|kUesq{kg3K*pLdozL!$A% zI?e=e49(5>a5(n0@A2GTINF2J;Kx`ylLL_*2a-JIE+THd(SqF|-p3s1K;3-XpgXyX zimAf?dP!7t<@0;6G-7rsk7U9n?uiKyrq?`cd63t9`J%05l6dCL6lS6@lSI#6DQ4x& zq}vaN5|ZC&uT&wBlD-bcbj|fU#OV-&D>A~O#FC)ZA%em3(|U@s3cL^+*rXBReSA2E zVgcY+&!hvF8hDB|_}NT^0h$tUomaE88*1gGM)qcaKX9x?nOyagL<%POAbB{D24m{T z*@Bjq_gY7|W96!7jqy{FO`jzUI64WRF>YxOl@14~-9i?aJs`a5oIB6JPApxGJBLf! zVxhLTD72`%NsNVLT`U57+sXtU5Yq=8QSv{gEM6M_lbs}P1Abof^w83_>U@A&Ffte5kG>K4db}zTB z3RQV*VD8JJ`ix2`&MqJMczB?kf<8?l-g-KmXdIe(zHFk8K%tK551twmmnV!Lt21$6?w;J42FsMBAl)dEhQzT zNlJ>)Sd(|pBY?Y0*j3+YvGe^qm=A%f?Ff=lB?GL%R5c(|=**LX#E>0UC@w{|-T0ME zzSXM5<_z$%L~^(DH3kTE8iBoB%y|%nKToxDFY-wZH`VM+!m>9g%gmD~>RHLJ8|lL( z2rb%&6d#ZK{fwpC&>TL+@a2W>8dovT#Tz-j7TY_uw%hwRcakZt4QBEe;yN2-wJNRA@2@6LA*L~4PUQh#1a1_hcT8h1`;*} ze~Gi~!YSAnRCD$5{Z7c3GpT=Iba3{v3Y(d*lg4D3$FVgch`;&sh={n{+jt>you9{K zjm1Z5xAWE;Edb?yia|G?b3;0ZlyhB~;h@=w=sGh(`Gq<&K=cdhpo zI}Dpkm6;@(Qq`vtTa7QW6`!KNYl5&YRsr6&)mrA6rjzMk=k4C6fyBi*mQ>icFbR^E zKADfva&Yh@31^IrDf3aGE6OZSH8F-oFNE5JdeJX>cFqe@oHrsvMe+Ad(B_x4wQdnzPuqu_<1m{FjEHc_c`4WV$F54`?b z{QHtUiS&cyX%`~G-IeS8KHqQR)GYiP634b+!NZH67XH zlk0VVkjEPA-O>ya$`gIEDfG@hY3WYzHYrsize7CTk6+e%Z7Q==qNf#7@IEPj!ayki z4~}6^DiCNa(gGDbp126wvnjMj4hLhP=M!nJt5k=g!n%V8r_lb1pd&u!-47DRxA&d1 z1NPi|6Kk1p_#rg&u7S)=TrYHwcbq*5iZ^JU2L*N%u;v8%96Pa?&i?GO@)pqGFVc=W z1vyqHErm581RQ7LZjVCbU%aG*35O3nRdRQC!T#(V4tr?nwMKjA#ZOXbyL{^UX`NHs zngwX-j{GiU%YVb(H#aAAeHcayxpZBwf+T!M0Ym0yj%e@TxyB;df24hZ)gP@yt=|7F zp8S-Az{rq)*@CpB+dXn%?1<#AjK?2Bh#;__9$uB|9=qnqe9Sr|oE;*iXW|8cWpY}w4 zfhA}%4G5?*NHb=lkR5jZa+7Gw{53R1E%jaTDI-fh|LXOrR|pZPb($q`<70_^ttgEL zJ8z>L#al$Iypk4O_A%yr7w?wZTjcCb$i|5}p2zzuf9AeV%%`^e z>_25xY)_^6-jds&!&`aHzm#taj43~)=ML2u#R^L~j#=f`($8Kp22_j{Si8g`en7vr4gwR}mC z`CQ%Go~ULSNRRhvli=N*Cs$vj;+5CUukwq!w!1FKV$ z@1_rOch>sN?L*;-#pQy8o%m0df%#>X?H817ISqr(KV_x`akUhtX>7du@=k8>P?$^{<`nryB zwn3M%9nF4PoPEnkW~Bz3lrMSz>3T)@ndnk)eEenTVKrF#1Coi7ikZqr`Al?pTF`eZ zpbND(hcy_jEq4amL}ELcl^vk%T2JeDRAa-$PV*|{3cK4ohX1|9$^#Yj)Pc?OYy~=P z=hE{6kRqJ?;0RaFx?252(d;ubbd4VArRgC7p66P|G=&7+@F(d&`4E{(!OOxJeVU`f zmMB~(neLa9bIFm|!BDSw;5qMUsxuvj<)#!hB#J%h@~^wCSd8tsV9xdtm(ZM>V8>4Wg#XpYLeJ2I!{Wn>4-n0 zLVrpuvokxOQedG{qj8Bq>ShacjjL_L{SDTqDkq%6#(H|KX(Rf(VMwVapv(!h&mZ1M zBc(`LDrB0?(8Ob^>HZ;XJ~adwT~;Il149$f zd9US(Q+n<&471hQFd{xc;=SSAEYY^zM+j=r32D;Rk`&kUtrGJmEa2aFHGa*w#UXYk z?4rsxP-Ba0bT3bg`hTpwbyyuuvoD$eF@gklhlK~1pdq*f3+^mnAqxo}bb`CPySpud zhu{vuJqZvTf;-&F_kMftv(MexRo!F6k~uNI?Psj7-eno2 z+O?jbIZOZ8bb?)_$BIocFk;sH=8iqI&G`6RC5is*YD2%wsFRCmO&%w)$XPndlnQzr zL;4*8vw`T{F_KfUi#uSPEFp~N$Y@HN8oggI&hD1boEk=e^(Ja}Kf6NtNT@qdnv@4NB zoxV_Qe0*HJ+6Lt{c+aY#UfTNH?c8nqlS=C;X?kX#be9C3p)A19)#_xcrO88(mSu2* zhNZG@#a0ORO*+f#1rx#$DUo0P`{^;CyiV75rjF4_u(mGh<;H?>d64?o1+kHhhP~sFWJA`iH+QuhSIURE|bg}80Hc5K%w@JLWJusFo_duINbQgNze41HFZ1}i1 z=#)H}Tz6&+kB*t9#5eBt9@Gv*AvKyC!f&cKHw5K8Azkash`L#kMdsQU&+MO1q-X`O z*bU?qvi=%D8mT=})dAE{Zc5Gcrw_4=mCUHg(K~7qH?%+d&O{zPC%H16`$V+Kp5_D! zqk7B@P#W~GlCY^Bd43LIa9|Hp8j|4Q-FJeSMPEmp@DKG4$=s<6>=h*r>?$Q})+vB~ zj&{1vjCxRO)F2ZqwoFJ<>v~iZCnQ8};fcqng4*%Xqe!H>%H!io&YrmF^npX3E(Nbm z0T{&olLc$vjE;`84Qmt#-0$X;9%rtC%PfGxJl~XAeeCbzOL=TXO*=Y}BDs}vA325N z!R*nm#4!~T=|0+!rp6n{>*0>%V5qh4x7#7kfl*dBmXaPqNU4An7djHnz_)`9he|H? zzW_G5Zg4ouK4;K3`(bvK0p@tMjzC$Ib>>w5n_6pAjtd9LiTPQjvyZO(hrc#X?*a3W z`;Ei)-FtPC?#YYf8^ed6S>8861hQzi!0npd{r$e6+}hQ8>Y_gr%BMkBEYCqrk!L#W zFYNYpTR3X1IK7%v)y)rZgoS2lo0^l}A}4$RsO3GH5pO=T;34A_Dro7icx$-MI3unU^UhG^b>4?}3bt}r zkJR7T$ze^J`*!L-I(BWc?7`qd#^a^y`kE-@pf$H-`h$-byNmK^KGenXM?W=}8||F> z7V$}n5j0zFpe7$VSgeCH-PL!A#hXHS)7+Q4`IX(HByzEaUTa}?1)$;SkA=PVouOR> zrNCt85(_E4pfFK-7_=Yl_O~O}U%d1T-bi?Dvx-C0@Z1HQR1h(2X`%C9QW8EfP*L+m z=w&&7e`TC3f}(fhExi%+nE)9N@ezatIC^F8K^RhKC*)=OO4fF{WfVQ zFcE&?CY-_>GnZ8&zqE|jaxmPkCjiq|-#CZpn(`hvKNB1-8t-_h$guf+J?-NAL&x13 zF2Q}mp8oi&8Nky1s|!Xm_FQ||jOE!)(3?>~WXJY_FX*JuK;`qpqyjFkzM_F*H zX;f%fcvVi@x4K;p>U(-ke_MjeiKSJgH6fq$X|M@PL9s1%}2Bq5(i?k5F1F%)aSz%jy z1`gysF)Q~Qr{+=#m~^*G78-u#M>dIub109PeASg5t}I^FfkDgmID+=wnsfFO@7yYW zcLFHz>*(&v{{FtP<8JMu71`-><(-K2q0%~JFsqebKGL=CGIB^EcyiVDkdJ~xb`+XQ z7pOkWy*A9x_d87mzP2Ja2AF@^OyslPklt>@`1TU^Ra*k@jx}B(RBT)|wvT4+yU~{Y zdC<`p92U38i^gy?-4tW@nHqO_1eAb6hj@r<5=DfPS#frDr#j8OtHfk zSAP>a;p98B5Pgar-q1OILVPVVkcnA{RXngRSfrd8axYx7wHcP!kV>P(;~1&$IvYY8dS+v`veV@8y;V#?_&a;s z;~plMJJ-u=R;)IvDK4X4bv7`(K{JY0VLY6Yv-wJ?iygHX>LRuWwrd+>?+O>qG%-i8 z5w7ZBnXB9a9-*^10KkuaxN|6Ur1dxSDcF%4?^8|as_S_SD+g?t2hOl34v#yQ6Tk?c zC=-EfzUCUIehg~m3s);U2ia7g_wwOP6w$gqpF0t^Mf$4cf)o7LQc7yhz7O7NBxq`2 z7td05K4+vb(4~tQ6Ptr;`16mDOy$UV8F1ff{2uOl^_(Wx_|t1^Wbko9 z1a3&-^V$S3e5V)QZUWqtd!-`d4NIZWm#1 zr`jd22>LW3W2wExWJjwID@PX}qY4eQL@^?llE@1VJ^3gUm$9np?;RV0HF$;5< zCRU;v|C36ztPl`^jo%aMVsDyNXYPv&W&qYVXqs1u0-3h<19NyRJOaVDhWsCI4Q%dQ zIg?7{6%wgZo-s;amCtTcB1_3(OB@|9W{#k)9x=M&FdNI{;@YN6tQZox_V8U2EihDw zV=jIztQ0ldnm(A^wWWSobXnfh#V@JyhB3p&+Rvj z)!rkG(fd5VbP8M~<^qBXl`e4VW%8wb_pp7C1_~Nv5S zp&NFryYs{A*ogohzmUNTb6FkzX7{R<p-EQkvgX8|Mm?l?c+-BGXhg*Ydz)(z*j2wNl7k-^9iGmJuS3IhXY zvkrJd2G<`C?BleQe18nfdbP7fb`?BPonObH&#&`=P#Ox-fwhmEu4uN)okFT9(#wgp z<@Dg_T(@70zaoAwLEdl{#E6Bh(odQOH6bifZI9F9`T#G&EPEZC-8W8*9v3?#kPHS} zH_XgYt8fGLU(IqjIFuhwsD+&KfdA2{PS?1HMO>gUt#Xe74eB}6npwpHT&sEa)&g0= z)EN-nEg2+HaqRF<-owpb%ak7RLZYm7r1CK5MpnA(0mk!RHNMF)&OLUnC(XbihA4Gv zO#TWkMzTtLuH##lZ@k6RJzxED5S0g(Ts){pmmQkC2XyoQ!o*Jr*&#h~0loLVSbo0B z>sQZU#os=0iic@&4Uc=2o52V}S|qWW6oB278;b3(@UM3yc}>ZQ`z(&$nD$VJAY)}D zoU}VVrPyI%Cu&~C5pPeAve9to>N3EK?q+frTDK%R^L=c!F~LoWP^oT7aE5S`6nm^N zxW6vhfme-^h|v>qm1s;8q4J{)1xwlDhT1o=T4H$Igz)ZfgB1@m!D^*xKpYp4B6L|+ z3Ah(W!F6}0^i9lmCJHn#X)zAOdW**u4$%_YTz*h*+~q@bIj*#|@$B-&kFu-0F&{Ed z7J?IFE_$HF;VPCa#7tGk48nV#uyFceLsv!XOp&IsBb9oUUxLtRW#oi%pGepGz_h(4 z*Kv@Ew}b6R8_0%UNb0l+413GyR2LaRtmBbgurD z$pkI_R{Xc+#Q(OO4cKxXdbAEH8r+%i)1x0EpW^tG&z1ttW#-GSVyrd zsI83(%!C=|5?{>J7@);Tn~DF@p~^)q#-g@(vD3wVN(>&tKLb)EAyO(2l^r#ZslgVU z_qPvz^^c`&OSgg=2?kb{vp&0Bn<`q=(cKzcJgO1ZC%tR2v))@5y*=Cq9uCa!w~WUc zR%v7!67R{44x&)T441#gw|hrsZ}uO2b__SP%M>paPV(=IG^}W9nOz8Fumv`&X3q{= z8)D%OURsus5$R^PO0vE}-5)~SnAMb@@NO40Q%c9hp(n{?7F zJ1T)!r1x5d#&E4SNJs8)dHr3w@b0Vd4~$!XgKyduFI7?hUN*KJ+KG72muJ)<&$S#WHx ze`7xdyiYyPy(6CL!1mJ;jV0E^B^p@EQ$c&9seliT%L?{{Je&qb(p{@uP-t;$C+GE7$uOS4u^kvm&HQjI_HrGU$5k*&5a(N_7>mZ z!bxzN`i;d+19PA-5b!FUqXcII5PPp#zL%X^S!HQihy5dx`FR;nh!+D^b z;{{M|nO=gp?-~!o#n!HO55)ztiIS!mV_G@S7ZL zGE+1W-iEE&Mej#(Q&YPq~$Fg3ZrGXYT6 zK9`}!RBnykG{}x%9jsVrYf8?pdYa&IsnA_TA7D8d8zmQydfQcDU1fKxVSN~Dd;KoB z_}lUt7EU$p&T=Iya+Ub>lSJcJK96P%x|*%lUl@V6x}~)KO(B?pxUEW0ygTb-H7Q2S zsP2Tbjj~!Gv3u^n1B zRReyaj&MiXJiUv6A zi{*)i#y#}$wF6_cCM6++6W6aMO6{|FR4A;Z)$Dd;8*j%bA9r|2uE*}ZRF6@J|ysU|qTd7xI`sVHJr01qYfdicRsAqYh) z_`V<^>!ob@>^aA{;uD-2jm^;~PPVYE$%PUveQcWyO!5pMO%`xsTmZKk8mD%`>zZ=hVv?vnb^1va7!!^eQ=deh7up&%5jd-z*=QTb}C*_#_u8Hmg4(_ zH5upXc##2t958nu4*ZbqKOmqbpjIadQ|lc|`3dg&*Tw0AK2yb^sSwm#y}i;EcSkkpjK205SJk1f!bPmQ_Gr0O#MVBQ`Ebb;)gO5h z>MNs(tNpIvYq9jA-*Y0lG?W&K{x;CC~0 zsb;R+jtvvT>T6_U_GnhY`Z`G#Bj6iCh|##Z)}W~oGIxDtQ;m>hyXJY(KmgNENW#p9 zK4lV^4HqodcrR2u^{b}gS6BV7HQTE)Je=*&X&@U9%!!aSld6~l*~xX zzv)5cTPdI)zj#WFOq=^Dc)dx|0gIv(^eq#m-q*5LEZ zUE`6kZ0SpN`ZA27h%$F&3$QRH=6)3(WA4wUo$r>=Kbqi87naIMLHv|@2ef)Y3vIr{ zXca%fM8bOZ1ly*?IwS?5f1XNI&%h+gRV?^0?tQo7-Js#!%}!`0R8bjqcDU;M$%9ZR z(!S+5x@X`?_W-q##)9curb*V?_94%%iKH{QyOroSVFEMoKOmp_=qexszW8nC@w}i| ziPox=*!ydh8xb4EcqPkefMVg|Dh;@SO+_(;^wf1!txIF%4XiDJj?$UUBY=XwbSt)x zYXJ71ofwu|nulDm!5&xn{J7cuyxGe%rMPyl`KsnL12jP5vZ?Dq_o;FCPjC3%~jl zy`oT~a1MmRN>qA4-8JR@Y=Lvx)oQ&R*@nnH%a|>WsFd9RRARmD)-`OHXIcn%7EGI5 zYa8k+{GgViZV4VK?Arc4-_*Ns!HJF2r)G%2I%n>{zQ zmKl{Bx2Y^=G>ZibuYLt0wM~~y-kCvlDW14!>0t>TsLQ9OZC>^6?v>z0Dn`7b(D{9J zlEQ-#v{_MnUjsUVZ(rn0t|Emh^GZ`uJR23%#5A;jw3tDUgnHM!4XR>?zp@>-%jpmU zr@KyTSjwmE(#+9T*vFYE>F^%RR&xkb2;+4mis!qro0jG^jwQ2^K2>W8^xaY-0+y_- zHmdaII;=kNVw+oiV#?ad*s?b-K?jZRFg#F8bHv4aabop&R-2?J;ii(fu5z#zb5f?+ z+!l-~ZJV@MmIV9ke5^f`C>{7pJ(CK6;NDD!u`9~D&rC2!G77aU&(K~a*37zGsCJNP z*nC07&p!n_Eap-tm5q|)D;1>;mGj-!+J3`t`mAg&ntt)VyNDRMVsIg3+bc4OIP(i9 z3!EN0!Yg`kB}Jj<)u`m>VIP*FsNk zYaIQg5*xrDAdUpT7t$_s*^8(vpW{W3Bl~7DOrA4M1liP?MFGd;^cMs85G{46wt+=b zpwA|_m5DfBz5HKydkO$i`U-+e^n$)mB)sR?%;7q4iiTqu2&S?|ZNU2XRNAODV!p$Z zbVqNWh9So``4^?%d7BG2#1hPI123vt+mmEeH7-BVA%TYS~HbD4qk}Vfo*H@499-^l)d#d4ZLD9L)Q(=Ry>O{v9*QFFo_=GDzm=DLdpOQ_t ze2PG83!E5Y4}a@v@xdPc;V9>QPsRHjNto8qmN1t!2k1!uC%RCqFUHE{VXG;6Jb1M& z>wcS<-)HwvAxgV_q#H~A5dBY$cr1Ab9k6|ro^Q@AsPKKV|KLNlth-c#Q7kzmcP{o+ zs}TK>VQ8%a2~N9_8BuAmaVo8_yNmTYuWXciC|NILdxG=BCYP)`xBC%Q?n2B3t#IP@ zqb_`#*i0VwnhRdpF~VQ`80q=+LBg_8X2rSk9kSo+Ms3*UwT3)m$%ojH!0nP)?Et0k zlN#X=*(mJr#`oXzP#~$DkZsFu+HGU%P*(6heY3bzcC4yKkh#jd2v3P$Q9eeWE1mP7 z_^F?l%Bn$cWy!u_LY$w+Pf>Yls6M+8mL>a@)>yopIMrz*OO`IX^MgEA;0tSspNGuO z4@5r30ac0LB3KiYk6|kh5`q6u>OVyAdj7bnPGMT-kGkL^qW_aM!#`{fQBZgHm(t#% z-z3x;w|V2;$uVSO?&&}_Q}NZ$Xs!p8Vokr=$Ua>XkA2)oNAwXBJWc#pO}Z>60Lq8< zg>~Tb;y;Cl4CfC7EwZgV=MRN{E{62mW3DUkaN>!4&Z7 zeHZ$=#SC(nmPy2a7Kr5oQ?R1T)^*{(3XnW(>*_wYKYD?&BA|q!n`%4Myt7gmLsfdi(`u*0zpuYw1@A5wiNZm0^axkPXThb2KjZ3!dJio_;(4i9~p&v&$*2({)2%dSmn0(zZW2xSFq~J zc$3HOA2Mu{M|7|Cx6LLGa6Ae}OD6X^aBCOryjq|c5&gYY9eKZWx@8Yxxv}s)J>|2r z#k@N6of?c@q2@OvpCsJ|ILc>*4{Z`9DW%=firgMo>7zF;%_W9dZE(!S7Y6=p;?9UO zQ#Jp|K0gJnFDBi5DU=PW)zYY}*C6u6z~erqpvGL<4DMi3%I$|RGs1FE)_uAnE&?B- z#EvFeWUG{6#*`Bu z+K_Z|mK!(pEL=qH}`2KL@C^K>^edeE7!9X^w?#GH(>0o%$I@JH)>faEu&3)X4-LojgD@ zvYAi>mNsOwX?l3$FvE1wI&osVSlq!7c*x}H&FnKN2)CBn&qEv~&bY=e3ODdPP%U0e z9GOU`m2X^m=!Cs#ZeMh9w}M~Y4C;%x)LXLt^ppND1-(~cJ@fNo`CTM*LUDswURE8t zAhj{M7aN=Hj|bG)LJrGos)Vi#-GJkc<8@$T;9)TCp@IJVfBd@_u6a_P$gzp0>I7a7R+jchBpo-B*&;adi%eH2e&w)9whA<}i_+VTR<zW)H>XgKfj!cHc$JwZfj@*y*f}N6^mxGdnPGA!DMz|NiC10$BAX} zf~*z_Em~6>!_RABjV~@Ks=XL7KP+|e4CN`A6y%xC(T;O4|2_)Hal|x}zv^o&O5U2D zoieu1CbWpc%Y;$}M72W4shcr--g-DmhWgNbJq=GC#tL=6Cv3ndS8tG9uW`q%_Xbw8 zoe)Gqb7tngnqxE+`(D=9_+w5y9^PJ77po7;6t`zpnVGVq_tvu>?M?QoGd9FfqoLvKrZVa``d$_M?ixPy#boT+^C2LI?c?v zIFDKCGB(FxEM?gF)0s@UnM<^2gQ zsqeJ0p5QmVQ;1|HWMD3hF4IhQ4N$n>=b0k|@%&dyqJyu#-3OTW_T(Moh`xwy8AyDh z7fddtlmwm0_vHV&?8*pxKiF`XJNGLzM9ta}3UrO2Q%O*-g@%VA;s@SSK`HSjGome? z?&lmTqdE0Wln~B6?rVY?eTaoVz4K#rCs%M%<-E{l(DBA(C1 zy8F+IpQ$8SDoOfQqVIRA_(|0CUDquaWH-^i%${xt7OqD`9&4XjuKk4zOdV zjEf6PxPWa)(*hkQZl$7c>O*EB!H%33i(A*X^U}H|eZ_G&69v42nyw|L1dat) zl`hr+SsF}V?2&`3;q&F*ScmCXP0w!hgKKm{#lpColmB%HQFChEQA5jTZ)JSS3Pn^B zT3|Nu(^Mn(vwzDB{Hh12=F*ox^lrA}RDaU#NJ;2P;VD5>Y6_o$-Q$n79W}LmAP*6T z#48>m!`OAhKP=2oRWHHA{!b7pso;xHp#Au8O3RL{3(DD|RNR1wc$NsC9-=ngyVq&k z3@yTU1KxS%>~`st*6oChs+$NP3JeOF=m<-h&8 z{orD}*(v3Dj+};KU|(YwXe~>!ITAW~tiGmWBr&U6E_-#NW}lxv>li58rROL~mOp25 zH-DfybPAX&VpneK43p~wxYjS!^CgyiMUU)(m_F^9LBic3?=jVmK3Vt^TG1n3<0bM- zQAe0NMJBe&yIyWyi~xA|}dZ$r>z{ zUxX-7T$(y)2Ntt;uA z40V0iTsx#NYG(CKR8t&HNfU92dJL9S-tr&;_MtXWY|8W-mZ_Uf3Oo;YhqFn4j_jh)E}Z;=HNKwL z%cS14GS|afQQov6Ubj-WxWFf|w?t~{>3FjvFJ-QoSnP|WL%TA&cZOb*pIa(K07=p_ z@#oU&*l#6%x#u2)VPHw<_cmaPR!(Iv&4|~VUbbUZAdb~fBA)1=vPP5;d@gT+yr7I) zR9?cMj*+!_g1sB|+;kyGf_})U{y-4H7Hf->bnf|CfCpvz#oJT~A-NyAR*Ltvhj=57 zqFQBdoTioh$uB63#bqRN+tgJw#emcC_Z?*;?R4xPXCuk+-|ccvz3@*Q^dytOT)u3% zPDXskvmY1O=AS;9N@tYqw>#GMZ&o0|D)5q1vn6`lRxqWZbHkk%^-ezRysab4D?yb+ zdmM9CX6jCM%l-jt8Shoh7o@s&)Z8H3YkeC9`34B5qR7ID;!|2$&P|nsNqS()Y(bg2 zNU3-zzc^ha=kqJcHumi_1P z&w~yeB=if>-+~482RY@7_r*i?6|V=p{S(p7kaMQ-&**asxFTM@+g-8r#B$&Axlz<; z5`~-$sFy0)z5QbjTy(Vec>~Yp_0hevetYAKXt!^h9o=0&zx`vbmKDck#kqgVde4%f z5*K3rz>gyGMpGf**V6)tIhqHjM9uOExK1zE3v&-i4h@O*r}TQL&&(xO6ZGRliQSyk zQ$p+4_66^s1@|M(#!k+G&j;7;3hQ|#c@7vS7?6GD3 z5lAS}ok~}1cfj4^k-V@oP08}dZhK0=v4ekC&Ogy4W4YDH@9|0fuoL#jhx-xmh*^M&%m%Q z$r?yPtfv*4YSofSxl*?9-OquJo9T-b(Xh<~DbZz(%eIra7u;E7?b@l2ezUMidjv?w zZX3}!Dn0Oi{6q3A;M!kJxE?L{^_m;q=Xd&2E9pyIBMR5`8oy^XQCW$!kh90FUT8dG zsOJt$u?U#S=mOI00}`KTKb_clVY@4L`)8(VslozTO2?V&Ay5!WCFxB@)95zuY)&Nm zLhB?pvGkM)4UNvMkC_=b8mFBf2|KMF?wBkzlNx77ZhbyrHh8_~E@$OM!X;K&@ylmg z3pHdop^`ae9hyI+H+pl7Vk3E@FShBVYFJ=YFI(fDhy}dGP=_hjF2)q z2SNYD-pgT1?ThzH0VTjk3YiBQ4T#Tg>`PjI_^h+hdNQu_MQdzb&vXstcPie zJIUvpM|BUkRic?3J1;%tdk;!JNw~|b<_L>u|m9pN~hcr$#?|U zU3!7#=uxRhcF#$)dsFuhvCw3hfo8Nfy>5tN@XWk#N2eR?Xs%}q^;?y|$W08BVmraQ zpmhMY;lNPBf_KusXQ=ie4Nl2-45ADA{zox~5(ThyeDVq`oD4k<)w6Ncp52+~)6_XD zy%B|c(^Xh)L74PnAWG$iFPuUmRxxy7)_q=%1BbEsI+~L;vuOh}zYXe8!PVIA(3cva zrjW0?*wwUufuh=dt?3VAVSpqS+a!?6bHr6W|FDP%0At^((;*neNdPl4B@#k8DTf)E ziUwE|wi7E}HNF`Qvl&!aD3dh|8Skops8mD<8=88M{-Jb`N!nmW7DwiN^ec&(1(_I+ z1ZToR1C%e0=2#B2{jHDU7ALThbXbtdfkAT7bxEj9OrD%|5H2$X;UY=W5KTr&xV(Ns zfe^~>Pva@mSnI|vvVMj9P@72YxIqr9l3mq(JtJXRSD<$}j{7%=|T5+YX;hLq%p5boww4ZgrCVMyCDO+=I zZ0_+v9HFToLMMEk=dse(FH;$xmU)@Yxg*d}wXrRxu23Gm`0@oYm;d^to~E;VPO_FT zJ{~GjNVvQJE)#^}N&~MoxZfFwFE_|YHv04SbNv90Xid^%A!-Mb|t~q z*jf*K(_1)*roWNyk&rt-9D5-Wq){%b&t%}9xtl^oja{;1RGKW)!vKf_!W>}xL=t?n zAC;*;w^_6!b_;cWS>MQ7us@BH_#}GZ#nX1ONeQp=SyNq3H^02@qzrbog3<0O=m z6?PS!0F8iB<1GlvA@I2-%3L%%@&_kw@gh@psbVqTsd$W-PTsmGa0v^i4)g`2!Jjx* zB(B#swmG34>n){xungK@Jk%VmJ1;7dJ-gd3ODcNy-DG5;0r1@;kWoE|&e+V6bTy+b zZDTV0DCSX={-;Y*RiV9ku;QYrjXLh5(K7-JGv=iA8`k)y&`;{wmNTMBR$+vHw5P#F zHHpnsgXF5rl6YvZs1jI@T=b~t$3gG7u&N;2+;LH^rWwRi_9NHyBI%gySRw0bM4R4+I5GTwOo}{kRfG0;Q$|>Am`kbhb!61< z;E?$6mow=pG6RTn>nE`HJMmQ(1DXEoaz*<@FnQMl6BsmT#Nr56ueWR_MP3&~G^<}a zNMPX#ok+!a8BW$`R_0Xg!7s0&qRG{yCZvw8woZ8kpb^bUnlfQ=v5%N)Zt;e^yduDf z*^0}K1}q^rQbQPF+)&V$Txgr;M539exQ+kV&dDBd?aXoEY)^4k z1%*C-vx-&6HgbKxP$Utb;B}`p6bZkPW9g}?a=79HF4|TM^%aHwnkWyz?MRxn)(vpi z1+YDwuU*J`EnC0W6xbjw7s)`;%o^lKc)}M{VFTquNhG$exHcRsCt$mga{ z_19%t`o7b7aZA|6Vda&lyVw&A?hd>HfJE>BbIGKbW~8QS_l%CZGQsCtS2wdBR4!yj zQn^qu-CEqrkB)vmEBrFJ$RyFA6Uj;1(65kKN{&Y!QKOMSDAV()S_aw351-(;%^r^3 z=Cv5c`R%;Y$nH_p8Nn2M`1d=F9iB&+lLsx$t*iK2<_yV|_@1zWXMXS)^b{X(-;e*2 z*-FJ?B4MeSVd&YwiSsP=aq6ap+pir>6gVT}Ss9eUIU>Z(9F%B|Ep%C6)vLvO5D;7p zTAwlxJ)4bMk>LQHe4bF@#P_nH+JISdeV+PWJC{?o#MgH=kYWg8+=zjWZ}gq-0pcSX z*5eF&Zl-f~lbtreo_8-$)ue*`GEcVJ&{J@7A#RFt%MmZmxvz+Z;`7^k4^A4;l$jP` zff3Q>I+C={VLbXmPdeG2GBzRHAogR<@P_4?nu)God>LERu&Q6h9o({<^EiQ7~L{&h3NE!r_$lkFcHXy8% z79MA=ZKc*10s{+HZYjs5C#46kdQ#)%iw^X9Osjq&USK(q_%^N8tV#d1oGU@B;M?aC zLSQQbGZuQM?xZW$r#d`yFmuYzc&_!khpK>3CWlQOO{ZH?1FgRAaUP+TZ+^0mdPxtW z?$4mTqb^?dF;S1H#}>4ds^>T4d$JNuwCj08QQMzpnZDrT=?|fmGJmXa#b@Q2;%6Ba;jc*`!Wu_nr$4uTr_;RZd~15dkG)} zcDB#G4=*12R&Q4{5hMJVtC%i?f~NIj`ntf+N}{+5Fmx3W-K)};Q7e)xjPr($i3H-Vrk zy*NjgnMuUiCn@p}h4;*q`J6w|YQ3O0KL0E-d>n#d8^A#%hi4eCKr^RP@^hqQMnHU| z49?b~buyax#7gL(MZA`G$cPAlm6_L6wD+Jy*2(^mTg!MPvy9>{S#xFedGCFGg9aPH z%)uE`{=r1ALVlzOL?(1@!QJmBiD-o)Jb|OnTU6ErmYFHjGcznI&!KCF^`nWd7WQi~ z@ssg+7?dFZ-#oA)XCx)(w~{1~gx zMrl?A|73|Rw8C%d%SCd9w#S|@?Jn8l3v#abZ#RD#v4V`|*oMbZDGTP1t)H}ADBT1J?Gzl{cFs4$|K)No09eR<^>S#_^US2c@pZH z8Dc6`P`Q0w-K(qvbmWh*unnFKbk*Ngicr)e++BB`ldEvF;zvG)6~8eEoBuc1%<*ic zy_*znYj*!IxG^dqE%x!a=Y<6iESPaj**e4X=~`01fLKIt6_nx0n?&dw@o6@+_(~W% zc$jOZI4ETJ>Qmy|T3X6qIBuWctAH+($5f_ZIhr^J&`RGQjw^n%M1+qO|5@QZhc$X{ zDzx}bxNZ+Q%(RVfp?P1qkmBm8$N^-I`L3Ej9?T+3hwg3(lG4arn;QjHisR}Y5^9B} z$ZV*up`|FOk9pa#*Y>FiFX^5hOubo7_79q|RsG(K&y`Xts%8KVXw|Xz#v)f-{#lKa<0e*rl`sEbS6kgNPz0^ri_NX zEEa|OOlySmLd9%iy0|YmM9r#Pi4 z^v1ke_bB4Q{%yC{HV_(S=lC*QT(k>>S}RKrqh;=xS6%Jl!`J8J`gZYy1xA>w9j=`6 zhYoT)O72`Zj46wzBPp_UZl^ar_&`dCn%az$2*HukMX|%mn{#G~>!(E07q1#ouuCZN zg32OMW0Pf?7_K`n)zJ#Rk}w3a`X=Ctk4zv9k0Y7VLousK!7NLw?=;NIF)S}Wp$2C^ z`7!TwCn!8sL>lC+p(hu6RK6wfV*any*66zg4Cj}|+4X-Ur4-9Zln^c;2MpbBKC~Y~ z+u#XqFULJzeNRi-8{dXfl*rqGQ&qYb?aFRlbhe`WH~O)})BEW8XRb?S2T&rwxiOx$ zu5Xtz2G5McJ{&>~?@_Eecpf4nvn-EL3D1W%U*MV{bcTx3yu?s=0w;=-mzvc2{!WLA zDFk0-a^{ft7KG^+ug`(PuyS*-*JEYs^eBUSn1|-jDTmJ2GSm}%Q`hg5L6u$U{Ypql zt-iWd#6*D6K|MTaL|(K#9_{KFup540sYOHtyfAq^(am+!%4bwJFZu$}aN%7A z*BqkCn0jwAbKznyKp-<)Rp9mzFeWEZHwcYuZPWdKDEkg@y0)$DAViRezKIq@bhfQW ziRir(z4uP^vV!O(VPoqeT8Q3zFCl959wmt0MUeap=iGbGfA9Bw=RVJP-Z}RebB@Jc zd#yF+e8-r}O~`klFoMxvNbX?OZi?qI5pOaTRlV}3F-2slg=(N}MLX=c@hPdqT`J1b zE+QP8ySpL8R#@};&|2sHUZ&0hDY2daI&r#_nnH|0Y@D|*hW*g1ZfD9sM@hQx!76S^ zLq)8ghkG=5rTRPtmU;S?=cbrpK>HjxEbh+ORe)+z5j6OE;={kGms%<0zgTECn?%(z zL30Zk^p!8WoCCm}x&nkE9XdrfKFmF2S;$Q&u-n+>a75@3P|;Gx6=T|Yyf9(E6*|_7XfFEo`%W!sL<5WF+B^A}f#vyV zz|G&UzU(o-U}XT~c70l+UGFAwz4E5Frh@E``t;`Wvws7jy|wQ^)Y;%J;^Oj~zV7ey zkLeYrkl#P>^k=A|9CDnz&n@3_lCMquTH|j1bz-h^l)B}9u|53jWFPKOq<_A(AI>Q4 zt34y4%&uV*xBGcieJr3Qgq3}xvYS36bqvLc`}o!X)Fj=VfA9@?ze7p}_8t|hD{Gu}=%xBoONQV~uLOFSZ@Qig)|VpX zZz4?&bz3EH&E6VsB)D^K6r`28YZT=6M(-`L7P}2o?S1wMfnJcaxWYcX$+yp>0PKOKu1 zJ_35O1_@eN@(A`Zyv<0fEMg654P$1NH+}(r#mS68%x#kDSe3!i1(RjBA{eMFdP+*O zw&NZjqbw=VF$*+c9jacO?OBX}-?^+sR*Z4`4L^GK_8XpWEJ=q4<2Q9kueo;QGFi|g zvoG(rfNlT>U8L_BYjv9<&umS6vq&b)({enk!JE+yhx-t@U$pnU$TD@I#DZ2C>el$2 zEK>#ihT?ws79>7Y?oixdKCpGA-;Jjl&l;HjI7(ICEg6OZraaONl!HTRL+@^qB?^6+B+P-lbQ%{^}0C;t)GX4O+Hm?h1@3&9p)Bj9wC^4L!F5P$gMBUKW>@;;kYj03QzO5(=p{}{5$-PD;3B2NE* zg>s^Y4DZiCr2PXu@P-@0EPStVUX-Kw+Wns{Po_RRbgK)s01dIycJs|euE0=Cn6RpL zJPoo-k|+_kDY$@|$y3WNOA0>Cjb~drGQgkLV4Be+l`J+R?et8pG_(R)>u6MAU5!}X z_E=G~?WD{o#`+We*8*NxbdoW80r&tn0N-?HgJW%b^#s3PZWA#@mKBVQwOrB**m}RnYDmQQ{FX`#`@99VW zW+nhf*E7fcfG~>jxJNcFnrikoy%Zn%X%P9Fa0jI}hAoZ9Ks=hr_DoHW^!WgrkTza? zlA$kGu0W!JS#6F2XFF4+P1$H%YosOyIAewpjZ(or5!?4K^rHeO-~1QVY7N|3h$8fR zQ3HCer5ClGmf;Q@Gf0jFBFh5dsx@G%HJDuSZ(Z>>gkz%pF9y(t7WdbFU{}TK-ooFm z9Ngfao&Zc=u8po#JEv9yC*jznXrCzyaoLJ2qX%Lromxij%)N^t!s8ISJCv?#xUDO= zbkMD`9;tVg9^J_oXdDzCN|P(nNKV;P`dqshZ`~q4+B?;hIPsW!X_cCy!ZnKYnwTZd z=%HK0Hj%_92VX9N*Q6I~b?Ck6H85y3Fp_oX69650JEv?5r|g=Zxc5DAOSBqcpl}3? zP@rs|9|>CHqW%1{Z6X~ z8kWs=%q)HJ4o;12)VF)~?-SH7*u3h)K0VpzwWnxa+0NWQaa_Ja%jIqOUoo`7r}!8b zXz&w^Qx|O+c~YR1Sh#6W8Gd`-m!=>&QCK&TYs`gx%w<}2qDOVYQbqTPif*o)ORB63 z8TXhA$F-=Axy-6g469B!s_065D3W;1dg6mCovKzDeB1aeH_qj~WU3xP-iUMbG(fNX z1TPOBIw~c$QL&%cBf3!$Itn6iQ~Vt?p>k1<=Ch@8VgC~oB~w+i)LjEY|mQ{`jiT73a7Gb3rXN-uN98~f+Cysn?%owge9EfF`VL? zoNp{B+-FK$XG-%c5>qP@9xC_DizoKK_475^jPILjT?%6@xpa*|`gYt?Eek1t2O$&0 z*G`B-d12&6hz(^pe~$*U=oSDL1;Jn+KHdu|=lqV$21jKv0uJ$s7{drL#l zt^dsc+RA(X+7Imd@w#`xwSyb{hbN(jF3a-V#0Ff%202&`z)^_iS(%OKZL{6s@vu1$ zY;Yy<;;xp{>_gaEZ;g5|@ybiY{zxh@lsYfdDlt>pIw6uh0E^N7VBK`{e7aaiH#z-t z`vKyh#O9!+p{Kp9r@faJyi;Q{Ci530@E3quql1z%&DK(_6ni{H)=oA%U&Bs|3`uo8 zf}URI=q=zvnTC-zh5)Z8EX>4oStTsg#1yRmH`pm!_MS>}O0>-APlQGne2`SOBjh}z|_6OiQ8j~E5(W8P3@Zv6<=!%oRLunKBS zl*}fnPVT`*$-NSZwU8N&z}TW7K{AaOym;CIb50hX02g{x!f|ws8KoLmIj30vI6kMg z%BYRpRNpv0Oj`xib@q+N_S?uo%Q;O!Mc;J|Z1;!GM9Pc@NGnlD7?tQZ9e73Tm?Om31E&1MMc2r)RXC`wi@Ua!R3tT}Rt}reqs0j-9naTfV0Bvf2 z?FV*MkGt-z208!)HLr?)x>~upxuzG;rWYh1(-W*Rc3EW0Mkh#qBS$h&M?vrNQcW2% zKr6?IGvf?tX9>U(P^e|t0{GJkda<%gf)Vj%C`5pyPZ3(4e!g&ip72HvT3#KRWirAluSfkJ z?tSe5*($5I;Rz%rW!V#AC1}K&@20jh?M`mz(dOnSFhk*eS@uz?kF=5fiREWt;^sW5 zg*M-%sru>*dlY-k4&a4^tVa3%J?io<$FK9)XtmYf398zd<}r2Jm^RE(l_zqR???jb zC;jRMLCPnMn>UfWa%q)12k=u7%_0w?&Lnq2FELj*y>GY5HMBNM&h-3 z+8*&8VZ(H3LVmjcJlyNy_or{e>hnq862O@=bQg9Xo*tXfp3?>uWN~QMGa7{_Ma~hZ zA!kmZaP{WsLPDeQw{Uu~v~>)XVWkrZPHjGliG_qp8;zD9JPe+t2YGh-A+g^(UU5VM zetp*W<;NzF?us0j2$WB*VgzVmca#gixNa@$-na1+nx7e}#MgFtcojojj;*T|CnbC0 z99xehBxbVnW3r-S3Tj3dC!Ou{h)BCo*!zjUmWrG-7W1ug-mnZ#rLZX!PbBTFa`#D? z5`$h9I5~HTyyqdQ2(HkcF&HCx1%VIEQi_aQhe&-UW?3f~F=pZ+KnDI%6gb8$VmAz$ z&TLG`csz8{Hlw0Mr zYFR;M^k!6JAHk;$$nCyzeJ++axtz9K^C_PmTp;Iceg{rn;&Q>D^Qe+wXS%+3o$bC0 znDOX7ho)r+BWs|@e=8fJn*OAp{6WCRVOAo#k)lu95&2t)^c1%k+^|u`J~hN}8|x7I ztOesvBPul-p=mvyw%3r2*V>T2uVZ+hLmJXN5wfN;f%|VsgMsA=d)DC?xIr;ny>{j= zF=UbvNkcR@h0Lfa@C;+G(PQ+h#7^|9@K=*;)gQ_n;sc$kZKf~(yy2$>5Pdl^dLe&7=@$kN^lRl>^3>F$Co3S*yY~rmg{n9SuDh6R4t9Qg! z%{dmFD0$CwaUTQ_Vy4*My3N7rE{+TehGCHxVx)+Tz!nrM z`thjOVd~6<<-ArwsmWR2X=;imu)%R^s%Gm3ZFF4KS)SVKxN-qE#s7^bId1*}i*)T3 zb6drnj(1klCO^MK#(nGfa}eCL2W_N!2p2a2ZGb~S4l5@XCZ~Y+=R^BtJTCMu8T16Z zWGJkoq;jwAd;gl?dvuEQWNxx0oj|}HE?ZP|=gkrV@1foH{KHUm(l5$_lMnNjqA=V0 zvnOh6*G_bP3;;d-j}IxcBa>yi`fu>@@@kBevn7a*e;2tL%RQdK1%JhONpUh3`-TdXuVkS#ry4->3a$6{Y<$ipnl!#v1g`2+3YRPrlgxt53FowfeM#W|DmBSYKQ++-Yf zuX7W0tfyB|wHM`bFK5-=zspQH9=tT-0CpaCo1{YKCdi)fK|R@DlCohIK=|(S55bA~ z?mPYJc!uHTrh=uVQ(uqcm-k@SRt{S7oTgh6E5&A(M>96bt6DRdY_a5Xtwe_F#lGNH z*`4s3yOcP{pU~-(4Pq%Fk?+dx+E}7id0`7bq#418ffd*w?@VLwk&ECrgbMEty+DlH zqTm976M)VZhiA{APn+k~tZ@a!EjMzR)Lf)<;NRjYwjYe^nz0FZ~;oQ-Ig_H=p^%tdiqGHY=~O zJ-J)6W7j;7@eSIilxhr2g2?@E2>iS1TC<f@r|YRqSL!Cx&c zp${C;)AaUnQNZY_Oo()bHu$Lh;$&;YR`{()2}cC;?PqGVff4(ZCzAbolRQQijyw*{ zjvMcM5~%yp&`UaKk`0z0O-6jQM@9s#S5EAZ_H=z~xlwVa1!?5fG6!}(O^9&h1A{?~&UfPIsu{S{d6g{ESL-51 z;JV1zLznK4{VG}%0yMAO1cgRmgcKt$yJs0(A zQXGpX<>cxPYnVY<~t??tR$ODeyt`c}Ir{$+iZDOMw>*8=p=FRmzDp=zdb%d?* z6YRVZ%4CgO^RnkZ$b_oMCw3{@snS|5+u+m^#CooQjw8(gmBt^+1$p1eW`id9PNejsjqVc+#A&-r7B zT7gvm{^B^dBS?1s9=}tm9FaBtMXIx;vxL?ZUu1iCZajqlYt--tn9#PBE)2&9z3G2_ zW-XcYIzsFVaj4``w4~c$L{>`-I3t>TBB^M+rEBJXdY>Hz&Td=<$rP-?Io8&kv(I@R zBr4Wspre%jix{6cm&3Q~a#i+vu> zuDy(Lj&?VaaoyDH+_4h#4Hx0BQSrWC#KOr>Z9KXr7T&y0znl^JA=dXx=%TaRkiGtk zdDk2Ewof#L1_|JrV7(Z(r0Z#i8iR}MgTQDFxjf;n$|y<|fY_F$#O)yZr%bWRO9+xb zL|QkrZEjeCn02f-A*)7;QOWFyJoEd(W$IN&p^P}@z+FeS(WVvSqT)m*@}F7(5pQEn zV0dqnIU$iBF@W8W!#<%9z z_v5?NZ>Ee*1)0RKx(F&if$)7mHfzHWTp!MIC1ln!#|TXGG;(@Vd)Z|Xe!Eyi6B)YI zOt?L-ZG1>@fB;-BcZ+?Mi@csT=~m|R^aE7(ylss-0D9x^30>cUf{QeOY)$`M8;89=z4|C$qJdpSRk6Gf&amm=wp3!>@+rSQs=WiapC~?is(~%(=M-P#o$@;+gS7G_R>LVl0rkzeJ@14$#=8KW^UyDM( zft{KlP3WqR#Y%Nf$GCPUfNn1rUEr1wy^w@!y?YAo#206n4((LL5VA7_pKHnTV8lw( zcatD>^oNWs$peN0u|~AY`>NZIH0@MK^6|N0 z*L{|aI;}c{^_d~3Rt&9uUaR=&AFPqsWgzs;*A;CNl1n9^`*REK;7PHR-hmmf;HlZ! z#mf2E(4Ct3ZJH#v&q|FxQF;IiLI1V-H5iwX)p2-7=lw_U`Cplkp+^@N)EKV^f9pn7^&WqL8Wx*xW=#CHu18*a zx28q;ns%IMT0PyZEJ+YAF|v+kk2L6vC&F%!Oa#D*nCWmUQ+Q5v0mKTMTC>y?X?lbd z{fh0h^!oOgF}Z6#Z;;to@J%t3Eru`ZyV7Ka!gkUp*{?<^D{vFQpV_Q{0mO)w`NRdS zVmgs{oxtI6O9`hn-^l#vOMz6R0GH)96ueW{yL_28zM4l`YkBp7)5~L#%|LLj&H`*1 zqA`ETS2cSlP+%v9to}}|tgq#L#nO1*zQgHl860g2Q*aWvYLxjriJCY(e)N*suYay5 zrcbfAXsJst+WK(XiSiw^Soz66XMxo=rxm94z*zkDuO8&1H$wE!y7#TWLb^*`R|E+} z==t9l6?(+dm=jVgKlu0x(2wo2?OfD4+-+OcQn#8gQjDQ^HAjhp*xN zkG+M#vvhr4SpMX zClD-nMlIKK;q27$e=i zUQO_@VLepF3w)O>dj%z-*rppFFw5zvnRG zMp**&&JsZhZH@MDbiQRc+MVs})%O+MuZ9-_-B|v@YJ{yBv1$=e6B@M-2x2TX@sU6O zBx|Im9}*={2&sTHITR^og%Ii!45!VgZLfWo!C_7cA(RshXL#QkX&*}az7wqfHz2pn7T^qhYKOg>bsl?+BHW$MiqatO& zy1h{$8H|soeTV$cEUzMz2i$z?zA9GsdJQ;orXoM?upujzb=ANsEa81_Gj*Ad^|8wH z1CybbW~mDsxAlMx*}?UK99vI5q$;G63%FW@4W24PCN~MZT^Py^uE>>enoWNGzzZXV z7Eihvt(pyPr@H@S{bn}2V&(`>7K0~e%h|=t+QoC#YO>dAPOq5tteAy5m8VJ36vOV0 z%0PKy?o@wQ!8(A&Q=y${zUL2tn8?&5ri1MA>W3|psNSfTI{47O!LK!RlnI)^7e}QI zHW}t*rpk4c5^&_xr=u9&M`<2Gu~jbRs=nY6B!h5cz7j=Y|;Rzfw zM~)>ymL&ls5!q`ErdRxXR{SmDn6&?k0kqM^{cAtqy07wecd2hz9&Yd-uKpwuP5wU; z5$~TQV!{oH*z+Gq#OW33yEi1F^dBUm%d?ik@3a&;0s=czD{&tIg#BkFUui6_p!>y- zgBmZwUz z)to+=n!H?pxTbr}n}SLtODY1}=kQMOrz7`Ndc8G`&doLX-k%;nlp6+U^~~{#%flu- zmU+uV!R)Q#@=)NW_**#Dv01R>GT*Uj^Cxoc^L=zr1=&RqHx`QQX*`bGKglcbPLK=$R789CofqxWFmY;1pwWfUl~Y zuPR6@a-J#8skV=8x_G;kM-?Zzc*m&&4+7kE9XZj;pXzC7ZQi-Pe(j)^TnHsCM~Q?YyN}B#Y%4tYuQwg|`uPyL%)!SnL?9L>cXc zejL*H8vC=;Y^;)`Ystqdu`_byBsQ2cc{OJ8$_0+34o4c7Lwf-V$o>6q2GFMV*M917 z07)G@0@zpW+QSY0>FVnZV}nvai&7Aa6h#hY)Q^u0Y1tB()+&9v`-qt4t&p%xl$Y_U z4#C6*TR=)t+tglI^r%v0pHd!YpLn8hkQ%qg%X@rpiaY${qr#*k5eaY~! zqz6zZC&W$;ma94_2~Xg+xng4aFz#D8Hpo#n;pkd6VjmPOJ7kPz zBIk?I6%{L!k5{PmeVEQZ6=t$u5^YmP(8FRxHD$sWLr=dit`W`xdeu2WlNdZiTC zZ8QT*I#cQ?lgamyCh&|Cz8IH-SHROMu}EwPI!; z$4%!F3+oaKTfliYVpbA`6d;lRM(IzDCO@Nym39BAHf(Bcy0x71k{f)b=2TM8*B$Jq z+9(R=71M_1){0g~Enrd7Ih-7vR;ZcuM#*tQZ8|@wu%kM+Jm_)0`OFQRt^CWU|Kr2R3Ou*>$)KE| zN0Rr!Ecl`4+Al*oKlj;6-a*fe{f|R|D$w&y?7?-1icOUk@dG(OV;L}_s8-@u!q}~{ zRY0I35*`#O z7T`HkvrE>P6RT~4M$Bh9Xw&ak`Zz(DTtVG5NO@A^DrWd9;>f2S4$hF7QOW^el+sQmfJY^uM(*B{?7gKASG3~%c0h#&R{7n&_yj34 zs$^T@AP7{1#!gp~ENDd2F-!Y+Ypaa+OO* zvg9(*fQSj(d^!uIG86NB=#aO`w4KVB9|3LYuI>}`F#P1ji%;YBa@(aPZwKd2 zZNR2Ke6F}bE5NJUE*#yJ)fP`~urU*2!{FT}9y|Ngcv(EGH>@!75q%pY+CvQEDsb^f zcojS!=$a8=6tanESO$RwOnUBV^>JI*dtuw(wYy|*!}49Jk+nJCt9_zY5vUc&<&|Qz z+OfpahP!O-gr&KO87Dke3CxTIrPaPdeF?t(U0(*j0ge=`6*bk-LDcpV4lT>hi9PW{ zMZ2eR68pH?cZS}_npwMv*(jB}++wChN)j;0wDEemFOBl5g*>CLq?3S_6VEG>{>ki} zI569h?J5KrU(QzOLKAt{$MRY&%f=(P=HT1PRJA0;7^<`ae#nEDb5bls>z0`_ zzKHdEe3<)ZapovZ{`JI3M!hm#81H<|Q3M_s3LIO^PFP|NuG$cv<6yXNu|pGy^BVoL z5^=!LIq=J^3i&9Tka1$cYG!e_LI765APw~bp4k@=0zXn(oP+Ue-jwfYS{5IU_y|dJ zE@^Io>w3kZdpd65E;rvfIj&YA^tQplrfB{h4*pBs((a_?mXU@3NGgh%H}9g#yly&U zpOS$leB4vr#|zjP8QDSt-xn;myZzovsADY^H-;V&&G97=Y?<+4)>C)5%Ud=S$c-9)#8`ow>fg6+pu=h#L2)+ z(-DdR6;cib#|FE!rP?urg;f2dTproS-ZrcB_hAZ-e-sHGVIuu1q{$vYSbN`9`Ck-r zy9ST>H-3mvEVL-=>2K1yP@_uNuas8ZiRw2%tE4}9YxH3PTfdvbdT?zVdZu7CSo^Y5 zRq6#RJA7JYAUZRUCQNwS<4f9Q$Ga2$QlQHiEsm0ja|o+aU!@|+Z&di2S;XdGE~LoRK9k02WtPW=NUevI0YglR#~v=ilRqZ0{Em!YV{dqMFxHq`?P0>7_U`JBr&yj4nz}rjOmks~6S6PS|e*&xTep3!o z$3~m}$F=7^$L}}&&!#SbLeo;0)}I%@nwE_=k$=PjTE+N>@z~ko3i$b$A;Jm>g-w51 zBv>SBAY<&)Dvgz#q45}ZtL6{UqOx=-q8o=1$he=*_}RQ#-Jz^>?4z) zsDKn9FoAP!4nKf!V#iK&Torx0DhKl75!{#tO`gj>g-N=|7CwE>%Na3kJIu3?$5{=!fPDQz{~5%P}X&GAD3V8n9OyOpp5a zjQU%uVA869)8hYV&|~ajmjnZK1Rg`TzG;m64Q^+rH6O^E+XBAnIw{{%??b^IZM=Wx zyOHB_rvQ%LsW9K24>h}A5GjrvZ@gxCmMu~oZ;%7zq0C9S2Y5*SF1n9AP5w!#evFIo zlqfyMCGrgZwEcjJnHdfF{-ZC9dPZL#l$hg-(7y}^2Ps-bU~m9XNyW=xWP#-5(b3}3 z6?u3&Zwm9F1QzrCJLKH*WEI%XSyQ)=;uB2pY^ZK0J08n@4SP@%l#@(}F2J$tiKj@- zq(ecNH6)AW72?ki-Lf4`BaTYZAbrV>?kC)u(}|myE}N#35x>IPNy|0}k$%W(H&w zJ(NM!h^BH}j6R4NFp}%Ts?7bF1PPL{-yF^)91;&C#t1@}56;C6mE z+-EBatHv3d6`#GS-RL^{XT>?3A3B1j{#oFvs?=}$htfc<-$AD^Z8)Nfpzjsi%$tvH zBje93t=)B}Nz!Dr4-sF+4RA9{q_hL1wA*QI@7)N4?Y-m*&V&k18_@h^{4eJJXqY=1 zSQT)1b=tD*3#<#UK_yw+7!l6=ZcE;mG?O1u*1C^g(s{P=K_m!1q2l1>dAvN^_J8|o z27MFm(?6tSo1>BGF+s#_$o@PbC3t-1?L&=EsXH(6nwBvZMmX)2{VekLKq5-f>UD%% zSlRue=72%kxzIffgV&{U8~Bf0NK6hfD3dG~YVOuL1_HBr^xl%i5G`aB7&sz8BQ={8 z;h{}1w6||l)-kk@1l$KM_{?Sz!(=J3y1XS7u2Z;o|fMfV@I__B? zzc-@c)L_MJ9VdPL(oD|Ex5mE*9cIxFTsT(wIQUvou(DcXPK$~-OY5i0-V-{n2KK@~ z(*4E;_VSNF<8*yp7kL6-j+j4_wiFZgI)dWMd`}d3Wi1>_slO^Sq`zTG^~N zU@N)nY!T8}*=-&ZkTW`Cw5Eu#Ra)lsL^6)b5WzRBlV;eamDd zb*efTeqM}sYj^mX4n#+8JUGL1#dYgAObEfLQjV56~pGeBBn`;g@#t3Mo zW8I5jKobBYCP0G5Tz?FwSAaVMBAxy1DVXY~BI{Xz6*N7Fkpz-_{{J1xxAE^JUq;ox zl6-mBBwyYQ$p`$E<%_#v`Ti%8Plkb9f-m+-l8xt(`!jK26OW<6hZPb_2Hz0{7|=*s7vpyuwfMfvY#gHME9>LriM1crvgZ9s zjhSMvU0NQ-c~t20AfbvH#qr-^YA=weV45z|_f7KHfjLu~z(l zaAUjBb9u`(xcNDmzDKl2k;=K%!c$;7Yxm1}(>mQ)Xgll(ACKPS+v1*$P-m8yhtJYg zC0=i*rI3BDW?V~TB|;A*WPf=sQtjo(fLYYIOCQDDx52E$70wQ^uLKNWI-Uj!VyA>J zgjjmfn&0eW=Vs_@PP)GM6OHsa)|hA}MxExxK#p=#B~t7pft@r(aGw_d*Tyb-%DeJ~ zq%+MjL3SPZ_CK{J+A$za#Hl^WxII1FEE(U$ubfa=zoyi^W!QBj@vtK8rT@WMK3;6P zY}K!C>A!x!kzbNlAxAlq8j0+vKx5lbET=K4vM3 z-TtI(+s0PW$_b|5J+I#cr1Z+U-*#XKcF)pignz>++EFzG~D?M&|qp9Wvq{kC8P3A!BRiorglfPL1lM!s5 z%J(lGuG?Jo%DeG%b(B92_7|@wBR2_ndf@hH%F8_VB}xbHpA-S>!ev#hU7HtQYrdxO zkB|90X5n!z_&7tlxzSfzWCvDZ-F0>Sr9}gvhO4`d57cBD>jqbMq9`j2->P;;Evxc# z@#bMDb$J#wLmIWwxA?)%nI|6>oLSeu_+bFla0O@bIrA`R`+*7368E8Av)$P$3XEvX z?Kcj;|Dwl47fSi)iM9+HvNiVOb#)-U;fhj!%_pFwp}wq9_OdL zw&mv%OZiqUmsc?6?Ihp)(BiOaSRu+2QgXR$i1hGcL`R7j|D@j(pW^U-M)c3Ty4@*q z2(qg^#XHN7!M(Uu2m;X1C!x{4(ie6r9Ij}p%dVt;l zsgxk`s`*a~=j!{Lq?%a*RCBEv=`iXmitWQP3poG#gJRRzqmyygGepJ5-d_(!-dkd3@;2;dT1#`DOi+vnN$0`58698`7U6uuXk`F z)!^gQ;Dc+QPG+CpIb+^EV-6Bv`zM+WKD72grzf%NyMXTmkG_6~b$y7~UTC!-!kI)o zT}S0)C_^xm5g4ELDSCxaB7Q?O&5brL=yQCFvj!=?Kh7Cw8`VtUdzdp2HQ0yv>5W1U zko{A4U&Y*TV~fp&@Jcns=HhzY^16DXGTdO2y}bT6t{V;1`eCi1nNh5-+%nWpu7`=W zzn*h6h_~R8;YdmSzcBf#0Tw4<*ygFPM23U55u#b#op zQ!*#Met)7&x~=i-T(?=5luYxP#6ab;g>pjNSx4t^H7ZM5nssmmyCK`tQ7U6E0mB8H z*5jk?u?GlbrmS0^bMe1=Ret&%!b;ylg;5fM%p{IP7#xmZ-arN4zPW*l34mG%>I+iT z7otdn5=ewfuf*^Tpco}Q*J@Cgc`Kamsw=1nLB6mY>wn^cZ-9gPLK10!I2z9C6@l)z zB@k8FYBwjs74pj0Kb~r^>x<3{qIrXN-!W!yTeFq%$y+<8jFRLlbv-jAkdW9dZ352W zxz-CG@yMrTc=-xK?lVT+XMEqDpV^+jUb3-X!oXL+$5#+`|Kq^jsJW$SxfWkyERVxL zyv(?S0$*@n!TP23H+#5SGh|4*0Pe=1$Tn z{d!JGF<_Gw-H#6a+=Q*n-~KUVrcfyb8~r4S9qlzzdSzC$3jk*oPXg1#{-?r1l(?PH z(GJo+zsv*+qV~gq8taz@`RZsuc=4*tNvs_3{29@#tRG?(dd5{jd7`cISX(94MlSAJIH!^}Osw-wtSxAW+sTe!JGVg!pY(lyuv(leGzO16 zGC7Y;^WG&~6N*A0*oRQ9wSHA>tit&`%Rt*Lx-P0Jcvsj#*P`NSvU??nY>r1HOfQ1RLq_R)j@WedNy8 zr$l8d#F7^p9TuWsd$5CYcdmnc0D)*3M}z7X;VRu5#ijhd`#6@wh8jwibHQ}&y68%vjPy+>N*G3@x&+K4_21e0^59 zATS0NgL8ugpc`Nz6OoEDHZ@!p!nSj%DGJ-)f?$PVaMOwQl*2H&aS^K%Xaqp?&dFme zFNM?FctM%ZI_b8zX0Lkn=Un6pJ@ppjuMS3!wa7_>RqY;sQ!=nYd|JUV#VT}gg@8Ya z9OCx*k<^LT+e)vz$}vS>naKe;B}ZO-JLds}Zp4-~U+rR0^y0fG>F$yhdoH}(CpSE= zm$=zV1C?ZN#DgGpwx?)7JK=upUE@hFQr0d98iy_7 zb|o>!t|kL6*YM_aJonug{~wJQ`q3vIaH+bT&WI;(LtBH!2=G!9@C>#rUFO`uom=mH zWSq_*Bz~w)l#%*CiDb)qjYmF&{+_t{(`ExU8yqTy0D;2ZEP=iZHZ*3}wexwr6a3FzFzb%}3HZJ@Wcsu5!u4T^J~-wl{7H;m|pi26H>wir!%HE^Xu zOY&bbA{h=D1=ioY<(H<>K4|R$ zyRPe5%4gqQm011##|YgHdi-uvBFCvt$0;!#R~&?H1VUg2?IFTG2n7wH7leWd%p|5V zag(+_xyv}GLFstsQ|ct+OB7tn!;^Ht-WC#Y#9Z+jJJNIrttW(AjhoOl}%J?cz z9%r3QXo)acwho2CA{}l};Wz9Y?uGLZDgdybcM93WUy8(cav|~>2FWQ$XU$n1*I6AxZbWCl=`!N->W^d%2FD=| zy=qDiGqy=M13u9Mw6Gc;M}+1fDjV5O-RC>%&a~{EsIOaP*C>|#MPDZh zN+j&Y;a$Y(Bh)8Q#V8j7_v|`&f0ke_GT(^|+{5DXwT|q+BfZF+d#Oj?@G}M>HHRi| zFo)39Gl?cemU>1BS0-ieeI^c9B{lk-Jh?;SFCF6nUwKau?BFKQ;&l(l0}riS#M%$4 zqd~)f-tKa2+aHU!IjkTZmY$h)%JAhk1FMoUel8K2bl7vL;fyT0Sp2}4_Xy%pl*hM~ z@ukBM&$>}aIjO_~UOl^wpZbDLnhEkZF$odfjY7mmpIDz#Dp}Xra@qasP~D!=oK$cv zVxgX4N5iLw_>RY1z;Z+Y&+=EEWy%4b5ZL`L#Me-iM~ID3@Q1b47llXhdc5zKW)yW0VJ-@GluGdYTIH8mN&_0grMGgo$`YG*9%$TJN)m99d|+lFP$}{O>3-25 z4viHpmU)O|8e0lryCq;;<0R-fJVljq%EEWvMA$1w`W7vv+Cz~>7}9}`W2FwkGI}kE z!(eDqIVeVqrQMN`0NoPkoOu06mo`!;leGEG!2UU1_im>1g<$Xg`8ud@x)9_86{O9< zN|lhR8uL%!l^Vu!w>|ouWLycWrVM}nz)%167-)(u@B??ypvLK|OND+{Zq9CC3l2D{q=?Iao5RhsRDFP}eE%Xio(t8geAVol`bm`xKzxUqv-ur$3 zb^mLfwX@GYGs(%EIaBuY?3un|XkNCIFm;9-0WEp+k=ssY;SS5F_j9#UruDYr-55J5 z1t?=Akflt2C7Z!jc7vKDMYAh-%-E}UQbTwBui$w(Ki?E<@wwfd zDic*x;pF!z^R>hE;ZU(JfrjMBMjIm|zbY1mul5XwvA*GH87}?VvbzTX^061KT#WSd zKe42#$a0#FLm_0d#TA~zbNc@Ad|-aTiixQ-w}^e3<-5+K&}kgp`OuQ_6{?>$Vq&7SBAqJ~8fE_)ti0>! zP)>d#(411|mh7jh9MJw2q=h$M#pkuWS$ep0cX*@G-Pam#Rb>4Nt&jfCWedr=Qx|=m zovB}A>KVh|gh_sHLs9vb5?fQJvoc4|tbe7RtR50fnbx@&DyNc|vZ^nckc1a3us6Rd z_6&Vrk}5pdF(5CP{i<_HVw328ipDV~b)@&l{Kmz`B%6j_-cZR4R?4ZrHl!OP=)J-s zL}asRI7{}$XP&26PSpCy!a{1+S9K+SlKA9Y+cLQRHat7B5FddmiTZGy2tA?J5BBSP zIO6j-pW?wbI!Jb%SyNJFT?m~M$#Gl>vn%-YE*Y|0O#HJQZBhjHQO98=@^Ys%NBG%p z&ch5dIog>t0wXB8M&7v9J9FDr5AKgC)fupxqxZd%eP0;U+v&!)_NWteGonA#x7zfC z)w|om>VhEQ+Vd#I7r&o&O?eSs*cM#Ctx@(SPB7T@aLd(|@(pIFi~V-MRtq#I zc=LHmgDuk3szmA%8&;n<_eKMvgmU`|x<0Hvg&v{}(SsAtMhehD=CQqC;??Z?`Vy;^ z|ML!L>6<9_D~&0EWaTJT9mwz8`mbM@cCaZ{re&9N-}4Y%ysF=}OdeY^R zN`XO2d^B^9XnGAKK97I5MCpb71uuO=3aeUMnDAzC`OF0ByL+5P0aC<<=1!Dkdm#=> z2Y=$Q(QT^_$!!4JX+ldqzk59lN3Oq?%(n(Zi%K(#@QI8oCbGge`IU&9aOArsPh-a9 z%yrSwBmeKt6-{5mnqR>euj?7PDPq;Hrc-5T?tv+~WhRkgQ#;*=!%O9g%_-U=z8v3unnQ-l$Aen@;l&Lb=Aesw zi-h>pSwf?Q^duYSs~t2wi$$+8m0Btm9IkiFSN^)Bv~;2~y3bj%IaZ}Jf4p4omwE-2 ze|h-z*4Ut*?$E*?p}9TdF7M>X!->c!HdB@W(Iw`*8Q3dM8?>FsiF&-86d39;` zh1h#cDt2p03|kSP{_ggjDey&#$5T6oXiUtXD4EXnp@!odnZ5a6N_&LfIOYv63 z3UPq@56f;2!)}zKzod>h_Uv6jMQs>ImLy5mV1ic5_WW0Horv-4=2^Zr@ZvG7%rLb_wme6uSh^!US%XigU=j>4&FLMbenzgs>8?#f^V- zamh`hvD2B_%wNMm(M;)^)#Xyp=&e#G4?Sx?L%aHljG} zm*kO~tmBuI8Nia6;L&48uwkSj>Q`;s5n?f;wD*$ZuO^jIr-(&IsGZ6`|Wa6 z;mDil!o-^)t>QGOu9*Gm?vOfox*GJiQdLyYx%`?wYHi^oRsZz!My zSq`>vsD|NH!oxA)dhH;o+&p2;?`&o!T1;+B;%tpeXEFD~f_${A!jP47RZ0g@}%-&f>xD{`H7x3lJr+vBosKIk-3(sHWH7fb=RP@@f zzRFXkq2oQPiPMO$Y$Z7CwLM2vL0@_~DqObi>+#+#quDi`L?NMFX(O6%X+sLwJwhLS zBg_kpbF{Mq{_PpV zx9Z^a|C6%0u_utjFFN_hxlcoSuPl|?N54d4b;198Ko5A4@NI>qQ&6789zF@~HRFSP z;B9exj@c9>=dghRB&V$jHNF+Oxn!CLdyQ`(EVH;UZ_pAtQrd_ntEC|U+e z&(B`BbJ*!r+XC4@aXu9brd122RqKHKeDqWo_cX+XN0{FZOk^p*+1d!+#i!**t9b8b zmZxJI7wZLTptRImm}n{}{dc&auld6n^ z*Z(U^0V$i9&zk{vRomM50c;D6@Wxrmv|EeCERVzwIB6RjddzwipAJ;_^7+-42AjPnYzHYjV@wv;r#R``$}8SR3vN1sfq6OmT(rB#)oRTffplj`h#v#i0b739)+^qf8>CDaU@*0T9s)9!?d z!we$GMW70#gc*c^jqS372&Z!cg9sFj$-&^!V)8IxcE(}@gNi`UjC7E6!oaNh|1f}@ z%A|xEfncAG^4 z%X^N1434ihyiq^0u36bj6=XQQU(li@P!=F~q-vY@*o)wi@zw|<6%Fcc(=UE{h;oAl z=AyfJScxpYWeBAZQmliS$IYAX;~sBM@KKB=ae7=pMqB{T$3>oxJoj%%+`kc~85XG- zmLA7u;Us8Wr~27GLatic;K93aA@|7T8Fr-aoc&2Kc=V=`7LoV~!F*2TC z{ZCaUw`!yMJds4jyNNA&RudVWdFx{_>D2n&NkaYO+A>r=){RHV>yT$sT4GWhhEP7vdM zmh$?*0_&TSZ!Uo+2gSNK3)7+}a*}^_GP8UgqZJ`H%bI*t1}hyJ*A_T*Y@O!rCl9J0 z;MQahN~?VqN2)6zu#m-Jh zMK@E$BzbQ;##arK-FVu7aL-a~-t}&%We-^XRTbX*iLCPj-d3jT4<8-9Qwo!Qe?3 z@>Au@PyhLfAL`2vck&m%@=L&C$&!TGED<-8GA_<_Pc95RUEi|qNr)mfd;k9Z$4=qG z$2!fzl4$=g9W{fw--y_=XNyH!?&U@^aYDAASh)|nc56RexSE0$ST|}u_Pw%Z5Nx4= z9MwSTJ=S%8tV=-QceEj|sX|-AFh)thyF6WB`Y+pb0o!?w>4KTxx)e-& zWUs0Ii<&w-;c0xHsPHLom_moShFe5KJ(fa$>3m}h-M z4)uyA?6;h=XBv^v<4~F}zvbLK(}@336^#>4YUEIM&lDx&XE++xh_cpfzd`+p{$e)y z=a>!p46`XD2)g)g=!1q^yra^WtuB@S*T;W{Z2DmTf^0+pWaB+G(m<4#aQwNv>FXD< zw~3}VMVicQ!jNr)l-ycc99mjQ4<1H8c<6jnL=jv8*1t#W=NoFDKB;^PQHp^Zs*7=> z`@9>TlOa#M541$|?mZk<|J-(vl6c2hGxo`#IhBZBL}CtEwvI;Zkj9z8ZO~i*mfAY?q*QTsCUYkM*?#I05x90UZ zlT~ne_!l$o5zXDj_m8MQQCNkWQDPuN~BDV)i91*V7pRVqcd4EmLJU*4HSWU)SChl_5v@}RfxmMUGs zck8P4={J2Jomlj4XzmV5>)Eys-m%}Y_cK#}!h)|K6BDoN6f^2{ayzJ@`#F3@k~}Zx z=DoF2Tfr9~v;WRP7?`5&JCUSIri5heRdG~bXAV9eG_Bv5HkqRNWT0rfEVx^aBIj>v!|}-Nzf{Sk zGJ8Z^F89jp?)wi;O##X1)k|?#@rm7U5-Otks8`G(xy2WLHeeO`acy8CNaB7I;6xU& zR~jk0x?e7>AY{KeQBh3JN-r@NgcaeQXyZD`SR2!gYW=nfz@R=c|J}F%p<=Ksw=N{N zbRG*PvOD2mB8z$yHHZ)+)_r+%Y_vjINRqvhL?iF*@28h@^4NMo)B5PkTkjs6pnp4i zJq}w*tUHi(6O1|K&&HhNXym%{Pgn?hfes6sNnxpsmid8{2u)r7O2z&AQ#+RzYVuV& zsOf*EwYXhZ*`TJ6zuuxqW^REsGKto|h0DpeGDkPnVm96q(xY@uaJ_bM0XEDkvQ4hP z7Rs{rOinj3j82W^1y^f@FJ*2SWFBeP%{(bIwX-N; zi=QsT<+1JGbziZDwfc&5M!`e0uZ}tjn_q9Fp5_Rw$L^7*(Lt0ifhKM`OJYCO-mNDj zw!rGqleu=DTd0MObS_DcuF)yH>L`96aX z?T&pG@Bb0Elh`LUPk8>QSyb@_PW;?|)Tolo>{a}tdbTGi9X@g_-IF^c*)0qTEgdY6 zcM*)7kHbCzmm<=wNeYx};}Tm5S;5EpTKCcnd6cU)tZ?itA#h@m2hy#8@Sz=~XfWKW zK-}byJ5H;5lP`J3t%1e1@5U}SWTscm3%`MdY&9;H#O`btF_# z{wX0jG+3z$5mf(jv-~=th*kBd_2w!K05YC3AnM4Yl1siWDB~A0PmI>A6#CpNYLLK9 z&~*v1^_uD5K-Uipd@);59o{aZ4VR-0-~5bj^5L%c3&z}}Ry3WNG3O5hM{EI4%=y8E zF;2(hz*wh)mSnn<%%~22NTB0o=**=@aAiD*~54CEetz zoVuQDdS6B%b@@lww|h|dP0{oo(K#Uo_5!FvYW&jfb;3T&CZ5;umju)A{Xr#_d}0g7 z^12G2AzS{3XUG;!HTM~^)h|LQbohx(T1{z03Px4&g4o~|*dz95kBnjpnPhBZcd83+ z-8W#9uXYYwR35Yxqh9);5EnAS1Me?`Xj2vL= zgA)bw%W4y>m)dF`bSGk?bt6VD>%@KGf@oIs(y>Kd>ps)_J7{Au=;$G5{dcn;hJQ+ zkCoHK^_N!UT1_HJUB7CiU|V(^gEHn@A7@J+r?cxl2BVvp^l{9XxWh|MkbT2NM^$&8fJI(S@ZNik#}@#rv& zAMr@UGkPst7yI8bx{PAX>PV*TzlmRRrfH~}5RNM^!qvv^umkuEb8O5Ckg}UJKp`$u&ZXM^2{oECq=Ft<2oXQS9=eOwcqvQqrb^9w2x-*pSXqAMm{vj z&LpF1faGSnTkYVAE0v;n5@AWK`qzV|?P6V7AUfTb1tqI)EYx&#AMC{yW#Ps6Z>e1k z;~@&vWnHPHq6Qz2KHP`utu`(YHd4bypF&VR>dvA_m4thtwnv9n@H3@xnUk&SK63^D)hgrmh7mB7$44brta|FEKij8Fl<%Zt-KwuTA@<+eu0gUcZO@-^pea%^_S@a6cw*PxPHap$i(Vz?H}9GP`D`yMp%?x?`z;Oxs}Jkd*B zuf!XEU6{T_Vafg@YHod+e68S$2Wp4%X)8kPno0-gbJ-?fA;Lkn0RZR>jdNdLf3nFq zQGF05-4MR)6Lmu7f7G!zby4c5U1W}%f%09eKPwGVJ^M~wqB!ewGdo=)pL@qakD@^D znfz;MaUJTTAD^uxpa@Cy@Z4>>semSBn5b-wBFc|D?~{<``Rs5oPN+c zH?2?PS67N#b!b^z#%!mql1uEr&DR~8UxC}mWrA`mgf#8U42Z!+YvYnL!e_tXu=?td zsZcOLLh8uhgqET_zmDXhW!I7M04%sT2@S7**9PANGZ-cAtM3*(2ZlH>l8u z*V>uVOk$~Tfv+Y?cwHy)94bWJ3E#}^)RwU%=IrU|eKVvm@5tzdf7tUnARmdUCNR6s zg_eGB`5sjNrxU7o53%YM?U)2xS#nE&F zTPG5I@6t|XimQPDhjkqnXwbCNn71A^N_`n2Qu0=6c)wQ=&r(wdsCuZFZBS2}64x%N z5Yju;8v3Y>*mX``7tM)Wwo?3TOHD!h4BDKzQ}CVLrU0J4FL6!N>H8IW{P=t`-fR)2 z=7iL({^6<-P&ScIy0@)e{hwh<`6W|Gr z50?F5(7oy!7~8+2hK`I_fEsqr8-)80X%*_K-zpdnd~-qgC6Q;ZobhXHJ+m_9Aej9bCvyL;Z zN10w>PG^u|L`|>BY+ctZ|JD10r=q6^`O7V*OP%U=)6b>n1H-4J)@C#Q#5T5p=+=CQACQN&IXG6J`8e2qx6!FG)cwW=6l~U{08E_cT4}e3r9?r66 zfH#&%F|{&k7}yzX=)y}6n}Dm9X+!=jS3$4eL`bjAo~;OwG%Er#2N=d6A1si8YW1JhTOs88 zy>k%TXmlzexpO#qJmP!2?7BC1z57JZ&(mql$NB`NbFwq;Vv^n4ujc;IJ-)j+PPfw7 zMrBA~?t4esg5HuPx8}f&d%OT8dD|U%xMUqT?I>G_Lzs0xy-B0#2A~9jhZS_7-TK*q zRSfj4*OEVn6|&Y);YFk&+EK-~z`B=L9tFy-!`}kG>XiqbbP%QZ9I|(FMn(PrP=5N( z+wt~0?|CW@y*A#?2<`9dRj)UQM_!P00*XEMj z=I|_S$Z6i?+8nfRF1H2!-Dc8g`L4ltthA$U)4Z?`P3}hJC}N0pfe}p}(;_t#__vRN zf7>CX7`p2x{Gn-=C+@llVe_yRH9|(Iw=aeiX|iYNVhdW;>=63JJK^?xOnlAmbvT=0 zt02D}aqn_tsDWD6mN1>2L7(G9q#0O;;t`*nhPJb4w%8OOD%TX?SVnq(rE? z#1ccEb=!u%CqQhwZ`I%KrashHZx?-WM?lcS^?1#~zja_Hu{0B3PxZTLqfK@1)j8uC z&}1}%NCHfGQ?HGXb2u{G=am5fA;CFvn2GAc{Re7mQ(k%5MbakR zpDKdNtXHV8(h#=$KVaLLe}io^^qMyQfo*lyujZWnziBoA*xKomdZ_-Z)&ayC;C6ce zwv+7@Y`^0YkR~e&Qm_172Nat=!f2%=Ce#qc}U&tWpXPxv&otlTb^z@3> zQFdXz4F24Wyy_X^R;}two56PuQ_{P(1@O1-Sr1Q6jAm2jJ3)jE!T)7fP;DWz76_>; zF(C+#5T{4Z%%avFjhm`x!?qq-HGvmmnyKFOfkON+pK+!{71-zYql zOZNEK(FLB=r00H4x52CZCIj18g3%sR+w8A6a{0W~;I1h2N-l}HO8BZh`I!`;0x~V$ zq1rCwdtDyA&^uNSl)Brjh49>Qr|fNGQTgry68E#yu^%u|rG<%Sw63!RfUIl@$A(pI z1ekr4aJ2u7sC&GiXXp62SJ*v!%YkF*4YB^`?jqb6FM_@(tZK;X>?ie`CYGv8e#&N- z8zh&Ns#{TcWN(S4(+k0bjoeZ|BUHI@DZ4xyaJzWAK)$878%C_Ot4? z^3QbX+^tF1U7@9FK3%!wGV(z$pBV>^FWuup9j0E-)A&o@o))}UTo8buRNW^1sNNiS zvZQZOmkyB{z)Rz={VnPtuinGY!@ti;`g6AdSX%G#g1v|D-mR?e@%+W(L0LB@ROc>T z{d zx|Ql=RrdbIUUvH(CfMsfzx=$;(?gllpE5^Nj!nk34%a(8>Z23#$7^rKaTWl4m!T|p zp^Eg8o*Ze?tk`!dLcBjE7Cdh(~FI4Itk$ zLeIFe*Be@H{={v|UJyUp^^%jyZbC z*`+ZaENfb^#vBN*JT-FnQ_ZcWUN7-FmB{;%xcOV6#miTdE|nVv3B6qrHRU5Uve&jA z&vs?11vx-E_4De?(R~s5=pawuwCXc(U*R$U_w~p;O(Gn?*vprZR8fSVP>T4l;pW1< zFCKOqd%mU;J<&hC@{gv~ryXk@I#iLln}aD6O(j9C18k9dFYEziX_ov6JH@4tLXE8c zc;km;8+a%7P{v$Y&#elO@hNrHwp6e}0r%ufO4yG^9|57(cH0O&JpjTSA<8!;*(;8% z+efN1r~ugT8VCG0Hl8Q=BEJD(E2fV|*7bhr-WWU9C-UNM5LMj}21CHbt0Oqa{0j0O z8=r@kR5W@`7J0`dA=B&AUJHL3h(QmPSQA2Jhr+HlS(E+;#pC`t#iOu(m+0#kHvF@n z{am!^e*i5o_Hg>N_eChgv988sO*;7D-7S1BN^SJ4+DdE=*CjwUwd`fE(>YS=Hu+uoSz(zOIdx%I!Rj~v&{rKkoo!|yPA$RGls-OxIE>^(k z(RJgj4qQdTt>7!|O4Naqp-W3F3$HyMTa6CpVL1zgSNSUysqTrpE}|gP>o{DO);7KxiF(&uk8KkWb5-Rcfjk} z{AVXq%FLl+r;W}h|1Y`q97Vd#*QrC}!#q#TtNRe&#}S)3^nImi5;V%WnCE+wh~E4^ z_V{i!{n5t1{(ROss5yLaVl`FQa}1fiqC@ctn!jmjy`Dh6S>k))dk?YzNtGWxR-!Gi zTU;5I$XR~-8=wVklGur?EvWe9FHn>Z!?$ok&0nwAGjf1NIAhZq9$;_>REnr-I9S~1 z<~Ra(XpnP#lRzC^8&&{c%Sd1_fQLLQH;~64xwxBHfP7Nrg;DOuW4V@*3X2Sa)W%Hh z`x<+$=V8cAFf};@jOL%pYJ^^UdCtMSu@*F}jix6zT`rjgihEO>Vewi|wS2(KsfukZ zxlMgI#(=r|oDQ86$H)yp>-$dB^oDM}rZ0u0ei)*0Hz$CLtoLzf%gEBU}Qs%jrFHD{Hw z!}j_3Ww7ncOTqpqn79(MA7S;#a*9I0sMwxx&RFXB$uVl29UnY?3)3g zE{R^%!0law1!TMuMNfAkY|+#FW<9ixR9pU4Bo6kwlS7|bYd&*I5OOEk} zi*`hccBFR|W^@%=6+IYR(Yz+E$LS9UFOQ6iu0~}ELl=ch*&y3`T#O3PEKc?E+#xU> zF#0@Vn$^RCw1y2@dW^-AKYkM>Edu>AxRy1T`{U`GfE;LGCjoG$S~WX5*e2`4VyQb* z`vdl+1ayu21N4d!)B*_+)SYB}mYCXd;0x+32k<#Ci|WH9^$bq^>)Pw z_j5C_DUm$wJIf0U)B=Cb`SrZZ+KVaK`hHZL(HkvNo(NKe8-;W;J_uHQV#>{^Uv64)4m{%L9CJUk7y1 z8nokefqjB(*h3At!&Asi`;x-Qll0~PDQ9jfj3}lrv-P>b``nz*6(BG5?U?_`JG^H_ zr8dCC*7{RMX*fQi(l6}uvd@ELW6WiILQ7Ve+{*nAWLi4NrKKeLEGGxVuD==?;4W5F zY2JE(W4x@5@h>1?#bEIX$*6Z~(1kY&X_((k^Ult`C350j8ffYFnQL! zAtK%~vbcR2`E`U;-3;cw<(5(8_u_)d?Z-0cGza;n2Hdc{LHA+n>+O zFOHY)tm;dCh`$mz3L;m=m#?rNMiS*^N)e;JT%f=4b@v7VZc^*T1}6bd;i9Or+a^_4BO2pz-3oh$1i9 zrJsGZIJ?WH#cK-IsG61nQCzN1Ds#g-2o}Wy2^NUz6i5y9ZoN%(`NV4Vh#>$Mw%0=v2(hr#-ZjcqVqp(WU+3@!Zny4&6#Nersr{<@?8CE zLKX@>*!>&Pd6r18h|-AdX<;I_QoRjpcSXP8!hPpkq=eDWTeLDjS5AHXSXy{{FCne& z8^kCfO|rB1FJ%fDGJp)xN|<&{n5KM+5{st&6e`17TfvqRYs%TM#$&}}PATOTmU4&j zOrC{&KIC@Wo2yEBub@)NS-DD;rqAVc{r8>N8X&SXv1D$(*gHW84P&^qr zR}610^88$)kBgMuOoO*JxI;GYauDjBvE1sR=3nl9-gTA`kyYz^%>9M4Ipk(V7<=;j zHy31sw{mFBsJesgOSZ`=3d>4h#hRRT*ohgu=qv=%KuhYW92+`#RpY(*Eu8jeK&#RQ zo4wJPsfs+Cq8MFzrwnMX{k|i?tHX8*SaJ*<7g%DvPP?Tnu{?%rEw%q!k@v>CFMmch z4JIL&(q*^zUyj00i!Dy6QnnAW19F z#}8`*QF#`UAlgr>z#Jgy*q2;pXWUbbWX4SXnJWZb&lsheZpNWk(;HxtL3iQG<`#jn zD5Q$HXP|8Ky~iYk@_dh&Ko2b21cmrq4FkSe;>YOz?rtgXGyZA^sUf+6Dahtzbn%$Lm%mEuM z4(;QOH%s*qe{>18Hs0$J){%n$prfuSVg`y%Yq`D(ssitSOy;6mO1kR#@ebqF2%;BV+q7IkL&2%umwYE! zSQ2`rN36DEhtxcN#c`tbmu~$Ky?x56zpXGd5J&kJ=9OtFHtpH#hJR!>?QwHeOy@w; z(9#zyxMc`4almnrQf=*sN2APFW1x1*0j`5z5jY=}J~~K_#Kir(&|=)Q1K!>D3!YAm z2pDmVD!wFH=v`iBo<@?C-lcY`N8yBlp5>^m8!jKOY8+%rE9eOx)~IXvHDL_huV?l$ zU?#p<+-|YB?L7L>&|Mg#{S5?|A-;hzn4P72;iS_bi+$O=E%(}m3b5Lz!`(~flP6p2 zxuj-MwZU)5{ckyCfRM!~O^3J?E)eoEFvh@LqU3RdLNqHQZW)iCLGc{i-JbVh)_88R zwc!48@5Z;_TW5ib+y5T8cme_!?^DqnWbz8~-v$KsV3S{*l(%($Dt?K4mpwE8Wp@5c zc?GXS9vz0N3EUoY6S%!3VaaY1{nZ@|Knnwnh;#4}FeeZ5CTMxp{k342lU%&uB@iLJ zZn&sDEmtN&UmmUm7PPIh3Ia3?op3nAtDMNXF_QbEVesBXz%cj`DWfTZ5Fgw7LKw#^ z$djD<$v%E^K^a#r{|zdpe^fq-_+#+CpR{!EY=Of-e>MkGHN##GL972Ev(yY9aSCQ# zqj`Lt<}vrV0wg(&VTMlC%4XV7(yRN<7m=`motEDggjr$d}y`ytXcoj&998wS?H=EcjGTJWIqEf@6=foZc}Nu+4yTV%UaVc3Qx2SO0( z>~>p@g(_fZ7($rws1<&VP_0xcRt&3{^m7K3uo`qv=97oag6ud z*Ayw{l$^?KJUt$#>DYD@B5X zNVqsC25;HZe0n-h%zN9?#t%(#l01N*)6__ zF7#jthekI7@W1tSqAnskO>|Ja2JCIaf5b=WrebqfIY;Rfp^D0-O3}G}(YYGtwv?lE z5q6jtZJ<gL6Vd8*6tE_*}kWs+`-J|^s_s;A1t z9IqN;+4*{HG(*@m+{170i@9*uQ-A#cSC-(6QoHV4dLiZmfp4sQg*AqL9=t=o-*QJr zSdJMl?PHkNbnb8QMG%#M0D>PQdO;D6AC&}f3~FE>F)Wg*WzT;4TYfVLbj*#+SNkm~ z-`wn>GfQR3cm7Fo+3spI5?<%{^=!_)v=znl`jVMdurbP)w&1Y1mO-vm%Cu6yx&q0z zZGe_SElV7~F*^%xL;=7Rok|e826WjvQ~j2!TTs3qe8!BJ2(UYJ-Lw~fucVj=^h6uq z&vM0DL`NAdX(fsq_+psK8A}DoPX}xv`sORkeot2<&!AaG_=|Zk*++mC0USt2m{@yl z4I2pqDc*}TLD5_w$WB;Z;3i=a#CI?0t#@9X)+sfrMQu!YV9Pvsq-b=1{d9x*q@s9R z{dBiR%eKJ8t23hq{d zzCal40A^y@(7QA}ysr3cyMmyfn|q>F>rtMyVGYmcE_JnqElBj}J}vio&}1enwKmzI z0qXi&vJa8{*Af37Ga0dS7Bk6z9y6)RC+m#@k?$P8MuL&yA`=V_*vuz9BWo=p^hJ9? zq}j7$lR|D?Y;0y^jetVELLq{K_C04*m) zz@Yl|fWlx9^cD`?Ww7+hMFn^0G=y1e_^y1zns9e+7FK%ADLI`$150{SdbB(R9srV| z@?Csw)eI3uXwI7uX@9sb9QQ1+K8%&G8S%Y9F|eLNRR3yFefhcu3%)>gGH5U#f z?pg1}J;z^R7i&eJx;q(6!`C0K?7nBDBw+m^E9oWGK#2ObEI)dI5B0hrKleEfV5>Ty ztHb7Ht%EVvRuu3U2!7EN+~D$MU{U>4gqr;z`_xVMRANGEf7hfgp@qm&?C>S~WV_i>)n@+G zy%VQAPvOob)7KOzhMl`Kn=3wI_Cc=f&>PG}G4+ho(sC)Z$aOIsz@a`Q!QK=@PlhA! z3y99!DV>l{16rR*2O|2c@_*?LaK_fx{`ky#4fuieGb+uHz&G}-0rX*TI#K90Q-)Sx zy$a%kEe!gku<&hykLY{F@OnF~bpFCJm{Lx+>P{A>{I=M1{*-O;td4>|65sT^`jZ(wf1O9%?&)#r=_d2Zy2twI{vVx; zqzT{V%?Be)4?|)T04c)gP-uNQ6^*!(Q<%XPaL)E+UT{qnQSp!5VVzHS#zh;{@F3tb^O{M|hcV%EF)Y;~JsKlUdZsPK~l5vvTf} z6HkFfc~KA!vX`laXvAT|wj`4e?d9gI3#9WJJYs;WR*BR753b9!kyr6x5ET(^U(kaG z3lLZxxIo=#oUv{SNoA^GF*^&(Yg<)M^*6hvjrOg-V|vC-*%zObeq;^Uczvdj!(eT< z$dR=XxmHPvQoXR$Xn3(4s=V3H2k=p}$89CD#X5MqpRMMLKu}kEBwJ{-u>h_+c=0SB z5oj-@X8SKJ`#}dG4eDx=yqAL6$STdcuNZA@u4~2jB7H z2-v@FrPV`0OFez7=XGn8%GUBjFeHx!g!4xwc=)J`Kec6KILjK!7jmm_Owg&%1I+%8XR*`nB*x4W*y;GkUC|jh<#$8z!%J zdr|Y$PFE@)`C&oX+~9{8TY$hc8n)XER#!_*&J2y0vt5{ZYt}tJAM#l8H9Koy{+x$j zD$OLHKh=zC^`l^#FO4KmJk!LhdxK~a8%ZAiqtb0Qe0l2NMv`yVT0&SD9)*OXXvO^t z>KR4|yv&<$12*k;Hm?D|c4e0^rVnBAZkFz)dSG;Xp_xJO;a>jwb-={|kb=OYXnEQ| zYTHx252B{UyEl}4@}8eb7_@qBOR?VqyuZx%$_HEapQ0h$1) z9#GWJChpGkf&yJnsmCg+T&1_$HlE@QoaXuQlJGD@nyqml(p!2|&T z>;2f0*7JZ!y(n`L;7lnH1x(o_#xs8g@dfk`t8`K`F<~5?nOFzzS+i5q^Y{0^=5|eH z<1zNDj^(@(wT%?DP4BAA=&H0TTC*w=9Ltd$%Lx}<{?H}J*%$ld8lwo6nY>q*^xgDs z#QPr5J@r~9yOJZ{ztKE6u^T&!(^E`pdXy^L(2vOKSu5sd&EjYEwShhUJfZQ~puUTr z0E~6sk^ow6FM73yFI`OlcaLOO-MW4+uXI17`U63gRlT4-mRNO5pV#cG9pM zL-kxw%skT`wqP|u3*vpw+(AV?H)TGzzWbROm~=P7>tge{n%N4y z+Bd$f6g3r(dQKh`3vMGoh`%qoR^TKqUwvkIOY6CB?fJrj(!u%a)5`*ts>grV0g_A=U#;%R#r zw&RWpA7LWc4U1m=wdD`zH9PcxjVYS1``sab`HptnnG@js;$h=pS-%wR4BM6cBlEf5 zMQ=c6#?Ak*tS9LhB$4N{Sn{nnIB~OB3P!FAXqmLq+$p%Z(;%u-KxHNt`sTBT zt?h99=YkRS;h$$si|(gp4a2%G4Lt-LGDY*;7h5%OrXq6(5Eb$ zF+DLOQ51Syh?8y!r71Shgit>EIsipa+~@7plev30n&7|TDjp{%8@In)Zus%*YCmgG zTA|j%KyB5g&(aOln6M%V+r8&E#D;ADY-hK=$vD1bRj23Kfib}nvT*!e-Ed+^TI(XA z=8I`9Vpw(Ng;`Z%*vm_h^b3I+FY2dfFwQto4PW3uH3U|ri-gJ-3Bd)87qXNHasnv& z5+w563~W4KS#S%?GwZw; zmTpkIhcvqnC#nAxbC*VE0io1(a@}THJ}i^*tlX`rp6o-ata36^D})j#hZ6K5`}&X? zuDY(Sx|EF6jEvNZp^8%VAmpy<3Qj1T)$!!H=`Cf`!ap~-eDbT0m;rzi-e9hgz)!~& z9;yBc<1h-EX4_Fba@1cNS*#+ma1o|Qd6ujXrssN|@?8CELKgD;2Y>=B06@_I07|7A zI(HNUIMFlXH?KX z>i=QvEu-Riw!PsbNCFHR+&wr99yGWmSYU8>2^w^O`2z_K!3PhTKybIS z;Iy|9X>T)M#ccMNo|-y64g+J-O7tTSvcLe2@YN&WwAn-J$+>lfOPGZnB~2~|?Bra* zPfU59`2Lh>EkHJj443^2bfCCR6H4TJ5@=V#-_RlBf&^)j_Uy6*1<&6yR>M)mkQp%k zLL3)%ROlNDU|Be*0+|}-+fYsT14T_C4Bd<~gk~Ml8U;&@|Hh6d)K|NAZ~;=ak>unW z>xE1QyYqC-^aH81OIE5tl+o3`Do(q^9OR zMz=NE@ZNmTqW1e)`Crj(uKy#tO>URL;xW9|N?Dd)+*9sB3h;(0+x@caw7VI?x79-YlK*XNwYF~>!Uikd#zMM>@7;Q_MU$Ru`lUd>MlC`yeyJ@Qy|kxXvp)3&M}0(}7JBQ>qE;Qa|81D_&cq z{EycY6B;fjeQa7Y=@$~r31q-B(Y^N*0J#8(MEG&|&jCOB%m)u7lKPItA(WqPa$-V_ zLn!^S^(=0;Z;BFfZ6_c6qdFv!oSy+0#xCAA@(?6T*}fc=2dVM9+l1#_de+C|JB`oyX`>hrfU!8@;v*|4o3s2`dOqj!&bg~6>X$I8!?{tUNL*RM9yLTgD6ti?gppIGvr!zDxeCni51_M9{ zfOLnFkLeCyK6I$XgscrYc7?Mo(UBohc65{=?J$Sxr}F%7S<4Hb29eqLL<>TLI~STDQ% zNP;bgxj8Dk{l7I9pBo{c8^7H?(D;9<+3W@r$u^LL*RB;($oyN_kRZ=E2x|n(j6rkr zVvmTyb3H_P!gGE0kN!k;*zoXLWEz-~8hQ=c9#kEn7n2}%P-(16{%a5G?e2o%lI78= zIpD_ZE6+!`D~A+<_5wkQG^K`8Ru}7k)eiiQEmV)iXvMEB7!3m`uh{r0*=nQ@Hvc9) z!~hidCyMxlph3Vb9Ey<`H7B})f zp-An}s|#aM^?&}r^!7W>Zy?kK#o1^o6Nf(b^-t)JPbi-c_bni;pTylSHq9&~U0fOB zoaZ-d(U#|foDDYEK2`7Uw2QkVlQdO!{=QInNTO)|kVH{H1ZR=ZyE}X&aE_hOd+F#& z=dj}&{3*jt{zGvkpdESg%2r3kh0lKvKckL>N)S&R01>KWe7CEJ;7fJLH1e}97yD@k`LibnJd$fbl+zDOR*rz35J@DXnxe+SMAZ`VyX@@y*Z&0%xHx*wXJoU* z{vwm#7MIgw$RmMct^)9YOGfto13W+kV?L8oA4QqQ#+v0oHl5+YZwLH%Y*>PXzY=)3 zZ8#m+nTY+!vE#5+F%iqn@wrel5lh&*$>YKQl$f>(f5#ccGNIjk68mYH1;&BlRUYpN zHB+*Hi#FM_iC8HGa!~$SfX!{|5p=w>nEQ_`jC>yR$*W<>{HwqD;e?-%rJp$o!VNzm z+oMs`Z0^!Oq(0nPgwK3JHhM}27y68>jd`zD1&J;^b1tiFe5Et10MGf1tO65tPgxxx z*%+eeUeM)-<$T}5aS~s`D_rvfOwBvn$FoRO&+M{VU)>-}$mDE|f6j=Lh(Z6G_^-a$ zr)fS3Bv(vIO=~`!;7>_ z*8eNlA4KuiI;_NKTzVkRZ;^8FQK=SJ8~8W-;j*j zwguQV#zYz|Im)!V2vAxks2v1MhQ1pZcrEvHA{S<;CmHCB*HnEX5QjLkKGhj2jvz1I zZJ@ISoE4Mi5BK6r8uMY_?N47bSqeFmD2ZNr-t+#s6TNQ}g~#7-EjGf!b#^s=?{7N8 zlnIV;xown*pd!X8vY~EM_&2W+riAY9%aXcp3u(S6?vt&6*YBcc>Khg*gy;89=VOFRPafiYu23fgj#p=`spM{W zz_+XwsCNlEJr}w9H14BZILHmKOIY#WNS}WN`q)iyPPvct#vL@7o1CYW7`f<@%;Xel zNt*T>)eQQ?3TGmd&?FUyU;a^=tv%^4Bhe6?EatxoG~qrlwX`I0HS88>?Dk!fb>$C! zD&cE*cV3(f|E;ZFxsm!BnzCt`re`1XuFOWgblSAl_0@!)BxxuNVShB}l-J%w>iIA2 z61zB|MN#ZsK}$Ixv*1ODVLkVz0rh-lw#QE@A*~Yu4Q>CSV;9Uru_J#=0_JY(PRIA#_gi5a9!t@_Q%ed+TERaG!V`ym^;e-#}-rMu; zu;(JI{nsxr^|ioU(77R=SZPyH7d4v}^5L(;&-^m*D!v(NQlEwyYC7+(^WX;*f5Kk< zd0ZN5)IfX+*vVbs8=DA%u(Nogczk7=V50#ljGE#c8PH~-q2c;a3#;&mX`jC|CN@&k zo|uxK{uj+jOi>YZ7mniu;r(tIO>BQhDLPJ|aaWNh$|saJTpyBFIYehZlC& zS9e)FR5!F%8tUlIymNoE=nZX*cTO`RwSdISkdruI;le1Peai0vesheL$+$>j>pHXmES}7jL2DVG2(~^$&tpC{y z*J}EGh)lLlSPzVMs@^Us zL_}cz2}#_;faJddF*B%yuTV=n*2>%ycSy2@p4~Px;1}qGZ}E|K__^el{zQ=}1dgJmXcga2lp1pKfJC! zTCcSr8cn?gbLA$VdOw+n(P*`#TP$zl=krh~ns+9QJ_9cp^rWjN$vjKbNb(R%>iWaV zIh(9W@!Xr4zhM0P8vTR;Ghb=uT$sVon)x(lt%v!aZ*%SE-{vkg*64W|`8JvuYQ^%U zD(2eh*8>U@K71!jhQ%gNY1$c&Ih9W#>`V0x7+dD7Kd_cs)ds)o9gvHiV+klsUpEKa zs<868e{rcSjZB`0NNCp#Bz~wBWA3S%+Z|1?ecGHBWn1sMOVraX-#tL7nG{d58%MF)N`1`~;$V zJ`4b^67(O;b&8oybhvi*R&Qoa?4*n}XP!fdSv|te{z#H51+uPzA*^3YONBartUH#n zezB}vJ38KB`4XBglsPV>lIa6v*Z+NWV((=j6L;;4DcW^}TBk6NhWh%Ct6h&f@|%ld zitSCVq)=EBb<6dR!Tr|3{e_m&jpp_91wpJ+$AZo_2bHou>w?|c7QPre6&cSG1oliLda?yFD4tO|Hu+*f&MY#PY%wq5&E2PY5WlbY!kc{O z9%om5v+t0jdf*bhUY4`Ah%;WCj(-hOW;Z^GM9+V(#+i#v>SQw~*SY#JKsjRrMFM#9XC}i%Y+r#+&b&|#4 zuF?jr1hXxxxh8u>Z+U}j13uM(q-{R#A`RT^0D%@k5q}0Ab^svJ-3VUyE*qpG!efTO zIhe7bL)R&7@2}fm2lDx5+0*Vowo@c}JcA$VbbW{10gulfh4t+#E)9tF1rrMw(BLN1 zdF%bmCitboMBxOZ{{;@Lr*2#zX8%4yiL+t`ZJ*8`r|n+*EobhXw>-^DOC^iNfZ}YI(n9>!d_mNDnCFFr$9w;Y>yM52HfirDBMKQ#1DhH0@j z$m>TRE3Ra_l!QkQQX|e4Jnn@ie_xXo4H^9W+Lg74rko&Dh565F32<3g4?pm4%9r&q zeOb+-`Q!=Aeje$#TEQk0ikuO}-#ozxO1Mnysw$~YJ;eoawmfr+PFCv<7sMEsY3ATOKVi@D#KdX_A-QRbpVwQe_!_ zDRgw8jWadP89x%q{9xb>(_z+{{Be{7gj8}cfG3Lme@TYKi{w~t2}|{{Gis}b#K&gR zWmxtcvdS@PbK9;=zYqh z1Bm~o{neygLHnpi{DJUq9o{_Kzf*}Hv}LFt?%bPI`Li+-=FZs3QAd8yxf3ub>)R%L z?oXe<4_xvAM}(Jwz(PO>3cLh4gjqC;8+>3t5ZfTl_%6pN!dz|iI>NkF!woE&UwAql z+ti%R=dHu3ERoDDfg_XvkpvsAwi%NHj@d#WL%oS#VK8rhiA4#w`Ba~#vpK)9ND0Lp zS#r*WarvRDZM>RoeApCA-V_T-sTQPEi`Tfkz_{GD-CV1~Jkhwk_DVc53UNZA1V-*L zAkZwCYKZl<6b~FU#MvR%PO04s^=IiRIfov+?BNjcM^R|u5UKwz9BgQcGWOliC{{b1 z!9~VlXIQnu7@3PejhZWnXzXIBF`?A(nk!~;u$I!i*hCI=t^l+gbv2Z*x# zm3~y9^|uBzJt}G*5 zaoW;aMiY$KVTR@Bf)G!8L16-ekhn6SU^AQHG2_udn3y0;(gkX21!`fMdAXW-!=ORs z^D^2Lj@!T0dXXnlg5NvSOt zY)?JVkXEcdfkbiW=#KHGiwZE%z$RZDn(;sepVl!numwCYcMR`jp4Jh1>H*Av=;nXY z{-vmaP5eO%^`p?gRGevK+0iZJ{nhr{&UL_E{N5*GfL{3ZLWbc*a`>_DSfic&p9RG& zxbGQ|Yi8&R`x1J^%S!5JX^qAay|xC=%k^jv&$Emd`a#FN)~1u{W|QOHMftr&`B8cr zae5jw^+ineY|^fJYL7+IRWEF}E_b(%aN5Op*OSR>{2*qymq&#h=hrbi*N(iro@mam z1G1$v1$Vi;eAVqAv3009MFB$v@_G3gztmxjE-Lnn}c3ns?4m zw`(%Y#p_++s!heUKjEfJ+c;srU4vc41m+8%vl&R#`GK&MW7cstLgO4}pU_l{D~#n| zUyK`w)z&>21f1q19j))rN%`8HZBQbZ=dVf%SuCJVt8e;MmdPhkg*|LE1UBZ7nP#5b%58`mYxSA zh7A*jjWp?mDQP?gSzwMtr_t812z#ueofoR_W;Ra3r$0fg0*|_+h!E#NYEp~w%C=6|u}CyAnEc;%^Ior3#a zi~3wFs!q)9kjzbySbISV?TtnOm0kMgumDyd9=tq|Si&HJxj!X%FKuCC0NjF&KoN|F z3<*pt)Y@g+TbfQfBC+<`oKEUk+Q$^uK~TD}u9O&>NFU$z1^yTYm-Au1)+x6Uk?F{= zusPhHoN9?T4Om6F`9u`{DrJny{LA-)&X|Z5xr;pa(FOt~a`uiG#lHpC9y6%y`h7*t zqWxiLFz8ik$g5OrB>_Ao0h+XrOlcpbg|^g$w!+*;0a>D>?Dt36Tq|;b08n22|HJ@E zKpqhOEC09tx1w9?Uju?Xe?=$1zacqm=oYHZh8fQP03rROg-!`wSe>c#7_#P=EyuQ) zT`52=6&`Y^V*hDo5&)TuLKf3R51<>Z2ODcbz4$soI=&0x*lqaX(xK8vRU`XkwclbD zOp&n##?z+L5LV+2ksXC9nYb!i$&CZ(jO~G!{aCe4dkNhV2|pz!PnBqlf6awcwr+f||I(Jh~RTAwN@kOqk8F zjy!2X{cxbMyXw5iJYS=#_{szk?@TIUHN&Ndv!Sw(YA=nP9DVbwF3U1kqR zhntx72UNyB^u=CU(K~L0iwMJ#yb}L#hFZ7Zo#ENNetY3ma?42m=%2ybSU|w&F<`Ix z#2^i9{v{>-jF^YbW6Rw3CqjXHQF`}%UeGX3a$g#;%JN$h*AB#)#gLg}R>#ySlv9Ek zIGtCM`kb4qKsbWfydLj-Y8KW+Vf_|nZnAZ;QnP`^y8j{Do@$SRffwJj=5rLGRttGXKd|V=N8H)t`JUoHp=Pd} z30S3FLI^{Ka#>?5ENON z`o-~-%zR3{t?G&G*FF(cR z{|j)1-vTx;1*p^am%z@a^!rQbO7;?s@-y+upPzQJ9d&IWE(m5fi+*X<_(o>u<`qT* zdH-aR4Y-}JvD4Hjr_9u4Fy2A>>&ClXftSv_^$YDMVC>z}4gP0Az`C-sLlW3ew@a4v zF}<5^dyNZRjmgCeafO=FE6z%|A%_Mw!|E&KX^Zq}1mj3=7;;iD3Bj7NHoZ-y?ctb2Vy4Y5pV+^$KZa4w5JOM&!(O6-2ccL4$=_X zv-^8O22Ke&@GLTe+MQ>VW3AG}gj@Gh)P{P;?4) zWB@IbG?W$P6WqY0@BHoXo_hpJvVRRfj)IjOb3H=Z625bIKId9a?4p1(h$E80yvW9S zg%@?Ya2vaYCr9z(=!_b>3pUc|E@(-0;|wFA0yE`b3D?qUA|*Mx5gqe<4K<+&nRuWA z41u_R2xHxwr;*>J|E_V6;IRChwGHxOf7bIeJq*KL;8)ap%}m2cObR6tslih!`MY0% z3}a?ZSB$-Ue?s~Ka#s09*huh)U`iIPkp8N2Ke}_tCEc&z^mx4vIa1fWy zuoG%q!5awUa{&Ui^Q2n6?PbtPqs3m?GEGSRS>{M)om-~Ja&Ak-{C2Uh<8#w@yDA6R ziQoU+hF2INzd{j{lUp(qdXDVL0$S$Z0yXs}K-QEI8aJNXdnU2@wfVz|O*#jUZOwjllKBudW zsmcS?Kvaxf5fh(ATylQvfO^}2`g)u$;wu=JXP5h%e4+bjPZ?YCEYKX878t=z zqo)B2;g#AJr7|%8uD+>8eO_0%&|GcVULxrkDh;yvVqckHq#(I>nJ<(c3;ZZX?18O} zLl?#LV|a`!x~u`IvViEjSryMz3ZqpLYV+BV(XIi%`gfE-lvEVn6V1zDI+E2dsPtl2c`6THIUKl&Hnsq7goUm5YL3G=xuUMIRt6Nv#BelGqG^WCmYh2%L-ZZ3xc`iyL`Ob^NajvHt4XY(Qq?2Y->Dw;fzj#{$=-?K!v`-zR2~R>-PY! z1CurTN!w4)c;wRt2h%(;$4O`)?;=NHFYwU@ftDtim z$MuxuutmW&H=p{!z~5gI=T;>$kuhTV2c}Dj1s+xTe^Tk8l z40KQNA#SGqx?0tQeQNknf!%n%_u^nyysfx1$R&UDLKo#Sm8$%Hetaq`z98Z3py-+a zLz6t(?jdaEVHHt(&>~UT3@RMnDQ2f}O`U*Cb@X0_{(&}Z0y>x+0anmV2cS^)Og ztZcxb$<=`L{b-iB%U;?Mn@8G%6;%bv=21)ViieYlOO>wM`Or@ z%*uhD5PlvXt#_QoF70U$gA>&(l`lg2%{BUgTLnQG>L1;>3BCvz9RA9y;j3+3hn*=G zXlE<`eqMRvbBrgh(bxsppDIah3cHNIN7YQwjtT#sTl{RBFXdM>Y3j~e;dGYJhrACV zW7XUw4;I@~kW(D@0a6S4{g^b=G{$iIL_KA;KI&f0>WTs0o;LLisuWx%ID%tQ4*uQibNM<2~PcM^ytu%8$OFEM6&`n(%6mKfSE9dk|XH{rAaJc0a6jq&8* z^lHBk`CN|Ou)ssfP*%(&j39;}TTD-!Q_uD~pUWen691^KT}K&_){rT34PEMT;i8)T z{yKF*HDG-VfrNge36iBEJN@-?=R&h+F}F(gPym+>tL?u2*FJPdSf2`Z`vu5SbwxrD z@%8>TxpZvgDi*V{cc;tc%Iflt*}X=*gZWo+QRIyQECAt8nartD6;;A-?0(ThD^1z? zoT_U2k3J-g3B#{#JR*`O%A2=@?|>XyX|DsIoKLhUn75J+_rHoy@a!kJHXKrH-KQl{l_}#@ZA~_r+1ef<>f9S?72njD)ba#>AZ4SKW;#3a?)V zy!4dqtQaNq=SK#9>F-Rjb#jgxXtwb0tbX&FRvn~4{k34r--V6T(m2>KL+F6H#CcA+ z345oQc_X(AVi*9qq~(~UJAU7>`_$w)fLGa}_{=0OCx|nf*9W${Q&x51y^}^he{KW& zSc6&cDM>(Yan$OM=#blw&228lw=U@-lUKPgXGGPn9d$)vj)W?(#PAn2P{J}}-pn?U zR$yw;dmXTm%#*q;4l)jN`0!zgzcT?;I2c)@Zo~tuTV33GbyrMRrH01a;;6CB>)U(p z-GpG1Me}wIY&9=*TozGz?=JA-Fa2QnF&{%0bmD}$ON-JPs%u!x=YQxoYHDKZY~x4; z_aE*L*JpbPD-8RCD{U0yh|&G#Mew`uqH@@5eNC@IS>%m*@zp9sei+T6Am8J4r2=5@ zsv-viHqcr{l2)b(nP$T-6o~?x^(XWe_atzdzg*YxXuZ_I3tZ1Y01j8`TEI_`txi~do<;z;Bpi^@O|hZNyuv9s z6YdNaT2gd8<*Y9V+bH_6QM52LrAG|BQe>DW5~s~7oq$wH55|}tU?(PG1UzXAW&wr& z43Pu27(s)GSMK78d*rUr8yjkV)j1J!F^-B>3r({jb+aK{b27dkOXE*=BljzIg(`-Fsl6oFTe>T9WqnI6!x1GG6J?}xJI83-l z-;J42Q$+{t?-@1DfA?&MM{fNSzLwioJ_c4c*Mkc|>VLQpFiAT$$lRymP!eTqx%(L6 z0yD<71ul0863OybrSfNKz=`0q6+0ITHqg?95_b5BF)!8N?ApQJZ3}}#q(tv7V^gPTe!pQS@anC|Y2X5^o8tNc1M!v`euass0aIo&lG=Uh4NP9M#4F1| zB0a!Y4JDdDE0_V#qWzqvT^k5;5v|;Q0s;Pq;>sBx9adwlJ)H27xnGuc7w=1?xuW`v zE5Tvrz>=uo^}`?aBBA(TTQploT1_0l(W7L7BLu0fJ`hYtSY^tst$NY>oR@_^CeMd9 z6Lugg3A;FzZC}4^SXAY1^}y_Ei)%D9rpcFE+T`k~Qx~Nic#cbJ-q4;Fru!9YFJ_E< z=4S#L(odYMQ()1bR44(ia_YviJ0HNSbS6xS_e!gJJ2)>;VgrC;h2xGKyi!dqE1M?a zAo))cXSDt^i!vu*U&uc+_q6`_9Y>T?CKo1%I@t9Eoq;RBJ?jE}VHziut9Ez?K&|8~ zXG0FPbcq>w=cwiN+}j4U$|l5&{Y(2+zrfI3@Q0hQZSdibBMDy(;UYNk-aN3(gk5!Q zl z-STNXn#p3mQ%~qLkhUVDoG-AUphP?=0GHdTcR7c-4S@S zAtSeI0B6m#eLAQ-K9{1ut~b}_u7>l|MQlq`Hb{(7f+LoS!7M0%Q!)oMq8yMLOGVs^ z6~`&57O-W%irT37b=MPpe>haGIzdBP-Eg8Zi{7kbw=5}xH?9kC_emGtFHtG$g4EU^ zC*J0`l{e;(u??A6llSdTBl#+8-Okm&-hUDAo=##X*_?=_^-!Ft%OwAGUE%SAGV*;W9z}N*?4?>+ZIlECy8<5)Y(?Rb=QtfP$2Z8ndFq)=utnhB9>%K13 zJhD(#fkPr+c!Y^4UbdDOTp)ao#bq1Zp!d^s>IclS0|b4cU>eX6UTG0Pvw@J@yErlp zz3HzzRQ-sr{t-WXljX-I3(<&{>WCI+W_fmIxv8XuoRmdyW;wP(Hl+zl0o+jdJjrEh z%q3dvQzxXIQd|KS1>4`9aZj&`u5IQ3U5&2o#RnxFU`sZWbZhEYx}GK(2U`7Q-JT~H zkPPF(0~`v>*?~m^7Ek8^Mf&Tk7ReFAYc=l6g(Lo^YYWg>nn&7Gjeoe*Zpk!Q(4G-3z{00rk!3{de`7f?T_ z15`-C{tq3uk9XuzFw@e(66(iqziF09}>rA;0+JS{&tZk_KO94WdfQzFA8NUDzgPi%taXhe*RA z<#q)S^a_Ts(J&u13hg?mxm3-LRm+YIlV!}4Wh9AGg+!_HQs)#<=h#ZhYe~r`nmYs( zEpGJe5`O;Z9Zy(=kLfZP_|kJ8crUu5FW@h#@4U5670sDANM*TJ@RBPmSKTT2C4g2r z7%w#{{cSf`>_4g%b&J1hXvI`#7d zDVdr*3&v0AiP{PE?7IBXSXwXy-GF1;s+oe)f zr=c30-OyGzy^9S*ti0{}Sr@4aS7LPWP|9mlkk^Yw$9EO=E?u|4W+A_iu; zxJPB0ua$o#m5DPy4-&3&CFl(Z7Q@#>4)dzX^{Rm{6Cg6ce*I`NEDA#V{R`PmRE~$b85Mh}#+4_t2X$nPR8ZO!wSn`XhFHaJ zUS*$H5EuN?e*rKI>>Yh_k?~u#s%~{#ZI*X5yi+69Tnt6HQ*bm?e=K;8hCHV=Kln?C zAGBrh`Pk1Pc(CUX8jJ!ai~?y=O?6VuaQ+fN0w^Jma)6G% z%7FSo-%9h3|3k-fAD;c|yT5X(r2J6HLf^cS2;^>Is6lc{L-D&JFcpb8mYA4a6x38o z4{vgp!#}rZ0*WBm)4dJ*Yo6}NYNu@cAMP|vdDlSAF7ZT1;@Pna% zNJaC#iY8ZP$+yfBb4jCjQbq%sZKVpj_P8bbei_z{CDs*D5tT|?noy;#IR$}<*a)5+ zlXsh%T(@%X1tvgbHu7Cb8IJ=W3mD040v^Z%!=>L~9}9>cxh1-iMoY~%H34owHsgUv z|Hujmjy^R17u%!K#>YqPK^yCKV#v2Lv$CXoAZ;O#5Y_6vjY6pul0Qr1$hL~HW^oP> z>xQ-`te4BaCH}r{7^G*ZN>Ee`)5#e()*)!ka4{+^M0=%DS29&JDffmT0EYQ|jaPW~ zHOg^=K)X`=1f#uDc%qSlA#eX~M&~em6}8;lxc)orBIE3>L;J2$ z2c7?IJrNhryZ&F?;P+$gZ{Km5TPG#uS#`dB_nwPqAR*7n`rEe$hJjz)JpaXzlDGUH zVDZo+SS%H@+-%87N)bX|{QNT2^4Og&2^1Jc-$s?y?I2A$PmXX!d;R@sS zz`=XRR+TAdG*-z^%9*sL4W2EX_h5Y(Tx~BOQsb^A)ZVgm0<);d#Dp5{nQ_1FM%dQ` z+J%}Sz?^>2W9bC1xYm)0(OkuTc|6Z0!A$nfSfMC?#}Ws+-r+cT!1n_m$RRb|&!;`C z$ktyf??_$R@Dj0tK1z7Q@dK>q2^Vqu`P=sCM*b`@+aYku9-94hLWX)HpC%lnkj-b( zUUGhF9tMD&jo*y}Sc${&!&khs<^_MI#r8>*nK&1{E*HM&C~UTsIh@OU@Ma8rSlkbQ zY?Ky@uxAUOoVZ>b1QL%?^avHn+@$trHAH))T(EL}k>dZZoEXRjViD_X)aZ1j-aR%pJX6sInhzQ z|E0I?JW=gG{=LVU7lL^wuI69q20N&cB`(bk^^1F^T?_U!FZ^`As?)7v$ z{1JO?g4vurq2|3HnDaOmG=Qk;NoP%e3C{@N3jqp8GM?&_{t2oy$XeB+6}n&-vHY{L z`u>KXi2wx#Z3NHHRGd#G3$yv@ICAbJE2k0V0p4diPbo?|z*tkNm(A#ciF@b_O3z4K zIsM;-Va}Dr5NU6rGY|mKF@Pd#LLUaIo_$Du`+ywt0?_euKFNlr_9tFy6H2ADF4&cd!yYa5OvzM2Pyf6IfO&H?73~yyBiK18s;gwSfcov z4%M;+edjt9H*qs$I&y=iVcqA?`xzN7d>I)iq;GHIPw$tNV4g|ONmuXs7Tg=iSNkyM z+V#qK5ZaPEC%I-|KnkWbY|GBdq&f)M6_XZNr(8R&Y8h^OKI}YS$v_~a@2hw?F;hJESPkbUeySnzBf-ycYQ~$9bWzM zmxSMZVtH2WQ_4tR!6YI|z#J*)w>P?(Y(lAN)3EX4RIJ~-dib}WkxeEZR|1_M4$KQU zk`$_OJG61+r7H`q`dD(gl$p*V55t=P!0wVU@Z6>3PfU< zJjLKQ4u71~`&I&N>M+)Xyaq&nEi|QCQE<0}@Bj^#ztFF-6vT&4!*kjINM|y2@dEB^ z&mBonxn)A)(`SWCo2-OjOBFjeV<;wZxm(KZc_^^D<-#~@#Oh9~Y`&3sR!*_t4&7Rx zRoKTfceDD{B%9vJt(wp>KbC894pTO{-a?@XS0uFhDqytM5NEhJ$IOKf{m@76*=bz- zL93P17XMg1N6fs&aMVms0RKVcf$sX^tuK*ToByF;dL zao&x!A&g#8-bJ68nUq??qO^uY6fogXO2jLKzAhrT8x>+M3@i}W4N5tBb&gguzYD!V z%4UyvIGJsapJm8ZW z9}QgeOGFi$}fH0JE;S1Y3D@ zpKZ4p#3>QImfJH>_uOIkLfSoflMV~OCTE4)@ZF+Y5(~agy*O~4KKSqnZV(ctkUo~> z`06$N&FN-L^YIh&O&ITzS%cvw@1d-c`6h4o*-HfGso8g&3`Yxe;V5rEnSM0uPsih| zl`>v3E?)*tbK9HyHt!O!$~KAdd30~%rlyYyZ#wb|=opOD5t;c+m7A_3va-xs{k&#hMUOqA!E8Fyis;XZs=&|=7v;ayvI@unq>}*6vBEQ&JN;-+iumviy zBsyLXS$9qh6q$S~_$>{h2?OP`JfFKVzG#JrhjZG<@Gn@K)}pgKH?x+bvz&TH9C}o0 z*K&28aN*&!0POwHAh z5=NKHoOruPG_J%KtG|~(%?O;(BPk=UE-1pM4WARRwWh%qKle2see z5fV&`o@;mSPA|=r-|75XsHd5U*qKyiz)_N?3%i3Qojzoe~?s_Ty$?uT82j8}t9gn%{;lyJcPbB%Dy%n&nDBKO&TH=i6IrWya42M{r@e;19&J9kS^&R z{-p3Ecnk6iJ?uduJ4h8+Y>f1D+`LW6#!y$(dA|Pb*=tJUd22EQA3;jmCGOUHF9Xhx z?>lL2)DCVksiLtZoyc^Hk@)Od^ag)n!47IVfP>d)u!5M-zDO(kLf&(PJu!p3D}2G; zm2}7Ekm`StLXD^xL&*LtGwC)wV5yU}CjZ;8grAW@Ity1DM5@*(K=_l1AJKUgm3;mA z1%n4YU$IE0g(tZ2dEUW`?xw4aKKj{PMVe;uQaOybwLy9iM<1RsN^g^i!p`sC8ew8g z-M|b_*Ft_rR^G>SCX-T_paHTwKUQMgQuU} zl{hK4@V#q})f4m9(S@Z5Z&&^*}?%0-i3-wI?`S(+JruY1BkPIJ zU@q_6Nd#cRt|YyHIL53?=-WhZeLChRch+IW`Pe|;W|I+@-{qC(Q@w53^7cX&Wc^ja z>BqT$)Ht?U19BVAPzY@Ps}~P>T|$o_ zr}wGi(D7B|f=+PZ0;}SL7f#)T_MG>hh#BU$$XP#kE!1F~o3HEe%k9=Xqmwibwy;`; znHC#?Mbgpk$Gr=F)8B8S)k#suMbg>;cdA$K{mXc^d$krJ?j4QMG!D?LVSA@(RY`kNv(B zGqELzirIX4AFqLfYqL#$)t9gWIpAsDGY+SUU@HxjVdDO8jlO28Ql-2Nnjk)MVl$0#zM=;O{8|_ z)bKP<<2!N%(KiUc@KN*wVVQ`=Q!x09w>?=q^V*j`j`tU$le*>K6D zk7bPcZ^M$C?#=XWCx?V+CkF>b7n0mAA0jD<3%)A--ol#e_ZjP672Xx0nKr3>GW(>K zr3l0}u!Dg{3-6fQnENbj!V0+134W_Du_yqLX_Kq4S&w*A%k^_uSf!!nN+~efHbVjJ z)CL^G5PrWwtk-#Yu}?F~+POwJiv=x6%Ps?g*Gif1pO&-w`QlZ+`D`pCDqbnVvbyuDy< zIS`n-!W{FdJ2SYNG`e%Cu{lQB*q_;&8&VW%=oZ|+`;#LoGbZf=X@zR(O57wRN?R#}&O?d2T|fb-)Fa zx_VWsaV+bq6)yL#>H!FG)zZu~#jM%Ug95Q**5fxvu|Tw+WRbTBtN zLbC&Y7gsu9$ow#btG|O^@{?i%;aN1{O%lw;aP=(`cfjY`^ZU4hh~Aty0|58Hp9}S{ z<^fxg;lV}V{D*1$C7U2axg}?$HtmCEm;|S#Z%r!!{r^YVS%5{=wQbuN8dQ2fN#E1sG!_*cP7dF66pTex#@yd`$f)9SG|D0kZZNi~C6b zYeGf#HXvh0G^k^_a+89^b<41GQy#!gt^iTvX)_2U@zrR}Iuz-`2!7eJB47ToFKSm7 z%&EGzIpT~lv$;BZqJ~e7=AlQ3sctY`Q&CKP??3b?Kk6VRopcXed8Ek21=1vxZt5bI0v-r#9%;i@uKr8~`?#f)eUvPtGAyDo=kbnC|B;|UB_*dm@?{C^ zW6XZ43oa9GxYdR*ytI16A?7N4k2ulEH$wMDJm)bzU(?_jkyhH7M zyBSTz8Uy}Tp~43ZojvK(p;L?7DqK;3N)d-L*U!dV%|y;bPQN8LjKVQ1d6-@rw)lMd zAgx|E%#mrTCdWSM-o+IlsIDe`SqO6_%&q&vfQYi7M|JxC1w+&DqbvYmGkv0f06OaG zAR%(_fT_s=ouC0k^gXGq5l)Rkf}c9lVnkhPvv5OjHa=R?#^~)@LguWXSX?dl4;Bqxbf^;?CBm<017U%gEAtd$~M6n?7%k z?%#g2KX0jrjh|e1Ae)w8oPMNB8nEM63BKcQN8(3ZnGYJ|_ZIuSdQhd@5NE_|feCh| zm`{=VwRX89#%f-|{2SCFkm?3M%@;saiaq|$*IY`Zqors^;MJ5JA4`Q%u$W6k0*E4N zBo9!;XJ6hAyFj04M|N%ryCk1|65{`rW9tQd3Lrn7bZg6GKCK6+Rek8k(1bnca<4xjCF zd*e@3594KC1dYcuCf?&JrMB_uY|lR-W*IMj^EXC0s#Y*7 z>DxnFgIaweL_#rS*=^l{Kor^wwh_!3@oEgOsK1vB;-rXrIo9|yu#s|mk8XYjXE?x> zX6cmXp5xUNQ{-Lo`En%jev4f^RkiLMp8%Q8b0l9!2}-1Ci(r67wm-{+V$j8?VCWI* z7C!`vsB+D*fHSjMFUob6sOj`~MMUbS7bYW#NfSghdEbCH5lvnSX12Q?ITn0sIuF+6 zI<3+QlgA<=dqD-d9yA+?h*ScdK;bkfEG8yJ4@w3G1)cu)v703|d54?2ziFS)G*ciP z&JMUQeu-Z-PThdKt9Q!c^ajw?>EV;Dh0)+Nzn%9LchtUaJ-#^^HrMpG>bK2Db8p?& zBOsl;tW_`}<`diD`$1YoNoC+SI^S5SJ@iysWn!?|YVFiH=zXX4+NpX@TC>%L>~+uc zc$r4N3~VWC%lMgO%`Kq%Mj+t{!zQ);$244vE|w*YX7&ZBzIWvK>q(i9H1^_NR|&Qi z3{GJ0q?hh~B%g^H2g={M+v)wKb@!X3Oz%_*&*J*SAr(%`3M#ElnL%5swb#DdEOz(dyy#Ttwn1|kj|zmVzMxlVJB1-5Cxnuz*KI{D{S z(X8m1xE{%Vys}H-Z#@HA_^ZEmU+Bplc4L-ME|Pq_&f(`dPqLv68i`yaW6#$d@f^I? zeF@BV1R4D<7~G5M&5ZBaX~FxsiYctMeZUePdukUO*h?5L;%>`yBTK4L{DP3Xi1-}R zd|P=|1Hwo+{=8OV4yo)&BoLvp7-)h5d3NQI$ZT{qFG(qjJbNg*ai>Og4oN9A5)K-J zt}LKVmD;u!-d}z*DTg#0T~B}6`aXK3e>MF})r;;F(1BAu(&xDvu56u!u){d~gmulw zAp2}TV#|0$b_A;+?ApoNkZW#?GLHOqy4p|%2(8^JPWoYAr zlU3q&!o9eFeH({E#2jx1*9VeRQqojX1|fDfp<PZG%ZK=7Tl0%Za!3U)tW)B@OFaoFlzJd2(21&%uuzaxr{j4b9w|jfyj~ig?e+ z-_G)mQgc1}>vy!{Xz(k%#S$$J*TZ!bbC`+YJy{Nfo)jrwQ?1qkYF~>(PxtbW=g=e2 zeal8x0=qno(kn00E2pe5)2%QQv}i4|XtnDI((Mcy5638u#3&}jgOlRH8OTaN2XN5; zXE)F@^0G7OW&b~~e-Bg!L(G2AzU(VkoWJ=lf}!dS6UKGY(&PRV4H^5D`L#Xg`_C7* zzl%)TtZ{gD6X|vm+49bGhAU-NdvwG6uM1|nY6@E9<5rBWb5nci`h<>5Z;OT&yNsp( zCGo99($ZUwi~NVeG|TJkGM{`#!o&2PD;mKIOa=6XsvhFXyFxaJ1*WyO4;ai*!b?l znGdQ6B>hxb4}bwmk}m)_><2*q@n$So{A=_(t!`O1K||hl$wcKw6~o52NtE^hZ@A`x zvB8}rN<&v;U3S64o)>>6I1@HOeUPDW7{+D5Pl>7j=pvKF#jIs)ft|d5kgeg=2eN~ zR)=4dQ#&0BzmtBg`e(@bS7R$kvPrtZ{Fik6myo}D-u&vJ*p1WPjr%mslPEH|so4mb z+4!XvRE&@cmw_y;l1N#2F)rJd(%uU1p`tcZ!Cw?Y>LqX0Mcpt=Z$3Ib`+YkX%fjUd ztMg6=Pvs|G0)tW(wQdEyg~$b~w0HJR^di({-)7YpkW)i4o5F9mkRqf1&7yY>Wjd5XjyeuF(sL71gYn8i;o zT|h5wBlk>A{@GyomGsCf>4aBBNw11BTzdjKfP?-&yMdk&mz{|(`~P|U*FcDtG8lRa zxa>%Bb&w{G-83sId~@2*&c1cKF3cfT`V_+CvmHh1e$S}59p6H<)~hY<(t;)$mTxZ5 zhfmL8(=o!;C-OPK#bZnG!(2prpNea;wreu~0#CsLk8_8)NvHW-c>9OQ_78+%KBQqj zjX~Fy)0~M50q%7|JZ-cP7?lwjK`8g0`T=RM7GGInKReUj{oW7Xv_EL^IkUWasQjIP zX-~QLL$;L(>nq{Q>d<(fe5*MPbmQB~-;?5fWP3qlaE8aU_m8?i1A%^*qdZ4<`qvOA zmpW9$@)$WHjaDvM{%O_q!V7(TqhFi(eE zCaIg|iu&mfkWUIP9i}f?&|pdMDXLc-B8G^}UOSs+6tOr|Scnfy61KMgkX*WJQPcHe zn$o#{!duXAF>0E!3>5PkE{;1yp&O)>?%MsMfh>q$?Iu3N;ips=DUj`_QJ;@uzTTPe zO?%hMn>$0?B$7G!&k@cXoV_X2na~P8fD%G=Oj30OCPM~3TLwN%oI*#O0_n|H=*YD z^X1tgbb$v`^ur)2h;cg1J^ZWlmAtaW{+UmiL8R4ASGf7s?ktqw0mD}qcBxFIT%Lhd zc`c=Aam7){t?Kw$^I*X^Tk#jX?Q}tCxI_nt-<`7#eOKT-8E&r9BJ3hfW9NXli-ohZ zOt+O!#aKwi2)(DMaZgdeEw<1t)^>?bbD3_WM<%CF20_0}$B&!%J^)Q>ZLj4rSxfM( zr80D086mobba^XbX%)f`l~WnpTBwGz^YaS{}YTCm4C;sQC!u+MQ7xI>p~# zj=}R80V0kg!1~F?mg82ti4eU_;Ta|Mki|m1pKI3ai}n>y?x);r=bru*9=lU-g<4?m z6TVJF_8(6)&9SpvmtS?6n(?3yj0(B#=<)zOFb&vVcbe?Qui?5;XU+_E2ng2JNLO*A&`nQ$&`S#b{XTExc6f_4ONIW&VPd zM3D$J@h+u!Ov(1tj&i+m@!I*10x5~iu*i2Uunn(Jn%schTO{sa^DqlNITJ;J(A5zW$i(Rn!&kP4 z&!ASB5!UqK;AB;Tj<=c*F-O95@S0`Rkl7+xtlb~~mhE#z=hkqU7jEx~XZ(hf8;O@u zrbhJqX*SP}o=YDZVa!PpCGRPA&3w$8GtnyJd9RXtE`Lq%bzB?%b_R?ZM9zOwUttv= z(Ec?vp#7niax>NMaYkG5gQ?qlBqjse-j?9R2e<4y>xblmA6??lQNLvXefu^p{#^Jw zD{`*&f%o@a6Po!JxXdNq4aybnGn%>HZOs$>g~U&hr)2VGnPr3f(9s0%b8fexcTeOW zDJ66BZPeRHE#D-MSH!Hh$&xsUklCi->9)jtMaLl+3nH`&Y?-dJs}~U63MP_BbG!nv z+ulV&tcP)%*73jWXp4Fa%1}`MSQ`IJ{Cl#4h!i)jVL)w3u2jySkSwPndLM}`lcasC zOm~|ebeUGGcPKEbAx6#LhfpE@F0!dZm+~9cjEHHbyHral)fJpjJ@|69p81d}{Tak6 z<9tteG?e1O{Cy=wGs>xveF5HNEl&Xn)qmYlNA#6D8lL0{NVc@W8AJ0sZ>l*r9TCd= zKXsaO3%4g-P^GGGxI-i9z$`TT`Sb7a-b-~a)8qj4()Z}0`ud|oqhDkuZth{eu{YWW zsQU(}LsP{PFOLvZmMl`LvDy-CqgGoXjd^Mo)Du|zAAZW!SCTO_zQ{}xv}UA4Xi|(F5cH+ebgWh739LJ zuy5J2ou3h;3viWQI~8zLSaWy#_zj4CzuJC7EL!*eFu0YEhq;qS1$4S}^qw%2uR11Q zksn&#d}yKY3DoupK58jO=aw;}%5jhhVs84J5pQ0W-*ao$3^YZoLX=1EP zrEg5x&!Bg&zKT=T1U%rG|DyK8&|jYC2yUNh+xlf@g!3VY>8;V(RNY8!@ySG};(ed1 zc2XKwN;KoD$>$N^Oa`_MWc{7xa-7M2sqMzv;Emx!z>onCfa!ll9N4niq^(XLt}VQP zu6WGd{x-YfAtWB0rr$hamr6U2>wIrZxVG&hw|uw4SK{289v1uAYDh^JpDX-NsD4zM z@I0aN&SD0imrf%b5$s=PRV$4b=9r^;GjLmHCm3f+pPkew)ueOi<6wrV2yK-EZ2Ud@ zyIjpP4Io_OvkV`(Y9S!sd8@_}Z>BLUi|j7}vEL3>-KBsyxiF`q1rS#Z(he6Ri5dP! z%34fHMVSeI>xYZdOavLy|V$8>(xf0~ZePFDej(1;u-mBEzQf75+`l;rFyYZcrcy$@^(8Hftdo998THIwH zK26VL&NGt>`xeOJL*7krn;yIkUU<#Vg-*psO^QbM$QQm}UVKBoaO>)H>5{*@YApGtWUVu00{Bc=qD^`5_{hDG0X`7cSd-RRGdK*eIUojLQz^PrDM0F6TrPOq+#YUjF9iCvP5j+2YHnmF zFne}V=gI4%mJYfPJ+wxHyWb=Bw(u&*u?Az-9*di#;fUwFGP+ga^e!5m;*ZGXwhy9` zn?jULqOk!)&GG3=-<`}pFmU_$^2@Rs!EY|ZCX~I<{lJ{c9|(&OQd>a~Jg?~)QTq$} zO)c=@740tM@Ehq>frzIV)!gErI^$ zcg+gD{H;C*3|Zg1??2O-CyYgaC^d0Mpk&7XPbKr%-%4h!e<_&}MoRy0QfA&6qxpTd)=Qj_k7d+B_AaaI{j$8dAIIk+x(enclzLGRXh;RP=fks&TR^$ zv=cw&>Ge{uRBb$G&yE-H{9aNdzT~m4KiR6mrCK+zS@mcn#YjC*H6ZML1iW;x!tDW- znSOC~0oAMo;X?%FjPv%ty}h46f^cT>fm!k6L~Dz^9B14!P}p4iEI7{_Iu_U6(X`=_ zESm;3koQu+>DF9%5iBb4TlFoEgU5c6JnkdOw7sY6q$#?W;$mh4du%fMun!ZAd%T17 zLlw^d)E1ZJ?f`A^)TOpqopx1c3xUN@%uwhH?0mkvuxFZ`nX4|Qx2{I%`&rDcL%BP( zO&Y`VjdBv z&$7pFje1`2t&uSeFc5v~O_{9MV(@=iW=XK~IBoj<TXiG@mm>-e-y^Bh=X$%EuQMW+8was{o9TB{6F1@ff?}uS5)uQ_40{$i7Y98Z*^b| zW2g~0-nx*zNw;m3Q?z+xv>s1Sq6jw_(J_^mp7*n*++oQ(Xv|l5vIzYWu zfk4HLrA~i+7;EjZJgj4&HJ8WlA_Wm`U8Ljb0MX}Z~?cZE0UwZbzlVf)8}+v8}~ z{s*i8NlZyWta3k;F6wCJOuQ-+=hq|&~vX3XnGDI z2K0QhDQ^^9gBe>HR?PzBe|(4$Xd~h;|GN=!+CNl;EHKv(|HX)yRs4?;u^jII)rk0u zX6CmR{bydQg*%SYO&c!L1E0v(oG%UTw3#xiWvEA7=Z)K}ELtO?#nQT?Un@HLORSpSQ$Oie_q@y{U*32ga-B61!2FNb zMPqe=aLsmQ=WL&Unrvp0r!~H2C<))bvHfOm7REMDC{8saL^fWgtelj0)trT(*D@xu z&XiXAw>?Jt?~8Au1*tD8)q;%SlE$2s0b&Us7xwQo3RIgHW|jhKfmn$p11I+w&QBu~oj4K6w;g9-nYvJ9Zd zXRamSg0iM<(<25`n;t_@e)fj-#LQhx!N_C~S)_e$opdpOQCUa(gGEi|EGhfB|MT#R zGgGMmQtG$ORIE;J-N0b`5`J2q^qtG2C}t@9GY8^E4L8&-ey^=)RS0?w801yM;NoE? z`t#LCcF~++x#S-l|KLv#$!MF4Dxi-|Dyxr9=#T5qGZc_|>mOs)OXI@qm&?^VY`629 z1H>9XELRQ{oX>Pq1TtY@;b!>6&^Wcj}r{#JZL_!O8X4NK=*IDE6KeY*5WK}30`@ve5BqF^SWx1a5xAD#D9hsZo)4>a=3r4%liP(?Gh+LGH_~K1b?oL5uhP#WKS7{YoU*Z-ikyBV z_}xrxVdB^ZT(-B3*WDV739GiPzCdZVpPsM-wDsv^ZPTzhU5vMUT|t?#CIw#^iMoCR zNYFJ-v{N^>oLfEHz&2H>NmIy5PdLZBhWfR(-NGL+|y3q=y&k<`q>!^S4}?D8|H1 zWNH>u)GgY`F$BnGF-w(HQak2}ucI!bOLqJT!$_(YWq?xOoqEvbW&3EVo$b z3CoRH1=pQ>&)k-1f7^#`TaGOyZ0FWp;2pW9E3|G~5-x>HEW()fJI&jecb4j8N}=#Nb|!v~I7!XBK=ZDi_{yAJE|>Hx@$$5l z)fmZ{kKkl4ma7*OiTO%paU`mcYP#F76uqsA`MZbt+j3)6!7TZ0^_#-}J(kLSccgH! zQg>&11Jqt5WZoyQRnI~>ARhP=P0@x@jP-00FErJv$h~H={oQ?2z8?PA^mF{Y7|C*R zep~lcE6aRAVu+hAc{fpf)6@4-SPtzDB|o_uYfcc4?~gt_IVuqN^P3?WA=Kx5Efy>W>PrNcf>5XEDN7EhP{bSN_} zlLyH}XV^NAdhknALG0lQ!ZUmzzV{J7_&j94wbkGSF3VYPK*%;vuv-)mQd@>_3=>Mt0om8KZoq_N7 z!b>as(*0fgDfYAJvF32;sKQKH1wTHCWk`qyrJE9jL>q777?=rFSU4AZo(Tk{GS*54-9}UD15eGy` zdno7_UfM;2I>ox6^J)HPRGfiqaRg|hw)IglS91%)a~tpVXFUA%<>tt&@620k35S`- zdGqhFe#IZQ&bwr;e!AJzgnpMr^eq0mQa1?))xo8kUK(9x1h;8YNZ20|p#M=+biV*O`95Sk! zzaboAqAp&K6F2y9{a*7R-p;JU=BdhuucX}G!Q>wno}2x+ukqm)z6o|Dc~C2!%gJk|OdpE)Q$bFev*5jc`13B3pv zdZC;uKWZ(j)Ipa7Y{AWpfh%~LTzZEza0NHd8lqn_q-&Gr>~s%m0)Ofi7psVDNiiH1 z@M`#29j2k5vHzw+BOflCPlF*`MPk(nwc;w2>yqXV%`}Y`dq-FLd0mi41uk4;Gx1I~p`H9E4KShj1V?AGfkpn+a5#=@{@({-c#F9xNLJG}N?hE&O-o zui3&>XFGHeI*F;2BS>Ous0EUk#(^ZJ{$!6u+N1?xqgO&4ISG?qO23%q3cU+N+qZ8Y zW7+#u)+y?G&aPLR-3tZ&>EUIc3Vt0oHcldt+`ArH{^=y9%&n8XKhl(TJ@|g1lD!}v zh?eh@jRC$xGX+3Etu-e}F(=9DoJruEnN&LzT07J?W79rkv%JOcb3(ZBC^^tG2OjdQ zU636Rgjla<6AUCNmKRF%#wkq;%6``>Wsj&@1!ysFO8th5B&gCKZ zBptab`es#>V#Fh8)tAqId{4=CnIVPcCgIXgXNHXj57MPN$9851kGg*lY1?#;>GOJ! zJxC|We1)nogpi@Qd16x!D*8VDU?zbbS%qPE@0uqxX`$8rAlxaz2X-V^ABC}&6M-@7U z739})E#%sndOZ9)^|~L9i8kFYmcqW?#8pQbTrn{DeB$`(HGgA`GoH`}0#ZCng<9%H zx2Lk_k_o57(6ik7fZ!=p&43OWQ+pB)gPi2WdW=EWwN z7NN&9{9~x(Y&PpcOn#kC`~kDj*U8xw{lg^u0>Kn17NMd2JQDuFzpUlNqb!)(JW0m7 zIE3Y7w)*{sSgkv+PI%}_haK~;A;#m>sUsBc0i>9W;j5v83{my5W0CJ$^8$6YjJdU375w=yon zA#*fgOG3h@@kSnV>S%)MTJPO`EB@q0RIfjT4)x9yLWD@naJa87B&#lz&zze5k4F1e z#J-iR64N0W4$A+ooR0!Gs?f_K%K+tI|4ypXJQAD@_#>XbvURp!X6v;5ldY4$2A!?b z|Aql6^rfP^Wr+Efs}qvf0VCx$!(UL?0g*c`W~a)U)B|OCQY2v+dp-B18U7Ps`)rA( z!qAuDjRVk$jKjBtp`2I!zFzSutT=lG&1)nNu0~nJzP5<9?x0icqC5JQKWb<0lDv^` z8T&jPx)f0ewK6X-QHNN@zPUF3n07#fIFD6zT!WK$BB@t|>P?`*H3;cofHhJ_LFBra z-5VocCwp@c9dp{I7NIUDkAWwavOZ1B)}}Z07-L;FkUFUkj->AV$i!Ep!#_zKm)lfN z3YBG-*l8%&PC;Um1-O}d-G>>(ujrYc}_e?eGxH)bO>_r;0p zyD-NaK?>}A6ET-I&z?7~NS>zJkxc{5tkbz958b4`a^h;t%R?}a*)7G=Y}QP&e>AWk4g}k z4i~Z9Iel8ldlna*KRPJbnB*Nj*>8P%s>N{srJfYg=a{{wT_g3b$D$KI$dfJwg*)LiFNKv z#~PID0~^=Qm(>x+qBlwH1A`|XhD3+esFc{;@U4#Qt|+TdbBCNGQfjkpa5g&}9Xj;6uv&ZWnYFQMZK`-`1iiK8NIR;%S0}tAMd-H1J zGp1+`n!^X{gxt0Fz)Hy7VLt{^3f+KbA5=V(Xa}P{Hp;&8`%0IubzT&9JRd^{@Q;jc zxg@>N2?Wi|3tk5t2fwaz-H}Kz&vvoyqTH`Jn`nJ)9#kz;oY^Ymh+)Tl5xlAfP_K!6 z@V%>DPQ{-sZEGw5>a_)^7lfVOcfX~HyIJ8*rM}dWc#WYr!Soi;io_MP9P0`v9P)&< z0bjVaGc@d_Lc`wjgyO72BB)$ubR`xdL}q`Dg;)lC_Wddjxa72}d5~U@Cn9)|>33+a zV^_DySDA%evR==@EMC8rc(LX>xh|feD|^qMr5Rx~Nzupdx%eIu8M@t>Vn=R`79t1( zDL*NiMoy8qyddsR65VCiqCRb% zIFx!In8xIFeIo6aE=w5HHFYy`D19P}ev*v$XhR!=<9;9)iyN8(OM?6-U@7Jp51kY1 zYp~u9nBiM!Z{7(sZ+z-Q{VDp7>vII!^_i5({6mjkjKqnA8!&pdU_NAI4{Yr{C$JRn zQezBPAz%!BC&g+I5vZGQh=UzW#QsIy@e20XhXraJqzwC55&e%UY^(Y0K)4APpJDwq zHchizHzzZl+_C>thFeAYjMIHz-j2JdqwhG6Ff;^i0M1Sh&>?+ch) zc?dps7i5dt)}k-bR#3LQPF6#2u4iy+=DXLZO0v7*xA#)ksQ8w!R?j%W&%$pa6>Ad{ zy$Z+{CeDKu8wOQjRPVqt8utzw{UQXomqI^4aoWXQzrNm~uD;}p5!{IjPZ&iYj7mbi zdjx*GL0}tuecYLwcmB#j zX|6{_PEhBB;ts}lwN$2Bj_A7mRX&(_t&xL>)Y3LYfAnURU>$0G(GMcV3ybD1&3qcMY z82X);M+e4qI9_EWUL_&9RX8BL%SG0ga_QG~&v;hXP92S6!+AcfVDB|9LJ%D&Y<^Z$ z8h+b@NS*|lWFSy(9(t@dwdj&cy@@Xv-_yRIAw)#MEw zYFkBCq#s9;5L~-PsDYxig|MKcpF=Q}NbH$hKK{Y>zkfBm- z!9?J&A5BOba+ldPm^b3~7^Bm(X$p%)6Beq2K+-JJ6D|nMOctnE4z~7ls9eRimn#kYp2x8Ud?6%_xCjY8s7w+{x}B zJ(F)drLk+K?=3{l%c@Ww~fY*!?l=l5idujtGpHglzI{0 zdhXfpF=E17dmJH2GuUjkgmO*{(E6w>x7&Xe!JHThzrc9hZp$nS1@$>X;%2Zfi!f_m zx40O|3}GX?NUfxyRo&z2kBXV6*QJ;Z&-^OiePA?fB!bPwmYVd!oqOPAR>co1$ML4u z38vSR91cPq4wO+3!$9N;D7{??T%|2(rrO1S_|v9f-Nj#eezD{hedLtpJRjRw=koM* zK|m6YeHm2}Gr62zt-(W-GkFMh9RZ?dQw5(oDb9qz?kzV|fa2NR$^3&9xAtr_iaov& zhDNb@(i)oHJXRP6s(n0+eXOntF?YPKJ-GUSl0Q%T%9;Qn!V;IRu-ci2MVPAZ62wAl zAVmC@Q$>m*Nb{TDlFjh_X0r0NPb8F^=+hnwUlrWxc8Wc|5qP>M%p6=@uhQPG|DL$s z#f!{5piD;!ucj_ktPy(y-n8TFPT&KC_D1LUvR-H#`o+U|H9bgEvox9js8$3C#Pg=i5C?o`j!VX0aLyA?j?Zj zo6l2NBKHz_3sE=ie}8-D=Q7DQYZ+q~tyl{+49Ol~nka>pD5rX|e0&zfTS*yD_7+wnXOPdHAy;aY7IEm|F z4Q~Asy8DO7>?EwlPUy?kL#_uPe`&=-Xgl0xMdwPO`3sPo96V0bTNZ>>Y=?hUyjN;g zZ@U$PC~NfGO0C&B9Ut&0TUlK+J>N1t(`NfddvRd@(Ab}Pdb(KcVNI-IH5aO9>MZgp zg1&R-x>RPQ&t2AqrNc)c4{Ztiq08~0Le#e(4$YFg3%=B^6X@|vb7t7K8`jgN-XuI0 zcKf(AE>TRznphv_*`)p<-+kFD4LZ^E(!O+8Pp@IA>Mq}`#Wt4Rv+?`DSi0kfzU}h5 zAPR32z~AImYMkKD1@UP`XDvPJxJ4${5$!~okq%-8T}~i7M%o~n{eeflW zn+tO)*-?5g&op5u5Zy_JGm~Dfrr^qPML(Z+bml{pu2{hY*zM{4biyAL;ANl%>=69q zEefhXH6x$Ai2qLd^2eirl`q-s0Uw8MITTF8cdVK1B?)~e8aP}oRoD11AB5z5VMo@= zsy@<#U6>57vq889_tIUO2>f7YOx=B8Z-$+>9UIw?G{v(F2*D~V0e`3+UsM;iKagEV zw36d42 z?pkjCa56mtAEqLc*#bo-2L<#%k-!8PK*%g|Yy9K#VQ)I{nTi{UZ9q5A2iWhDVq5ul z1*|liHA44aa0{~o9evck9PtcIwdkshTuU(gr*DYI`47vJGGH)0wa7wSLw^Z_p-A>3 zG0WK^<04Y%b@pO;`&i}d(TD1Kh;FwF1gu6Lk}1m;$g|m;y7jbXaFKLr{`~Cs{KYTh zAIooiv|VN&hi06r_$l=W9RD`GdGhMjMHGU1!Zge{f&1dPbMwKEx3j8AZHCjYE|Sid z*?VbE!@ISNT!*SKPFM@@>NjXk!=pz{M$6;87cN#VHdgra8Y5Zz)1TnLMU_en4-nX# z^34SqOrb-fu8AGKnFsz|#M9afMDI&spONS__E|p#Sd`uLwl8dKLF6nwp}8 zhK0zh8^ET#y*EV>-{2ZqIe1MffdiWCu5;WVzTscwbvB@%;w zfg{s>E5=3i@HTX9HokeAZ=Mf+DQd4EnySdL`8~32`_A{ip?QQIi63v|8O?8d5@I*Q zk{v8qMGN>TUIS0viBezXOmI4k``HMnrjQok?qg1Zw^@BHiaoG&Vrh;zS8x9lx7hkj z_u0yh!TKHoK5Kj-8J+g#{ly;Q{0Jn?_T)d^5;v+!x=HOIa}c()^D}uGMlEBiTSZ%f{}Z)Mat0F_k)J{5$EZDxq3jT_nO)Vb z3VsjzWOsmN0Q&VZ4eXcFa)X=bzb!}uivSaGfDguGTEz_8k#77L?lmBd)C9Y>Q)0;U zluSuUkQQhYX+ps+z2kQ;Os-MAn5xth{;Wm>UVs1g*1xv?X;M$tM1tJI1Nye@ESFmX z+V;l_jxm4QXWCGH)R+@r{rOS*i-Cy(Mw$Fw&Qi<7q5FwKF*~z>T&E!(b@!YlW+%Q7 zt2I$9F)XcOI$BqXkcs-Lqr9lvpx%EYVByz+ebo7rlQU^ja>+td!{p-Evy{t2Lks5^ zmN;=U7TaH#ZiDAxz1v%ZlOa8hyboo>PVa*4%tNib4 z!3upXFoJ903X<)9IaBUPe8WRYL^*zCzR&M?zZgW5xUEn9iA|=%dNPXp9$l6Ix9PP@ z2y&X8?K54hKxqNQriVX#^6qqHnVb)6e0(Ztk4kQQi)wKy_KAp>+PDF3e_c1^5EImZ zXGDE`d8+Tl0{$=Xo5xl4(iXNJ@T-?-gjgjI+e;kf*7=>BMwT4E?s-eyVr1P z=r?Op-V45w>!Q&n(Ji`1KfBPho;XJv#}(IAqzJaBfG6AY3S-IxZhEwBFS2z8m`(u; zynXehm$LP`GX+a8Hztt{!qMtJ#R0{rs_4b% z>b)}X7S(bqNv~FG(A1()Zuyi6^Wgr9)WhRIzxwY5FVt33gm(hRaI`ToxQGIymAtb!xFk383;X_4xMNF3w z{2HUO&K-)9rW^&GaYCsDz)h~5yL`OvY&?_u>0GtSfd#%e;bvDHu(3G0@Kv0{258KO z0c3l!s%a@dG%O+h`AisQ+NtM!n^AzQ2v9oT zWO&{+`1O_j;mo(tSoT_mJLzR(?oZRn=Vop%|6iz)-ffGT9}Nvg!KyHDITF|ok#02@MmdQ%fmz~d5PvKD)7L`Eh*|jF-6tX?Fc1P0 zeOr+V-2cDM5QFWNHS@rO&l_dRW>dIRIciU{y%wa=*a%IHq>b(O#ctJqM|u2qFNl(3iSV=0c| z;O|oy5@H^6#NVLDA0tZp45rMp=~zx)6hl6Qp1e={p=ViWCT)+zJ2OU3s8l}2yx7Tp z3`$BLGKo-6qkCUH>c`yE@GBl3smv}f%Pyav6rY_GKdRh2tgQ4n-^w;WuUabye^C62 zSGtCm4lXaqno1>OA85dW z-=r2LxpT7j>%T_|J-S8 zKv?kdAA)UvAMiX)@1V#Ly-UvWOxjvJ|8!2%5dhUVr3X%Pra06x!E>9~VN83t0km z@B?%bCD}qH*#P)ppyZNyyU5-p+LSY~OKNZ?1O4IAIu1oTHgSO@qPM(uy1qkqwo`+sqi zKb4o?ic7SD$NDEL=wpWabm*+0<)wZ-n1sXX8u2T0dMU+U4XC@MKS|t{a(>hS)i+lC zY!sy|E7%8RDX~~x{WNhhooQrjIQPk1X_<){o_K>j^ai_fn67M?Zhm`Fc6-sN`S`H8 zF2E=yUkeq?2c|EE-Xe?2qT~|XyTzgEZ%|Yw5JrR@ zkG}2$IKkcGNOUDzatY-FIB9}z@V}d4Cg`?W>GJB6URHEkjhlfnHvf=f^k}g3{6aR1 zyT$buBqe0vi&ko9%nF{G>Eg@k>=JoPCM|MIZT?4YBlACko55KJq%_GG&rfThLMnq; z76?S{U2Qec?s~@awTkSC)$CYwob@L3G3us2*yYG3djuwiCUc6y@BFx1wqc@2t+Dh0>EIUqH#n%6~_ z@CLN{Q$iIR z1p4iGFsH*|`UGusL&GjFqj;C(ZgEZfIyhCX|MO2P;BQ}h3CNo0jvmdXb zA1mf#Hq6H`{81}>H(C?6QZi4AShqF6ZJ05A=-Dv!r`}8ww?eIoq&+?>@DD;BD{Bkt zAXJbZkN9pV*k!%EBJ_jK|2`|5%#*!K$dWc~~0P}km0Ahle%V)kP) zq~+U-s2d`8!5XR^`!>yF@6^49Uu$o_wWxvh&&^~ja5Y(qQGi6I=Di{2>|gJsAkAOE za^*dCpxyLBB4&Jt_O|6-kiS8X9Gnb*o(Ozy9sqjAgIW;7xQSt4XG(+jTKnfrPXCgbdhYqYt zGE+rB48SV3UEAOqB2p)(<@;KsRtxs#Rjfy!Sdk%dq-lhG;D&1I ze6Jse$J20}Mz)F+1ii?K>Cl6>Icr3ZoJCCfqBni&14bAeyo55*@Wr8*X%|g@Qy7=p zcEKB_uTN+po^GU5CPcxjpEM7j@q|lKKcdG5CnMr?02JCpl7;Sa4TusVLM!-}EUyH@ z>ukx8JZSILwm}L3>m4Jv6>?M$^gD%F)2-Nq;+7)?h#QUCkcou~7v#TR2BUl;m3<n~GmKCMFm@*>{`xQb3vLE#@71JDcGC2IM1kswMh5OU+cqcXU;UOYq@uKv1{!m+xs zL;s7jw*ZT(YuiATk`if#?#`hb=@JkL=~fVsE~$}}ZWyFPM5Lufx<#c!kdkicma_)m z_xs-Ok8}R(T-U(t{j6uNy=Tu}Yt~x#{oK!#5?{&uMl|olacd1}l(c<>1Ote~<1V+H zs$BKiOl=pcTs8QZm&XuALb_RL-SR7uI01dDGs}vRNBBE63D}kktRB4&a=a)FVPcoC z&8XamBNVDd1OL_Bw_m*`2C>=kguIF1=&mB_ut#x=`cIs=%t>}P zWPF-~<7_D<@@^VGEJj+SXY(@a&)R7Uj94Vp{{C6ZZ%jhp9E~5Id>K-z1W6&5N}cs} zBdps(ADORf7!@YkEd;XY-E@mm)$Zv#&|9q}o*- zxQg$wk~?s*|AAoA`#*nuvH)*;fzQVUuWZ=s;=#FRjg zJ>ej`CYn0ShuF?-cnr873*02uqfA_k|Qj^j(58$n#yh;i6S2 ztSodrN)X}iR>Jz#2G(`YUS-aI=yzAhb-R3K>LJogM~ag0@;*}m#3~6MS%t#M%^)7@ z|LD;r1!I#Z-Bs)%KBN5d;UDbe4?F^pl$?KHC-7ct6dGC}EUS{nDC73_;-T4nWiPsh z)?fONOlYl^X?&jaeHx?)xKIdyNqB4kOkz>W@SP@5LUxlC1Cbq*;&fJjW4S;ilW@uS zTlk6_I`Rqi=80BQK!)>a2A}`#`+Dj#Mr(@ERVr+2iX=W9Ahi6M^v-frkP{qk1AeLz zdmT51g{bIR=+B_RB?bo`Cg-5E&bvH~w|YI$13)|*5)SPkA-DNFZiO`pA{!0+ z&Ev)~m)@b@1hhef2h4;uDh!&;ge_Q{MSqzIyT9`@qE`dj>76pzA299<9;~A|qtcY= zYXzYIQCdUtU^26XbC|t!-qZGqS7A#S9SCAMBEbns=JWO!yLnaT0t*~JU-Hj?`Wi%s z(N3cf=JYhA6}%DV;w$J{8z+HZn5b~@E2ZVD1{r=bxM!WzzuTu`hTDZeNmPu6Oc9{T zF?&Lk_nAa>r?h%8m_7wl;RB*Zo@#pqBg-Sktz39Z4H!V7(%0c>-+&;v4bf&xHz3F# z(|_P3|AbB^(~xe$*LcVt$K5vnLiJcGxirhF_&US>Xt!^CKLMa0kQcJG-i(G#w1!Oy z2#kc=s#xd-BLQd#`+tQ=h++AjQ7_Ey9kd?!*-)kO#F6|?>=&7BbZ+*OJ^tB#_B!iW zhpD8=MLWv~*L=p-*tppkmu_BA-2OWWM3jxbiEPx!l*fkmmRgzWroeJm@m!slz}kfo zeT#7wEoxvydk`&1y7?%SR#QDZ-50xozzoxDIF&XNNvSX#qIFBUzz-HA-LUX*=RNCT zTx$hmTq-FLn{77-sb_jnEGMVYI5VeqHTQ`YKAneq{|%5-U3)XeXE*YTXVi$rV|iNR zji0C-RhdQF)sIA0I4ocM7MyUJfSAv`Uuxb&IU(g8KQTVi$NjQOVHQNHxnt&q^p`Fu(=N7&|!B-}dDL;>Qe{mC#dG0!Bz1=lr)%+q0fvtH>7fc%rpUuO4xkb%9?{ zMfeFbKgs0tpM>5BFiQ|x@_@U?R)PJqZu|uiD!Z?{o`ZN2q=4~D6W8+_0b03TCCI2`@{;!U9R=OT50A(oDW}>>7nW8f zVs-p0dJ2wTrU=GM%PPZYmd7H)V26@!mQ8A+oNPB)HvK`C&0zOBik`qIV=`JGK&vN@ zTtlo^r;;_7jk_ggqYUo&I#EF8a1IOu5eUc5xX_*XPo{~*Fr0!EX`xWTV(jAu6 zB>Dc0goHY3n+>`ia&&)8CXe*An8APq|ykPavVEOp3}feRM7nlf~JR`3pyf!9upHw^HUWFw9AfK4EjfaHeFFL z@TvzA72?vO@w1W#04G$p@gSTb-Gt^m2AJn4-DaI;Ur`Z>HX%VkZ3-35PtQgi7j2u* z-yi_D`hGK*N@4ZJ2b+JDzOw~j5M*C=o{IO6o8K^}O&;eE5u(!0OS8X_XcvW@R#L*L z98g4H1U=<6*T=g9*S~vqjp~2@l!AFO6K2VXcJ7GIWG1G!>>#~eAIM(VBTdfIZ1GXp zflbG%i^8U1ewDV4-xaH`kFc-!9<65`>BoEGbHIq2A5@4hrg{~M8R_Lt5bSx{9Ljmn zFjP)&hzMsbj<-#rg3%*8LVdK|p}6n>0)yRQn0!vIppJ~jx(vr*PNW`wR00Tjm@q9VKGtROG` z3<4AJd^NW!qQRvHt5Hpye7-y~L&vNPuwp#l&4S#!0OtA8CVU*;>77~D7@OS-Q-1;o zUH%Fnsrv>49|K6$m53h)8Kvs3@62JG_W?uKneFJSiKm<9 z+Dv=tdKMazo-ckG^N$ghsG)G z$0Cv6@>U8_=h%iKj3vIZXZ`!2zhA>D6a6(Y>Dl#W3se4%MaSm@2T!t?e3>_5>Yhh% znO8k}o{YcAe={*Renu1`{(={vM&p;#(j@NNr*X`yojyeU)FN z*H=ReqJao0Su*k922 zbbO8sS!>tsG>~=Oc6h~Bo2nnv}$WGyfa6q4;BGkqvg;v8=Thm5pg$7&nioV7)|Ugx956(K%eoe zU*e(CC>OmIbpksuEj*eqpI9Q;=}{jT&p6%u*DquI{xXgXMkk z(28km?RpU}iVQ1S0AAf0)e_jc_t4^$;~@~p^Bcc&MDRUE+M;gk^!{W-B@Co16#OTE zr=uhbdhs4VC2W-ktH?>94>ZpUf!c%zM$y;9V9ouUE*ix` z7vL0x@A~PCgf~B@p!)FtAI0>0`J;X$(rUcuI2MXO18~(@&Z-+9DvAsJa0R zkRV-P3f{p&45>znVGr0IfAa^Pd-XK3J)}y0fcW%omHZ~?nD(aZHJwPVwmqxl`z7

1=D2Eb5Z8I1>OcA)*PM6mMW?8X2{Z@BEmYhA`t-$wyH{ z9u&ZM0~s?NO+ZJpCren>jzAsmu~uI~k)Sm!7o5yR>^vKA6< z>&PsLT!eFJr#&8h>`1=0s|X3+B}~c9LO<*W@kM0l2XF`Z&cX_yAmTH&{e-<@T4mt2 zGQf7(bS(7fV%$OZaUL-)1o%Kmes?#pj$GM-5YW5H%V7W+&XAjD;;j=O_;l4-W0(Fa zw%AHqIqnjUGK;QM1i+fqvpeDDstASzJzz*oHtKnHJH5%!J#~lW{a$-8^(I?FqoRn3 zg83WYGYw2lzGwKGNx4sl5f}S_j6Rch^g&wZL!;IlHy5V6aer9iN`o#;+u!MInba&E zrZE??KOGj7(G^du^$)tgC!TnGS&BHERqN{;0BRS#<`3so3D1)gNkntJ^G*NT8wosU zVt20xp)f2(eBce|eprM$-H`IzM_x?ZgJ=!*(8Suz+c5&%*2A|N(yAMP)H2s2aFC%4 zs194@!ZldwF8!NhL!>vMdzwDX;Zws9lP9b%NpR}E2sqepWQkr}h` ze~cPjdz3r$Bv=8Cd1IpcA5DWN4`^Yx4c&shJ%c4KcG~;y!l!#$c!y;p^@*pxFzS(b zjV>H;?-vCJkTkXyBOx`1&qhIUwMky+wBLVd3>k*PLUmaVAszToY|E`tf4@>apVPoq zv5^yoijlk@K2Z`L8tClpqV&gjUm1BlmiQ*JD!-PE;)K1-C#H0Tj*i_ zWYDNg;@0?Ay|U5ku};!gpUxewk}16EJyy@l?^8LLD+ZjXR@TK@Z?QNbR_>g@%3Xfs zV>Os2iRCu4^-+x57fS-!;^N=AAg3}9%bv&Y@Z{6>82s{1kEl%iK3ldCAJ{Q9g{@BQ zM+xSs!#Sa&vp`}35tUEFo_&*=KaGej6~nRE!2zrIq#bp#8bDL!4wN-Jko&aLd1_S?-!WPxd6q8*Ccsx|(icKFa->wZ4KQ4!A zQP{SdF3zoN)C@+!4zknCaZY|F6!u&!UAnwG5rnP2r7yP}Upu={!V8el#i{^ggf8I^ zmg1gzG%ki;x=?UB^8rCZ@AT*WFib$K5V2UjKMbI(`(%K(h7!*S77x%p0$|eYMz|lb z?CY3}UVj5Wm8xu$fQPW5%!U>3fOn@xHsQXRnf!JqWHh{_I|DlYjE-Ly(%8aXx8Vec zZn~!>H4Lc#QnXi#ny*#7B5Mo&LA=-x6zxIsWr5Hk_6mow*x=kDb`UOlOnf6~Z&tX% z~RyS9D4lr1f7(Hy951c|J>Ex<619n>yBOYL{`ClAC1@DcW zh9*%-y144!d9=w_!Yh+e7@lcv2S!?u7-=`o=Yg^@u6h`=8*+I1~d&Dng+^4A&3M1xaiHM7C>gu zj~$*u$vzO~NBtl+hzk8Q=@1+L>B-$B#+2{TbEiAOZjlX1nBKBxO&l{-`bYM&s$FHr zxf&N$d2AS($w}{2WV9J&wHX=TC(ug2Pr%nv4u8)er^73!^C*vvG>?t-zxW_r`t)#< z#{%EkbA)}ikk;14%KHEe(WsjGobX0C_~9%emU~i3!&VF|z{OTtn;5tl;3jwdIR+R6 zfk(~1Jh}tlAr7&;scS!5QCr6dcg(*(@LdE}-jopbM*sktzz5d=YoB6s))#{il`Fo@G(^z}mObvbSPum%kNwx5<)+)#* zY4us{&V=K-iRqIoUl$Cf@9CBN1w{p{U-i<4M~9Q(8>uKfFg0#9{cw2ImKCjim#Wr^ zqh4BjuQLCF3|@Gq908mW{zLIu96mhPi))g)D|Z_}9ttn5Q>-cAL{PW(#tjOk8B z&<_b}_HDq$He59UynVdWliAs7-CCars7ubwU?ka{*{MDp?xVn^rmt~5IZXIKRN#w9 z&0KZZ>?!MaH5w#=B?{7Vi;$e*6QO;RN&skXEjjvItVQ&sK@xhK? zQm~{-sHBPtHKQywV?k`fu%hx>zSUYjDCa%YeDt?Vci>(Lag?=Xn-?)wca;H8R#)Jj zJqN@zJfn)nQ!b~l`&Z-jra?v|l--=2iRgK%4*ANA39}0-$fJ>x)0GYwJ zaE!E!4sbpGmTg*U^bI}LE)gq+F`$&FwjC|5>QUXi7r~_dk>SpDutKpviH9yONt0DW zFHt{gPdvcLJ&&JgI7=QiMS`N|t@8W2EKTi==^PSJuiebhaq+WP`Vrh#O*-FwB< zUAC61k?FCqR;_ii@}l7J@8lK_x2d8F+|y@;I|D9LMUM+Jy%(#s3gMg?D6%NgBkE0X zw+s||9j(GlQD84u7jy4^R;ZroabDSxu<*GcREVo)&Sl|I$3@DN*aWA*$LH(kJGBL& zaL%#VnVQ3=?cAM@%M3&@q^uu=i3-qeL}6$aGRb8^X*WiL-@%76K+`M2&}0UtG+cKJ znNd=LJax4&QA?RoU`BYR;<|SSztbYf`v$gbZ_Eg9DD6k?m4;CrRk-umFk_j)N5tkw z+jZW*Hi5MOY(^EQaJi2SKQXFtD*~H~?+a8-YJyj(l(t5xdg?pXRp(DQ=qg>u@C~80 zJ8}#AxFU}^oxg%yuR?G0n$jYUyS1wyYYqKQ)Ee3ufD&zY!n-m-Xk0}m3M~<^&!$Ek zTd++P7uj$FcTheCXURgLhn0gpP-M$C6@=-Uzh6?WL7Y2D4_jXvNxc|fTn^4Hb@(KW~T%`9UNT`z^*z>uTLJ&wZs<82&tZaoaP_*m{V_#o81R1jRtNfO7EW= zt0^kgy*tUg)jUpVqrCMjnQNlQfYW%^gB`JSWua@PXCXR)gNbcNHQ-6hgWw*vQx!BG z4MqUccLt0FEO9!|2t~MlC2cHqd3Mz|_`i@3_kK6uy=*4)^=>A7TAaK{t@UO7Shq0x zcusy%f9f-vbL`hEH;Oiq&K>tMk7z-SKI55m?4=3G0i#dpvd7T_2mOAb%@2ewqY8hn z#rSHRpGF^&uy=I8?9=xeQJ+EMFIf9;U;KQ0fL>x~T-oTkJ3^L#6hv0T{Opq7pF(m- z;4r-G>Z1mB>Cu~KSkxH+5Sd>aNPJc`n_>H*!KK)M>%y@=L?3LX3|cWmD6B?dt_#vE;Vli~djJ`*Cp%R5 zoD$H`?@L*P176e4S$3}`J)mRSg8(2Cn`CRBOmEKMPXy+#3Qj3WW!Vp36>rB*7==A? z|MV6bkx16{EaplebiwoFQzvDf;5q8}7HpY2iL4&Fm;Mo1F_a^$BU`;q|Sq4Ev zoOCSmrn@f-$g&dgYO>8=!q$@XfCvU4B09}rw2Dbry9EGVuIa%(N9A&XEec@8x?Rax z80KpM@nJ=&fsch11~M=+o1>DAb6XUE`1{A_W=;`!*j{f{trYDbi;q-teD<^JTQ)(`O@97tf!6yWU(| zgq!7oWFOqim)sp^19k$rCJw$IwS9ypqF#>Oe|HR8%2TqtcH9*XHIn;UJ^`8D=G(Ai z<%>xCk%?E5>laLoAt5495C2O!or$yxLe{CQfMF!d+P3=1~j1+Gx2S zIBwSdaxpFwi8BTx)xY?Nqi;$e;C6)FUj{1<3Ssm2c|ky>$WA!L9xKm>M_ctg977?S z;*k~Kbo|zNkE&a9!4-I0@H78M*`J!gaW~Zv+#K{*6@Q6c zfXeQc1_H5*JWAWY#4eUPpAF1e`{jctz4-jM6(B2ucIFI24wnUMO1?herqfDrRG9c& z>0khzXT#Ax?n6>i!S5TDl_5J%0^Ca%cK3P&tO3s%ih=-iq!V-iOT2pu@M|i;+`9DP zw|#7x|E6`J&VKn(&~^7&)vp9WSCw=T*>sVDub*Wgs7=Fx zCeNg~t8;|(zO!?#-Q~Q!2DdH%q5;XohcZ~+vRK{)s7<;5aI4NCF&G4%A;gC&|1SI! zhmJ7ijaeLzC`3Xl0WXweBis(7!#X~c9$Pz~`R@J6aeR|!7}crU7B=`S&zmZ99dlN+ zNM6XYzZg_0ZmHhc&uLs4xqEjtHuM1Yu@Ke6KzjZ2NPpw9QZ|05L{I@Vw216K(UGIj5qKoARLYpr4y&zYyNo%{UR|L2VPj8|l@h^D|C6))2Kwo1|;w=t0)Lr`lC6Sh5+vw1* z*P2jw{!~%6w=rm1id~~aW*hL5LKJF{^oSBwOEIV@-(tgt=imNj8!R`@frx^4siI_v zivHY!n<_15?gzUgSK(BQ)!d64pRqY}@M^`aoQ(rGJJ}8*SZbEaE zinp?9L78$C7b6wr4T#CG>=}GB$bJx=nCmz)RA;vpr^|%x4MNSgqL@p>=!COClh?k@ z^yuZf7A8+q;jJrGOdeL$)Fh+_GPjw6A`>6I?0fhU&Qk>1oHA=G1ECo&q1hu$9@2k! z$=+tly#*YVSz6!xyYP?1%#Dc-RoF+a)K}!W9JW}FA(DrZtpuP_`&R91E79Z?+Kt;zlyhy}WO8&P;Rzx71 zgb@@|7YoQmJgq4sG}JP~?XxxlyxH*^xsb~nxe$uZf0NAXOOZyaRnTqYz1V0Gq zKe7Apc^u(&W4c?zU@4qcp#jO?3l^bl-`YFWlF(PuNYPmD+t14SWHOv6zuuR3Xoctf z0rJHpDT^aCi$g_&SXP6$fH^XkIdV9$YY^dLv4-%19>TvBqu|1T2PpeT@n1D~e?XVe z2bIX&9RByQe`w7&rb@|z`^^?Hx{o4&G6pZ?)(5he_h<9Xbc3M13WT3+anW9c23FZe zGZxD>sV_~6gz=-@B4a7poqKTtjYT*yeQ21ewW+SDiRG~_mP$j5ET%JXo zZ6}RR7tL6h^!o_u_jp0tL_yjS(r+=f3;hWFn9%jr1OjZsE6W~q<7uLf;?uly+TpW& z(@qL`S77_)xlT=45t&MJU;?#9xq|jXS~kde5gDF>1YWoAqOBae&XfK3csCg&Y~?@( ziN}39@-WMX2SwGzcw^G+9G$72r54Sl@56Gawi|52BsxGq^n2rO@UtBOB&x`;g3YsajrqdnaV_`D(%&inws!=(gmTo<$EbW>lEjd ziyQ-VSQdGv0(;zf(G*EY#48e^)$Pbkq##UlZ&JOx;@C}(*L)JwD~!oEEWX=EZ`9^N^u_L@i173 zYC5<8Q9sr=DgGKgeoccG)bvf^)gXNY!H3rK_(A`Sljv1%-thr7io(OkqJe2V!p3;2 zgp=aNnwSs(oaWezhQtHG9X=Vrl#=E^FR7b&AhICxE=oFlIpmpIv+Emww!25O?zgY^ z3#I%rK>~?+nDi#QOpoj|>NwvWdV|t#BXylZOYKFssP|7}-&YzSmHvQytY%BB@XxY@ zk^j;PE+L@aHL&oA0@UZ4p@z6SVgIAMK2*>l zexpDh zH-aL3KM;B%6eLOx=-39dk0}GPL?EHH&#Irk1cdu6@#W|SK} z5$>V?t|vn9M^7a0|5H!IH2bWI@~24%P)I3rh*QMr30JGD9Z;Y{;d<6*nh~9}-WhUU zL_m^~?z8(PgnEHdh>T?H72#bWlH~Nr(Dca9U*(rq@|;|UoLn^<;9n{M%{FQlDmnPB ztfg}n5Ax;Fyz(t1WKTsP57HR9WP*;&Ot?<>5Ok*JGD2&)(S!eknuwCj_gg>)8Lwi4&bf$^kDoB=M^&>-Mdv5ES1>`QaVo8w7_DFxr=Ba2OnE|aWYXo$G+UQxe zOh7#QeoQ=%(}y;v4>mR597b@&TA#o-qh!`kJDRs#;^cYI8J^t=c%TC9WkDiUdjt*J z&S0AF5a^_4CO3+&sjEPR@LEeVU^BmkkgI$PI6KnV8S>VeQy)bD(oZhFB zGc;UxItA=(<7f^Cz3qY?QgRq&b1IEK0NEPi#my(DVR}B@PD{Q&^Qz>9ca7bSkMvp6 zg=y{zJ09dH1@eU9iD&53BHrZR1;>x+lQ4ovOG^vT0TY@Mgu0QsU9OMq`#5s6oYW)LhPz_wP0t`0qw9pO|pA^ymWdGIRu8UP2xoY_9t7jmp3BHMsRbjs;;`odl2= z3cYk@o(dNQhmN8jp;`@hnO(@g^*$F)o_6|U;&UNaUlz=WpLSxaThMms2>%iK$5bJJ z8oG$-tzgDqMuXg*DpBUoX2_jTI+8jzgO3U* z#^kxez_L;q*Blo*o)bCA-&EI)QKM`=o8jC4Bh@t{qCN9JsjiEEQC%sN3SkJYt9pT7 zrgX#3|B31{8Day=|Q)8Q&z8mKcq*U zoP|3kWx)Ung5qZ};ZnI}V3lT6N)VyrbricD{u0NmWTJmY;Dnii3BhJ%1w5$10U!rE z6h^x=nx+8DYE{SjrDRr8w{jlSiU^(E9&5)Z_1U88`e?|dbdf%1SI$4qGkf-W8s3r> z6azb}gB@uU1;Z{U%8fPm+^wj~MWx0%%_{?({1r~+Jv*1HP zM@t>dQ3J4Q{lXt6Q?Z-Fh^nAl_!z9y2K{DyW0=HWZWGLHC%KCAb_$>l-Q|Oyik@xDbQoMKLgRT1i^|!)2ucTvpP5 za9LshCo-#qC7173XNAdK?-%;dslKBhQ(N*7drxM0aXi2-jZwUhqM(`wm4`&71WN`$ zAy7TnfVMEeh0K`&oIPo3CbE0M(v8`=G$@>Lj-(yKNG=TKdai@FFZ8`TVYlW$T+Dtl z_j))}t%MDlyfnJk-~*G`if`2Z_Kxm6`w$sx?TKW>0Et za2~N*oo`@l?&|H#_11>XyDBl_hF*DA%?xzzvG=xIT^zJr?==>B*E712tGiyg%oItE z-9b?3VzDCNdyWSa*Htj8-|2jJ1{^=ll&^m_d>zzsc0q00cO*P;&)Gf0@XGfURiv1( zqJl;2(OY|Dz&E}4JhJ!0JOD@@e84Nqg6LVyyzi0Gux|~ z4`j?OW=%{oiy@U4Vy?wFJt}5qAp>dFNjYO17#)}@+WC{=np?-crunIH(-wYGJU8+e znDIAi7y}|hfLCWAoetP9AelPod4U#&H2Zu#{-lH2h6FT1Uh3*YzJs4Z`InIP%?YRa zQYIKe5~R$~M_2zk{W>Y%;fe5ui{G$j9q-3wb%nX0tw7oBw#v( z01g{1CV4wmA$Ji!0B=0qHDFd^w*UU@T#!uxJTmU6nYq`7?!vlA$n3tlncFxZkvuj# zi)QpsHU;MyL?U?^hsdL=Ru(}1-r!2O`RJZ?KnUb-#Dx%nxa8}B;40xVlv=|?@0&dG zb!x{jrLyFf(}B6=%`>T%?_!b-0xg<`lo0D<&5RxlOBBqKnT^@6(~XlChXF0yqJhj zPZ|O=bZ-6=-B|tvE56j(Z-}<$EMB_uW1&xG0sRE5)cUz8E2v;+9qy8u{0=-lu2uz| ze`#n9Y-ol9&g+1A63~W`aa-I4J*0zx53(vCCr3D(+LcHEi1Jvf76qtdl!9QwFrfT7 zPUR!kyH_(uricS%3R6OLz81O8J}VE&(NUkU34dOLdnyXBp{#s{$SFW|h9wJl=gNWa z0?V&6p2)oEc(WAju2E`Cud)mK_xQ%D4lJ@avb)(|v_;=n1!&z_dkx(i!x9gXU1pvV z0)wu?SFYNH)*aX}$m~GD@rPZ-l@KBrq91LSv%=x%vOV91zI$+EOC|+e9cSNUkwC2# zF=xXp&|ZKUxYU3om?k|dv*}NF(2@@Zk zBo*9V57{9$X8*N$a2+!)ws~mhd|)T_yykB`3m^NP!h9X4=kHnt$2}!V4X7f@jnn;n z4n;{mj<1bev4@JK_}P}KUfUi_R2a2<(~>NL9UIyD2}*sc`?|^P*mmLxyISt+;W8EZ z!ET=Qq;vK;*DL@1U6~z{7X}Stx2Zke&1caMcovV0;%^Q_Y?~A#Nx$k>mawD!S|K{% zExBcqE2|qdqgzn!gyVxu0GfJv>Ai}k2cVNkGWm^{3ckb*`(pZA457M;W}u4@N790U zE@F|NA+*$qBChi$H29-u>&80gXRq-O-raO3aw*{rIKnn2XVJ9O>kU5Bw9zwX?ReLYzJLv%7Qf4)=X6tUq&(4TdpolT zH)tev2Q53zbZUiO=3|#{c~yZfU{+f!yN105{mopE!mPZRjk^Ow0vKQVgHR10NDELo ze+gygu^+OjUfXGzAsAYttRQQ`S*CR{{PDXdG7Zr8&(Sa(P{Gr6Nn9ZhklZcGF2RfS zN!Wi|V5zTKAkHwS(w^V>a(T6DJS+K?>x%uGX@|>Yd!g?mbP}Opr{AA&oAtMd+h1TU zq+e0coJ5M3ehlvQ$(i9ZX*SGMNYaDplC+beJ>UEL(ICT*E(JJT=#T!!1JZTkE95UU z!9X|WBgnu5HbBVjcU?swY+%Wevl_ln>ogT99cWKV5`ddfyI)08A=mvIHZ}Y7 z#D($&z7LUwt+7^r4{FUfyma)t+warfoAB?1 zM`SB8O7V7G&YRsONb(t&H2dm&M+601pJw2td89CJl=-f6l4{1|UBksF^DTPUHFHYW zJI*gSQS@WOBTGVB-=j~OMT-RbDK0&&7O>0}=vK%M9U}KupEN5K3O$Cv@=uT(q(UK| zvYTA-SH>-Ww$Gl>v7mON*+GhbaCf6^VqOT+PBPD@macv(@$|SA3AJjQb*Ovc{VDX< zvshZ+m$bf92}x4xXY7fqa-p?{pZEOOoTe=KZxu88;ecyRKHi|0L9=Xzr}N`A>Z`Ih zz96h@BU|Cv=DIDLlKvbI)~x6pCLXm$!S`L~q`=c59Q=-R53= z`d(`E4_9zWsW}{oD=R}yVzKOPAHsWnAW5m12HleQM%HbFDS2{vqPTKs7BzgQhd+40 zBfYMN-)~@C@aoCIL`O!;JZsAcu0zkUz{~B`Vbkjosq22v%?p?!>-EJPY5R7?!l=VE z!T#Qr#E;0kIBWDCRa8B+RggygSiZd%#~spEJfT?S((2Zo4&#e-4sj!-;A8BppnXro zlTEpkDu-=&cq=i?d{?5C!Ga4l$i6GALGCUuHNBu-haZ7nu-`0nnV6Kk1nmmq_`0lZ6u%h0ai`$fmDt9(?W!ENgzOQkQF{&{ zFA*r4wP=-eHEP)1_4T^=UBb5`W=VZ1w$}Ki)WjzViH`c&HTOkQwFk$G<`TwD+}bX)X(r~UqnoU^yNQBOi8Cw@$s&!1eCPfT6SlScXJPmCM<7(n)@ z8n^YCrnBN1$60ICZw1eR;^bE(g_4?dyui{ngL{*4vD?m+C|?Ze$93*t`Ix{DO)+R( z`0)F;z{}SCx`#*)+#&Sw)^Xeh(w+pV-t@}0G#Ib+3qFOC$FpH(b}WwFp;5;N`Ufn^ z?VkjmN)V&iT-j~QnUSM>(SLJel=Ouxznk=3c* zf_2-=aoohxAEtzg*9}2O*Qc?tD|pk@BK7o~|07@O=IpuH<=@?@_MT+hSlAPt@PnF* z7$KP58dlQgMZrW{Q}^IOhNaK7jZ5?9c4$hrHT&u*{ZATx;U0H+9GQECXF()|A+<59 zj6VuG2RpuZH#W+K)6T!N%%-)$l0kt4N(Oa=(|UP2aq^+?GT_KilZe;q^f$!&Zk$-M z^uEq%YYXQQadsHp{?x9Ad+0QU*BzMiN=p?6!3umCWGRhX9RmIxzFrE#{UrAJHBB6? zGwyl)d{ODH5Ly4P+fIIX{K5lo=)r|pbL>p?g|(iZxVquAmqNUSJ+QC>5$^+HxlwpX zI4A~Cl;_f^M6!d{=x@x>XVX_`WN4(c&iaIDda|J0yIxbrR}4n2OEf4MSSw;MX=)gc z^HkqO2GMu!uWaQl7)GD>UYOUBeqB@_>>%|)ixHC^%y#EqYp$_Al2$FW!3?DO#-}6h z6-?`e^NlAEP0>>%5N%cT=LY>6pNR$b-CFs<9#g`L2jJjo>~CJ+!!=S!4-)UBph#ys zcN3uOs#o2iMSQxz%GO%+Kp3<@lH{dAcBJ^LoO_pehp%#8@ztXH^xnsk84V z^$EwYMdh@@j91wwuz`_qBQ4Nau0*5~0tcIprM>bz}oh+ap zfTF}-2^#vU+`HU+A(aD%CcdzsJE-w(Ug}UB;f}kI5634}^cEn4%XuAcfC;rz0wqse z>%xen1&x{o^mtFRl^9;$x$LH1u162MYu-U{kb#L8S(y$yVjvspMX|4#x-#V#ybVrZ zg{{bJ)*Cp40jUmKB;Z+-=!=BLp?sD!qtUT|qGhq$I+rn<7WY36vUB%-`tbN5=@-p4 zk$DHqQjwxZa=0&w|lZDJEp`$;qE#2ah5f_(DYTd4LvX9F{K!B z)-%2p>ucB-3;T+H(iwId`B)rbo@PPtJ*@eZ>kS2Nna}5;b{a<$jMoexjS zCg?Dlai(fW0ylZgf}VJWcZ#JfMt5OM+Bk1ugmqy+4mW3_W1gQM%w}FquKdQnfOWn^ zg^BreO8!2S73aC*ZPv^yosD%Z+KcQOcV}ht`eDMZ|5YW!+*O2fGgjN5_lpAM<_n@k z--$W%b1q3c+Q;pn@QcNl5yKWGrF}nKjl?T1FXesX)WRSBcsK96{&(~fd`Ut%)b@=b zweLVx9kX@`yX_A#)0P@}U`g*7@yCXS7);H+j_TP$&9b~NeIyDi+qq0B*M{?Xu0348dJkVXZTrp$8x6;Lv!= z7%7wk_V-<>aQq}O#$Y-rH0wFeV4CXsnD;T)YxZ3wJzmj_rT%T&pU*ykF_8FjN3$JT$SUfIQ93KLDz0u@d8M4&EOB$T)Cr8q_^H*-m-GQ7_ZT7GAd zuxotG$@KTJo{`|L;8~lU-B;f~Ds1niKpcrK54#(i>apw?SY?D-ka0qQ-Gb)A{0}l{b*O4lpJuCF%Mrf#4T;26UTr{ zFlAsk-vrn6%{rn9oYzF8A~jYQww`QmQBoxY0`Kck)Sk`N<| zO_%X{vn01itqPHR;RN8l!jxUS#5A@3yBL$haZGsn<^;#q1k{-zdtWR%eR#M1Yw2ai zvjh5&^nRFVI(L69t6z5kN!@c5>fa0dv%234uWMhvfK=?gS-os*{R-dYpUJLqVZ!`@ zLs`-0?LB{@h|dcd`2KuPLfoR)#Sa(icz0)r3B_kyl+A+L%Wy7{&ZFwBb+TU6Oo?cO zuxlh3^(-nDHuDMUX{e(s2SBZIh{E z`Hww+%H3Q%h6k7HMB5CPd+9H4UtMo?&}`XRVcPpaGmGd#VBf&J(ABXi`XncBQ z_h)yQVkcU0hO|nUa7W|@Zy;wbA!!FyK4H4j-V2RHAx{jhXHW3m#Uwlj;* zqw47cqP~~lQ*fy2<^Fb~AnL9-k^C6uYxPY$qxtyLGx9M%@(-^4Ymr~vcM=R>Px=y* zl50-BeLh+5WfSx$`}i4i!jIc?Xgx$c&FvJ1w>lQYzKM+;JY(Qg*g!US|3` zY0fV4GS-XY`Z%Y+XlPN7>qyvNzfJX22mPmW)MWm=yl1btDX?b-9vz3`4a~Hnzf{%isE0a&SS+MsJm3^rjIDLK+2a^>UT&)Ya?`V*8>9`lSj1 zvq?Ha`@5+p6so**fsV1Lq@=E_X-b-k$Rftw_OyB`ANo_9YFg*;q*1bwQP+?JVR{pL z+K0!hxAx;}uRlmlrAg>yHYu%8+2*uF36#|i3I(Qm8Nt5!|bbScXl-5dMo>+`V2p>o)BE_KxI2Fv!H@1RJgP>EM#_&iC0jE`PE%6X(E0kbGJW2y6esCG0mZrhaw+GsJasM5dMs2k)U>jFak z@Q%bcN)B3#sONwDB4^X4%#LY;z)Ae`Sm1oG4$2XRh<8a zv#$V)YTF){Mi^pHVCYsrYUmJ)5)lzaN*W{tMMXLeAw6_Ts)$7m-Q6wHh@^m0Bhpg; zJ)_rq-+lMJ_xt|ew^{q_wO5_PnH_7dy^qFd`<3Q1XX3I@wP=?+nKN-vZixFmC>wzM zkiAQ2T<(5PzZt47(QWoTU>UM%wG#Y%MK?c0t`M>QW6qdc>mfv)=Vz3x{m?jZSnG)M zMzg1>QF^sx&PZGY8oW&yqbTkVIfnnw0Hx5jN+-ZMAwuVTA%T4xJE#jLW%cP?EMbXjHQ zy&seEZ#1*GpUV@vtKXceyA+lgB3H6gldknJaJ$g?+7(*okv${8k#TZtU~Me#wvRFa>a*kx|3G?Sr_N{6_Z7wG_QIMqR)F@!)b}k&r9?d&g+-q*0SCsmitJ?lh0OistY$XJHK_G5jjIJq zm5GHSYb&c_UHshnJiwnD_**017UqPc%7nWxmcmN*4}^Ipri?-+rfNj&H0>W4BesnG z#2H)CzU-I*Kd>)kuIr32Oj91$#+zRA>l;GCDropqqzz5EdxO@Aqo{9(hr zp5B+nMb9hZ2lJV#nACiDUG*kAk0P;o**ZZW8ZKni^PY}#36flQui{V?GiiJAZEB6X z4D#q^t};&*v6x|8Nq4M@)pdDMVy{J-qhY zxjRR58oLJ<6Ely>*}FcNQ(JyTk``_tp6FE&o5r*HiKq>;Uv(LR844JJ>xDl_pvM#q z0`h<+7w|g#6B}0ADe#*RQNACAqze9ABQ`%B2mDZxnHLjz`!)f8Q=gvvAp2G3xy>QQ zC#qrr^VGzqFRJKXTuf}!5BjOTd>iFTeX6uAk;F7$;>7&L#Bpr@N%Q0@gemi7dFYk% z?TT?uxHa`FH8Uauvsz9-u@eq&^s*u404FOpf*S}%evJ5KBI6T1SrXHNSn7w$um%It zl9#}c=J?C`!6(zV#a-7CPoAoY5Su=-MY&$)-cCVMtU*@k#LSo|4{AjtRnRWUVw247(%z2@PqeC>sc-H`YqEMP#%-3C+@AdfrYDo?b*wIE zA@l_n7xYIm)S~&$?X!wa}N@LJY2k}%P;x*@%Pbs)Qk2cy(=>p>8m^uWN zt=c-o<|b4?rpF`0+{Z8zWLfE}NaYv+y5YRhT~vP;=En1MoxjkD6Y5`8MiH?QawGK}*(F^jqUh6(34*xOm@H~<p(S57$GvN|XxI+#Qn-((x~RC$T~|Pdi@|G*EOqQ6~#m z4iLcd*=gF234$jfu>#Qr+<2elM6M95&#B46l4C=XT|TH8ino5n!C3L`n4sOQ>_SFB z3|j72pTGg`fB@Ta0}Qs|#wv&#Pi#)q@t*|^H<<&r;nrutHQY%~xQ4r*65DVO6*XcT zZiy9ZY{Q-8gl)LhWf? zC;Nyf`wVEWtCE<&OstB3e7h9)Koa*BS>Hm~r>Lz`sa6-63GOC9@UFTXn1iML%$$dk zoJ1CKEpiP+btaMuoTv@C!yCm!-$R~yLg%!-)PU{(yck!}y8 zp+LOx^2-r3@sk)PvrENOphM*2HPY3yQv!ZVlR5)3k%Z$HZ8!t-sZjVOr^L!@3kfDL zlInV^~Un^Hmh$0;P{l1fqkW4^CCpGIL{lO7Dx0}|?Vea9l zSj~B{{(W9ei$UAvyn8YgH5#Wso2ti*^0-wyFkPi=36M=avtMl%6KOitC3On)C8jRH zxrtCfd$dQPR^mww)+euMeKxer^wU3i;GwRTDV90rp}2b-0>>0KN2l1R9#-&ge# z-g=RVB@)nrhCl5aaB%rag1@xeP)GzG?3 zNp!HRxs}(ih#K#@PL9ywMtbo|Vql5T;875wposaY+CX~lT$_hQ)ocB57}oU@&y~TT;6U0L z^&Irbb8V5u)Idbm4BxpHPnD+5DCi;RCS?kM@285Hp%kpFrpI#mZGV({yOU2AwmY#9 z6wjr=*YzgBurtcEHut((5PUfXpoZk+UNY;ogeWysb+qxT1l&^Ao)+==0O#KqnE}mC zJQvrs;LWSrqr!J>=@=D;F6BO<1r}TjNlf5UNIi*MrcdCyEuoRdX%1y|wBPg=IBa-i zfyw(}bvMmGyJfTZV{h8gQJd1zu;-0ZsFtBrf<1i4TXDIwLgH?%`<(LirkZT`d&Q~g zv?3Pu@IJ}fvPmx)e3Cm@_i2`;A3+CLxmmweSdV8^cYmn*%M}ygzh#ZMVf}E^i-pa; z|NFc%_%xUzo%skSGo(vmhfd4GP#7G;p7b5fN!Bjo@?p8H&{ekIJST0qB8;T!96fw60w7a zM-c>6x9YV4IacE4ytgcnTRwf>bQsl#=@+Wy>S`tfv|dA=W|O%OuTm6D4x{`O4uO>7 zOQy_$ckh0zc;wVlrOkSl092S)x8|`=Cq{U*liS%!bpkDClhbcobe$o1Fv+maPkl6MQ9-ormTI#87O$~teE|&@paiYV(ExZD^Fsn}} zz@wM~2SqwtI2!9!vITl)*3(Yev)aNx)1Zn;%OqN=AkkxBh@2-{Cu#!I1J-7ir{xxt zKG4$EFc-vUGfWfHWvY|RRWeB);=G`mQ(N#^wWDJUJjBG!0Zz315T|a?FejPad%TaYZ*YGc#m>Y(!TC;K-!=WA|V%?d#j3=k}Rbvt~#S?5-fwyh2GR zcmLiX!4luC&epc<#N5*TxN)L$E7ZzzvGCpvL+vu=jh zw!*0CoM_;Cg3#|D9#_xZR*HYMq-5u6QjSTlL? zGqG_!bn@tdp^Y3)-1-8m?tm)Xfl6TUWsqs@2tnlyG;8hRl?peH+%`6#&l~x}sl-or37w(d!DoXm&DvY-wYNo9pV^Ihz{U(Wm>h zsf-6h`<~99j|no(H^feDQ}=RL z%qI`Zn}(so)>;jZV$U@D+V*!Pz3cZb+Z+_EPD3%VcIgXuBVV4(Uwdg~!ZF?6ady|E z|9DQ9Af7fnOcamRx@5p z%c3~QRRVz{vNaHdWORfgV+lLbkg@z&Db^Q4i?iYSH*!W{DVBt7UL0tB0?9N9XMD(n zXxUOd^T(?MQBj1`lZ_8So>PWrS2@kv@b!pbx1S(kh^_I#t4-I**!#SnE2^&UecP}4 znyGz;qqp!?)G?ZBtJ@<-_`u(N!>eD7p`2mC!`uTL4xDxVkZ-IUSv|0Y&-c=}x$tYA z?IAw}bnw)of46TDiZY**!Y7CA3;&cb9Y|d3aYk(oeRB81D;}L2cjg1s|j>7DwQp} zn>7+W%q{0c6XsRAXVnN?1)qfApHI^_5hU!S2L8g=@+A}-Y5AJjy36PwiujQ}I8*iM zJ@*=Obo(?*K5T8h&*J*K?T>*C>r077c9SH=grT{jTY7AXBWFNh4%AL`UhR0}IZc^y z3}xxf^!Sy4^k7ujhr$dJ%ppZ>Yi&=7={5-D@zg0JVn7B6Y%{8Wp@XXEeD$jr6&IuP zKBZPwt36T`jNwMtES30g0q3Z#l36bZb&*-RP6qCUM}L;zGd(<`5wSJViinEnO4a+a zz4kgeVdB$J>9JU7BDIf+J!|vV@YKlHS)N7Dk!^3-*2hb47*3Yb#YL9kN12fk_AQiB z7DXxRRaxv@BzxC`<-p3p<8afsrUk@b)^@MSjGdtOs3K7gFJlI=J850s1gmTzBi(KW z@O^=n$hpF)#9PkKjfH2#_|2rUP`pzFln0!Wx|0x6Zt7bk2r()XPHY6^7TbIo8Jk!s#`@w4UlCez(WUUR=&c~)A+2W;R!zSOi*23+>{8h}IizA>Z>)&;~O zkqP)ccNDKDwV5sxWO(Pu!xwn_K?gccb!J059LVL9mt`&`h1L4>UYopJ~IYlMs&WYdV9P) zs9nDKVXT+01+Y+DnWzMx`<&NVqtGXp~>lGewY+#!OwQ$)kS^9dI*=T%kXwtq(vo<7X))ka5`53b35aQU=*-#Jj^AAyGVte?g&RP4ph=MA1hF2DXXP&BObX}o z^?~`xvd!+@w}ZkEm`)tfde%DfE$q((fh84Z!cnn+?3FCPD<<-YBA3@RHJCQU#$k{9 z(V5Uy7M1qKV9!Q)GvI=8H!FWULpnmyLqqcHTu~?bf$jAEz4Pk zz0I7$dNwe02pcF0^bm@Y$6)E2F_lI@BiMWLkl=gyF zycX(rV}^QH=&d1R`+e(4nTNT3X|X!FT$A|U-#bg(BKHoujh{-d7^JnoqlF^ zZ{yrP3-IY&3~e%l>7Vr!RJI!!s`(+th%sVAnpL}TneBvsG@5klKs~SW04){wMS+$F zMTSeMLkU$A&Q+dUf^DJsn$O2tbeMrZMgmI8TiaB)amNnbGd(Ho84)^i6SKSe8uJiU zg{oN=-KWTTTnghPmVfoM6;UPMZPs!jy2)&LmdjhPL@uQMdNg_cEjF~~idzqx1sGlo zug@)4-fvR&UmU$z+5y^E2mi`jbYS@y^QC|aQDEXl4AXBKqy<4exdYxl+z-5nA=t|h z2YC}VhQh#N<&SqD?pc>M=?UZs5=wt(Q{^e*cpSsv0&XvNkRJN!1aid zw*vocSbdZwOt);FfLL&56%NFPiJP+@XKWN(3<*eL;SNDb&_9!CF^PS?P`jdB=&-bH zWW2Jlk_4^pO-@>Cwp1cAn$nD>3KHW-B^o%NswIehUh#EDB!;xQo5@J%>=q;++lmYr&Ypz)@uk zorraWF6yHDe2j?MYzaF5($iOFTZn_=2& zU7p@;0DVv_K_P<4*`eE+_$e{?zpNY{2-zwVo9A*&j&Txamt193o`_FMxK2aF4DmWS zt$975m)j%Bo0VyOph7z0GE~U!URN(GH4OPx3 zq{0wB=ZXt*Ubo5CL?7Ib&3Pej$}wOMevHf{i&Ex(n<#?K6y%rTkK2>ERbzR)dEXT} zvVyz0_b;vuGsOKDmaJ7GfI7&erm0GOMiMc@2u`9+V)qi zShI1)hd;w!0M^F1;W5n6af}yB%&Zj!oGE+FVp)Pyp*k#21RNn-IONtm1One=r1mXj z&DeCB0@EL=pma5Ts0eTSL9VRm=U2hpIH)B7X-%P9D@8Vy3*YJ2JO<@G(m{CNL&8ir zScy$;!wAYSQYh17U&91D=1uuaHb&8MN}Q+0wDydtBl0n*)sT0WdNQx>Xl#8XBH7>Z?MMa z{Hp&AVHeA~xDcKL$@vJFdEJ;fqE1e9sU1+(eaSWgjK?wxCtQX_jz#W8PXK9cNnH81 zh+|hCb7Dz;EZIul1*cZ9s%+Co0lnp1pPYc=M7g=zpeJ|&T`cc=#+rUU)_=_uCC((0Tb88m~ ze-sI4x*BdWs#a8zoajnrS-1+~dCxMqhqZNEJH)XE<|oH>y;rmRQoN-A^E0tS52g5v zkhTPEb{vD-QOrL8ZmkcQ(lsdPqV30YQRcJ~p z78`?@ICG@qS4tUme3R-|ia+@P2NBLfU}Wf(6Yd*{G~<{O?V@BNhfFO~)7pEvSC_$0 zSi(wbs_j&&B-^!SKRp@+q9DzK~C(y2H>J!tj@Fbu6b$FZGwKL_-rQ2Q| zay`d(Ss482Vs1`wzOLetnG^Kr*h2-Rr;wm@y&kcOc&JFrP$4?VdzuJ{quIg8Z_LHX zD?LNft#u(b9*mQrZnqv15b`eFDPPKdQ<1oFHGB5b=>^aM8)&*X^&rqw%gk`=uxq^x z?(XUiRB#vfq-N9~W0j9gRxRlHg?7mm*gA9hl+4x_o>Vh}M`H7CFV{Z|Vi;3i>~oLi zydo(2#voz3gsOP|6v^o|WcZ~k1?impp^XTpD-CJjgT5n$Jh9TjW{Vj1@&e7BuHI=7 zijdw20;fRGGVm^+U$;~Ry}>vfkiLi~MQo&LEFULOeQ#$4Tc9gI_`zR*uOIFDgZbM+ zg4)bBEjerYkIjYin@8pLkgQE77A;UgfU<|0PVgt zmP60K_A-p=+uGIB5CBc^e)efFrrY!w0^bA&Q*H37I)4`vMMRVvdM>KKbW381xa`&D zV?yCy@^{6<5LMOXj6sOY(Yh#44?=Xa==n`UkTyP z4NX<(rYaE#DJ+1iQtKiopZ5=TH8nHRH8V;~q*w0>RmRf32zKqG`3Lw*z+d?PDi5kC#*7W~aef3XCP18DI@Nb}uKYl@%#D-fb&?u@8KGPdiNS z$;E8_=Vc-d>~7gRrVpFY+aiVd0r_`zEd!A#okmePl<7;B_|d4Ro627{x$pK`DM%Jt z1iF}$=PR|ahu=RsXdmgfIKCT)?_PNj+0L23x=nbB-k;3<6z$ek{$;%zroAs4(p3HB zGXN?9y-moRP`gb?@f(mqppKk&OW-Zq3eZO582`Oc+x?$v{xi?N*R+$yHCgbP6~yA; zK$FE=vjPa4)nl);kb3-zZQY~adOi&6vd_utXgK=sylGq1ln1Sit(WwY6iNKHv1aml zt;7TB0pr0_1=>LnP$prgH+U+$R%*Qb6vunbdtPwOWb(%McDDc&u?Nt>zpcOu zruPn#q$d{K`n%mfZ^H438<6zV68U%PjIG|~V=v)kBR7)Io(nQTS7vof4ikAao|cb( z>X_q+5^X1SpxwVmCDu;5F1#r0`_$rzCp#gl1_g-sryIBf(_h}m)Ie<1^e3D%We>h) z{6kaNupR2Eu&~VO`*OE{-Bw!sjlAN1lec?M;sR^INkpR}!OtyirZD}NU`perQ5cY~ zLneMiz1uc^cyP``&Z{|a5^4&B=w~h14lj)d9(jJ6YAn6!xkK*2cKAbXV;ahzYP6y= zUv0Jo0B)?I5+PkOl2&^B+`fOA^91GQG$ahuwc40I-}dnX17P!EOHUhJL3l;YPky2Jl;{UDO+uR z*J3+|>T#O|hOlq*RD%o*Cm({kA!|J`W6vGl?WeAeps4+I1Dftf3>BY2Q>C}Ms>=WP zRxaN%9%%pi$2a=4Z>Sq{Z-QLzzI8_#3T5|sE>TU(Gm?3LR*ZPL_$MuI(A zWK0Bv?&=rKpLkB)Dblu zxxh!4vP0{Ko2Qn{z8MDBI|tVfpYY2A7%YVTQUGUQ{-gsG%~O?4Q3j^!}uY z9cVCG1T-3+73c2K@cQc!Pk%tsSyY?zGYIl#6-(JDlXu*GSEDATe_gvh_tu?Z$Sq3o z!03fnrDaiFh>`qCQXhV0quWw0gCM$J;J8z_bv-;OBP#5A1cM-mkub4y1egh`6FIFY zy4VKsjoc>1Dv-o8h*Vymvps83G{xJ?YLwLHD`r`Wlzk0IXU~~DnKJtUgMIL8FQ)`vm-KGmH|sH)S{{J zPY_5IL;jPX-xU=I6?u@5;n<76Lg^H>?ObiH24aes7w$lQsl5TO7$u*>xN(Xq`5FZjM+e>>p*sD|{0E@@H@ z%GGw-?p>|b%|d5se$@2G3|HXhqya1cZ%jf_)1;lF-5=L=jQ5}4eEOZ7qxC5JyqR+> z%}V%<7oRk*6v3HTX}$wB%9R;f#d24|oJhb_xYAEQWsK57T!OP*&LsCCR+2ro1>(6d zOCwUs>jDO?+F?$u*a_{e6-q?vVCOq05^MtmvNliEymy~`vSnyM6&SU4dlbuEU%j`b z1xlIytI!nEgA(p7AqaQVt_x6K8~i%%thj$UKpJnIi-9rKdzYh~-ly&6esG89G%YF#`zW>l0$)+e)*w~W^i`;qs@l%!T(Bs=w~ z^Yp1JJ?>BY^2+evAS92&3RT!>Jnk2&cpG$||7CK>msgw>Z@F=$;QRb9lCQkL;-dda zz`u&T3IC+!d!PSbnf@XRYf>=QusB62+CFvn$5#>KhNgiR`4&ZZd1PT_@+}WEa@*6G zS%^WP_H~^%jmO-M77>mJ0hDgN={NR~Bw5&lo~wfB(-mG3lmr$B^U)V-AA8?DuSIj2 z@_JU>10pVZ3X(Usz%}!;q0h&PJMiwt5e!@bsJMJ0=D0c{ieG1U6=C6b z9;9fB!$^w5%aS_^k~>^Daf1I91_FH}fEoHH?w?|Ro59vj47CwYMpM-IQ`GPcXjkw3u(pXd~0K@lXt<$H<#7kpRBIpYeL2598yLI9)3Hf2 z=cf8(E?!ah{tJUV#d0GYgnnkf;6*G}STk1#z+m(^cf+F$(oS_w0Vz<3#$A;HTnuTa z;Lln$oZu_}1;XQ#fkKXkM{55p1;Q%%Qw%)*HjQa{@S|#AEYX}Buo|fSL)mW$f zAu_Mf`ipX>xm!w%7_4a`-Rnz#pH8bA?5jNL1bY6VPOEmAeVFH^WFXc9JjFE0u z4}0o=v1|5K06e@06*oT6=4&{es$hYJ6F*En%SO8Hs#Mi+Pi z0@30%zU$6cd@62|4Q#%=V3>gKXm#V+YV5yf(6d$8Z)&lhOhQD9vb1lOZam9X^QlNX ziMu*Yv{(VIRDCKyt=m7#`UeGuK>zh;xqs*WQ?MYm?wTMJ|IcdvB*e)`7$qd)fKtP$ z^OgC^Zj$w;s=CUWtbk+I?aGr>OHlOGyytbiqM@?a#fppyW13-E1J z!_+`2x^9D!|)Ynt^Ac4KlI;+74&!6RR4mZ)2pDJmS$mjVV*%Mo{P=gb< zKPQbu|IDzg6@WG28!-|15xmxOC);Sy?{EworDOPP(VV&aO=`Y3`^f>SWdUD*5b{&si3t8|Mgho(F&+-TGwJh zsK9}Kv|Q~!!vr*zAar@02M3j$RximpMGGhGmB?=b`y{|ERA zY4A#5we+S}#Tok1IC5e;V%Udq5fd?!NYPezDbu`*>KQ?8oprmHNQ)H3B9NCKz0&JK zT=sTkHjQi;jxM@ukrVflZ){@b$}?Nliqh>GsAjL~Rk+k;7ATTSRpC54h3AS!(g&|H z@?}H3BvvY)g7usT?m<@VktBuKA`JwJx|g`&fzd?_!TkE7MmZ+?u!HF4O5p09>_@`p zaqbLRb3qC=mJTKNAdWBg5Q3wX!?lltl%@rs1L1ZpxO7PEh5`Zq~QK+&|3 zvKnA5Pw0!r2`Tz)q#WbUAP5lP3RGo3T1w~H7RA#3G)n)Ga7KH=#Bm}PNTNI;zoQ!U zZ<(gb8(_UQsQsD!MK<|QQ9wq0HOk@jTFHFRHF+}_FrjMXDbj<^h^Bj_f$_tj7|Z%y+wjgCV-|{@H1q2`1!3v{~;mGU6jbxx9rL%IWbsl&NVLX5qD&!n#5K-%Ozkp~GaW+}8Yv%@L77tST77kp$ zN61%knZM`E2M057#EF|p+1CDm#2E9o!VvjJ>a0i)l?Y5QqN7tszv%)ESY%iExDvTu zb7wB9X)pX!8m-TFvt`TF$)k5ZApYXVwU3yPJ8wln7?!7%z8~Qy5L>6KQ6r4}E;`ZV z30BW9JaTM$)+)Y^@GE_dZ4&Q>(OQ(U$j1dHb} z;N&2%c>VHt%7k3mq{N>$n}1qebzKOn-! zAQUsB>wlCO`i?UY@I@*zFwqPifFJ}AwSrw=*kcCqbYPi*g1a7pww46n7k@!a308fS zgTO%~E8M8*@k`(Zzcj#U&LCZj-bPLD|2QyJ5IeS`v$%V3eNj2;k(W|JI292$El=$F znHxQMmt|_Be_vfnxJ*TKIzfwyh>0}hC-zA~Iu+5Gggh!DV*NEl&mP^gsZVFqGYec$ zrqAZt_I-mM%zk4Aj+dsZ!)3|V+>*AJi1*78+w65 z^ApKb<DrI5L4|<}!A_PiEIz#N;|~dJ_GRYE zB_#8d-f+gVr#$YXam#lSmhTLk_Y8N2Wdu=ZS2{yK<39|~Je}D?@j2QrB{DC=L5%Q9 z40?eGmQEyg%EWK5q31GqMzNd@;Mao*C&>YuH>6sy8`NzBzZJ8Jm`qoYfncaJ;wJCi z4VDs?)0c8=w2@m^Vx@!X+OFH8>Up=%TZ^XDnu(53J%znagvlcr+(a0zAwhx|+{{ED zW3L!`Ot2Rfxaz+_!>a)|uLg+yBx|yeZnls<5i@-A$#(wAT?@xQ1}ON0UA0F=jF2%S)HWrT;V}v$J-Z=1T-NTI<0H8aW|FbbYDEWio5jd zKx)dI;%}viGsjZ=zWiBx0!urfIH#p4BcUmCOY53CjtPgxi3sQ6Z&k!f6L`a{_GjI1 z7Kt}0{QR#&I^G6jEr70Sg-87dg+TWo^38*>G=EX}6A}N0nH;Mv@eQ*>oLJoPBF9tm zvY9G*T1LYPO&2^bcIY=vouRxj#7S)YM7jq=fo9LDY45qYW#*|hJll?|5jqYLs7^6b zATGyQHu4pkhAXQNcv4J08(`)1%eR!=NI~x0;NcS?ZXr_rxw1c(Z;;WZ(~CWTZ%-OQ9OI8TAf4#JwQJAL-T@?lrKMo~I54G$ zxS~dp=3mYYcDhA4{Eg%%ua1a|5E7e!pB|{6mFh(n=r`=8A=X&ev(39E(<~p<8AO94 zk|&1LOsv&M&UJF_-bUKO+9~O3TX*T zC2QA|MMod3gXGB0t+M}quUPIhw2B|uR7oDPRBxYtTjTT!9h$0(%Q1M0RNh|QR>DNO zv`teXF@n0Z4bdaKEN?Dd>jeq?@FHoOl+)NH>i_b?z4MD+TyYs?+GVBCfc)q1^y+ud z?lq0PcjOX|!A6kK4w$dVw5@pwzI@@|gz%`)9&cx8B=G+%R#u^{8%WX)ot8ikP2-j9 zY)we~D4gt<6D1amSInUA`@+-r#a|o*Gv4PRLg@kkn}lb-^nPAdcX%9e*;g0rM$1Tj zyws(Pk(Ll`2O*^r&KJP8hK;Rzn8>SOmMvU5PO7Vl3m&mPjU>)qbfV#Wudk#QoL@RD zzD@0e8-uaVL+s#Ot%zeK+sr{bNygf+Raj>@pGhIgD7qQHtvhikKhnsf==9mZZQ&yE zAPFmR7G7%NR!Zp_Jc5)vG}b0A^|kmXlPk+HqyqZtu!uQ zvH9)cFM8U_yZ#;#PzhVUXo%984Dn*oE1}xT$YN{>7ozi2p8>?@{KDJZy%S59gZePg z!7HfG0A4f+kmu04Zd4_s&Tm@$Z~4mD@Qmk5(0` zf+~cAJlH@JUt{@{bH=SUQJPM|#%e zIQxuSpbV;fVtNQ{7GYZ2mf70xlP}W8Cl=^;AV_?=^!1e$wMb{4^Ff;{adzV7&?_r0 zY5IVDfdB>?^KQ1|VogKyL5DtFPo6s5uZ?t=@Zwz<=l+#IP|||>qaML_8L4)eQXCc< zk4|xjNpVmE=+7z1xO6+(;eNEk1ouLfW{+!3%K9mp1;AntP|^Qd_{I1)k$*}A6+mJs zu;Oowe~N@a)t_R3W}tTbOGTXwL29v(X@eDVp8jl~<2mmshc9OA4O9C3VByil@#Z5_ zc)`%+FLNprGkY!VN>?WKwv%3czVV7UK{QAHmavOx*_6$Snwws1@AmfnkDq7wjOCVc z1k0Pq<{LBj9@d#Wu`U*!FI_k+hrHePXsw`8sA<%GX4yWtu$IWGHn?!IX>^jt3Oa$i z_ALY$Y~txTJk@g;FTIy6y>}Z>zDlbPz=5@VofmQ)TUrA1w$7N$ za=Bfh#>Vx}kGB#SwCwxsht}Z>`_krq zv9?ydtPm3!pnQ=IwY%mp>rK>9Wh|66nd<@ZC9nM8m^(9S7jIFh*Jdf!j!lK!$=yHG zCCOw}T;dtEJB1^93h1J{Eq^CmHI)1h_5aMsk=z|^CmCZWsa7z0wO~}>x5B@v0@{>u zI{&WrpTt5qgZ`K5pegHL($#D3J;SQ2*~Oo~ep5a%1b{!wdMY>Y`7 zEJL&QhSb{g9%B42h8iABlKXwh&jke^?us9^4+$4g%Zo>+hQt^_)yPb~vgZEe$X;gq zz)_fXwC-h^#t|N)9F?Q(O0lYHts|-M9yjw%s8ML|ipIfYo13%S8r1KRn8A23IkB$e zaEN!bEgtHXDRh1JIZ7?2;zIlYQNeZkwwFSYs)p=pi}+6mh(r@KS-_MOlz-NXz9qM~ zfg|B@^trl-ulIj1T>3+1!Cw_%3;q=sPW@FH(3bHpC`c&sf2r#m5%il4v7(u*jS&m> z-+fA0j1V~=W1<~=P!UhKc@_V5w!`YuQ^GAT&!eTMO$|Y`J>0B>xBJD8CrT{sKR<0n zW7xx8G0*kl- zjM7{}nh#W1q8cX96BV{CZ#EN=9R=qzERC>>?r-#)Rycf><1JBUy$Lq2fCT@{J_+-a zJQdX4h~_?-A^rrECi7Rvp|=bf(zY#f#z^y%R&2d1lQ!t$gWaR=4)bHs539G3RW?-2 z(D%N^{cxS;p0Zler`O;xINP0Z0` zlW$i_4qQs}f;h6O11^G7x81{%zCH!kEm&?s?<8s6KklWdZSikOnq5X?G*BeHLLjQLc%nt`4$~UH z@s>f!O8D(51~9$R<)X>~`wwYBeB%9~O5$hoVCR!oopE)FKm&2-eVa7QP&28>E78`; z5wdK5Z;D;iPB1&z^3U_R2!7iaGqF&piFLP_=RTYLR0ejP^^{9SLSVyp>cYD7H|*|l z^<6g1f)O^<%$*=W!2OmkxG&vXc#RX-O1<{s(RkU|#|L5FV{(2Gou!s{}^Y1v(q|IV~t{v>jk71;y4G=sRVzEgR zN4~YM%``cA(O-}d0pi{!M?&6-=#t+wB!c*8<^}ucWMn2L<;IJjI9u7hLBj`H9$9or z-3I|hSG>VSaauC9WTehD0efsjH#3On&g@Cd3Srl5Ko?IQsc$@qJbG-iC-=nT=sDt% z@Xczow*0G`ClppQ-F2#@>8!&OTO-ZkQ?&KVL|!_vV&G^d-n&3Dd{p;_wAmS`fQg(; zY^HBoS4+mMuEGqq%ddSd-Mtw|B0AVw{Q&Ya2Q^BOJyN*r!)rB_6=6Y*oDm__2Xc zYUXWG5-Kx5I)sZn2;)#GFCD!JXm81yx7om!#%N`g0@p#jtODwL?893_v>3_8OW)y4 zE1ug$eplL6mRAPdn{12K-|l(Z4ql1?k*)dn%#m9`yAC3hEK&7|)r~<;;3dwH?5P6> z*3O^vT>;V5{K=a8! zQA($;JMOUq?I!~zsbO46qObebV+VB{?gW-1K~ajnuRGxdC(%hVZ~-bQD#}kKbaH~# zG@KSo#>TfqpRVn zMU~CWEBBK!Sn`uIWyau40_}a_Bi$h~W8nPL&(f0WKZ|zxtkTi#) zNu%g&Gf-;b-3BG&uW+1@i-P9@XL!ST!O`166Ld(>X?6c+5LFw z{Rzr8+%5u7d+~K%8pzzN613~?Yd_^8jt0B3##w_9MI++{ST)tKd6T*eG}7r2y6RJB z>es@01X|A73Rw4m2qXvji@gMrx`mI9T?Pm9H8AudN_JNDpSa{bRATqF$IxjsU5{=9 z?sB39Jag#=`@G}zPrvW2yeRZaz<^Ha$bT>7aI;kEbE@Mw`@YeT2-HYRh#E&d9iY&O z0Z#Ak$M@W5%=Cdt7TqDFL!g@wp?JKa+@e-Js zS9Cg<$=mZ*G(y#Xxbx3U*n%e2?fY{^1O1aovt7@5yhi5@>K{-L*bJAc^lLNRboi>H zj(pW@l}++z;KO&rzYaDabh|b1>S2#FiMb0)hz0w7Tikq;8;`p(U^10QMoJBwG_tNdF{!2o12TjE zB9B)Tru&0jb>lbsr<6a)vBH5AMd5#>_B9fCfi*(nOEcqt(P`FaDD_Ld+5cngD!`)X z_P(Tmh%C8;NJ)c)(jn4_ASf##ozk7cASE3FB1#D&N;e8p(x`Mvcc+ws=y!I(dav*O z?(+;gbI$qy;w-zfGp7bRBK)VVV;z~l>bzi(&f(9}N?$R1$wo=nB%a55N4bT=YJN!I zUL)MXFjfro!_Q?{`uczeVEBW%40(M%U}onMnAw>LGX($XB8h35aZ}hiuxL0xgj?DI zCbyP-4PNpr?moyTIk6;hbMY`%ab4`?_E<*uLBdTBiHvSf6}BqZm)qV7tK|> ztE?Ht#9-CnZ3ww4!MD|~Z!2eT>boLH?~e6-Z6~~jb6>}nE_2Kj$FtdE_hmW7TXCqw z!+ps*upl#6pdFFWn{EjDPlV0X4!?($Q#hYdSCL!Sa*P3rB-w)OuPpuX#(rdof8@C-%YchB~@9m{IzFJmq z@bYZ4sByqVF=%@Iz~GMaTzH*QDj*rUWJ&$RtWE}u;5a8L4HnfY9hb{Ve$y7jr)9gn zo-1I{$md5ND7HH@3YMR^cIM0ld~hzrP%;Fw%1u`_ta!lGnNbbbH7~HBP^JHcSTwqp z6GEVu)DMOW-=&rn&}YGV%!Y6$eD*~XQbBt`rFl_9!aWx{LW>|#WK$(;LU8S%d=J{GM^0pjnHxw+H%RuTT zAzh$SyZBDMnD=XSOCm)wCmbvxK#&yyo|$3FIe!|t80|ZEKd^*^##XqP1XvLG(tPAR zvuv0K`@H}{tJD4V;5=Vs3RUDJ?0sy}+G_Lswl+$Oe2B^`0@dW85imhE8qBKIBA~Sj z2gK<3>KbIh$FEEVD$P)X`ddVJE4E~IJleC4 z=~PYevfZ#I3Jl44J#d~bw{uGCnu1%--d(KgT0|DhYIY4^+PD==c0TIT;VwkdB&5%R zt3ir(&_ca0u(c#sp^qu2`POLstiuO<9Lv+7b5eo;ULxx$Vq}|tXNL~mHYGQUO>zwM zLCa>Su?QM)zm2<6hwJyzR}BZ=&WcZBia#IgejtK{fuyXSC% zhOS)huVK|{tXa1q<_!m+*BrxhGHDqU~$pRZX4hc-|QC%jH4k zlCtlena2t!q<9R219zzS56=>xt^`1$-(GLlPl>p^7UMI_GkWA4IBXnmWB8JHuHuaC z$FRZf6t{Ow40(Qjfup_;xv)s$3AnIuAYbr229d6UC+35k|KBU9LP-VsTvcWqC3igL zhYqrJA047+uW1S*!zco9{Z>?7>j@e9#f5dUGL%$Tf2C$JBpfL8^|$e zruAmsRV=CBqV~;R$r1*bp$db9P5d8>zG$`S9ZQmv4brea0~RFYKed+#&LUfAU`0Z$ z+bpV0Q32`okIGvZKEO3@U$lg4t4(~l-vU-lI486>2-UH%OW3Uyp3Ke(Qb){;7^$?2 zxfHf38pe@=(iq1`ot&Zv8YVJ-eNBWsR~*&~ndfOL6Fpa2UpI5j(|Cf6cdwh1LB>(h zcL{5~Vydfod|zZSg7@`wCp=>`sfrWazrSo#%0Iu}9K4IgE4G~uLUn$#Yd zzp?&wd^ljMnCiaYExJ84dojq3x8%#;dYo`pho}wB1)2 zaVnij3u4Sys*8{fXCjo`1xtx1POsO0+i7p@-LT=@9M#?)9ab3?YNfj6rx*PQ5rK%5 z^Cc78bv7;g?%kSr5cd50Oc)acEr&htp}3aG_gLBNqEuoRzHs{D6e^3{>|#>8d4*v5 zqLXY-BgM5dsI+iWmy^A0&tjNqC&dRlvx|Q1=GaMH`1Z1V3t^@~e2*o6uu%M+1qgAq zoBv{g01eJ>7$hwriZ)O%ox(|$uK}1N_M!VPGXI1i&Hh8?c_<%c^3y+rkG(>PU14@8 z^FUb8?4nrpUPt>-67h<_ej?L|t)c<@f@{^d(n@)=zDk7H8Thv4SM}@5HHb0y`zkpQ zL5iU8nZ0q&Ko#l_zGvC)wTZ$+?!msri((_<>X}njsP0gU7ZwtW-+O!>CNfF<0wO9T zCYZ<-b-8ltN@(RC-QBm4M0#^-W7{Ng`%;hL>;|sYX0iI^r05_acDxipHtKl_p}U4g zg1Xe=_OaB-nKsno_Yj_@LU++qHuHpUf_?KcF$a>+7xwjq#|kEYYMDZViyh_?y8BF_ zx%gef?3sM>?LRkk$$hNDet|<$Nc`R>7^pK;su}PR-=Y?ordsHPK+eoY+&oP)Iy6<+)x+Y`P@(7CcdQc?=8_ z-7sm-{eJa!&s92Lb);LatTnsD)~R1kuaTA93qx&6 z<`@)rFcLbkdAqTBpPn{;cG~z#JfQ#XtvRWpRPJ+~`uxUa^f{4Zu!JQR@C~+&Nsv1^N z(RmzSZ^yW?x-m$en*rU{OEsC~NwhW$J+SbNTmg^Xs?9fH^Y-e3+7*j8->*_C96m9_%bJorxP+5*)@{1v4jo= z584|E8*#NWx1Z!|6m>|u&wYc#P~U{7$$UO^w8oOBX<_DtC{)Dw^y@E|Rh>g2N zT&ztknqH>0AUU=p($wO0W-iZ($S7=toKBy)spZ4ykh_^2<5b>?6^r0x;k%{wvicyJ zNS$c;k$fK=bjCd#!%&*a9G5ki{B5_Dpagx>8x4tl#KrzNWr3SgG@jb$zcqp3k&X!M zyKGJJUkag5gTc`b*`|$&O=q!Yz;`b#>?@zgiZqAN1sGTqw^=^vZq3P7Y6h(JEf3zC ztg?93r?1{EC-*AfyI~d){g&dBElHF7)4pj{P^5jAclg_7#L9E_MP{eQYMEO^U2z-> ziUdyQVLA%Zw~A8P6BZQdD;}Whv`XLNMU^o@6%VkG$)iH1iU&b?jFLzoBPtXV34D;s z9=xDPgrsTp%`;A8*X)c_ayoyi;sFLSgE5U=p)*d}={)W+S`8HsgivT8w6dTGn1l0- zC4b2NRE7RQ4VaFo{~C>~0GZQyVx(h-)MFngS;6eg*fG^B!vU14xE~DS)maFaVNRVg zf(tKv=d`!rL?;oF^~vk`MA@m6k0j)?x|q}l9;d4iU_ioAm|y&O=54>*#su!Ci)MW} z+kKriGAl$hi}KS8wpms6w)8Ce$SgG)^^E__B6YtR_vuAeOL|tUoH{^(s6Vn~P$;$| zqG(hIAXaq0sXlW|3}pq1X8k>&FX~TDq?!0XvyPSjf0;j>(fz0oo;!4NPd=Q*M)PE7 z=3D$Ro52s)YTIr4_ganGLmF-f1acO+ErEwWaU^TWk*MsXuN6vBy@_iku-@SGSP^s> z_l!JCz6#Ey$YA@M%r!>f`k~m(BIB;$-rUKR(Ee_TybDAsSkRaERwOE3)iND;2AelO z8`?fAc4NEyW!Kh~3{nZmU+}0VXH3S^n?7tvYP_*oRU@Y|)AuxP<7Mbw@V$;+zh5;@ z^Q5EEj?usT_6%o=GfCr(julbMAfWlsUFWdEZRvBco5h!hZcDVAy>tyXP6lTZ&?O7Y zi#p?M_DW8@lnTluAW}JdMbsG+m1YRajG#>x_H|n#oPu8ZHQcyQsB)GuS=j&I2tbG& z2mu69NX-8WfgO4HUGv{mpdUW}!2EAAplW|}7IomSI>;AdG+TI8bjQ&-Q17YFJ)f-N zPjH+U6=vIT`N!4$hc4By`mu5|5h{qM#5Z57wm`iCoL*>N6ehAL`X8TYlN!(_?@2eI2S$@;vvw@2Y@nOw>b(^Cz#@9eRyOTz*(1H`@Gpn%DmdNeDN^Bm zL$&Txl`9^+1FqEL`{GPjOwa3s{l@y-dHwYws&g5{RO6%#QkEf>#g30Uf*}^dtsj1 zxs@q{$<4ZmSuyVq+F0OLr1^{%{@^g%xNX~0Y*=N^n(YYKJ0b)wZQzt)TQcbnXlPb$ z&yBhX<7;DNtJ!4tY&m?uh(MzU)ihq0>oKy;c8R0eK+se|IcxdkW%J;NBpHj^F|sOm zR{+0x#Hf9V0@*eQa&*!+_Mi6&J|+OIgEg2Fl*t@7R@d1j%^Vr zSmyW751V$(P@dBpnN$F8?Ec+b!kE_io0|lOxXrrL(~{tO3+i^^LHVaa6Xy??@1NKR zs>&UL=I_u*Ohmt%(uzClTf=~jP|+xtOMXaudzhiqNS-AKE-J>zER8SQt?9n9{Qg9l zpoA`3lexF({iF9^Psw%`c>jcJgFtuD)EVpgaJ6=<>icI+2|gMO_D2-bsh1L;_(*n) zYPjReqBV=@bEmbp8R?lZ-40FZW)@5nfPB~WzvH39NYFrEo{HYgwAmip#ZVa8Hzbf8~by1+At`MvIoW7Ug$ z;ZRN0VFz^jU5)@>L1B6Mjwv?P>-M1wruy?-Gp1<#lfB~lw%L_aoMYKDI=0R|jgMWg zAxb_C4qFVkf^VS?!ZjBfl)8@mwBAe-8)k{TP39`rS{xh#*Z5Es{HdjL+7-6 z86V6qGsVo3ga@u*Hd~m_hcTG8DxBQ`|CrZ^Hw{(`?aNyZ0pF&aHMV$ecJOas^C;%N zfaba9eJigKoCq}P-qWJzPL6<;uhyE{jJWXx*UInC+v3*n1-tisq?3dvN+b=uj`(zx%|V8htLO-3>Vd zECHOCAR1F4@Fs7pVS=B_PKms1$L6!#iL3KoE-jio%p)HdBC)&$sL^v@U5IKE;hSQC^|0S_?~3aeXxUMt2U_Aa@#(*Mo~&sfq^)A+g7JKyXI&Ai zB<1$0-gOqN5~4VCtzbp8TTNfo+ zQ{!Hcbb=%b7!H7GYL~NBSyTH!HXF#M1Yna4A4IyE4}hxT*>R5TEEPjc=t@l$xw3a`&q*GojQjXXlV~THM4l2Mk)k7wQi1I4j_| zjs;$Ow;Iy(oPN{h`UcHk@A+2m_V}tJEu?Bv(%t%IFom>ff0p8=+vAdjO+8=x$Qq6_K65w}bah~FP=TF49=QHEeX@ACw>m?opUhr z4;ibTD~qMtoWLInvMX+Ind-eW=t>Mq$`=?>zygG@6`L%4r)9%Nb5VV}4h4=%~X_}zpSxEJD! zD3qIz>(92XSPVkOAmgRyeU;f100lE^)-n!YriQWQFan!Yay z{1^-Nr=HQC(p8HJ{>8(j7KMcr06emw5Q#%kN|K=jd`XPyu{5K6m5^mexF7U?2}A0| zn<`+@LNL~X%SQ%|>FvOGx{Rv65N}WhZz*iN)(4H%4*WRF-}Ag8U3-nH>7E zec-bb3>u<=4JXnKL|qo}oBC*dOU&`KoJ^Z`$zf(q26~q#3dHvLS11ns(l%U4?Dr)} z?7tyMc+OsfqqawakGX{(Lj{a!LBujJW|)l9uo`hQwQMsrQ-lx)wIlp2a(g5=$1RN7 zT;Cfwp|+_<^$U;c8+h)UgwVIshX~#vu_se)2u=%Xl%;I)Y;I$M$t1Fb2nMrENvk#} zNW+*z=#@d3DvAAbmMQAApyD9W)9fzV-TJ7`(y4l-zQqAoJFj@*bh}!TNo_g~;99!Ft8Q`*DV6 z*c)m1VV;95y9FVrEcFH&M2J`p{ceHUiUDci{Y%I+B$h+ssUfpskTF;x2-+W}YZ5yMML+Fx7q=a7^5O_d%CD7H(3SR9_9_WKqy-{^|G}V!zevLK z?3e*4%HS_Pdn+4?N0oaW1fz~PRZ+y}kBFrW(q#g|9|Aq9;k<{ac zsOS2~6bUNjOLxss{st-%Cs6TX&|jJS;N6UY7crzB|A*35o=RPQ7AdS{krhT?5yTHiPH z!p!7}mwS|E`QXuDc6fEP?N+wNIQ$M{-*)b1KKht=p>=JMkmJsYt3zXc6y)!BzoAb) z-hjW4($=H#`$$s*wL=c${Uzh#X6`nYl%xF@D{}8RY4RvInT$43?JQQ$LWf@zKR(zJn|PAzZBw=@OjK@s z)~0o^7!!YhOv`;G;cN}%i8|+58G<$p=t&KaaQ|d}fE(Dr zHaVKwU^Io-@fBfXrO4Oh3goLURC66a1Q*+Y#Lv(- z9Nw7vNS|MphlNRl=zZtx^eE^J|2C3)GSVwav$Up-;)TQugWYoni0;ceJazR3>c6Km-4M6oG8jhy*$rH&C-@F1z#g*T&d(`oR|2hF(otIkB2U&`}l1WR5& zm>n^vM_+Wj?!#qxOMCCGA+BR&5>1lo{%pAQ_f_7cj;6An@@(LH0HiJqbJs2@9BUXj1f6SH2B#azMc&eQeOuE=AqGu(SxXus{p{O=mATI9 zemQpewCdM_VioH4+Jg9E8la-`7 zxyxbLzSKL14;QCHzDAJU96h=n8%!|EdH)4^S%9bFcI(E@a-&hEA@a8LrT9|QMSa)v zJ$vKVpCB!G-!I_J1lCg85`#oJopas=<7^M+3P;xUxaM6r#qJiVHLq3LzQl)-*QnBW z)ooxsBzetqV_!Dn`|S9%XZR%OY^x+Q|4ctpDlu8U)|N6BQf+ee?mIHCcL?1r$YW`m zpJCT|fFak8Y8ke0W1K2f=N8HEelOvU7%;;gqOw=1+Fk=4l%*$jtPV#wG!2)l#BK@2 zkH9{-Sx^@e&xSE4iZtye>aGdiwk5S4cTvhO4=J}55r=nNWhgU>+$`y3VD_d^2?GtH z=VgV~MUoF(uZB5@&yT3A&253N+%t!ULDker?-~d!bCQ! z#@NQHS6}H1u=9aE+(_U8a&K-T!MC})DybOe;B9L9X;r%+bv__H(ZGdiyjlidw!|)$ zPiqsnebfk>9WD<_ayzm{ePOIxW~Bjl8UU2v^MC}j(s1FRFtXhC*939MeJ18^q z-Q48_kJ*Y)3Z&4wdqBox-X+LOG4>4!@Qdb-P->z8?+cC4W|OyA!*ID7Vl}_02Kw$b z*%?1jZtH%iq$?3K&@r=f&CQD;KP3QpSiG^H@Wz`ARq%Fseqx6Y6?Q5=rFEIg-OEvT zyal-@M(&@0f4T0s|A4@!eWo|wOn+rC`?Rm=282Mk_67*6j*jm_V3FXO?Xtc_5yh_| zu)u;Jf5@UhUdeQ3rDC%08+VP?3uA<)=evv+mP0n2&(DZel3@gj!+BdV0>6E|Z6W*P zY$e1r#l4ZIx$%N;ZTaQnFRm6>cm0e;K5>?wXDH2}?|q2(k~87DHm{mC+ZF4VQ9}=K ze-=P5IZ2a&Ev_>4J`C%9sPux9 z;(x-OLV^B?1N@RGJ)+)+5U_S62z;r*EUpHi+yZ)FxF{9n?8tDz{s{cD-lsulwu-sZ z>Vk35DHGmN;R;$$wML9wLH9{~-#6vNeD&Iby@ECjY{aWHOz+TRbSm;J_z#B8tvEm1N z9B)0zJ|(NWbtsM%wC&qJ%P*VGm(VTH&T}+Q@OPo#t?hixEXB7 zek_lgATsZFM*3I*^|D`Xn;O;FV7A?s0ZqP2q9lDGgTqV794t6+(D*SQG78?gqKdpm zDKKhhkKTjsbptadrj{c=jbDH2U9AQ#_TmmD(UkQdq3rpsvpjdJiwhV6jhw~b!#ri{ zKGOOY-xVKC@9)T*5glJ%{q}~C8v$CFq0zh+&_x4Un1$`7F?P$^QaaE{o`oXs5w9Ux z3Op2zJutQWioo|edAjWsJPbW=%BL@bXbmqv>Hb|z@a+dO>=d@#oQA#iK?%6W+gu3kPT zwkzZ4qFFk;LzC?yqHAWdSD#IaeqL zK7~7n;z?lDsPeu+TRaW-6^l*X=mJyZ_3l>&j{-0U#Q;28E@$H^F}FFa1`HS+n{Klx zDcZeJ*~dLKPy)S-lhF%u>0us7Yp!m8-(JJUukKCTur2XLdx-XYV{FU=!!`v4p4n%{ z%0jF{&l6)CSeZdKG3dUEahe3juH9If^BN(MF0`fv>?6a9i4r-t{7MS@u=!u^zIBRUw2^j*r;gajbpa7WvD!c;^vj7$1kurC_ zMR+;8QLm+Ph(yDh(eWDFqh=AF#t+wPU9iw3B!Vud(0FBk+>4(pafUwXM2hZ~u?^%d zi>zFJ-1wZ8n6@m&&P7f|ND0HXBJfl;7fSts6+Wx`C1;#r;G0!AX zkR7`Ry^BbQ8acBB}(QP^B0J-J(BiLr$RT* z4`%>^OdmN56jY!@IaAAY4Efy|^vfEk&0jEoI6IPg85+X~t^rYAkA;8MFWe4QUmz^V ztiV^jccAok#}kYrEUbVH*hcCwGweMHKHP;Tro2k_#NWT<4OJ2~OA$7y;P`rl(&E$O z+#vK26w=}0B`ca@(aqEb?X{*u2{5Q6ywW_(ngqzRdBUmCqdB0}_i_OUIM1|K-( zyV79&N-V@9gb{dI;gQP1U;9)VvrwLlFx z8#k@b6IvPGc&8F{?SP>0<$0nfS_y-_l)=|Hm8>j+8=Ag91edtng>~Me@{oz)4NFG; zQr^OsmwtqWgDYeEuTL9CJ>{(45~HK%k}~mwk(pWshJLwT3kpXh&&inhOQj8OuoFMg zVkmqW7kn)@dC-kY+U{Y(VC~i@*Fd;>ZN$|*aGKe!4L7eP=z9?W^rHuR-!?pdC~svE z-tZiuVr6lxZ!?R9FZ-0Y@Sge`xqRM2$!t$1HexF3E~=MGRuj4To~L<0UghWOCWgg@ zQl~9YJ3d_;*pu+HZBxx-M&^wTrbeBH28I~6gKKw?yJhdCw++|>i(8x%s;T? zOt7)GDO>AjK*s%zLfDh1KGufN^jtGtXC|KV;k0vVuJ^ED_f&x+!Q@wk+KuukdN->W z#yy1KOcbN-bfMIPyOuNuzRYtP)GJ?fzf*@|u$PD}hZ)*WgqA{8b{qtE4f_Vb2mZ|i+qEr*)A@tiZ@=&RhmqE+a z_aQ|WFLBBHpoa;mCPJM>N+le`obP-enxvU%qEe@{9tJ-&k$lJdD~!xM0mjLfX2N8y z$)xoVi}T&Fh9=oRz<^aV6@($fCC|SLJ2vz~*~DT0xa?O^e3Ste3B>VBwTRxYYR{cwd%q%)PkloDYRUuB9eR$`~N zAY~KDOx~`S91Ay@gyXQ1+N3j!Qf~+a@_VxHU$H8R6)K_7egm2De^+nJ`W9ojzav7L z?GgFwZ+{|0-K!x)y~?pMYs{#T&32ZjGkyJbVVkCx(*u!PId4%-6R5JRvDb#`>xG8u z=a7FIg;|lue`oSE`s8vn`cB@;Sz9&NoNUN3BiS%^gcx5j+y>g~bBPvY0yQa~B*dXF!%n9@Ck>5U4*dQahNJ0*NEie+vUFKi#z)T$}{% zAYkuI$MxwYZ|+@JX9;jcCZhge^sz<>-uh6`7+dp&*6HyJZR~^0X^&TCrH3Dri@&MI z&FHo+-}ek@p>w&__9m@@5`T2akfPws(9V++3xi{Vn z`QUC(U=o=E_k|$kKVUnv1MU_SRx-UP36yX6w}`mZ#j#7_imJpwh`8w~y0Z zU>j{vujo?ufZbXQ$m_m#8&v?IN~(ggx3An>6-JiV9gfywpdh#yLN-hW(pne@dJcOX!FPk&T#4xh`4dPWZig<+r(+3;pj5 z%OegeBt9#=+L5*q!!1v4$z`7GCw{%dIO0KHo;;WvKRfE-cIC3N?ff zNJp;F(fy`G($_oTlUumu&=Kl}-E#0Ts(x#fpc(mtE42kqTkT!o2R9;2|DMNxX6|JrEHka99`F9sC%Ytd;J@WsN|C>91ZIzk+7%*LlwZeHX5Nl<7 ztST2xgkf^vWpn$B{Y&eG7asFDE$cg1UbhAJPGzTliU3tmxt83_N8v$Y`+{cU>xJVB z*Nf`*hK(|f{npEyX}LJ;Td`LTu2FWc$6L8^R_)z7U_MXP56z!kce;m8ik4TS6M!Yn zHNLMIlZSx(n)BAbX6Ua$tw#E@pF$;^N1v)t)oGnZ6B*B&DryzOPL?r#PTrzaQx(+W z;EG7OG_O5UB~iVyHTGo*od68uaK3k?4=dya*YzvT_bmA*Jg0fgu*SI}C;8AtaNrT- zZr{LNp&)zYq}6o1>)NJA@+R@grRH}=8>J*)md$s-bfwS8Zp@v{tvpjdFalxO>x#Ee zixFBMW&3z%NH+Nd&q85-;sm#wM|p@CHp60$D0VV|_Q*Fshp(gB=L+7Xk1RL4lib%q zoU>WsodoTp6?=?%L_UD&;B+&1JE|k@JGrlcTh%bTU9gg>EpkDK&(Et^Q5O z%M0tiS6AXwW~ppCZ_7^G@IxMz|5r4hN~RlP@p@q9-1Zxc)=u+Re;LJ$ld zv1UvokcS<-eS1-e{*_@n&e#3P_Q+VB?s5WG`HvYmCh=F=xMWx=Nz`0euYAmiD!K}5 z;|hq?3AygV`fNqbzvwERNj!b54jyYI$AX z+hzW5X0NnC|58C1A7iXen=u>LpKnEMDyp7<9nB=(vj^QeIIhp!#2P)Aon8O(nTP3a z1Q;F#)H+V^>zJ8DV20t9p(!3lMy|sy19_X<`|0)ijEU_b&H6X1icaMQRt3x)K)hzo z)*3ouxR;}F3_HXE{fXr2jNAtAGc1AWi61vXcdzM?QKx6Qh3IaWuQpf}wQJ~`m-Q2f zlg#bTaO}GIF!jBfTx&mGpL5nv7${i!538fq;d8S5vU`!3(tLWcO|E-x*P8Uh~K9nnSPes(u($k3V! zTfw4jvWyh0-}h8a1Kzmm%rNA0uAw-?*t3T2vrB2b%v98h7PJemV8|v zbheLD0@=XRUnZ1xrz2kl>>ci^s4!a&QwvqkzXbaU;n8ul{xTTfMa^OCTr!BxwvV!4 zs0GM8YSwOU0&NRrW#K93q*GOv&4)*5(1wQiB0pxKCoHbBhgK7oPlbU2 zO?qag-<2ZP^~jTISVFx*i}2Gp{8--6w((+_BuHxprXo{>u_lBj6u!tZsp}76sN$d^ zd`V4g)rShPCc7wHo(5;E#OO+qY4k+io;HADp^-UtJYgbWHeIAwDzvGqPgufFWSOLkA1jM`2W zcweDjDi5lsho%aG1Ub4luU%;tfZ1#K3UbgBTqV3O(_ny$Dv_i{&=Q1#z^MXEdyQw) zPvn~ggwBpj3s(m_-HqwoyauxTBW&rqN!cZ7#rmz*zV4RpQGl}q72FQBRj=$|M$(-#$rs(Wrpg?ffGs;wQsy&zUgj}_Zh3IX4&f1M*_HpI!M@l_o%*cbMsU+V`XL>yLX+{ zdS>43%~NKc&^;#goAwCLYx;c`?$=ojNI)d(@(>f*!H`~a?+k}p44*o+LfVvk+FueG zOlHxK6Im|_h4#)Uy=>Kd#$={=tsMm8k;)MP*iC!J;1Gsjwg^U5dq&m9Xhv|9CHO$P zF8NgBOBt`C^fFQO6Hheyizx6sqQcAi}Wl z0%K5P1^DXAm9onfYOHKMe9m$uk3OVvzu!DS`~gMcg1;El&vE{m^?S{L?UggWxrG`W zIg^|b(Hb0N^RC_Hy8}0}4$cI9_$sUD44q-^^Ha+@nQ_xKT5Xgy!y_nmNs_fs=h;nH z#g{bn86MXacL-4-_glo_v1(${O!cX;OLS^kBF7NFXQB8Hlqnc>jH8?sU#`(-RNPQ> zCTHzSQp>t8|B?m?QQSF#0F^T+m5R_<@bIcWof#JB4~!T}c8xB>!#{Qj_HP7YEGV1p zxRrnS`>WV-?9VFw;jdEuB{WCjfGDX>X0&7;oaJu0UK>Zu-Qr?rw9aGJ*jj^8baqXT zJh%bF?ZfDe=vaJqGl^1pJDPy*CYhq`ppmBX1s)|Ta)l8F2de*^V_O#W#~fSQjyW*L zR&i=f@10pd(A_7JU-&<(6F~5iejS>q`qcR~oo#rSug5!KFu9UrD-iKSyU>`r?DJ>w z2PySq9fw%-tRmFGRT0v7U8i2yTHkdVWP zR-@sb{!@%PdIHQ-XK~2vX*EE35JugVlfE+4IAp?v98;GII`8Sjpp(7=e#{Ysj z>3jZ&imhcbWwR&|gDtUy_<^p`uFg2OQJLkPK981?n9)Yi(^rsAOaT|viY z!o)`wK&X=I{yvg)XnypV_;|Q*5<30ss4$FE=-9HT?I>6Y2M))DKO*GjCgSD>Yxgti z|H3IA@f_h8&@hPqI}XHQqV%BO1(CjFaNs0F+$_NAE79uzV(yRF)F_{OxM&#vsk?!n z@Zar1sn1?q#mp`dOMmO!ul976ewnDwHFtGq^S({hq2{8NWmm4YS-DNphzGGvl}z~> z1UixX{IzY5vc)Q#msk38>C^v-l`SF*h@yz;S@T!G1cs@FSAe}T%BfAeUX=$F7L>HnCk z9ceR~`^Kk^#mQy4AY^aB*=5<>xkH*9DD*6>^iSrFw?8k|mdidM9{reWi%)H2R$k3x zSCj;rS0d`ca0{Li!yi?GP@V)Fmao0qk+rG9EpO-R{b8E3!dV~^O~hstdB>pbx;0I> za3eCqvXHZ|h%z^)tVa2E1sS6MIZo2m0h^V?fEq$JoytPTytT1ppQohvS!!J!zI#k} zmu_U->DW&N`#y`v_@~{a1x1g+0ttxz;9wBmdU@Gf%^6TdA(pURmhrV4?)6}c^@@@6us@|CT*Oc#j&}t1? zey;6@)xD>;@&sTxhf?hPpS+{u`$8XnATQHv9g@KK_(@X~R_VR$pnE#+(~>-SK*RVx zL>Nr|iK7T~q*tEl-~~PMJM^si)e@oa8Jg2R%zg8G@}ac*Ts#raiakW8-%vfFa4`LX z0nDX5C7>a+VjY)%ei2MHHQCYX|F|a(#%6@R<)Fs6pNbV`R9kTAQM8Xihk*-5VEP0i zq`umG^={5?mWWX)tYrU{Qpu3K*KPL(XbiCf7IAQu<_fJ+dwL1<-f9IO%FYxGs+_WR ze=kNA_Qm^Md$E`$tiQK;ws!antspv>NXK=5?qIesyRqX%?G~M{7y6Tg^-PMr`?~=n z>_*}#L!dU~xUPCB$T_%Ot>8A-HoK@93rt`!ahBM08<7UX1w0SKnrEfoYeJ(9EewN{ zZ<@g{vifhzKtm9#MhQQ`uE|lzzmxxd^qwy&_f7sosUlltPK;bGNwc? zJ`m;UA=F?sSfxE(S2%>zLs$Y{qQW6-%Bh2hmZx;pmm9f-^D!WU>|d(lMswp zkdi_+G&Sh&kp!dddgq@5h8+?20`C%9h2Oz>(|qF%U(8s-eEHeauXB|A8AyFm3tZkS|ze z+xSo+_~UlcLTiQLwy_S6M-f*@vJ$-qZzISN^eD=Mi!Fk&6H_O_X-S#GP={J9n6s>- z$NxBxXgJP|+X!?_QpQKmvpkK%j704?6Cr|;TkNg1csRaeD-hsDHhIed%mNj7{i$9&i?D2Z&8imIT;b8i>S)AI)%qDiW! zjn365E`LGqg77Cr*K9+xZRZQ#Wp!-KUYY4_bxbGZ4vJ}norY_@_P9^r*LGL12W?o) z)v}51`pHhObE3O4mIr5e<+@ecmLYaWM%6j_wD-}{Bh07PVpts+gTZkEgMx7cV;i*s zJ*t=}fr0fX9V_%edQP5xEhhNDxY>)>9!gO{;XtwuBvUwEsW7d@$eCGN@=mJmHlJ*$6Z7UaRkc@zfs>2&n#>hQAq3E{!g? z5thDL9OzMIBrj{LP0v%@w+Tew{VXhi9GsGT_v84E!K|G4igx4LDWSqE$~jTQ>~Dif z9SmgzktrNzL`yK~WCNa1A$_6-E~!J|SSSw^0dc@kOdWGJRnkgS|zMz~B7v4d|Y=BLp?h)Ve)Q&I)Vk~wBL$VkYk z&O`X`OvK9+4IZ-kKco4Aj%DdII%xa(Fqao+Zrs=Z7wSKQd;auDyu9eUvw`p2Y$gs- zVzZNKtUIsU9$K~< z@|_%w*6D} zP+nBn&g0qr<}fSp^CFe|5NiXSF6CCEs$1Qs`;8+_%Jf+wYo4|ag=c~Dq*4E#t1uSfD4!R{O`gZ#ooOZ#7WLS-?b+6R7QC`(Qh zh0SeK^hl7rcxZNWVQU9=pYq0#v2E9SL;)!VA)sTFGZH$Gb8I05qh~ZFtSOBO2u3t7^Dx}VU(RR$PZvJ$$<+gWIE|) zeAP-Fd|i+WHvq&sQKxg2azOpNWM3JT8h(k)+$9!exaC$OWG@@=fhm-H`l0AI3PF;W zwS~VOivk@BRE}LA0p->~xp$yk+F*pXBZX%csOg<^N>K`G^{yvAg2j4-P075=VEC{x zsU@1rwUnD5k*uZq`{I~DK1n3-j{xZfSc*lYWll{Ju&=u6f;=$#84;-Rcl5JQ#jy_c z2J{bi@=&fuu@Cr+C{ET}iTB4D1b-QJfH76iC+9^&eM>1nj2~iRnKm{P?iYFs<)UGk zF6svE{`=4jhobD0FAJmz4wC#Z{*gCJ*Pdb)*M>FBPE{5Yc zjZkiwFZaA|@L#HOAHXF&Oq-h35F8I*md55UbH8oi(@v;;4d0G1}1#dL<;@_O8Wh^MrB!sb#NhcYiYRL^T`hVOh~D`z`O6DdoYg zw~6MSS{80odSTQyIvCtkS*P%RP@le8!6 zJG=I)#dn5cDd~}~SLzd;7x(7B9=GTTqe@JH(QfRb8ob80{AzOzs+9VsEy1CxR8N`v z9K`0qG6bqb7d##gEA-V&NAY8Ds1I^U5 zO2e8TrB!?IvAe8MU}x!Z840a7hvee-4?ibJ9qVl1fogcyT~=toC@)hL(#hO@l)>Xe zrscpfwtJRC&bU7NXGCxol)_rONC)AaOUBE0Dh{1voD)CtwVE6nsVxI9Tw7Gt9dO>9 zCREpC6{lKG;Lesv&XyeM)}vVWfchemPpH51>-86i%J!d)*)0|zC0M>0=PR8}n)@i# zcstnRZWZeNReZ9339Bb*a~~gi+$BN22XNt#_0#hu`_FwOL?m#b-#4P+OIEack~Q~n z+vBbP@;zJh`>SBmE2}3&jkgp2k&*mE=KrXGmgJD`{Y!=7PYS5Y`dbw=%)kdE2ZG)y z_h};iFJ}G+2kz|uG=tZ8TSz<@&$i!WkLbNGXrE>^KKbrJMZrhGN2_xX&8SY{hpTg& zQ?Bce#ImM;M@p4sGTj1ppjv0nn-3Cndba!$kO*^U$&11v3y4atRdg9xR*9p6rR2yJq*S-EM5R7 zbo7+8C!bh=u~>2H#-2!E?&FQA`@ZVVpoZhc zEPQu%I;*^)EcR9Sd-2S>ip?Oz_t}^=yH<3A6;e8OkX!u$ADlgDUW%cZ0uOmroju~{ zNdv~ZiD(y?b%e*dnU6b&427xyKd-x-7mYi69ooR4OMl1JaG5BTHgZ&P6)1>k{*iF=U)BhUm1`+E6*!^bKw^>{p z99>-Hnkrq$t%3!*653Sbl0&H&DrTy4v{B@JaIeVwinPd^vxSf~fJFmeolnwiK7G82 zP7i~ql~5i>osXf+%745`vdH`SZwO79m7>`^ZjpDO9!8WOOZ-0+20e^N|4;(Po1PVU zziJ_r2UY)}0KYk)nF~;m^6)z|Y~ovnZ;G z_W>}}%Ejjoh{?VXgAOpllZN)VbLjlJ0PWt!rTNvz`e4i5@};v$nXvj`iA!^|>oOYP zpnj3#t)oYMUdODI-gQ|~GknLRv}j4o0gy86^McJ3&F1j9v|;rujkAP%<#eZ$G}mQF zfO^a9mpRM8(@ES*bIEHS+=Fr;c>crRB(MYJzoL<^%lztD`evo}FU=o-yWjTQmK-;Q zYPDM_1Zm-I5vOscd%6Z;rFT#_vJ$q7l~v zfENS`|J!bN;lMiWDm0U!2B&=>H-AAF!F~z`ynV z5m)wXiewj(@+Q=SqEFbPIKYDf%i~}?Ex8?oS}fF0lLbW#EA&ay{_K>?O&!(Bv99zd zn+taQ#mmz|+E>S?IS*V^0z@EmeaA$Helc4Gx#a;K@fU$xd8t$@*XL>AB&RY%u`{kv zvK_B|V;n$SL0W{FpYltGFWtWGv(rKe5oR>R*?cqZSz(I^q%FIM%CMz(Y*Jlvt@>)j zp?DA!I;OJFQo~=Is_W=`fxjrE73`vd{x2fv1P$dEFRbT_&A1orWQv4~K~OhyZ}|D= zU0r_{6lgM%8*4u2XBcTpn7OER9 zc&&J1?wr%$zDLKZhl{P_tVN|_M;w1K$n-*CfB67we|byY@gQb@S#Gh1bg9QMj5mqF zaz28N{2i=cCjn`*YhlIGtRcBn)GxMLG6VQ5z*9%ZC>Nt2 z{J5RuT?ls=|0umpu77utRE)md+Ys(9fXtM}CRcG;1usUQ1)e}=8o@s*v8lJA+vX8IP9?N3;% zZgjcqZ^XD1uB5mWum;9GQ;Y6N9@yga3^9;6`x$MD4G0065(l;cZ4@*l&M&!+u@M+w zzcvc|U||Wa<7A^v>QqO8zc{g3fkuh)140PO@)}KS)+;Urq5+|V)S~}E0nO-R!Oi?P ziZI;j@)|V>;Ou3ziSu6sf`#efekRHn(TGdvWBu=L{JJQJA(FIP>jqFnYa8=U-sPOFg=J6|fENe}X|}IfU|WFgZf{ zA9N#JjQg~e@KO&0G#)h*jtoVQtg8YkQh%LO0lELdq$9Y##num!CCo~&6c!FF!k4#5 zaQ$T_grYTGy3ElLVVwWDs+npdvr=~bz76cFRNUfvT6O7MQNbe-zM#zJzIWE{>_4`N$A07aACQ@%SH!=T@v*tQWOz~RXn zEk^qnI#vfII9d#WHu50m(nFDB_mujdU{D$vF8!atgb1xWoU87{hYf!jC+bed`)wSw z4g6*NgXlwqlgON_e>?dv+&?~YH9|-@JVEduJ_?D;oj!(MOh#3L0aRAyE4amStT^3S z_un*{>%{;8hI5Vb&4FP4+9#@Um}h`XdFGtY&HVg1Cl`KZvZbN%B%!JD~=vOAn^V_$ii8m z7{))c|AmydH=R~Np7~$h`_nqgEC5RW7a=_#jm~YsQ4)-b129O9rEgJoX?wm?@(H>_ z*YbTqlSMZlaCBMVIe5!e=D}b!Mgz5D6q85tSBRKO7%PICM#;X`4&wt)9KeW5M#xE3Q2p8%h5Jh;K{^u>@ItX?Jl+{?L*t)bk1@NvHC{OTK> z!`jhVINA1o-rz>KYyr5MoXFS1bKHzEqDZZz)Wh@i!HriDT1l^+Tmyas6rEgs{((xa z9X&%pwet_pQwKK!WeeCqfa2N_9eBi8TL(z29Z6=4MbRT<@iWFA{dZX<1XP*6w(cKN zUH0F;XoJ3h2A;!x8F%*o52pSj2|%#^uPXpeF~}AiK;%GYe;@%Q+SXd)P2O(i60#8pEdNu z8hYp^P#a(I9e~ff?*BDri?ApMVkh>QoB z%yc;KR6XD+&tHC#jChCE_1*6~vzRI@84jW8`i}HYHSBu?KEv~8GODs5B^})9btx&O zGt+UrV_;Asgm-$XY6OO+JwSjZ0M#!DE^frTKcop5FnS?0S=_w5w*`eyzyR2s=oV9V z?WN#RGG7wT{(49|Kn;(Z*4WLo_Bi(o*ipL82lz2Cng_YYvP0|2HPy1*L*EM zBnh(z;1mC~DC;RJ9jklx@XC#6-^Y1QEmM{A8)b>M$^)M#$(qdXzmF5I=n=E9K`rO+ zFuy;~BW?8;$ZL|UWGD&TEV4w65gP!K2IYZ(gzNN#Yka}0XM$G@2t5$G$m5G3Vq4gJ z`a>51BDOz&wR!kx>o9A4O<`h9p$H+XhtTw%B&!MiOUwQ|{C`1$#(%qf4UEpb8+)(9 zPZ)nvpfUdGbh+P(__(1^^CP9FRA_HPJ{#Ze+3^>8rJ#=P3H2${;BWR+Q8Hn#rQYsG z5||r!@)W1`nDraLN@6f4hJ_NU0`5iiYq4I{< zlUCV80EHJ?O5eC?pp#CtW!^O&6e(|l`vvPVN$t^^PZKQ0>4I_>C2f|}#`%+8#N2D5 z`kZ3N>?#Hz)O*5rw#j|%bRDd9EO_Oleo>nB zJ?ctaukW&Eq^K$pE#y5@UvqW!D^vh_JTS8K9U_vCg?v<^2G)X{QgyQI8$t~K7g z^e1?&_9oe%V9~|`by2rD)faP-p(#|zTVJ7wC4^Y7w4%c#49cBfcjChhY$sIvro#?$ zt|QxW90KbflK&ba;Y3<)`F^_LRqvLcTv$@QS#vt$J>$GL=C1EOauRYlqq{W*ghezw z^`VkD+Nk*E{?%3ouOr`o-EmD7ZeiBc-mlG4#5JO!LHudGE zU7Bn-kJ7j)O7rjc{xDZyG~>@9sMywhb2E6dPFO$oWVYKRo!3WBi;O!)faNB)FWanq zb&1T;p`3&=9Jrsuot4}4ZQm{e3$P9pUe4|zdi?Ql<^o(%CLQ@$lI0VFVrW+beys@}1nLXFj9(^ND0yP7 zrt%u^bhqOM!w` zNYoX6(&U8UjCM_bU{tHN;kljGrCqvqd#m=nb2}B%ZzpCJYR}z|zLQ68jk;|a6$%qk zUyTi$SQL$r9gW+d4c%MmodMoyU!Jvz&JqIKXDe=d&pR(O7E5t#Ua6^J^j;KTTvai{ zgsn%2gu5ZTWvvA+X(bYB&zUQN*Aqv-ZS$;`9EOV=46oBQTo*tpC)L}DrgZ)bW z&d=o4Ty}y9pJqt16%8n*mmDXYoXo7%p0r~$FqT$on;u*PrqcCV=G+Q9S1$ey@)*}m zvD#P>nOlG`+obM`n)8joK3r>KwZ%b+fRnTRyeC82)VW``z}?l@JH-a}jNGn|&YEUw z8sW}Yu@Vw$5Ki3ArIOV_Y4#cMc*%$Mn*&K%qk`1fG2W7oNrh)}>LymxF9uC~!}T;( z=2SVP_MQVAvL~jbXQk#nf{@$TuqZwOHNr4LqR9c8X38&el>X|MGTX+{t+|Rf+>-Wv zo@v*n`vHBTMZGC8d|W%G3lTFHXCC9@S&l`U8wK7c53?%HT~SO93MZDi)L@);iQ|Co zx=~u|!rG$)?8M=jCfxc29!9TLWcqY+r?`T)rU*b7E%0af6AJF!I*0U=5Yx5Y7Hc`R zD}LYNA635V+sX$-hmm;(T4ZMr%fa=o9HT}e-lVQgmq1&wehvY(^v<}QiQt2k)hNwO zJVt{TFHsNF#@?bDInXfCdPl_Uq)7Xy%SSZo>y@x{TXy~$p;^Yom<9L(a;p`98C}!l zg#i09^;&i;@hncPTo*4Vjj~yIoEl;3@yRd9US_nSp3fu`kMfle^{%b1P@;8{)a(YT zs<0IgIK`Onl?vCEX)_DH{2AEP9A>6UaB`CGSNMf~y?$nGEHDQZS30Fn_|*-6Urlx!a~~E@lTyo#XK)3* z$|!GfDzEyi%=0xLO7o{PD#CddLRdRyDfj0SSURF()MxK_R+dtlEjDETy621esrRx` z_n!MGMjk~ec9UQ`ve)it=EZI=ib zxJ33lH;wMk))Kbdv$ui5NWR+Q6g&_-ez`Ei|6QiLmF@lK=-JBwqqDsf@$Cf9#HSxt zqCK!@CN?Q&CXAM61T+GpZf}aJN$d`#RZgi->P!o&m$gFL_Bos;BNpxVxPnz(W~FBZ%6jKCr87<)eDv`nBkV7s_+yd3rw8!%u~hHTkY2rit&FJJ z$KKO+JDobaa+?Q=B zJd^6a6LW6AD9H+=W&|=>!{RlCjN~`tG=xQlYwhqK6nxM)#L=7mbsc_{Dk}U^IyY4F zXnQTM`D#$G9XFtK{6eAYdQI$UMf>}!k32#dy7LYBzC;>l_=EhPpO1sfxgBY1Ynj_| zZD?Vk;r^P*{|N;wsZX9u08nGX`I=bTb2ZcYr;>U-j2Q>{R@ye3%7DyfNtZL<4*N-I zB%sP+uNIk?=nx%Fpx?uo$i0Y5YzoVmwv{h6+${Mv>1d5!epla!z@T=&ZmhUPT-nF| ztk+$`9M6NP8Re6KV)=pQDZf_&?Al5HyI!uUrX?hUdXPE4e2aS%-~rQDZh8gIqoqvI zgL2Cl&C6sn_>*>4*zMKKxf6Mt^sz9&0w>dk`XFWR>{{POwglf9^RNs4{;6r#JmvKg zYe;qZh{birJfBPe-67&2{ff(nfUsKa!X@mnSru{)D z{Oi9idY<7nPb8H~O1h2eK1du1yGEn9-x5CZq$XdveOJ}UZsy^a`?=k)S~d0|L0pv5 zKK|`*$3#-FcJ&`ln$R!NHKe^VIz7TI#Xi*9wF9OKD#a6kiL=v-G$I^h*NUVi3ZRdW zK*e-mPVEe5GNEu;s`*TmKKRqJ%5Bsq!O^`6J*gsn)>^yn7gu!t{veXubBY2}T97zD}B#lQOb>tK1@ocC4 zJk~GZbRvz`US;-YP}053v;GUiEWUc&*z2u=n`?!ei;SS&dn**=c+)|*Wraoe-8F(6 z$BpgK*FVrW;Csmw)3eCi7;f^CL>4uFGpjaL=%owU#(}D3)CSE{u`}-(WvFwp`*34% z1`t5Yp0caywt1u-^#>Fg5I87O(Ddk;Qa&63;){mXUpj1c_M_hsXEIWB*YzJ44C;yP z6G;T>hsP_OmLMThs)O5X=*)HH6W$syX&IX;@1Ig9J05$?=Id8I1QnXgli`Z_t=l?0Xwn9ah|xqOgn=cU^u0 z45reqyfQIMoUC@qu>~KoM0Bfd*xVgY636o3>^TLIJ%yF|ny}oQqX*e@Q7*qkZzc;0 zg!RVzTAu9cM^Ng`U1Hcg{m6B0G<$oJ-9GFE#_Z)~EVi*;nrlYm0&nLyL2etY{uen? zj-Q>b{bd66Bd?AUK29G&RSncH_y;`A!0o2O(bZ~bbGbBI2ej-hyBh9etNC?@t|6Rb z54RThd#2}rvZEV@4#_Jlg*H2-yjkDk-r&aGm-mwU^2^~ZB(I+`q%29xhU&}6VQd>c zs|kLT$ZQJ2Lncuc2Q&Em@(_W~FRiMMiZitH4mbz>R$2hI zS*K1(`gY{*yZj^S8?X0W&1t${P7N;x@$4NaFCQcizu&oADMOuAd~GxmHdp#4n#;E5 zsnEFrj;Gcm7U0g6Q6^Vt~2N6Gv}qtTKj7e+jhPUg7d7vQmkI04{2i( zg~xXU^YXvyf2(bX9Xag)47ZR`j?lHGUhd_PZ|=Aa>aK-fC)8gjKA)Z>oxz*lGn+oZ z+(EN>sx@>E3H!|*Q`ol2SH36+>Sl>7f4SSOua621?9PzO0_uWu&swusN)y|iI8YMl zKbqmI6z``9lYiy~zgIFdsF3GKF)Zx4S1hAwj*X;g1$w!q&h&YCKz{(oX0s{@4d4C3 z(ID=e*DV*ck68-IaT-4tN<-D5c2{zqd&lfbMw=Q!#Yb*&Q*-LV)?t`5!3D!MGb`SO zZ5Z1)Y)GvbjdR|~AE-%cunE{CJc&|&c^mCMeO-ecMpRWEEdGI4NOYTY5 z1&u(30dGr0<5%}t(^|Quo`*tN7^MOO22yoOj84B~Ls>|I2no_8JqJ?tN{ramUI!6k zBC;Ee6gkwm5MY!v$yTEQoe~fXQauJz!93va%->4vYWN5l1nX~&I#Td1Ak_L9EBCG+ zPc(u*K!p=#&z@4r2imd5;Ex-Z5{xB6{KdidhlmB0H9hz>vsBPgp-J~e9yKYbXLQ$P z(h1z&#}KAzW>gn`UDjXAT$sr{#eZD^EQ2?l!zY;l3jUYAqeGszsKjyP3LoFsFD8(8 z#m**RMN-Ezhc+iR4nmu?+G065Yt)!tqVpI5Ax5AeEYT5! zFMJ4J$YMMc$9R~541nNgM*l+o76UO);ec?2(%&+>)rw=Y!|)`r5|VC_Nh9`$W$6tc zCGo6TbWfQFt-Rb#UZmeftuB>NQoFKv)x?U7`{?ECM+*1Kk z1lqHp_}7Nnt{sR5xsCtI`>k{;fKdlmL6H8Im<_JF&ipObG2Itj5)45!fo;KmNIUtm=57_u(S7#+9SSRdJ>cI#Wk)sogQDU8Lnsys9}I zfW3Q&tpB=g*@k^W63IXmy{#umOhYwjwJLkH3OZn?IAF(-x{;c?p(imWDLMAjFTXed zv4ICTnuY|LhO||^*qRPLY)&F*dB==h;z*U3lO5++z@vuxAH(m0zh2R1vIcw&)LW;C zu7Zjb(`T}xydr8HZq->&1ruIq;=dyDglFrq+bO=#gdo6;!;&0!9MM%E7^Ld_EAO|^ z3r!@riX?*cx5SQ=Ch+RFSS~NPoHA`XqFUk8MPSnq!We{0bmhpWG8L?8U$nO>?pDMUmR;<8ahmJ z1`TpvlYCQbd!qYBT)MBUF6pXsq|{E%cS%|xmysNXNe;`n9~d{PdpAyi*+R`1(`>!) zuA+dMPO;X#RG8Hhe^(y&bvpLN9$-f~=z2}0iZ^C6w;MftOCg%s;&bPH3HG1fFOuG0 z=DBn=JPdD@9_@o){wFB**cypdx zF|uL;k`$~^*`r7mAZb1OsFTN_|709vW;CaRWTK`$)U0(pNTE`q%^>%OouOG<&lGx4 z7(ofWz6#ATroM{v=DW5+I(8a^&5XAG@&$BS!zKfTBocv_3fib4(Us3M^mIzs%z7HO z2YGr&H30Eo-h@12Xw6k-G52n1C~VTU3?)yQxWcxq!nRN1RAk~5=AY!hXaJlCZmhrA2m#IC zpMNVMUZuYkj?VFo(#oOjsxAfIrpme9(d|qIh{HUKVuJZ52#ZO)dW4z>>ezp@ zffM?qFPwGlbX912f^mFG+bcQR3JHuXg4oT#GKdj&aj^YP2RCMh2917l|JUQ79x`Zo zl#?qGIq*DqtFMLXLJgus*-BL%AZAaC^79Sk7r2DgS7-|{hu

KTbXDEIn*#4jEA!bFZKFf9y_-1jzFPmYyj%i zaAKOzIA@S9M)Jfvh&o;#SSCp+0_&0AQ{q#O^=)0g`ZJmQDg$(^0Mky5MgvqK zHzm&Za#Q`LQQc`{zru@DB7}tm&^YP0?*kwEz`zO!Q|gFL{KE*e>6nk{V{AD z<9=7>D<~Q*6CZ5^2eUOWnBJo1d=!C~=m{24D@3D5Z6kc%C&`NEX%g+u0%t$Q9J60O zrYI4iu@x%O)M2r)lFaFi{$agu3vv3=KxJX$REng!HP)Z;CdRAufNXN|V-n5V_|p%g zxW)QGDQ_4fd%feqW{%SY>|E~FbT3_uCp^+RR{eFs?_T;GeQJ!+MPlOmA)aXdH>Afn;J-+ZCY1j+SX#G6qf_G;%{`}! zP@&Q9`KJ984F||*(Bf>Lr_O}8$Xt4W?>;{vWP7z%dFN)H~@ZCpxF&+;tA z_HppP%XWZIy2Mb{x5O|C^Sqj%Tw>rMK(mxe)O+O^(9`kCj8UQJt5EC1ef+qvtnt^0 zdYs@<*DJGs0f-#~+Cu1DJ<2L5x;WrPD$(}2}j_}%}(PugC{)#+5_YDc9Xhq)e7!TZq za8T%Dqcthr_1E1p41j?z&w)H7FEM}8Df3&X!!FH&xKK~nctA+Q3eBf3jILFWEICbn z@8^<8D0{odKr3u9fK>6E?&Xm_tFtvz7u!k8yXL_?(g9Xd!afJXCRmW1A@cK0Gtp4$h$E&6%@tGj!?!5*_s&fEV|TtO6cNIVpT61yhgYQO_7$ zWu(3P^1s$a@1lwA^pib-&If^l;bD${KEBXhy3to48 zz1=*JpFo0LUzuEDDHjJY)*i}@^NF{N+m@76s_L9F%Os+i^*$T;V(Km@83Y5@Q|q^G zWVWs=4Z$$j@~vv``H6csCw0N2a{9{6Da^WYoCg^DzFMJUQC~k>v08DfY(I3ANwQ}@ z<;{-&Q|sHh{X|n z$GHPMlzixxd|RbyyMuUkLJ9%Z+rDkZi_jQeDN0mkEFB2>y|?bjJ|TD64&Q>u{6JF! zfUe+x2aVuUaG-sg`E<2}+g*(5?fW1v_wXK{aLF8N`#Ae?V%BOhLwwoxq@5qEY~MRj z+}~1tl4n36CZI}_XGAHAd}ek+>=FCm$AeBWCY1T!gIhZs5{q)s!~4O=ZB`m#*;J7B zktoB=*FvFnuawTo=<*U|ZkR+;)6?U%9s~3Y46?E)baax>o+&EfMnphlWdlBc7K5KX z{y%x0$?56z48#!;_;hrhpFgLjzkT_6Kv3dYt%P5%EhRdH9!=o^5mW>tjk9fgSUJ@Q z8{6;WXB>=&1Q-u#312W0zK~*$e$5;mq{9AHg`K!aN2y5Xv0h`kUL)|f#p7A4N2eb$ zUP0trQi(!CBVjt-@gGS+cgK2_`V^LJm-kjqdRk+aZBg%@Bz2X)s$EE}*bZ7)iQk)e zV_iQ;Ye+V-LV;;W7Redn!x_>=EWH$zX4N)e)uzb5V8pkO9dFGQZ~eNLkEEB6(U9y< zU^9pXg3{)Lf@e5>d8)KNU_A%xw_p(GD&9HkXBn`Ebyx-&9 zOTT?#PJ#an8-?Sk2PYE}xJyNiWd9z!k&5I)r}v^8I-2;)wCNLTZHQ>NhFd5M*OQ)g zZRw=AMezK&)7e$-75TG=wU=&XNK_6vvXJNT!Vkb-EXgP@-RCdUWx=;Qoy8k{7ctvT zxc$u*JZK1+O57v%!T>1?+HX@*uPcM;-;)k>m`g-X`u$GgdQYmj#FH8}>Bm@(^TvWU zU`pzDQZhH4&w|NuP>Yd<7>XB%v&NNT8OrCpd7JOtxt*hzGG!=qK_1lVo}cp?Kjn#a zjwZh~#s1C>{mxDQlLYb;Ac-);^fAMfEkh9pk?Nj*Aay$6VD`5;1A=WrRv#Vbu0^=8 zUO+nncRQEJOnH09EIYI`_-2QOQaZfsUWPD!!l21j4bJ&tN#uoE5zJn``5ZzX8KxC% zlFvrMMeP2tJ>w|${X+WGygcT4NO+yEDNp(n;I-lhuD8f)c3tcbx@sz}A5w~MOGMvU zMHIlSN zrY6U8e+so6*HmX!>Q6W4%eGC!3fjI2T6ce1IS}fa7Yy4?PH9{>&>yf zEaUO@vNe?{w1>=Xy@r}Ov%Sso%bhV`u`MS!!8e?f*wcw$^b6&(l`B{8w9Dkha3ygI z`eq5qLUWI>HP{3?MtrTpjh7F|#OMc^|>G?(Ce|QY9$UM+5=Gw%QC~OidEz!1}2xL~#deEY% zyMuvV3E!E8Fl~}bfDaNIr$H!FAO$zkb%Mc**)JH5l4y{21ek znf@w#}sd%fku0(cH3$M2ewRNeMN|ZNtiyuxN)s06n+V$SUvBZ%bY!{LcI( zWrUQB>4BR1#nU|G(cIL9lSfDw_tlhi-f}xj>J)RWYCnyY6!F~PU_9kFocLI`w78$Z zM^PJRuX+&DUwr;K{LG_UkY$trz+vKj_P$i+>9nsB0M$u+3ga7~3UV+W9hv4(EJpMzU zS)&eD>V^G8yFFy%O-hvSNDnQq$5lHt$lIk(VX>&6qa>E%HV%{}rVU@0UG4EXQkzbT zP7g8)txqo0)4m-S8O1f)SO^tvzE(D z;A%lX*YUj?4C$~@Vx0JxZsSA~%@yOK3fe4B&fvcPARY+b0iqyIAG*QK+hCt!ys!>L zof?{VtN30mq9e|ZRW4;8+g%kE{GzgSRTJXrFrh;79vcv&HscmKK;yWRT!n7jz7~mN z(3MppHJ!lH-cgKo_hs5Uo3~l8HFq%ridz$DIPoq<1J^KTkJ=Vj)37UV7lSf`y~J3{ zV=v806FZM5v4ouYZnVl_&L!;H3KM%=8Hwxb7`rKqLSAOGBF)VZdhz_IKFukT1R zhZPx&2-UZ9!jQolqFRt{Z9n7DaqJNZs^g=khtKx}!HVM>^3hu`UOm-L&2F;{DeE77DAf&aIqX9SNlL59#KOZQV5*!4a7H0{SBV=-`92dxM(4`F8ID zIr1G(rvdkpd{NW_qEEMxOc{loF{$>|Z;W*wapT_YU{6XB65$wW)#-v141(sGDJPjX=E(@DUudywmTN z0pRepxxK-!RB%rr(7LeAr!}E5M54UXi*}!L?>x=jtJV>EuC7W4vz~sap1@yYbw3}+ zd?Nih z|M)r`OPz!DnzS)^wm6e(V`ov*W-c^j1`y;*pn1k3pLdkA#_ku07sD6 z&d!clAL2ZYy}?gAL-e2ZFi9N*4AkgwS)LLWKzm4omI=}vJqK#^xGdRYm4lWs5!sDK zS{$*wP&gPR&9T*JMu!UogJ&KCHH}8VKbgOk*kg$hG6>e+8h`blfyRV1H3eq0r3AIK zWjtXQtL~1vkEpP-9IH;l3myHlPd@SCkJpU0I@f&k;wVXFdWqJIyLXP?wp^MqynUw` z)<3rYq&jI*=v|I)7hzQtG7noduoTP5!`8b5UT+>%CnGyEj=p(Y;W2y&0j%MNE_UOn z1Zi8LVIfyrVN}s_(7fMSi`I5Z&t)vnL1;;g@`N#&vg!B1I5F^`hAeQh(1%(lO*IpF)w#D^g?g24;^rJz1nPenKVGa z?3E(o$)s{i?A|za5D3ORZ^FHGLlS z?g&)oF1Ed?e{uWV%Y*ztkCezeMi~RzY7WT#npbN+J{Yf>`KO0~ zJ8^l*wo98!)k`u-xIV(-L{D*jYA^jgM}1&wlj!xn(rOI!dH9e#{0LZp4=g~INm86i zG9xlIB{FqTHtaDUQE~f4c7l4ws_9UOlN?XoDgO)+C-!?ajkuM}R?0I0Tn;PX$O4IT`ChP_(6i*0F)PjchQ*g`7ZJ+7q5{v}^a;g&Y%sZX8qG zv*S7y0MQ_K?q7Mom0ZY?wWV9f6cMDqC4To1A;cCfXf#v>6GN`+LVFm6`Rh^|wqt@% z{llw#%e4iU0JG508rRn|A|x{+jFw3d%cO{M1)p+-uE|HulaH3R@Ek9gMxV3atqoMe zxsyl{`V)8D<-Sz4U&H1-ihGaP{g}dWG#?RwtFTqcGgiq<*(SWUO$b^Q__``UGGO-_ zvEdKcaiwl#r*0TYj45hqcEN(Cg~gYsJv6S(-zETlUx%`yowjzjX0LHxJ!&0(#$kv1 zA_0;Vga7K0=htw(^|$PHN?<~!e8AW6MtJt)XaR;yc@#LfQ)fLrT7dXP0tg1F?0@F{ zC6p=e0atl|ApIqAgm{n;V!-23%m*sG{Tol1?x8x%ZOh|h;@P*mKa*?*w@yEw@Bp81 z9Lz@qn2%^lbHxW4@qIPoBOb8A9k5awu<|ha;8Ktsf_m3ZB7@`DgW~Ef zSB?GVX(Gj1TKb{l<3mNIb9&NqdM4KhOxK7=kuD#RE?LTVv?RS+`M|=DHi5d_(Y<$S zS7OjzyG*7^wCXc~AvMt_9}76YQMSD-PtnsgTj!}5y}TyfKk*;FtGj#bZ;Ps%y$O-K z3lnc8K1Y=$W0YUZtzH&yBzD4_siXbb??zlQd$eh`+5r*x9!f4A{nsIB)0_kFlf}tT7Uk8THj)5GF$=WM> z+d%xbLB_4(lv~A0AAi35sLIe_Mh}PZAW)>}>`WjKIXd!Ax6hq!5DsEr{tNh*7IL&V zA|D|HR{`KMe|@px;xYI-J2<8L@5*qfKh?IE{Xe|D2UHVVzlVK9h#*1f1Qeu7F9AV{ zG^v6JsD$2;juhz#BSny21d-ka>C!=ZM*#upB29V~X;S@m;_^Zj z?Af!|G6|EJ{rrE=kdGF4bi#=GlvSd>Od{ghzi3gnOMHA#WvgjmQm$rEs>Vhz#A2LU zT#}aYC_-2ma&TH74|VYH%4{haFdXYM)Lbq$S}IO=)Id6Fs0!yJg!5UbQ6XfPOIwi% zPj-nB-=GCwvW%xM^B)Iw4RiUP)`=UHq`%B(xytU-6*O}%$f(4KM^p7S3VxM6s4J++ zL?-Mn7T<4Jc#vSr%BC@$}j5Bko8HPrYcZb4=ELGc! zrPsjk&)(?!5JN=+>25G{k!IULCfn=RlkER|1FwnbEb}NnJU=M1fR$eRo^-{lXVyx{ z5`LKnR_G=+?Rz2CN~&Y=MkZE4?}kZZFugEMRF=2e?QR%CDLk@Y@E!^KjeS`TcQ1)b z&QBS5?xdvrFnq^E&Ao(8RP$+aKCDzdi#aciId94~* z>|xV~|Dj1BLA$An%xglJFt9z~$MwA-)ut)X-pAIH@finPnoJ-JH9Qh88N;=`7us=K z&~-boSi^j59!Ss98oCO5ZH1KgB=x;d=6jz3_L>(abdfs-bv6MhKk5kQEvEFn{47Wk zCa~&6i|}gz}ih~e5LS!z5UhfJTc{^T++DJu+IBafPSXMB)d?Lawy4S zpN+j}hxF(8v!lkL2b9%t1%S}U3W<}3v1pKC>f znTtt7aJHCi3b#_e{+>iY`^>}aaKbY|$dgFlEDEQI5q!=Kmdm z!peN(T+ACydaV;y0)8%d)qqM$6;Enb8hH`^_sxdm`PCcuStu`RZ4wDnk8>5j5Hjy) zqwbhobeP6H7PFQ5Ks*}qX%tNAlNZ7RcvMy>{{JJX{GM}LeU;@uby`!0_4SJ z2rHCFZJ|nI-fcXqxJY-Ih-gYWctNTQn5>*-BSHTG>!JUEKk@k&1ffFeQlaanfwqg- z`+K`~zJZn&7HVd1wY6zx}(Hv{gyL+Sz%BuI1(-Cgx zGhBFxH|!s)j$DuU-?B36>$x9q5_|WIk`yq)n2*#$wh#%Cv|RiV5MtQtl{pg(_4FYV zEnI=auJR16%C?USBM+=VB`B!TkVt+W=YeYziI#3PmKGa5&$EE{6xxyO52cs6M4;XW zMK&@A^EB0K`H43LbevIKBA2*C*khAo-uE^?Fl%{WHeXUYQ&Q?MHfA@bS-oamwU)2r z{Ch`;0Mpt3y?{IO(xouo%yn6)r>k~!t~RNv z&YaNUozS`akc;vm7wh%d-#fNo`pztbOd`el7>gP*Cy5Z7?B_n4ij$gH{CHYLHYPqKr)@5uODicN~p(8vE=e$TbX>1i#)? zZtt^?*k%Sd8F#!6rjNHBuivC4^T{s2gKN=688gBYt*<3=XoZ%3Dr*U|8=Du*P!T?L z)pvG_l(~k>h~@35fb3*BL+NxE`HWM^=LB_bNw=QTQab1$nxrWox+d|E$F6JCO z{$GNmaSR0u>u>O7&ARO*MKv$7SgcDQ>TeM-;mq&&NZyiEz01SG%A#;SD9R@_(?I2} zN;D}Pv-aCI7!!`nt)|q>R2Ei5G$|<)j&D@RB%Q(v(@n=$M=Ayqw_NF~8^3BIl90~X z^;j~#Pb460QozD;G72@EA3v8Z{ZT`bf6#SJ zIun>#?}QOu`Od~{Uxy4_9bzmD7{ifx7%**Nfux-#yrHy347+f~L)O6j>BC4QN8}4D z5|{<2a##?L^%(~@Kkw}w|84BNetdrhf8w`i_xvB@CX#PZ$z|Zc>mw;@wjrh^&x>G~2>SG#ks8iMHd%tNG=(0nx^X*9UNF)jln3y%5 z#0Dw`@j}o;-blkEhzqafMXG#EaV(YML~i?cpQR||n$2h+GtXtAVgY5yz+gUf!e70b zpvd8p-kd;fTH0yH_$qSu(^rwgMkp ziMDp~w}zY)DujRQ*&BiGY}SbaMBdHd+;-!Mr#a0_o(PJK=kJAvA0`$}MbuT<)n{kx zM&emzUyfm*kk%-rQjeYD!X>i1^zh+WEJB!#p>AbJC*`{Nz*(g*#Z~_K!&}uYNmM)Q z%$^rVtmV%XrQ6wdo-bR8Hk6NMbhAHE67!$f;=$>&=#IEUlX*`rg!PZ2f%?f*9dTBd zK~jMM{MczrY*HvHrR7BH00O3_R5$yyjSOUL+7N@m z`R%6#nnhuKu7+#HY{pY~wHb|#=Tp?$?_^l;yEhm65D&3%8Ii_%%!RAo?zBMGUqQ5? z(U|jt%b3?>D)0&tHQ)j$kS7SozdS&dgU1}_vO3mV?dR^wAx8bmo2=yf43NurXcmHA zYfgOc+qai*I#4@1P}SC@yeXUN8~#K0Qu?doM?J;DmI-DS~3Cec;b_^8ZyJ|q4k-@pf?}c%Bf>CK&L|EnRAYNmBhU%VzxBrp$S%3)503u9{P1|_E_c3{OvkZDL{D`!R$ke z`0;!3Q?u?Td@vOo`bQJkE2XHNH^on-NRQ}t{UZin@4pn{rX(Au=`u3EAaPwy(#?VQnxA|^z zOPZed#*RhIi~5j=dAxd6Z^;k+rQihps66C)v^zd2xNuaOq665sjj=-kq!*0e`4J)F z@EXY5$8q=XXd?IGr*=6)3BRut4`caqBeW$zx(7@Ka1a4OyvC!oc_cZPJ|4qWk#%Dc z|J~d^=zYt|Lgb~UQ)77hO|dEFZyHMoEv4`$xFIiY8wc?qI4M1`jCqWT7qEPZq);J} zZ;b=7e8sx2(ct7HZ|;8lr*g3p~Fb6Z z4bv~lt+=iY_4kKJB=S~$FP0o!=)@w-m1-n_Gn z!};xJJokyO1xkian>UCbNH=6C*PgobX=_=D#2D?TBqkAfE-#D89-uKHj|faYBi!nU zVeDrVZ|{JgZlJZjJle6tHtG$#hD{~w+M@^Itu;I%r@awmQYKoAosbKi4^t1BDDi(m zg||9o{PU(*jc<+O9}+2=fWLmL@V!6AgxmYhYX>9qDYUXC^-A^5(rrqQny`Awf##DL z^P^?{b4Hp{9W{v+ePBN>nS? zO@%Y*+O+~lWLW~PwIT-JD^Uq2_oX<+zDz2NZ5(HNzIv7FI)&{m>q09bh1x$CB0RQU zv@X<;Z!Vm-0bc|i1&9e;cc!d9VS<%Ubr^}srjqSdv#1#Lr3=zv@5TR2-Fd#h6w^7H z7o2{RUoKNKabITOh+%+npu8+ZD+){>=ozkYg&tB6WB*F`OcMKp&FPja(Nn`CUi9Bby+G_POiW<@_4Elv98=%#%`5 z2%4bn7q{4dt?X{&(YkpI%sfTE@+!UNvsJ{kp>3`1M-J5Y{%-o7`?>(w5u4poG2}i?1I~cd% z-(aDuFGcMvPIxVkGucG5m8}DTvn9^}$Kmrx5`l=DiumiV0xu=5TA#tQ)Be_aQs)P6g~jauuw>P$S=IM)5TUTN8j zFA8Ybw3qbAH9k^}lrP?Y1g2#WQdNYBAg2d0=7Fl^kaEhFapO&Nad`4<6K=)TaUOqB z-z=nmT?oG!T(0}vox`f~vGH41-a?r@wF9P;eWrRAr3U7usb!ixWtuAE9Efoa78`Q; z1YHGs*Vl~2dRSKP@MphHE>GEA6)jdOEmpPyQi1}~m|qC--So~q=?g*Z<;MBx5jx>#?kN_(Bpc&yW{0LoNIZXHoA{kuxth^ZJHjhU02cCbqbbc*) z?0c)|h003(w;eMHoL@hAN_y|?^?%({h1`xzT#qtqJ$Y+A?@o$QPKvSGreK11KEHx@ zc)tbjh%v#txSx!Wvft@$zY}lzYG(SXiS)=VnUVfyg&9GZ2UsA|l_S%YW2g!H_u!o{ z(Y}v2g%J_4j+ez4eLPdZdf5FH{40^+i%Tnp_d_ zzxq^f**1~DGa&Jglv}Ki33U z6P`K2W)j*6O{?ZD95RGo``rJ186_JMIfTmULA}0qKO@Au`JI1QujI(&WBESz4Hy^0iB|-v?fqy-z>sJxp1y>?trG(XK~v6uVTkvC!-_dflr39+p3R6*=I&)e)9`Fae5Fgl zy~ImFd6-|cS`=WvWi%Wlb1$Ll*849^@PB|10Y3!2e(U(>Sneg~F^KUeJp2VB(Z>Vm z-cR88Ml9=QeR??RZjc{;-ecq+Cj5VR*UGi!!;B+BbE1c6;osn7W@8AcJjW>qdN5sw zW`*NlCJ@G>=dWFtMO1*$|FzCB*;1Fm_`9IEQf2ABM?38~Sy^Kpl2plJktud9%rImN&0oTp&tYsDOd; zm#&M}FI;Ka*bt+G_vMsxaE%R0$#O2@2&l1v$}KW(P=Tk?qvJv({pxT5UB0wayV_`K z!Ap;bW%UAtQCzkoHeN9DDp$%t>&ecnjM}l)(ZbT~s?o^#bL-C7;V6@Q>U9rYBE{c1 zqww@gpo5^6)j&t;7W&%)-uj;v0cMOF22tSu9=>h@5MKZjiGRV90CQe{v@+p$!q_oM zGaY2A@bT&A}`jTU4UD15mV|x=I zrz=7l?y4Q0b$zp9vWHg(25s@AZjx(=5FggakU?F#2I~{8tNyv6VX0TGlMCgU&gZ8T zvfzX?>e;CXBsI$;G@B^XK(3FL9D8^?FFWz;<@@cXf#$o}*9JWe8~0x0pVgwW+^Tu< zsVHCzT^c1_G_M8=fWB{w*_`MynCub3(#{6{EilCR}I&1 zbU=Fo)7iL`%woyqt!oHl^B9G!M|8{rif6r;v8|MltcIgl5j{kRimO_nVnwf!xQK&h z@3u7&ccqZ=x=)7#>mBrj(ZP5-)*jrr$1;3`?7Zt1qai2X-6Gs5UIxE3vK>GsrF9-O zgRIe~V5BvkwMTog8irK1b8M|ALXERbI2%@EV)W}L&K;ks%t>_KHpZ(poFjjP1m_m1biR7M57i%++MBP)L{DUAv%iJ>P20D zd!4B`E-w`X@}yHl9$durU3!##8`}_o#%mYlK^<#cy0>AiB2;?E&u|TXfRIQf6NtxQ zfNMnqBa<5}bW9{+;-HO**WV5Hk{jD(f$i%Fk#}N8p&9oZrgJ`Z&7-J4EsOP!G5{xxwEG)61gP-Y4VmAXp#KIZ2BrUrAkhS} zd5Jp2&*QTG;4Xp5{Cw%dOe&P!uIz@D$MiV7omQZYh8Qutdg64OVNXZ9W3C}kv}1sb zjLERJQzKaP)5%C#gtP(Q9wWPVQ`cz~dYL#g3x?G)E)|3_fDF`@P*}~WtynIX{=nkz zczOxT7sVnYGhK|!xaGrJJB?RRsBJhxu;m+07B{m9j3erwMiF{n zvwO93%`oC_Z*=n2U+S=aT?Ie>m1kSyPo5UYBVLe;y`0qfB)zp8CtfL4t4?>}pUGnM zQtQ)iuSqEU6SPGh&BQ`;&_dKiCO0CW6?@x%j!=*g+92 zU(NG3@FWbTH#&omb}oSv_=eMd#JboDh~deqKF+464>PXYk%#hdfLSN>K|P<`7#by( z6gg$&W&!0G5*hdYF?{zcdxsFznwa3=c}$+;=-ng4I_|*9qtg15BbE?Rn2=GJFmQFU zadiTMsHPJUQbqe3^9Zb>rL>p4YcI(=>w|6IH01s|M^mh9MKqFyixv*K3wvIokf!hc zF4U|ea_6hMtMp6N>bc(;B7HXt8W~cO{g3$K?F<)|m`BxnZiJJe2*oQ4lCCY1UnE_z ztUgfLYocKlGDe!&cTNL6qHO z?2tzYj+qN;mQk5iS_Dwfl)Sf>O>;cns$cs?A8bx2kBzk)Z4{x3#k-PeMEL23%O;ID z>{f4bwM854gd5GYsQMJ|{;vR{&Hp_?{QnLhCg)@S1c+&^L?<|P$26AW^j)d3Q&NhzO)7H1kIoXEx8XW# z4I^CJhhD8&&yvGFkLnA4x?+2Ar6F^2qupHN_ziE!JLWndhw~Ux2;iYCh7|tU^gB%a zoiBQWdG^cdeSBCM_BfP;?Q8bCa$_s<6*V8aP?cA;w;2E&U?g{4DNBN%x^&99s+-8nrlAUF)<|jGa z57Qwu?6K6*^?FMy-Do2?TEflacwg3iD6d+~wlDKs{N>nTt9ME24O1I~she)0$LsL~ ztkY_w8w8r(s3cx^ATNz#ZC6Kx2+gZMghMF@x_lj1o*{9j)y79IMXxf?hs91m2ojJUnIop;v^)C;#Pf6zigC9}_4Jvb_T0 zX7NMid3XxZz|rA8tY?HKYjd35P}-2dSv^o-(6KtzRN9!j=aE#)#A6;vT_tPKmuu37 z*ddH&K`zBeOJ3pQYs1U41i5Zi{>&N#e#vd42l-ZwG?vt1uRu~o*XDBL2_Aff z>{w&!QsKI{ir%vhiCJMf2Q9z7rdBsqe!8CY*vqE=cMY71R8;b+PgqEaX>VbJSfvuxklhW^ww&pqhf;&a2_ z<(lR1dkc{dZ)-1e+0dFf3hFQzFS6G_VJ0q%jgMd3?Ar=|u;~JBywm*k=vBI;z^Odd zO2_uJ4urYWQg0AXnehD?(XtAM_G-Ge0jBlP#I2?8EVAgVUWYrB;@F<^S61((r9nWX zD@v}$28APC>#z9$1Rh4#76kk(HP%CK&e?G8(UV}RO(jN7wrR^~zw z);qLjmxFT1u>Dbe>EMn2J*?-OXA~B-vCCCoNma0H@$qrrU$RV=O7m~HV)WH7o{n0k zCPX6yxQm7I8dH38(TCz{N zV64I*uDo*iTp3>0dF`MjW+Lm)i&F(uik z8;`wRuP+>99dSE-Vs-gvVh{Sq$X-(S8BAo4a}^!g0}PG^?)gJh-E;sQ*_*!wgqRd-EC&CugKlP zA3IbdF&HA!Qs@F+6zCPs*#Sc1g4R`B5iPEFh(Y%0DCPVWF-1iA1Pd95;wHTw6hS zyhfhP$BiWS*6yVUZ;x}sQhyvO{V~2-gw-*+iNmnZ%2U=XQr|0MtTY!goiT+)YIo~Y zFqSfz--{x|%z{0ym2Cs{AdfGAqPL0P=OUzXdN4dg($ulXx7Z+F9f~W~*JF2@;BcBS zb9{aN82T>&PQ14~X_tz*$ldc2k(Lvqs}0$p=nV}I@22Vk@GM!^97{B~d+Xd`W=po% zayj+KhVAE{NTnhwS^a5k@4>ynKglU83ZGgfB(`UQ5$-pEHo_g7AiB;^q)&&Od^|u$ zhdRVrWzs(z!y&zUm8=)Q%!y49keKZL?1~w$5saCN9&hp$sKW*ky-%cO=!l+85QylR zO8<=LDG{L~dQ-2S{VSsPiL@)1Q$6d&4VPgD5n`a0$_A$xm6aR6_R5yS z_$b@VRl)SuW5r)eO0>EX3H`g*^4}zwK#2(iNf_tl&uu?PLU5k{Q=a)#hKW&Q{&WVS zC7F>MucMy{j^IbHAi<5?Mlj zGg*8umcNvje;F?sF=9+Sj1u$L#s-WJ58Wi4*R)m|3NtoRJP+5nMl?d~|AC%B z4w2I?P4%Q$Taht~3F=F)3{Jb1TDH~hU+*37q8kk_h?ZavJsqfF_ug1hzJ6`uhT%!! z>$G!b%KI*;-xQZ=pTzN6^iEq}yGyYbBo)@9V|uIw=-s1#nJuN*A7sUpq{WmBD8!*- zdW%Pc$=X>6m$?VxW8Y^NA5S$)LY)@&Hq;5^(A?_n$4TOCBUb7JCl|so9!rdm(%QB6 zpFEZX7+vK*OD}=H(&ukN%%1~&bt3=Me+f*P7;$EAP!aU=&BBZp`L@eWe9Kk%@ORDS zH|DShS0=sI2hv5wzM&PD)~-kSe^*?>ZS|uox#!l4G>RJGJ6x7BxUPpjNH*hbA;da8 zR_PU-FQ)CZg&eYuy7wJ*|BLPt0=`RY=@CsC%tQK@^%D3los>C&GJYQ(6M*Y*P#Nh& z_lhgUXry3oe1((nz{R20Ub1aMEYC>e7XQ zIhH7b-b==>hhCoJF2ROIf%m?7SHJ|FeC#maC{X1@(w-JfO%Fp~`HJj8x_udl#+t4;kV7pX#GBiE= zm%;LXEWCt(?h@!Me^+Dv91KV?F-A->j1KdcHxo_U0Bl1WGXFDdW5d8U-KX!%aef)K zR6==S=kut9YhbT4R+-gDhWV*qT!EI0a~(DK9W`S9a$HtfPzw~lZn+@6TFxGiBkOPPwm8s@mz{Rvf%7sg1_C_|1LM13{^hZ^o$0t; zje+zZrIyA~M$>N+fVCiQqJHJ!ro zQAwXhSG15psI#yAV|3IN3RsINyRP8oQ$2vM^1ocNF%^7k^_21*iK*ZU^Gie8wQVxK zH5lI-FkV8xDKDwxX1;y35BR^hekh<_>Mn+Ado@P&_^{g9j*+ru*Y8m?qJX(ubD40VD0^z9D`{u$ zlvA`Cdc|B>2~?Ku4ivzPDOxSkT_2o0K`mPSzj~HY-jIzA#f4EovdSCj%q|(sF8$Bn zE_HpzJg1;OyWW+y+n?0d|^h;fqrdLk89l7?O>HH3^zg$umOr z#b_C3%+UpkV8h@tfa>;W_S+FHs;PCzW;^NUeCb_l0*9r>AEF(CL%eThPx4hb7~CKZ z@s2&)k3$9BFtLG{VPoRKl3|rb5xPbZp93YG10{V(e6j!jjMYXfg)?q>WzfSnEncF$ zRYU!1o!|h8rX?QXeL=g=aZM7OIG;boBeaAR#GXVoc!>(CN=6fcc!Xz^i8Dv`3?4qIiN z*FPf=6*AM^r$q}l8&mD=JE|7;s#o70Ze7=ocSUiZ-X{AoK3T0!GP)qUqo>q|^`%{| z!U%r;kIg;3o=^H8(wp8+=HZQ7O_(1@&*}99N-odpZVn}ai<7!|k{0q^NKXkQ1C@8d zu8;QlR_o#$r}mea%%q*1%Q)ymJj8;{Ws|9WB~@pr&#Qi03uz8*eFxKiN*6do0K<8z z3UmP=7l?psXRZ$5wM>2-TJ@V@Q9&w*h+qbJ&iZ5d!v&28ByWkZnBreAh7{xtxRD~X znRwl#0X0mdZZC#p5-r+`_p2-s+8M>elZQuiR)-=_v&28z7hTkvc#t{M+b4O!^#_Y_ z9iwB_iswh&SAGSTZgjmf$g80*H!pVbWe)tqS2R_nV)EoXuK}G3ux45l74e(phmXh$ z8B*VGPi;F1wds+t$oX1uZP084=Xkyd@=OX)C@@OfrA#xX?05Fv?QS9~fSGYKkFFqg zv|+wgoJ$sXC9#>zuxqSv=dql&$Tr`&F7;ML<6e!1`V!qBASYc#Z7o@yG4p@(uzYd# zJWeetwnz%b27Oq>7JHjXTZ@|E8_=UrvE8OpwOfa+qSSBuT_;1Vm07C8l~5s0dFWui%YT(e5-J7$@wco!6Jj zjnzg0R-0%0dH}GRoTD>$#+u$hK96&y>~=8j&P&vJ7{Q_bZ8GP4cd-TM2db z-UaC=1($>_vsbxigq4cg*}#km6K3I*@T1+u56GU;?b1iLw*p2V(db69;C+#4cVBb+ zyb*ft791_O|M)J#>=XV?>o4_njzO$MYD zuZX@2W8eKQL8<$-tSjc;XnGSZ&7|JrAe|+t=)HoAs{Zd!zut@1pP`N=kn6CO))ly% ze5Kc~0HNJ`K5Uc(Yya@_O393Gzzj#qv#PZ~PP5zRmI(Y&D<#bSoVoA)l}$F1!3z}5 zvSEgbCAgwGkrA6nG&FY0y`}$*#akhLcHpI@ z>%uIeN(fDxfby{mmZ2MW>GSW&^^Tm=5CrKxt7VVno{Qq?uDaWc&d>H)TitBlOBQa> zhjVf<3rvjbUJ8tGy7j)~dqINL)wzZ7{fP-PehRO)$_bt46t9II76v9*g(XiS(c5CWhrU|o#Hww!eUC=Y;~dG z#r6Tu-prdXe=+r)vGrECK05w9UAd5;f)oj9Qo=26e!()|^0ExYPu-XL{E>MDb~f*o z{h7xbVo=>!)%2;g*ItQ*&L1gLADACfQ8Z>BKibiHt}9kX#n+F9Xr?tM2#Wjk-G`#h z$N7oVMLXn%DEqDpEI$^N#e`0vfH_(Y=LRqGtF z`MEIkdM4!&PCE8!;C?VTsazO+oJKn7IGz&I%)XgpoI|Q!soEWE8v+Zq7ug~4j%DY7 z(L(HGH;0Ddund(hBr)txh43Bn0@HN?r%^Jlw~74c3%(TXKZvE!ydNOJM%bB38W2Ji z(N9YjFpBzI=W!y)SZ%uSiY&lRU9{1IfXIymRw`xXjnq+RWW#N7+AT3vNORv=*WO)X zX7WTuo~nBVM+FJi&8plbQeeBlM3$CGAFXsB&O{av6{K?4p5-;}|I1=m9CdnTKfSb> zZ~*MALUYg@tYxZsDddgFt{Mv!Ha0x~Iw>%+*o_nz?7uwSd-_44gn(X<&oMcQCvo1k z-8*IIZqer2vc$o*+avodQFPiacJB*n(^FOFXjsPnBPHalHc|BL1z+#C8nX^cGyC>2 z`*zJldvdeHP`=(UzTVkbBO=&U>d`oAX0gtIvDU0H!YxRQt z1KPs=djZ&n{tNd+{NiV(4-t~-rpTQ6wofAFQR9j+CoBoPBa_ zx~j*Q9#{Kc59Z29rpm~q3E3ADvd#{dG%*ioY)+u$`KQjP zPmTT85QXe7DSi8E&{4Y6n!^HXB}VO!`zBV0w=0G#O{|Cwqc4xeOIKW#tGF6jaLdGs zw}fA)gg?+)Da=}lUi&$N_Vdo+xz1t6e{4pXIVXBfV;?nsaWlVy4$>_rbO?z-Z|zUN zd#|lO-Bx!O=1(ZTGn+$PP1{^ER9kcGEcxuoC;6{k^-X=MLd!!O9-d=qUGQr{+y(0I zbTK3>ZPN)&!l+pzn9hF)%a_QLqzseRKZ@^ZAKFhT1WR%g^+&xQE~ znd;JP9w}H$q=b5zoXSc{aExkE)!AXT@(UUTKBe^tA656}Bp>GXYG ztfP4QK83{<{rL^Kx)pQy+}h5hl})VKr;kSy@;-xCV;)ODB0{hadZE!0vr zTxc3AfBoj!RI>ypDMOy6-F)v6W&WtG!wRyL`fjr`=-c?bscCj7CI^N(h$0?+>ZLpR zjgNQuXvoY^$WXR;@jZ?_`XN=%AF0aU^HSHRq4m(*8slE0!(N{h&OCZ|3g6`eArkIKRA$@``7Lpwi_2Xv+dl~Mta;{r61?bY{K35ccv!;! z6T4Y6QNdN>a{aR{Q-H=L5xKjG&5GuZ;F`M2HmB}aFX*~Mh9>2Z%rXeg1vB{{Jut}^ zseHUwJZB{!yNS1o`qU4J#tH>L+Yy@h;G6;#5%FtW=_hqRqU=a2-gxoxK);WQ&a*o_ zBb<9@+~70YIWMo9b{hta1hObA6ud&q_kbx=dywyepgPWv-Z*%cOZAN(2haF6#yH=u zA4n`!woBIRy4)>-cz87CaVAxLo4WgLk;4Uh+dUBddes9$V{^3^n>>h$?}^-RQ853y zl-FLe1`o&|Ya>?KZ@}Idt-YCC9RljiVZ$N(t_?-WVu)&LLj5>3>1CjsKT}*kQoQJ1O3#c<84bGThQo~{`Hncml&ENw8j2-RH zwC^A%fIZT%5G90tsh9oJov9s+R%ddb~LcG&k}1B0Q85>0TQyHCCX7f=C$kSuC33~bJ_@LZNDC<1@}lsC+Fno zgffch$Ar+yxk~8WTZ@a45Bv8~)r%p!RV7y<(^Yv8T20TW;M%QYhSs}}d$!P*P>b;~ ztKUbevxVqaNjq{1zUa%mKL6knYRE$A1@Dj7Le%PC`3TpmbJwdX2tFuaX@_TW z&wZ#%J{b2cyfRt8`)vr^ptXF`Nj2>8x-=>$*&+IU%LF7aGNm%&AGxhAYl&a@xkRIK zMI?rd%cKOcgIoCdFiDw12lZx1pg$Dflrv)UeS)4qV#0uh70vm#D`l zvbIQ)euLPHRp*D%fIB(k-w&$*c*`8=e{wUAw;kUh6BCp(V)c^61m!Lt&GR$QYH}7W z=g%_c35J%7kMJCS7TrYXYczqYv5b*oAA*Wn!2(0yG_sKDreYb5E?CQCi-N!?)fo*n zziIiaW{gg#`ZuC6MQnNVEeYy+D9xme`nBLEg*AB)PLSf2gPMCd1u(3(D$e18!#y25 zd8v?H@}qhw^nzqVYWJRM^Y? z3O?wFG4Mf886`!WyMa7pYd@^oSL6i_#l)5&D*CDm#UtR_e4sma>^eA|Upr~_6?o=Y zA2oHbUHf%x2;ajsn8N4lO*>aQTyE(AiuA8H9bM_p|G6lwhnU>sBK)z_>9N@qrR(Py zy!E~lFT`nKiDDVt{v>-SVr-Dc`11!(is#(4DBXp7NwXQ?eq0QLwWpZ3c88&VJ~1F-XYk z`W|2Y6eD;|qmpVBMjQZ>Vt3t#`*YrOA2q1kKSWW&<<7`CR@yGb2^ME0U#RHQ6wnx< zI>EZOA!Pj7vXJh^!#kkPCJKFO+&&J@rR|;3^FF!CJU$tL0$`fUz$#>h!}tSb3)wdG z^gLOmKyZph>Gu}`!RbBe89nLdrE}(`jN=?a;~aqkieUnZ={>|~lV+xQjPy;VHVt*X zjEfODe268!3VQgCCOx$@A}6a%Y^)No=j9n{fgCi8D87bRDHU12h0CTWpF#y!vwU=a z<*prrq9n*(FZgJgz^@q}d-6}F;Xr6g$I1C!v)@Pjo3<8DAo=Zdoag;MU54|FT0BCS zhA>Q92F`QyraAMb|GpXQD~o?s4*x3Hz2E0nnE^59%MkM0V0X|A+A#ZqPMGl^EvGNH zs5zmR()j5^CVTv{&yn_w1Tc>HXI6MEQ-5Q0{lwGZ!79jmgkDq>vtVA8=H+CdX4k+9z zyX#PPm$)FBx*%GH{ec`i>w|uUv3>>3vb(R~y=`l5FS513J?K2k5ESTp&@DdT4M`*) z$u@pJTUq(=3QPbSSNsD!Mc1RDG8QEc+hmRIqnCHy^I!`HxrS^FcncuBNYdTy-*%=k)}M~{hB{PO~fsDdY* z#WOC$+_b47*(8jhPe|k6qZi+L=My_>*NSMs# zy2gjgJLWO(-h|g(X7Lu^vstQySIi1j80Blnn&88(-QRnn*+>q`>w9m5J57cQ8J|#f zIb+J}wc9d^aKJQd%}{a?JLy+<#b$TYxhi`3cOiuSC=dm2A>WF|GamK0%-wFsXtze$ zqAJ7QPQ!C4uT8v?g9Uc`4D@mF<;FkEV2u?8W&1M1wBIm;DG;`!FOtN*1@aMLR0z1o zMOH0eZ?z0uu1zoUr$Wvn6?WUrO?-*4Vh-QpHxvz~}_k?Z<}f$JBJ3opdVrL~hv% z=0;Q`Y?l+eJedfrQ@Boh=GWX*rfnLNG%bM+E0~kYjAoCey8yckA?pst`-%Jg-TTj7>#lXyp65JgpUvXTnRE7jJ+IgEz+7d+ zc`#Y<{dbJlbr)o7MXBomK2@WPhN^bl^kVsFqF=wg7<#{ zsrX#0e!5k*eXEszN$vFY&M@0J1WUm&8W*!HokX*hnYMxA^;Uz$TNafLII=Ssp=7^taI(F&344yN$qkBAS=kb;|skIv#lxJx!Jh~I_9 zl=zB_Nf)W3yg}-y{;3BWWdg?DqK>47f9k3j_7I{AtH|6WL>E?(;UFw8uJ=DYc2jom z?^EL>TrBYmI;3Ly&WdjnIfiLjSE78MC}MNNy8kJ1fBh=U%N@;+wq#!rE4A!5qd(mx zWMO&!;`2SN>nbX;cY0BQ$`BUBO&k`M$R`<-j4D*zATgAO9W3WYk+L)Flp8q!ZO}H0 z&Xtj7z*JG^O1VL<`hVE=8KBcb*+vY2v{03&{UYBg4LA0SB{FHgnhc~KT$D_i8Z>H3 z%y2uU%@R1@dQ)e%!fi{l;*}6D5Wj@XTSn%IEVF~+D5y+s2sMJHlTxXhQpv4r*sV)5 z!_70JTtHm?5z)1VXzEP08TRx#VsDB|Z)s&;1QtbneWVBmeTN;*bRT4f%Z%lR)e~o-=k2hP2%?bx1&nD6NqM>Che7B@;``=6J=zt z#Vp4yfnKm6srDQ%hkUZg*V(3dk51w65+NIg#(KK0%1@xNo}0753uvroL3&#wK^Kv| zn*Xp&U&tVfE>T{ejU-DGmrn=`L#c#Gd@)E zHKCY5Pbg7<~Gw+BHz1>~9X?c$P4On_}S^fRz}U;_dK7Ga%+2irl0jsESj^~GRy6kZE2tf&7%(jg(b=xC+Dep zTw`DA6=4)c%YPkj{Z>2V;4&?e;E}K)7?PTm2wM**d5D@b1F)Fpu@`(|N#OHaT5hm< z$SPI)^cU3Q#erb|gY}eRc^=Ve#_kiVjoZIMF01S3=Xqw9r4{pi>^`lF?<_V2i_R74 z!9nbSS*km+iK7yn8F^ES+HoIaz{$b0%n@Q_QKi`>}! zou>9y^JLV1ydl0#d|)hybDHJvYKc2fc7j+tV%kR^-{{c*%7hcEV{WjIjk$~;ubCUU zPevE2&v&4|vp-A4{B2C8lpBCO$RKe0#=Yt+>l73q@5ox_8mtENYkfY!f&^OV!Df&_ zmTr;~dC0$kJ^vHyxt}2i5Fbz|8n#W=Bm=XAMJA77!C~8858?6`1Z*d6CP2WJFcULB zdsdRtSxb&pnE!sNh>Z#)Ntz}Uh{EPG5Er|H2`I@;otypa&hZduFCra|SQ{s+aoWjT|Iesb|Ed z$?F`#6>6P+s9zKdk^zla&YV}4F67ZIM`-^nKH7Qm!^mN!x~`^ntu${mwL|<`WBeKm*Umw=<$Tv?NHP_5yz0QR(?~Yi^s${fu`o$uaPCqouB4fW}v2B0XFFl)QL1$c1)h-1`?WKPWVV^?wL2ya&_ zNn5X#C^)dm^RDcPFbD`FHa1!y%MQCGwxez@L=Wrnjdh>Z3p_4@c8D4mGlpzl z^6%HL6?2h)fzogX7>7Pp0yAS~>1Utw+q{pw)gN7R*_9|Q;md1de4QlL}$K0O3~o*0h)0WFRM=Qg;@zzck9>IUlynNRx@GgMgu;e z1~a~&wnBo|Eu{M z$LO%rgmQ}^$-~hj-9nPn{y{f@dEvSk=B#R?E9s)s&<6I8BgBKMP-c6Wd9~n^FzF0P z_E#ft!E4*wBTv99(Q>xv-E(l`%t?g8V{zh@1jhVJU6R{eNnPcAP9h|kJ38Y1#3p2I zC(TV9jcX~i2f?q=gJ5yjyM4%k#eh448_hyKptFrE7sTDPe5B}lYdC^0gVHIEFT;9E z)UwW^`5U6`ytrTT#2k^}VqeKV#U(by74rwzc#_xuq=$f)fWFtaj zBN9eQXce)zcrD7MBx)@O5Cz3?#l|EAkpENCi2g=Z0CMslQI$V%3pfUV6@bIsLA3>8kzf?p3P?j1F%d0y z<@)ikN^Xr%T;xxuH>LQ7YB}HcqT{H>4Ii@&i&vQD9uv4KVc6-u<-u#4+?stN1Q=6B zZq!ETXprNVkFQnR{Uo~2ZxMN1=jW6J3Bl3H4Rp3+N4Y?dNgF@Ry!0k33%=5&3Q<+id#Ihp^HC$wE+CDlbk45-Gd$xr%(2!N|09Il z|BR(SzRet!yHJ8-`A51Qej#1QMN8KY=H3n!w}vB}J%n5-;$TjR-axxPw_HMQDRTV| zEux&_X$7dNJ^cEDdXwkS`qfUVkm@m_F30mxTFrewYI^uGA+hCx-Qu!M>|fNIc8wBl zNKBoWn@n0^E=Y>qri!9p4p9wD zZBa_eP9`}`o@46c;t>_UKr`f046p_8;5Kr90FJ+59skYrw29ObML7;`dhbSyDA8}r z`qqXH_+AA_9H8BtVjR`BDM5BI|G!>x>i%AG!UHN?_&o2c`w6}`HS@IN$5b1*yP531 zoICSHo%T3BwmIL?`-SvV_S<3=n8grlk?YpgzDWtpK1@y+_*5P*v@d_TMP!S|pfV*a z_LQ1pWdP+E0ii@}oHePK5)U-cW2~InolPVEa2Y<727Ui|&a_q8u2Rwz-z9%+|0|H! z@L^2=LPjK!Nbf-xfAgifGn4*tgJRr2Tm}O8tTG&ST3pt=$A3X)(0D1UZ{`Pr@Ko6e zfS3U0Wp8kdZcSiiQTe%)ISVRz&E~@qu&Svslp6%h$t(k`ZDH$YfknIrAc$VxA)EyX z)dT`z^N2Eq&^9OSo$gv$M>SGYx8-S$23%V-p*aGdL}~>XHFl?S0a)PEGKYL#QkPklc3x!E+zx_N0hyZ6;Z`j;< zU1Pa9={UP|TP8M+rLUiicC9b^!ME%MCAV^IZ%tI>&QoQ7e?FRlQw>UJlIc~(Y&Lyg z<6!+D4pV22eS-1 zZL-ddYwxH*WH1m2o))*TtdP@hS55}$-(=7nfOR!|pR(PLJ0;7i9S%aH^>t(sGZwQB z>X^C{j78(gZ*S9p`lXxijZam$`NxV^uZPSDj1|{YtR;f%JY|yi9M?GA^aQ0!o*J9# z^y7RX?|8mY3iDp=9=zpj6KP%c$$_3~+2)RHeWxp{JuPAq20}0z^gF)LU;M(dS58sj<>r{ zDF@}uU<8m>#)Gb$!zy=ZXkrjqRFB-)!A@1cRAbxeO00oWJ8lUp zeecc2)<)AgYqGAdh)*nwQG4IJndgxxIlh{h8@BcJ8GP%+#wO?DwUb(q_YlOO|H@$!C)~tz`F6mFdmo8W zOK3R{Q`reIpMwp4?)&w3W11G5|K2XV8BQuS(haBv%)*#PlSm?Z+J$f~l;A=K17dxpJJ6&lPJ1xPJZ?mVOBl9Ho(X?}w z7(W)l8WG!rbiQ3%;f}2p?qpI076m0116@_86D-#lMi{h_>B{eLixp7FIJtZGy>v9zi2% z!qN`CEn#xE9l9Z|G#NBH;vTOOLZ1JOq}uE7(T0dpb=#+FH$-t^!I+JK;-U`MOIT7J zyER|IIG3Z7^4u3UGTwSC^N|Px0wgzo%~;ag`_KF&#|H*c6*l!x1@m3Lv<{Y7oiR7Q z#7bIky&(ppq)nlbRL{jq%0oLoqplePq}JTs)BHL(K${|em326{7aUk`wYu>A%>m99 z6@x_+s>Tzl{xv}MmHWt9mN_{&FW(99 z>*%!$h$DmF+Te+k@sqGYT{_x>^3}Is)5M6)a7;A4Gu0*4z6h2 z5AnDka@#BN+A9jmwhGF)IJm@ZMHVEd%k!iUC;20aj=EkCtKNRr(rI}lKsP2_o3{y z@KZ|Os#;%0JYK6vii&TtZobd4c}XKFVt$(lUeeFui}QHzg!HPk+0{YTx}cc1ANLd) zFxw?5kwpuRI9w<51zV|D;HB;XFFCW-(?3NQu0|WrQ&aegn zHyD-87Ng^Ow^z8P0Nn9a|E!yE2xr9h8)p2XiX_|Dy{y_vYOh$+Rn@%t1r7KGCwoV% zz{aMX#G;*)sjBwuViQVD+p=<~uyU~bo9t!nLiO^dSJt88(OtD?gCn00o~3c+vc?wl zT8vn^={H5Cam8Oa@=$T6;AZR8Oi};`My!Yh4dm6x^H^iScH#TGqo8-GpqI&^Ld2os zuK^H-K4%XV)W|iHIz^vrG34=$aeR?)*JZ(ms>w2}X|m_ZK&_Z?t#4t6(#W-$fRa{k zib8h5yUE@b2{8GuNaBdRpp*LuPjo%*&~ILIZXzGKzx1%vn+}kuPA2(Y zS6QdRGxE)4!H;VCILUl=<&EXf4Q^i1X?YxiyZdpFUULT>7)QCa3LThn7*~3)U~_cs zBKz_2DdJpC$a%ju^J7N-Fp50YZCKK&uyC@e#!N;m)=s=(I+9;F7Vcs=)Zvo@Dk}-= zmj1^C_(ow#_<%$Gv_&h6%^~RpoH)F%QM@6l#1WpsfkzBCh(m zR*AsMHZlBm>^CmH>g@A~ylZrcVCgoPuDOx$+FXT-7f+F?C7~y@G5$b)P(P~RKwxnf zXlZKlWIXL*6^5x0Fs~sBr2;cw3LD+NS3pdoyM{Lp@sH69e5u)}4z=Q@>O2pVp_yV& zkcPf{9_M}?y<*>3MnRm~g0ttg^()qOKK;neOb(+s| z$tgRKSX&gB6&2(^Poty{@(=w5TbwIs~ z>24R3IAtu?#pc9MKG~~p1vWOzMAWziAiF6%Ln?UllMieS?NjHOxh8j$**+O{MrPvDEXvX~PLph8X^N4~Q6o&p%~A&ZC;f=j_!wvBATe9-WjTadv`iC2V@ z>WbqM)^CGwjc!5Z-yI!hGhK_!?1AUIh;9u^${dl+xli0NmUXA`z8;xL6PfuA&gM4J zE3)-jWa|d%r6mxWWKKR$|I8ztqPyXo{=+MOn<)6RDU+?5zD7~RW+_g;)2uB)L$G#KZU znQVQAmEu3d5p)YV((_f6I2e$(czGt$n4CV#J1JUiFa3#lmKXb-L!oTmq<&qbo;{vNES@LkKe`7}Y1j6V?v$(pV7;Erv@{3S>s#nl zbKZ#=Ak|z5lPTVNfb^AmS%N1mMKqsN;=w1dR{s=81qW+&#BAWxO_e;4*Y-~*f&sxO zV^?_N%8c_tr60yo2-L6=b(48g z$MEkhSie85V^A?BQZpvXyf8p3i(afd)el|;i*=@p#d1O!znFLwuHP zu9|JDGZn_hX={Woc4^~Fq~+)7yu2AY+LeQKL{v8xRIU~yeIFuM8>|Ny2+pC#fPrwO zdKM5K=X5?ogXNW_^}3))S%z9=Xx+p@oqknX^7M$w)w*qWUG^$xshM#T)BD6U$Jo;J zq4#JwVx$#9wP*}uW5>2}LsSwSZJf2-)(=V`bz?-D!f=>)6*;uSOW{cf%vi6~;UxlJ zb}IVufeZ0R+v;_|K)|-AK-G$eRpBvyC1m_6tK2~J(HYy`2e@UYXl19uAA3hW_GWxB z%lN|NKe}(&dPhB0jE#JIBg3ZKTv}ONQ5(7F0=Mdd-e~rYxq=glZRcarW@6Dc8-2wG zYzQ{;85Z)HOnq0BzU%)u^3Ma>Nr2NbK-3u$w&;WqAU`e2@(XY@W0UvD7a&)ug+6Yb z3!K}U?O}15ckj(MAwMi^TzMk=@QZLai_6lJKdoDDI@p$<2>(lq_;=S|p3F%%i?FX{ z({<^UaSgpf+mOgm3=C8md?1_86^Hu4-gO8MoOv8j_J-XY+W~>0UJZJqR>)lks5~D} zGvgbtuh!iIVywkFOFOr^-F~;PLnk77=^rm6-^tUwHz+(h_lj(;K`5A2<`a^&kUxwh&S9^tNbu9<@i2z1f#drE&r+lX_!n=S!q2P zd8j@-UpW~((jx~60IKfP2R+DIa%z7J%jT!+R@yTmJx*EuXRI=A*36RtFDvn)J^iLcMNItlj0JqVZ~AKWTa5#=q>` ziMJFGjqeBDo-F@ehogF-!^w12yNWx$S;~t&?)iw-cvHN##}4uW10mHNd~_5df!N3! z-$X|U?-KS|BP4a3Vj4y>c%OwNaDmB&y1Es$w0i8?PUa_lTS`$y^;o_fK_0kGnX^~Z zsaMlGG;{cF#)cmOSA?!(un~xTKZ6MXZlh6qpE(TInp-$_HZPim1I%AKk`oEw38G0O z@<2(f*6gs$QTb904d5VMOensOa2)WCXP-9FJ9f3np9R80DdF3CrRJcXio@nlu( zoOLN=#@jkldN4)+fUmY519bqBu46+`zm~&fXn8{raL#?H!8Bmhv24^szagyk)3O8q zghb3ON1K(jqa*F@9qdJ149QOvzbH-VTEu!|B@idFC#E&Jru3_;F002k6;S8p z)E0MO2OwB;>2Wep>NGVpHE{oI_%*+%|FOxyqTG43mNa`~_guQ&!m65f%tpKbQN8bO zwDstC@w&YYfJcTnOy@nM5RNpr8;C2Y9KV#j@pxyXGq3a>@G$x(XU*ttfAZOP*^iSv z7KW5dGXJzaoSUatNBkQ6^^N{*7vfjxc&QsfK6YHS(*yhs`S>bG@&#pJAf(WX@XW2t zusn0+CZ{2R)#;eGVp)0S6?#O8%YJoen)1PY3W~)`% z+@I~Hd=l|bw4~)7^Ucz&{pk~z?Pfl4!se-Q&>G)=J|^A8sXy@UhN0RGhZaagOSrDT zxaE;=l^~Fb(Cs0^WOlO89skB1u!t7msL@9Zf1m8|HLgVP`Dp+wJ^p-CBUSLhr7s?J z*Y#F8{V^6oQJ zImfV~+IYVQWmo&ykpD$3!u#oiq_@RHDVEJc!`a*J%pwS6o8dY|j;$#kmR#WqF;Vbn z#iukTd|eQt4@U6m^G$e!w#6_4Fmt9HfSEMgRiMa{n_1qUB1^>z=psvNpvcnvM>4EO zj<7P^!OjN~!>zBbs$q~`Vf+v(NxbJ5=5>y}meo!YoZLOJtSi|S0|R0aCd;ytf*laE zuuKB?Yf&RH!7zI`>3T6+k(8yxFU1;#%Lqi|eN4Crf^GV28@ZTxaz0$3;X1NEo*f%* zsV-4_WDp+m>ud*c(*5h<&AeqDBc7LJUdGk)TJ63e<)1dztCv5W!Nr(obM+$c-V1EC z&?xkrRZd)}QHXs$dd$!T5Ys7%YUshEuUUqF6eEMb6(fHHBb@CB5AcH;HYnxM$TJ6I zcw#{9imL3G*f>gC-Ulne0YOeZ!A9G=mf4HSZpbMyR__;uRJ6$9vp>;s-_rh*aknd| z36qnDlHaL*tR;AlOFou5^jj@AI$I=&=QZo+7n0a6q)d49)qs^<%GEeh=2`!~uhvHSlH;AKYEuk%+@7kX0>@EIw@z#*19HefvXZi5_$CTYnt1VnzkDYn328(jWzAS`ZpDacN*Vt<^ z#j=-PHk}p|j(aA^#ZP$@R`^Q%qRekk5%#L%jd^62*HV<662bF9;und*TTMXCizm07 z;H~1YY}9RGm7R+&>(n|$f;>{k9ij&x9M#`d8k&AG=)9xrM&*bo0o9iL=lGg|dSt9v zu@ruV+UcR2VFv(Fp&nqdQTBuYEDMvJ$^j6^E>p7Q`$pc3MtMS<7d07+~6sHM2h|T z!9~`xB^-hprW-pNwA5NZ^V<^N=lsj8C>UogPWjz2Ei*-r_x+vz2mfM5->STONu;j4=R#pJuU{1y zfC!*42Lz0>b+Un~&YVt^FsOrG_|9(M2zf9Wpn!N<3oXXY(}7nxK#RqiUjj37_zld^ z>%#lLn!is&>V^-$>9EteIH$vI^uh~9x^VIQ8FESkT!+_a7eTRX%AiXgEzO-!jKbo? z8<&@=>NyPc(NhGX+bB3Kpy z_)n5Wp~@9z5p=3IK>q834)7mj@EP-&6&ege2AV_9u@-R+PI(NVvkux|E0~@l9^CW`jJexYMnzxuZ z*`IyYc`sY0{iAuo;C2-oV*wXqfCKDbSr&>WpAw$Xa7h1f$Y1WCzw{CQ>9lvfSCw6*G=@)P@Y)bvTK5F& zDMDW0DQ{f;IrcUqnL*;j3f7a8nyzOXuwfbK2i(B-SEq{;Pn}2m*}M={<`mpfy0Mdo zQ0}gGiMOC-c5Edq_=wnBH1HfMar;Z{blk%VcXK*vD3p?<9XF$C1F$5r>kGsUUx(@% z{&-nm7`mO-vTaw_ENDEEDl|cNWjNXYS#~0GfXbim=sPv8nxx_DmRHH&6RNZ*ibrUR zM-(_S<8{T*t?2KMi}q>T+tf2->!KPJMw@KzSN&bWQrVkJl!`pDCrMooc8!`Pqe};U zcK01uqR!t-p^`_joY)(5gtJE$H?@Brd%6oZtX-2m4=m~bh>GC%2)kQvtUpj!E1m%ye zQ~66u4N2XqABdD6&nGd-zJ9T>)=OrtA3t3`_RQTR$=&SzW^$M`#F6nzLjLiGme`_k zpNGQQYs+e?nP!E)XL`pt+G`#B`)l(NuU)K8`Vfx_2KyqdHy*L0-CmMUU;luoPU#c4 zq+k0mGQ-;?6WL;U;B z5Blr*1rLrbOacStYr?G^Xgc=`-;aneOBs9pxKsIK@7e2Khb-zKzO+QfxEF1b2)gZo zs|p=q#UhU%5KY!I&{GktbtrZm4cUp%3sDV30<&xw*7O|U&w^k#F2dd$zecG|u>&UH zfdX&n>5V8O%PlOMy|`i5z+#AZfz+_lQQ0v&{j8>BZbC?2LdbgF!g?OVF}uhydz2(D z6~PYqc&fw}s`Eg!nPz>D^(s6k2s5k8d`nX+c;FZVGlnbY7>@5n%|YH(<2>zW{j2H6 z;SmE$pbVnQ3ZEx#+us`%yswP`(F$5L!Tnb4Sa2RAr4=MYYEE^0~&}eRj5?53n-Wr4WdtRGlz&yq=jJZvH*W=3V!VaiieVI!J5VF(eSBt7U5R9^0 z=9Gox<$>zNVvW0=4+6g)7Y^A6zUT;9FI!kIV-VvK6XS{@jEyIZ&F#p|>&RU%D_|4x zj&&5qII-sY+`prNTvb*jpYOCPo&9#A_menD0baqbN=}fZ(48+2uULl}kg~=SqP_pg zL?ujOkt1LRo+(_o=stESTw)g|UziuJi_=ane&Og&oka#Q?8E{FvuujP4vhJh)`Q6( z?WpjXj-v7vDLVqWS&uzze7|b#Yn;9pOT2Z4OiT*o3(5P&0Lw+fV?~6~8o_6j9f_?`DdpD;ji=;{gl+5uxE8$B2`|4HIFT+ zV+*xAg~fn6p28wV05392H9kuOJefCp}P6eo6; zDNIo_1JK{!61m7eGzW!?e<<-O zqwuRBOo-s7TId@bPz#8_nm>kl6rHDkjUkDi@?hCzSNk+<6)i`;Cl(Rz7&g29IKTnK7H?y?PONn>r9@hD~z&Pn&g9$lBwT|dSYu!j@q2TV#9o> zh$5ZE5=PKhyqee6KNh4t+?R>XNAAhJu%2584|6Khye>Ak-&|h8W|`-_6rgGT>iS67 z*NvEw+%_981x|DP1B~#B*SO4Zt1E)ClpW+UTu>+Exayx2VSAwu`c>@@G(6!=Z!#!|Ef<_T4qxQ!l}@52xR|Qs@ckTCS7=f`9n3IABTFMru$P+ zHj|akqej2zNj?y$J4o7)b#|(SoT_6Vq*-VI#ScTeC5%R`%HkrUSSrXUcGCx)QEZ~_ zW7#}9qZqaB7n+NVVsNte0f6vUtvu0#%<#E4!t_*C}qHqSem96cY2TiVYuhvN3|fhh9uT3{h5(Q|!cF zKG8kk_Ee3PoVhSkl`DeV8F=dS{XWvI-h+mcR|d}G`H0i_>{{3VYBPUa$WFS84i`M% z!T|Mq$luEop?3lh((OavT^<7JcFUL7MoT zbT{B(%(!~!8LrfOZTaZ1yrlf7C;3t8SxhPyTiR^}PgEfS!ghz4MT0o=cDR5z6lYLG za%z)$f@5;t@A0SdkV4_9+tXO%HZVx}%>j^r#|-f({!6rknRxfp=4grWKV(6tcUi^p z3^c~csGfN&c{VeU3hbNQ(=QbrC&mYk*}11NQtp#78M3a%-b5%`8Win2>#J^)ZK%W` z2sJ7>2y&e|bF$aHgZR;tvX226Ktp*ux=9+Xp>zTHy-@xOSS;-4m++JlVNTpFKsq_4 zL~1sE`jBSH1J{i)*mzcrj_(1R(Cm~p$lis*ehaZd@hoD|Vq8V3E@Cm`2P%yQbq_b| z3g$fWK>06XX(Rmi;!7GHnG4!3<et?O0ZE0rwE#6`=;zX>RD66f@rMUd)hnDXL`xbrN z(sN~6`e+xVkS%zw!NCG`?>(rg~F9Ay7*TLC?~JPK!tD(COF)$awZb2ZSC)+ro@RU4;fgo|23i#iWZ(;BDOM zXQ4E(C80=Hu!yBu-^SUvQ}_2ixRpMg^B;0R72h!0Jh5^NP^+n-?;Yc4FA%G!j&UlE zcPeHwD(o3^K)J18u^HBl4@23Tm&;)cl zN1rYZGOj%y06YGQBrWM|R{Ap^o<6`nneez%%qLW|jW`MV^j6L#G?*-o%yNjgqP}Im zJy0!d49^nv5x4N#^m}vj@Rrfly3o-yoNiphr#drz9L|I9%?eWtf5kIZ{ zxpK6N7+kmVcH<6?qtPIIZ-LTj7QMNRdT-IO5xBohryNUA=+-LOmd{4sP_%j(sGCru z8LAG6LgL4!H+|8Ap8P}{vm2TA zfPqIpujC6VMs%JPRYrb_D1!@Tb4l3Nb1NcryX_yuCmBwczU?d_PKk+LMe*4Y6dnJ3 z!LK!#t#gLF#DwZ(VxhUy#Z#ytYS#&_^XT=eh#u>5Wt{Hxa=2jkAxqkBQn5?*4>zKPw*j-`NF+`VF#o<$R-`Y*R7qdr{Y!OX6U-iv`d5h={ zYFYU+?=@B2v8#Uk;w%k%PHq?;7>+R86*vu0+^1_(8B~VuHP>8!aVV^)tMnvr7Njeh zEZ-++Xp2a{sdvq?$=329s|@ayu{?g)q%x`}rNg!QH1!Z+#YpQBEF#EMT_-j+Dg>?9gC+1leZvb` z%v3LH-YS4wyWy$SVHUWXOAuEU+(1al^){FUy4gTG=5{C*KLh;x%wkrdN6IMO)_dxq z$#U&^*5pe~?`w)1M|zV-EY;tqrYWEAZ`K9!;x>I(?0%@q_SAvW;5GG8rC#Mgej~HdwwJXA=cKN`bLc!dq5rjN+ zFIs_qX}aOm(nK8dRo}@!1bgK%2nL>%0V+plz(lLXg#UL-ba+PX{<##?zSYT2$3bQ>Es;n{al@culhc)aR<%IC~ckEr}W%yBE=$pX7XlrBl|u>m=? zZeEe8sK zF(vupt-*vIqPv#k5lT-0Im-Z$#^Y8gh}ZdwNxK%l*pKoZFgi{hQf8atg^jbI=9n6f zH8*><-%-d7BTmIgo8rskRqxJFKR7&+^^7BzAG z*z#e(<#qD-Qf2bNshBgOuA2Wlul*G!iKnnEu_i{MFS{*k=PgKizpCvPC9hu+hKIix zd|Mo=wttZjAG(we=hmU~D7Bs)-ZEL<)=T5%J&>IB;e0+SUv>y-DB3>pC^&>r>uw6p zUq}oC$kh5#V#9Yc=e}v7e34iESDbqe`cG&gvV#bAPQu*75OE&z5uHCtZ?;R&kyE>z z)-m5`r=KE~$fp@gb?g;J2l$U!+N~*`Wy2N!xjEWG@E-K1_ z=Z*SjAON1ZJ`DiTACzA5k_*wj+QdSNO|)GQ;*6%*>r8c+W!+%y5!llGa}R`5;%}hY zo4A&|h@ytwMgzr5Xc#=5vOPoCJYw?h=WAV%4?Qktq5_S+o2IA40yp3hpJ8&>ZF&d= ztl$w_Fgfx+CbAE9_SM7WL%s%K-qi+ODaH&1w`FI?2btapQ;s@p`WfKv!n-NDvKHcnr)^_ zGoU!J;mbtur1Q=lwU}V)oI5+@WOToHLOAXKT}}}5 z|9YPHIPk?lMM33OK_%Blk;q0-lo)5c7-#N4Ox{3DL8a`**4TBRZVU!$-yze=YvLxh zeD~}?-aPgyS(PZ*&|Pap`U9XvJl`6yC>Zjd0$Ri&-S0`nBhSR69r#9G&mx9j8+G)n zqQ!`lcp%l@y||-8YrT9bt{0FG4I}0c)d-%^ziwl4D2t-vQY0XcOpYyRTPi?1L>$VX zg8DRq`tk(iN(AJZp`Dtc3M1xjBjzC4;oscA$M;un(DkCzZwDAM9zn&G3yIBx{59;i z1~1_(y^ArF3Fc-@rPpR38L-Q#LtX7;UReXITR*)_Ntk>IAuWA}ROwF8=zWGxO_rf4 zKMSQr>A`${j(9_koM&UkufKETG_ID4bCd+dd@>M%#)swc;BC2+I)-8o;NLWDcYQX0 zkL8=D3Svd@UsiXO>QBBFav3(P#GehpwmhTA@^|(fQ@J)w_dNI>VU`7@f zmU($i)Kk@z5TXxklzEZ;-9-G|-99$@SLgOIor|G5#zx_r98M;*H6`HaKh61K@VmX} zB=$Ca_QzF5Gbz1Q`!f;A>^5_kyyoY9r^{c>3a3ckCo~3-xtP(qm~~DIcTWrN9`x@X zs5J(7GzO5k%=b(q<`YdrCDzUT(3Makh&e1zd|+b=m%XK^6#J1f_a`$Z>hCzs{#$F3 zIZ?0TWWrKY!dg?Jeqn%?u_g*|TMYkm0k9Xn%wsYqFDu&U06 zy-tU3torr=ljfCkJ7T0CzG0J6FM4sLV?^!0nK;_Q9e?@)6OJAVUt2ixbvn$;Bc&d= z{%ImEe$41js~I$Q?yri5dokK2g?xl#B5*?4%S3lwlYHy`w1~g&{6||G(JxAkt*cF} z8H)^P?Q0fb4J>}_Rv@KyNA13r$9*l5SS)32J#602 zSle{ZD*Z%B)E-nVp4Dr<1^=pUdwvOHY`Y*BDz_g|AC4$5o~v<~M92}gBt|gcXEi5M zG2-uM<~g-G*o!K$$i&|L5IyDR*X)^*H?{DE?IZ1#2^oyJmRVZCNg1_)>ScEAl;op# zvzl)M3TLX(LXF=#jd79#T4G}jvsuPlUgm7H6Z0>z%o=3YOMf!cPAFXU9uA&eZ{7ap zMnOw7L3`m*y6T;W)c`iso2L+ZL@eQ!;#Ke8&h=Ivv9fd3O?>$_ar3sf*llkrI&oS$@o?0u zWI*NB_T9Smmp8myeX;x>4sbT%#Q+_af1Q0WTTea6c`>ko09n5L2P;91xR6oVjLMh! z8Qh(KzahWNmPyBge^TwcKKO94y3k$j7cp-}J6?VVUj9nBCp+(pj_zOcJ&c@zC1*Ai-_q7IxIJ6|5qplm$9ntckn^P|J!d zVF9h5Sfk&-`)s#B9h0vUPmQdcy5G+Dbb0sn0n)xf+dqWKzjeuZ@GeO!f`En+m;Kx2pe+Pul*4r{M_$2GfnmCo8FUB;euYz##gKf+2B)OFqN>7)y1mvSf_KQ;<&vg zO*QgOZ} z!8ep{eYq&@M*7>lE6b!GjSF9p;7lhonBT&g6iq0+fn{|Idpb30aJLf)fr}%$zx}CI zVzM%xR9+8g!ronIn(zRK2jJFebY8&Vx6;oP5#B#<->i-78CwN;mc6%Qm4eHQ7yeN) z<9Edjbj-k?l)>fj^bC~D;7;t`cWAl(FheT(wq*9SJRKe=Lduk|AWtXrj}~#UBc0ei z5?C{Eb$`yhfbkwPpU_?pJ901DDef}%Mq0pw^iFB!E3^HEK#_4Q5fs>hkPs=C4$@!H zRcnjpY>($$1!YVWafJNQdNTfN>RXq&32EtWKtMo&j4neT9Z;zMR9`lm_6`HiIWYRC ziplat6%(LS`BTPZ_`}diwOF%Odu8CR%zkWw52zxqhV$#V9yZHi=)Yx=fY+v#fsA8% znoaz=+5%q%gZ|zUl!*Q2BXbZ!@WyzraT(W}AEJP~h8A-e+GD&2-ymA(u_Zyi1^7O} z?^#JAnA0*r{e`xWs6`&8#_oVEhX0GP^MGn%>({!7J@5VQUH7gvYqB%5XQN3bnc2_(_dG?2 z$kGubosljQme%aa2LJ71a6Ow+7&gw2s~953sS6yve-}Y2bbqCA18qVh?uV&3fo{0a z*r=D1pcGpTp=Lqyrq$KFb=E#m?xpc5*}Hc{AmA%oGq~0y)cyVeZAo$mEm@7DC98R8 zC|mJkW>&PGqh)anWdzV^7&STy;vvnH!yGGpf-RlZ!!Ch*E^^!Sl%{r|#r>efN9~5D)b^1}+TZ5%4$S;qe#ZkuPyY7_oheADHa| z8;nR;C%Ie{B7^bS+CboeyQ6p8#8yP_Or4gPe3~_wfl*c_E5$+@>72&A1BO^|_YP_B zlD95%l;ah@ZI5 z*+_9+Y*gN)VWXdoUA;k+pO&7@C8ZePk&f5OMJFWuyon2Y42O+KDG~T(8wIter<*pO z&io7TD7^qY*d%LF{p-emP^4qH)QEXvHqJ??Yr{%t{IFUe5G=mvu9ouCgWC<6@e(sz zAj1n}h&!~KrAz8>NoT|tLkyE$p0t}~#(N1gh>MsP1I(nL3v{@mo~cLVQ%D=-7icS6 zYQ?vQ|4#a17QV*ce2=i;PgUW4mRwWBikw=~@xt5o+|@0#*T_nf_+L{KSFp`YZcj0y&?>`$+Cz&%|?CS6917(8ggCZkbxX+RliN(1`Tcrs&+%DP98-~06#5m4nu0&)y; z4uwbV=CvPVfR75T>Jd6tDRyM0hOYg4)D{H+@TPLnPn~@;v?;^h-VO;l{tNgxX`oz) z%G8)E+~_wDa7mZ0Dy&C(*BM9rRn^1gmIB*bJ% zIi}!C?hgj#;m`dd9sMFMPaiNC38N6{;GYMuDOK>jB~l&$pZAc2NPW>vS}T zxFp{cCz!^P(d?DQ(}(*;vw^2BM?p6^1#HM^ZblHMfQ16n0PAqV^?o~}JwW01DCA(& zpFIadjR=92+QmQRW`W=)V6i0fP63ILPS&^OK1-^aJbBb$pQZa^34xJLm_Y7-(?tBo z=JZh(l%Lezd(xjuJ9wW3g7>Sexm>JaRSCO{A)D7xr}`{)M{Jf86h3(PED#yBqxIsU@yc|za6nXkOq61- zcJ+fv_mCBV$072FmAw_W$@vFVgITczJ23pVtZdvzH@Vxg$}4QwJ~DrxthWBmLKWti z`Q$lBLvcr6WL~79aHG>uwDS{H?uOuftc!{>&Q(0mJqeGCtg4$KeMlzHL&8GE@`g3| z5fL~%E|T=23*JY(|G$a&kIf(qh4szojIAKPU=RDWjeCN6vrQxn7*BRVf<8aa(v5?L zKaZp!q>jLe`aiCHp1c4lb+p;w(6lwr_Q1kHEhpL0;ZgR!%tv}}{TR7ho@1+?`>pnDA?w{JI-2J z(~Er*bqsB7e*XMk=c{dNB18Fi(Z(%J>=v$TpfHZHk^@9?<%|c*x$-9DvVvd4iRY-r`ufJdo4Ub8JD7ichu7~W%yuE33 zNi2k37@RcXsn8o;Z(MAOJ2pXb7il+@bm%qy0a(2YCW0nUoY*G?2d=fE$ zI4c25!ffY@*-o_>wtJW*l|k9Vl|j#yK|BSw)g4FJ9IF2~4jc)}?=$}CNv%Vfdj#Kp$#qLA`$|3sT>Oe<<$*>l zn}?7+_I+}b^#sA+j0~)?%T}v zzJMT&P7*IDsMB-cd(%x1CKWv=OX5VGAojYGDtV-0P9W^9%s_hg_oml90p{bLRqEC06e*K#|K>+Mz^558r@ZZ>p z@V;kzmWHd>m&<1cCr84W+v{cp&T1a4SFO_Uz*OnOk?N*z7C;&^-dD#Kf`i#fj|Zmj z^4Wkq;B#dpviRF_imKzacsPt2dp`|K)>G5OUNN@`ajhsM^ApNhkQV5jPY23d^C03x z3TecoI7KJ;T_h4*rwl7Q(h3kvB4W>dWS3Sa%b4wTqZwljzYDNg0cweT6Tf+g)mOY{ zWOt|X-dwUJk$%p-!b~rpycZ`rvIWEB4((GnBg2tbF1xK#P;y(?>vro+ko1`KeEz7gPgy1V#~&}1FPTmO^Nome+4If9w{H=LjV85$)XMzDz0VtaBlJ3x_di2D zq)pR0wY6N?t&Sxcj@BOIG=%C`fq)re4f-6n))TjwdFea zCPz4D&|Xv?%%B2Lj+N)91~q1)Q~E@CGYc~n88&9$f__6xamhxnBX%g{{*u}rTu5!V zzIN!6;jTmiymW|aHyvCvUl#)Kf09@>jP`9DTm5cuw6*>4RA)u_uZ=iWW}<}+v)aO_ z=y_yYyQ{x){jsk^#>tr6r}Lv&#F@$Yp?h_4t*=hcd+xKOZSJ}i)RH-k8%D9Q$#eb6IY*%jiweliQU`xQ0PhLac;YsoD>`yHaZyF zKE8%HidX zG*r<{yLd?F<^BYo{pZ7$YNXywf$C`ca-u`t!-`@_OZr^UQX6gO0WGbH;{Upf59~s) zTGB@)I`F--H+T6eO3gj4n^qF72wLy$&Erd=+rWl5m{!QeUhu^ItEdjxoL&+=c=3n+ z>$rJSD2MwyE|%WI9Z7ccQLVG_=SH$%e ze$o>iX*Wi`{>}RUfpcr1mf+c&VS;BTyWO-4{-ImJy#aylv0r60ZsSe7YQf>gqu1HG z&V5kTY3giFK=suWy#4+G`RfMkud5X6H_~7G!*DagBORfjLPbirs4Aqn?+K?9(EMj4 z9Kr0v@hx#yrp?b!3(}xfA3?xTt3>ekh3CH{n~a5l?ka`=vSme+ivqSm3oU##1XQ`- zlv2OO8!|*P`QqX9n}bEpbc(Ckj-nr(B-mxZ)Zh#U%UL+hr%ieHXITV-H^XRBHs#%( zW#RwTykq?8XGOD^Hhkw2$uqVR*^+k|^!CsWzg7qc8P|J|{9p|f(l|E9xEmAg6-yLM z%OO15J5@)E*Y4>J70%KaBG-aWB6tf)aruWfWX04UHN)z21I@kj17}Tkm61ci{V7m= zVdN0Q)BtF<+B|^dOJKJCug#0YloCEAgF@k+tiPVxg(ChM5i_zIBp*M=4^x?o8FffA z0H)us=C+=gAo&W4_VpZE%<*gabyoCla8xTOc5k7k-<$8_=(Vz?Ng$;yPE_I!@&`3& z12Yfj^?;z7q|A)*7;l+Tw6UkN_4VDf;?p%c^o4*T(<;RUZvxvhj=C|sI?;PSa|J6f z=VQ6{mnS_}FwBWxo;sx9Ri$s9Z272Nx%{1@cu_lmo1mnh;$DA(4Yce#UfnXk#Fmi( zGUmK3w=*@p9=6}+N3V6%MYngJ}~( zaU9L!Hi_J+mz)otI>>nsm*Xsz+Yz4e$PS=+4jTTEXzEoGUQbkA9;kf(oCmH||HeEt z3L;H;+nq@+P!B+R{7rxWOyqAyWJ71t_u&N`;B>1&)%MdcznP9NWjl@HhrKMqDEy5q8mhmDK9{5RjRF)oHz z&9|=JGEiCRbfbnwpnk=;YG{8xaWj$Gq5` zRy{(dbv*nW!kcc z?@KL35EtIEo_eerH<;wh9?mNG8RI}Rg99{G#|UxS-hHKLGfyB3ZF*%^i9 z+ountB;u|RZ25gF8lVN}(3`f%GO3}Ztor+@d0xrvaZ^wfFp5M}l7Q!Xq z6_=E>$jxb`S06V1wnD`?^uOUDuiL6qZ=VW5{`}tORC2q)5w&4fazK|5!URLZAT1XC z0Qm4#{U5jx#Q%hgTpWi1MZ&&hW4MygFWIf=(ZEok`ES$&^UZ+i)Rpo!oE(-g=x0Wm zPwgtHvuDU1{jQn$MeMUDV8-mR?9Vo$EfK3lS+h{CE>cFT@D?J(7kKE6t~ds(yDdZ) z%{bb3Vd|t)6J+Yyz#^BEK=pS_%GCfaJOHzm1UjK5sAS&D*Z=m&eK^o5IVz|$5(x`e zN7EtvJyoJhG;AZsv}~NGX4uNpa;6ICYA#1m%{9v>9Lg3z1~X?W32iXK801>t8Z*4Q z$y{Z&cyzg?iAVB+6S1(%2B-+90R_7OMY{ciMEeI%OGGsP21o!yqL7du0mu?m({n7! zYxx;(e1iVet`mPPoTT3wMlt%}-bKsZsdY6!B8Y%Lqx^}M~5Lu zc6wJ1uC3uVo&>OypoRJj(kmM_rb> z`mT3R$TZiYb|zG+chS;Xp>)y#xO`=B&r{Q)J_TSgAXY+3t6Yb)#-r@^3FgXAGaz&2 z^erv11$f_7%;M%gGlTW&XJ)Ew#cXX4cqUHmndNW_AYX?3WYITu3=ArqTmx)6k(M$fLB1@RcTdVnr&LU%lWV){YOk?c#UO04k_gwuz(eic zYwaqOK>F7k_CMSh)UjZkEV(nYgZH{(V4*tYnU82(&1*&K`02@3McUp3PvhMd?Jxx# zYa3*>L=*U+UKQ3_4@b496}!;#n>A8#>VvDX0g0h2fYoW`NjwJO^9AuJfl*u-B0beO z-eYuFtfubdZsPstX?~T9DpgZUbDsjNpv-!FL#M-eYyJfA!R@t-$DwjlwvYk zNI{Iy{(%7{#o|Ae6#K>#w32cQW0mDbg|^D-$q}zbDPpX$eDwb_vCywGWa@term9CX6`i_`q85{&4C`R@NrnD1D4l`*ni?sk7Eb;OPFuY`G})zM|VmMpr) zX6C*7k4iJ4;nEcC&yaFA@RWh=O8peKepG<=8%#nM+l0dvI9wvx&;5 zqMHFiHNUvO{uMDF(|AtlRkM0qK&r0SvT=_aEuR3Egd4j9gOj+7%3=5tBr5bdE(hr< zvw)Piz<6>xpBTfMI(Y`F;yJD&LhJ)JO5DQge!u3}T+)sZtl~&)tme-Hb7*QlRmFTf13xc*$L>J|nm8 zFfGEp=Yn>qMj--l9}-+yCxzk0P|G-0pkk)6ZvUUSlrEzu4s5EgY8fV7=Rt|yyGWz5 z7_zF3?ME#WiYwIGeysAZ2*)42Qe*D|mki{;!9@ytm(g$M{YUt2G%e%3dBK1{S?mAS z6TZBv!L!iNzdcbICHT3zaGN_Au|F~FHN!ZEjGodr-(GmT`SQDeF{SZoyrc~I6vVGH zx<70J;`eLoQhT3ElU03lpUbb13}n_U^Md+FMa!}y^*H~!q%Csw5uT1gDd3ay#;uCi zEWjkSbM@Ru5_VnrPdp7gkZE=}QcBL|h-u71}_BJ3`4Cng4@EN5$Gg`L=y4B+hnN zm(;*OJ+GK~&)0ZvGW}b9Z8T2t%Nl?nM&o$$?@nq(oITl4ehvke2jq17H;hmKOOyNFUJU#+zYX=DDhN|{Bjq;uSd z4qQb>053`{-v^KZ%YlQ^@!5R~OK7+(8kwPfTsFFUAid+?mTD9`)q2<7BK8wF;1vs) zaptZ8Rn38{+AT5`{97L+R|vE3wL4erqn-i3qf)!x-+DxODGB2?FE9&7W&Z_7xqxL{_q zE$pa9^`lYE^+1(rtH00!Ca;FNn0#DRJ#GpPsQch_t7mH_dV4|4!bu>l@%#5@_2O)s zkvgt&!Nj@l?uWC1q?ao-_c@QL?Ab|cX}MKPaUgKSwl0m_ zM#+WYN+N#FlFZ&)-#q6(KP#6?6Fl0S*yo*ics1C#{(~Tw+GgEnHyZfe{rTk%1r~;Kyc7D573OWZ0f+Nl4L_QUT)85H7 zUpNP;b4t!OxIsUa4L>76Wco_5TH2aOAn-p!bdgrrKwmj5pL%OHk@ZKW7NU$os{134 zOJifcod(YT>7mI>I;^)g64d40C#;Cua)(zl@uS|GHOnviH|wXHFvx6a^KRRVe^vmM z;`=HgHK-45o0WU6l_Olo(08X4qb4uuS4&c?MLW?|1qj7x5VLh*gbpuPa-Tv>Spp+; z5V!uitpptTdf!qIovTjyfg28m09Q;RD?DI<*d@B_@1I&XtT0a{);M30>#SYwquiB# zv_QCb__I!{#{|`#dCt~#&qE;Eoj?DQiLb7`uF<3!ns2&S^762@5(fY`(yv+UX)S=p zV0@-N=M@2<&Cnay5b#D^5aUwc1hPXMX)Qn%?MpdoAh7JYmIA)WIXDwY6EBmBSys)v zZ-^d`v}k8hIc^CJE9)8V^t16rcI&B`8$-{75YM!(ZI znN~QNy`M~Y0opXRF2rXQ3*V))*Bz8pBG*9_f>iGIaR8d;83(Opw2rZ3XIy`r z(43+9Mo*Y`xT`m_y0Mq3@x<#Hf9BS;!*7B)GFT(Gj5|4B)W?{bQ03fd39|;&sby76 zaBMG_=l^=T(FdyTKfU!mm$Hd3hB^4%_k0(@wrb2}UL(wZxg}KlDDCC_DEv4FxhQf{ z`v}BKG5Cm`wRZ)b)c`tc81upLx~&jED!`Pyj44Pm$RsAn1);nDP%OCokuP> zm+!a&KK%Ei^&wJP!G-!81O5{Hp^mqtO&%E_^J2b_F>scL7Q_{&YIP_mKSk^yxBa5E5HV{|&g_P8< z0)y#04`fO`8#(ZGF0;+L*FNTMc=4RbTDPY7wnhC}lD-_!=1$e`Vk5IkNA0@JEZozE zev5w;ajg!HF8-pTLuTHme}Yi;dFv*gm>a&On>kx3_~fC$F>()K9Ahg{vaM)~0!i;Z z#eD>Ii2e7*5kL1s9LQiY!z&>QpIa)JVLHJM@K$~yT-GIL;G8(H4%To?13IuyYU>$Z zCdKfNwZa&q(ime*nG|STtXbDc*br+Z%43W=W7Df@ww@47cZIR|?&WRAd&A>wW3S7< zaO@Z$iSb1;MNbYNN37(pZak)0fSg>iTdN~yQ_e20D9VSnho$z8w~y#Rz?Fs$WP;T^ zb^oz?{C@HNcON27rjeZcMENmip4MVIVz~Uqg>d-W;-dVUl7~Qzh(e?f{phY+DBrx| z3NtXWg8*F)MG;BN&ry=3bd|}vIR9o9e|yCM6#QS`HuDRGs(T(I9?|*Wq6(S@O^e@( zIvc@Ce&8A~mki=oejXXRRJG2BD}yzZ4%n1JDG=UQ1Z*<3ul5p|*D97BrALaZJY|@Q zh@lYa$VB9LS$|(a949O})W#)CIxWT!RggEe=B_VF|K*sX z=_H!8632EkA7GEk9hAS7_nxuWyCpn!uDqDVp8ZEP zzkCfOXJHrIv=n=|}pm)R|3W%D_M@?GY85LMmXqa7~MKJjJ{h2`S;kxjwNU;c8*9qU>VeoTaGa)>{Pkn7uA-CAW;XLq$|Lcs`G$_M0N{yeeZmm)PVmejn89=`)<51L4y9)PML48NhRu;s?AhhB8ZOfp^3=pFYvyPC(*(%3e#Yp~tP z_^urM?zTfX@5j^H@2^nkjT3G=Ua=|kQU~7+NgW?=_{uwFt$aGmtl8UmhzFb7K5Cy? zC};UIb)Jbh7#uCk^^LF+$rn2-z|T5mhz16@Bzf9G@0x$3ncu!PlL`HX8m{@D)hqun zHCNbv_NDKu>FnE{VT7DrFcK!)3v1}J1D71+hllvh)j`0vNN-IKHsVP98x;q@%xi?h zpMFhM%Otg8Pl$QY%PHR|C*pK_{4X#wW13L}(#3H4mu6hI{@ANC3Rd=M z+!I1%koR`1TnPL;gAl_jyu!2NEhDwC4L21Nbu^`rX;cbZ@FxG@WrL$A2Z9Y%L9k&n)7DYO0q`Zn>KN%j{fWT>_F43! z)MO`&5AlPiPmJtQjT1`Yr6cAf$Az*{bmK@KBiFK#Bs-ZFXKKGG0~;{QV(APnQ`W!YgGk2>^jfpC zhimnjZS-~Y{DdlWjYSB-r-XwGg5TLf=|d82D2ae-nGL{gkoi6?J>^z+eim2m*8A1y z29Jz3jh4FG50Cf{_l~1Ytz-MQH%cxt`DF2Q8)Gm6aPRD`Ax<)Q)n3HOG)rjhLq*?w z60-xzRzJjZ%HvOWT+$V)ncRmzb#|=5Uv{6+^RNpEAw1TssRhk z2VGA;p7}bJu^`#c87f=&#XtVDqQ-Q2%IefwVMT3`zW6ZDIVIMxNzqGE+8x+WtP1!l zN3y7Q?G%SX=m^BgRvhg-NTSn`#m?2?h*5!mYTH3ZscX%M*+vEm9-IFouaOr7Hm1i< zob8aC_XBG8@^(1C5V+7=I9KqX?l-jw$!UY^5#}#52zGvcfI9ERqD9o^&BzR&ZGV|O z^Lh3Y6pIG}%+d5_zZTTeFp1hbGx@2q9p2c#$*|$7#tYaWztfg|E4B< zl+)P2$fR7>ysX4JaoM!^xM^rm4%p(c#4AnTxUK?<2dqPi z2kCkRS!+A$9zqb~>bU;ak`&&j_OxdojFOEJxLHhpA$d7(IYF-BO9@;9a$j!`7=~i{;VkmZx3# zzeM5xNfYkr7Vb!^>?XF|p}5w-EOemizYy!i(%_+D9xgi_@=Vt_T-ozh!=}9RGZqA% zAa)o{Af^qPb0JF(t>ng;*CmkGZCz8q812zqjQ) z!TBd#Jt{t_Xtt%O&4}WMSy1k}xxV;!5GxgXmVY-o0K#7v)o)x|e_D9NrbO6cBM0G! zC=tdu;kVc{!^@bF)TqTd92P@{fA1s7!GV|kg6fl^H#I}`oiRsXnireUhwWk9y3n2O z@#g0+9eQZlZPAC~L?tv3o;~JO~S=0LT0EJg< z!hGANbTdWto-FFiJPwm2Z^Wy-7ft@O_FRRdVd)=AA&bHltVBC{Hy9NlAKq38?iGQX zjA8pq$Z~0VQ5je#?O9+a&8ubVqvD_9QZXHzrcY`SDh&i~8R2JBenoxi#brhjf5jm~fUVKg$QWv5Hck%MXVc8352fRWFk&`?ZT*3om_xw^MZycq zDt%}qwL)&&st=OdeC944k?u|MVe-&MMYOZ z^QPehoxn27eR_M~<@QzjTW@E)4EtZN${{fd#UvgQ%Xb0uOu6zK5pCHPxt@)L+_O&p_X;)kI}7U(3HBL z9`doY6}|O;ZC)G(U*@}?&iJmU1$_*H{#_3VdNj}mP0TjTIE@CtuMg8}R6;CndZK9+ zE1iLP)!fbVoz1HvPLeKiV(W;|Qi}g=jp-n)(7NKM=ng)UY)_&=U0c5=@bwe%dxUs{ zh%H&nSzXNGJNh7g^dVe^Cq{-RJ2o;WHgYj%Mxm8`)Xld|qT}%#gGm-pUN4)_+=^}< zX&nwhTlM)GX`DhsYlx``%?&CY>PyZ;Py9G`Z$obRaWKk5c%+oSKOcPP5+f5xjf$j5 z-`(-$7FRB8{;vK;e5~;KUM-?lT(U&&c}$vJNB7Z4<;6ce-^=GkfSQZq zLSS(-Ae_z0$P)%VVS0YiUs_^cVEhr;uUajgy7_ch%cz-rt!7`FCc|KRO-<} zp{M%ycNV%dOw2>i*sMOZ5DmgZdAj--tZaY-KRlGp{gk;JGI< znzC$R_X#Ws`5#~8e*}r5suk~m!pehLo|K3Tei?f7WeA#SK_>U?tP zidSr?9&tM}eLq*O9Au7`ZoLGEaF6?sEb<&d6=OXNR4P=7wS$PDwP-R7MAq$rsO(Q? zl+XgHeYgba-o22yCYu`&EJpR5ub?Q62nLF_?9o;3PQ!Su@z0%pT{?_I>#%R?se{sF zBjr*)Gj~Uz*OL))HUGIzH;emtZ&&=zA6I@#mQxNP_nGtD%7JpgsQ9)yf9g6NWE5{uVDh{w6Lw{wDwB z@h5idV8j~O@IQF`{lnsqLS!q?c;e^d`U4T|la+&aPq&PC3o7KYCL>I372B0;ryy;S zFs@5=ry?vGcdIl5%z;aZ5wZeat=9hfsY@%$ejSH_YZdvSC+4MMu*=3{vhL5N=1?x4 ziPxm;y*+#rck}Fvj36%{KTS0>AgFo{pxA9AjXjiZrvAx1TYat#E2d}B$WHbKH^eD+ zmjU@`#G0U-2;4aUgJ$qK86BOJ8(J();Ip>YC~NVc@qN*bo#cAzx8ldNOJR3=y-KOb zOPbeLGXA0B=7;G}2Q5c3t}qIT=JL{AHZQ)$mH0p#g3reLfegHd6;-waX6AKPU-4I7 z3*qutFxlIAsNUK2e4AxlEAVUTe6O-_TccWxudIRfDc_i5QM^P|&fxm${L_yy{prgP zBahe`H~lO5E%i^A9&x~PGG?FAZE6MQMaDixT?xkGMZ`R_?V_beo$ngBWK#jnHd^)7 zO<{1sp|Q?Ox%p7OMagQej_vMOBq_K-k^(nKTZ#A}sER=lq?|dg(za?U^0v#Eih}v6 z42oxKF9HwB2~6Vm4&zkxQP3;N=<0k}e}u$kP{r=w(TARP5F&!jrHE4QqjvjihgYl5DNIQXWplrC_;&nK$7;@RU)<=)4Om z$#^ZyN4ISMibPTy_w|jYi#y5e)nKinAjXTVoOk6pH8J`R#LX;Kxh;X-@PiM5|C}b6q1#15x+Zui5 zrtGk!aT#rM8C5j&AVV1;QPSf;2XYFW}gd=HjWtwwcK;qproRM({5dNQVFUsL{Gl$^y`<=$zw=s*M& z&AZfZ8*XlegN6r5{&T-e>h6xZxamz8dQ2Eni=o=OEoV`u=szwPhJ}N29>O6)U{u>u zLqr5P;YQvzsb0dx@>B+#Ztb@@V>~R*#3fb@@a^WEMc>sI)F(g(2TWTwVA?v2_`xTw zMDmP}Cednv?mJ&GR(U6+YPRwbv2M&>`KQbCsf4Nv?`;j<_RdlJdpJRz%MM&hn>Q<& zy{w=k2|SpTqAGD0l-@Ru0CTMIdd;`1I&K_7nDn6qr`bS-;N+jmuL{vo!3YJ^`)wR~ zsN--0;k0zP+YX$j#ejs4aGKWsWQZe9)Dg;8nwoy>fm z;PI&Ze()knhoNP1_fn>a!rTK$7s)?FT9k;ETAs2jH+Mtq3*+3oXqF81VFyPZG0Li7 zEg6Q3rP>lXhub>UvWP6^+@<2C!^UQPz4$p$)2#Xbxb9C&xEzl8{pV0a=;&ASqT3f&;EhluP8MQCYsy)}sKkrI$J2;Lr3v^E)* z_X@`vc)l%qE%F9l5QIg(n;=LINy;scCc&z?&^J^R=-!xXZQOh{F&wt z{GP^4DT7w}?7k*wEgblPUC>Ve%-AQ=LkWi9-XO%`tR%aES?;6Gr+|Z)nj_m?%<^hN z$=Q`&&XpdTLfz_KZryHHR=|wa@~W}GpV#2pi~NpbimytW0+ffyV!Btpk8jzh78;0SiF$mx02W1!Fv~F92WU!)^K!F`Ay6>IxxER-NZZyj3!BKK%oPpX)WFT6?c5qwcZ<~QAcq;rbSQ?8V1;FE^$|yzo z^R@p_O`mL1)vqVjHsUT7l6E%w`E5Jj-+xTEw53Tek@5N)n48YS@ySrX1pLUTI`$nF z4iD6T4kr4fAZ>g~5BxOj^Q!3psL9=T`Z<`y!LE!yGicZkuLJ~Z|2!DL{hH7( zY{(0K+F<$;Z=`+;vwM;=J}r zO2VOWMs_J)1mn_8!U4rUQ|%Z7&~p|?I)wKuR#&cT=G86)<~1JrtR%HxZ|9YDJN&b; z@Oqx{e&JBh5!x%CJJRCI=)b?b*nHpN2GNsqyuj>_ zr%jza7FvY?@CcFjJ_wkQhhCe|Pd#M+t8z||VzKqG;fF|M_znzdey%zy{i*0@j*ut? z<*H{(O>I(f^Rz&89KexBlCG5Nfc&P2ado^H#+^4UoljyTTRd!`4Z%;Na=Liw;FjGB zhFP@vmMR^pY?C>>n!~=VJ9*V2KIhacR2;aahNjUxL`pFkh&J8INONIi+4f5+U`;vM za0jky#;@I*6aim*JQG0nDmgGWq*$iaO-Kjnx8`1dj}2RgaY!Ft6j*$fGE}E8m7tXz zZb2C;(xGYW20u`J1!LC(PK9~H_&IfXj@PTn7-ZW`1rl?mLl*u9s46H^06=BWjRvS_ zQP^|1q=>A;+mM~(bsYJ=D~B&(eEe+6q?7jNYxzI`E|%<8(iiNRqJ6&zalL80B$SaTA5NUfpZBdY5qtzW7`kfb9GuaEB7 zMbDo5l6=H&aXt~KI8GM#FT31xsIqov;l!{8fnZqP(vd$voUNFgZ8c_owUKDY9jRao zNzS*2s6##Uy1T3mMvawf+B)Sb($@w@T0aGg$5@Rm?zGldORa7w()+JsGz!bPdJS&;_!78i0)DF zckmiYCPQp=rN6(b`o=t6zv9p2#{!B;5497r9rtT)Sd&jWo2k^ZEzuP)Q@eZ0SQz3XS%ci1i ziR{n-mA$mLTze5`+tQacbwSw6L@IL=XpAna`Q#^`v#VD|CBdYzPZSK1N25PDY7)nm zj_1YMB6`n-p7sv!PFU_n<*;G6b$R_e;i#zOHp`wF9G5rGpj*F{NM~ek z=4Kcbcu5z~A4CpbBD-tCdhpt-raEMS(%fq5W15Fe^rK;8Yt{|YlE3-Syu5#pyY9!r zsy~{iU8#(dBbW{LEJLJNH-y>eQ4i&}<7-^D4KFsHc_vF97YZ5Q^=1|$md=1?x}%=m zFc1te(%H5zDl!QGuVwUouaUyrA|N{`RC@LCYq}Mk*=Y5VZCWai`|!YGEJ~w z+Zxhi#lWIho^|^1peNJ^${*)MfeH0Fm&0~>r5)LrJ8jnajT8%dLtlAa8XJt%l>uJm zxh7T}6npX-anf*ao}yX#pi$y{phGIFi2U|>1^C9sFnS=qpl)CEi`isU zL3fpNBbvb0_(*Zr%-)A}0`j5QQKW#CFs@D$D64;z;JU1x_95^!r((5EnlgwVm3jSC zN0Je!K8l$ZASO$@s%r7&kRL|I+H|HG?KjB~cFZfHq!Y?W z6Ux3`A4a!gSiaXSPfr^}e#eLV;qZP2BB6igJ!GxEP#RGdbqC)$#TW$KG`cE;tNpcjyGdQ}lB$ApqM=`7)bOz6Ol%oEz?_!`<8%-E` z6e`R?e+}UyvSM`)GcKZ!=l!5R)tZJn50S3J{tXr>wbnu_5%9ftk9N9NFWNmno!#in%5rqrTL&$UcXXpApjlwOt9GXF-AF~Q1LyP?bE?gUtV?K;5$ zGWXsD;bE0yGm$rV9h6R}A%iB|;8mLXI4q1h8QGzWL9N7h{1gZ_)czY|#V#H41|N;K@+; zOG)&A=!70mCXmpR@dzaJu!4l1%!`@*2r#o>`SPw3Na$HbC-m6nwgFBnMcpWoAwTgp zZ~j(E4R64DiaMCtAEOf(jn^4j$I0Q{=bDmMK1zf#(DFsY80N1rSh?H1-6tuH{KKE0 zh}2Py(NR_6MOZ5HTIM8`fTdq`6f7W*hBnQMdRUUrJeSgJPtWY9{jF=6hRWlhAgfEg z<4^Ck#!TPzh{Emn2S@b@z6g}lmb<8LI&_1Up~UA_2EV`L>w$9UA% z-pn%}*iYlm@mO8;U&K!zm9t{sLe!fgzmE8$BZ8X7uQgXC!@_ynA`03RhG!Ip&9d!W zvh85~<>LM2uNEbx`rSn_i%!40NA`+6Mu4D2=L2;&sLSU*`}BuYP5?oxM+htTjr=%O z`{wul3I99l)*oiC^eMHXW`*0J<>L4GLqE2`pTO?(lW0Z3pP-1>a7lG$pyiNv)L|b% z2k_YT%g&rvTl|B*rC>QkO#jAmNx<`z+O8?6JbvjnCpTjyeuq&*cy$wS-uHiCS zv?;WLY5(kOIIO?u_RYE>-cM{Tw;45Uk$%nL{z7#A8dk17jeWtqyeB9C|#yj#s~^PBjEmzowXa#q^f$0?w%Xf1UfQEvg*5zxdoM)1BDt7;vzCA zGAAd>hS5j{T(H$kIm~YHGwL8ocw~z?SJk3dn94%pVmZkW;9Z4k!y4x^QpxItz92Mc8PP^>W)z{EQGzh)p{wnMLvGo>URXttb zu%d*72uMpPDczvbDJ>vfQild<$t`v0ZV(WV=Fo@kM!F6i(s1Y$5O}x$`@Wy|exL8V zuEpLnbJome&z@MbezRtgK?Ghv1hBbZJO#L4KuMnlkv{FjM(650J3{Z`r&)c&sPSqq z(l63m@iEOaRHO9g(i%sw$0m(Dp{Ebqb<}#ka;#L*%=cY$7>2(XCZ-9xGG71OLh@!1 z44!uP8G*rX?9xw9yD`ogO|)J`;+SZ8zAyvDN{&~My@A23q*F{9^Nx%6ho^OYWV^CGpM`%Vb4Z^) zae5nYb-I)9aY8NR?j@&8dAQSm#46-Y@((czxeE;XfMEfT6Aq7)&u8mKXY0SV`#V7b z#^QoN%3;6-Nk*AcTA4C3Lohr;@Wp@U{;zys)~tVWOYHnD;9u9jCH+%S8D!C>@Lz?Q z13w>Lg}%`RofIKpk?sF>QiKlSCGofE64S=%pdR_WqKo<0?ChX;OvgNHRD|{o@;u}k)Y_Ifjl$wd;y6_F2^gLdVje}Em0))$bD8y^j zDqPYjn~|}icpZ|>SpZypoEr++{Z34@+T>=t>#fU;CpJIlH1$jd%DMOOV`I3HJ*Y+( z;Nl_1=u~ly=brQ#ip)=~i>0^A9PdJ&tzLdSjyhW1UUkshe~1p6ltpQnmQd}#W7DBw z?pb-TvS0SZ*FOOF?MiaF9q-c|p`$vbo|Wy@N4Vh8fv}^mvOP)eMvt*gE>I{z$3zze z0zy_Yy_8`Xw@b=8BZ6-+{Uri%1%hK`%qc-AqomxMgO;wF z2rel?--EJ_Gf6IGdgEo+j*3bzaGmo=L#o>@pbc;6qZ24(eoK-+MPmURsA#+iEYsa0 zU%q56p?Z?uAwv- z7&Y+xF7-8oAbJ8Pmg~&f{Q|)Nl(y?(SDRj##Yu3iZ7$rp`*v6u7T%+?ZFyp zr)>Et6P&3|Z>72Iqf&t?T@=`Aaziz9T)9t%i9OEm*W!qfC{0$*cReIJQ?BFn(d6^F z4_gWR;CadM9D5J@HW{%!L4QU5wKgAD2Upws;_;4EGr19EW;POk@r^~M%Qy~8Qxc>` zML39WG`#QdfULj3qcxMp0R5y=1R90Z4FqtO2wf1H%n;060^vqwU@k)rvc@jMkta=V zvSJ>k9hyJ9mktC{Hf z)C7SsQ}hI2;iB}Ipev2;s4vC-9pAe)y_P*Y-gU>Qzj-akfbB@gAoV9e?95&D;_E*s z2k6iU7~7IPdml8(?1@KZp1}h zOHtkTQl)1vXx;;PPRq?wnma9{TCqP09z#RU!4CigW$^Wc5wYK3}IQVofedk zccKSf23h3M4^nFH|Hy(4dv&h1vJk8nu^esC&856|{*eQjl5%dm)I5-(Ed}HKih)g3`+w1~kNrabZF9Ghi!zhGK zw{($_9V@J;8IQkne0Bp@k#+B0UW$_lyvzy{ebPBMkG1$Iz%F13gjwjub$P)0{jCwk zx%Z?Z(r^$pcU8}XiQe@)KItR3KQU^L5_7x{C%>Mo`i);%&S~s$*9T@UGGo7B^;sz4 z@FFU34s3r{DDLpk=zDM&0Wt8LfcQBB8zVg%qmteS1-%d7>b@t}iGHgSRnViRXM09S z985qQ9D>yqg7tXSZ_IOiPgl4+mFDGNu!e?}ea%lHAVowb`H+I)w?vCn@+^4d+%pJY zKng|2Ae5u()i-r z97=zK;oeX;ZG1nb77RQ$hqYX_sr+>pPNn-Y4uW!Vt`k&jvz5+_~fKXZvbfW9)JshmzM+8@loF;S=&t>F6Y6`?G$SGQK92 zlM9WF1!KSmRmXp#|MlaW_;_qOx}ezDtRL$iRJr2gU&uL12QgYEVvMCwNCZBl`xUZ| z*=cU%+%uDYE%zJ;1qJVeqj9^bar=6gUq478sBs_>V9|rbK%_uVq@cu-tiY1|O^YK% zi{nkjCsj|nel)xnq;tvuc)Z;S!&=+ru&PMQxe|l9OA0o0B1iUZ%^5jv_SDGA-YVq1W zlEnA(@F)J&8niM}Bo`0r1v}c@L3by9Q)H;UcoHEKzB0Xq68mODJo3**39uac=uu_| z^WSw5`qn`dDewdEbYLJ@)X{T3>;D%l!uX37MBt;)j}H>Neq4CXi9T=R!d3TUKm<{w~2xUKh4&_F_*nEv$ zk{vf6OkWG&DcaE#El4{%l8>$P{VNSGeLY)rNPO2XMw4E)vP7o$Ql z#N79lV{@c9^Cv>IlGjVA26_qNORTeG;T)KeHaOBXXficuG`jvVFtL8bN+05rsr6@5 zYmQ1@fl6MIS+W-(qYchqM&Li3|1h_Mp18IJ4t*arp=a!8UH-Kp#K>G2K3)QC2(c=s ziHD=koGsoOQH1AUuKQsiHITa}^QbRT>}c8;ZZIWeHhyU4xK9&Pq=t*eA;&%B>u+{1 z=hNSwHJ8P->VsB<*&!V{G|Zz`s!$pg*AV_I0Y?U)gZZnhNu+ZqbvpfO6G|}sJ~_qD zh%`q{MWcY{P$A#0L6Qq5{HG=Y;sOjLonx*RmeY3`bR!sBY4SW7$9r44-}Wg`t{P8j z&BM;bdT(#SUabCM;?Ix~kuiCxnM+l1vYqGTo*B3vU8{_{hV|9!rtwQ;vks|OJP6xR z75(`Q+z>8UU}q-S`HX7aV{_~C+=#l4=9UjwVPorZB4F2=idxw{E#IdaFF>tcu_nL$ zYw+a3r(Xzb>|_N3lW+tMxPC>5E2J7U068n_tuJgDhd8h zf-L;0ksIo8`KfUC7+pBexsL2?>H69!aSI6`ycZ7oe`OC3*d@9nGc%~*@)GtrtRDssVuFW* z`fH)^o^r&M#&@!Lp=X_MU7}(Z<6;B}O*}uw6B>C2RPac)9B3c^ZdMC@*%JnszAAaB z4WL?otpHDyn4;P42VI^yzV)=U=+vrx%XW|7KhKFkJ}>2rT;C_@OwFkU{fLgvbJob~ zF=NOt6kAO_->U0zRbKHJ)4B}tzAOJLbY&I^f{(!4NG+R`TU~%`A0t z?P?B@GP>2BnCfk92{F6s1TGRq6z)?pZaS?KGQHp=527{g3Y)Nk5$z9G~> z;5I&CMw;jRC@vES&zlR*D^<=i=b4h`fk(F(%#T(a`=GkhJb@2?I6Gc)c{V>fj^|2m zQKIYOO+g^329Er`C~8`V`=!TJeXtiiuG$e_d|_ba2wi-~C%iy~^=L(d3QP~e=kz8P z@bkc)?_G6kcoAazG(F(959Ky2^9ZrBSV9vHu#t9r(y1=Iph@+6<8Tt*nM{dv84bS~ zL}9*X$0|uh)ksIx7(^)^Mk$8)s+;?&SG5&^r2T0MB$o1BmU1g1Ef*p!UaXS0|6w2c zFZQIld(fML#y3=rAr$6e6lRF;6mA}~u@ARlOlw@(7mFs(PY{Ke*ToVfl08?|F%C-< z95hzoM&)c5P_zyH)7`Hb3{qU#vxKr||8oTc42Cc14PPp?h%5X&JGQA+1^+6I_}h z5(K48D!4-|27)d3-k#!M1O@45o3>wz-5vqiMXk<*_-2Ge9+!2Pb zQtuX%q>X*0Q`e8ddy*=H+eakxA+JEUnGB)jJd&PR< zt09o&eA&%~mP_Zz;-q>oxh~mfB@kiD?PHG*F=Ys*R2G{CBxaPJIcSIPKaQz=t9} zT`7&V_>U#ik`^r-pruWC@zZ%Zqfdb&R#m`qyH!Mh2`XKx_QE8K-Q}QR)n9LlFskHk zOr!=4-q$KEqs1a%SQ5Njpn8{r+I~m{C>(Qy5u!DJJ(U9=KE7S3UDC6Yv|yxkPn8zw zI1c_*{=Lr&5&5M>Qr@eA7eRYI3d<7R6h#@bPV@c#?1~`unzTqBS3K=mFbSyvnTYv2 zjeNK%NqYGRogRolOGrM;%i*om^K9PPei=QOM-!p1LABsqeb$qPwU_2WgN`8AGK*k^ ze&qXVlQ$%WjL;w7{aDQJIO^f=ipylf{A3Qu=cumqc;t#$RIUa2Vf>Ee|J*Fh(>pZm z$M1N>`O28dn|t@QwyJfTeRE+>bFihaLcRpQS^#64=`a|ga8Jkn$jb;U%qpj&hES*& zcG}5X<*uQXozks1wCXzImCJviy@0r`@OT6{HuXsD#+;Y)11o6s=PnLO%FT|3eH%-Q zwbeP=;OZ&c~an*x60W3htP)pv(Q`+tjIbh#ztodc%LkG(c6`q}IUk5vB2p3jPB z6;hChQ7!pV{;|%hN&KwDU@IU*R9+G;`JGOjHAa)wawZyqj5|QDU5xA*vS=i{q>3<{ z33i>zt+~}~#ED27b;UXmnLGD<``j1$svrx4s`V0M?&ev-p>o%QbeckM0bKh&2&qN9mXLpWsx^O&b`O4g)P1Lb(SL#Zk|)I zSyWDv>7M$yk#G5XVNSiRwZr=NZc`U`jaOJMc9A7cRIQyp^vH!Ppo*=)X_|X+Ho+pD zR)--3A)DtCYng7U!;tdtAOMEJu_~-X_BB`TS5a$-sbbI=(w6QCQ!sLk1ZshM_`NwKa0Q^3uYw4`;Mo=^GfvZZ|vqtqkH(gjgKKo1+#Pw=;D9rwMOIHQv{#YsNqJ@AtTDg`3TneyAblTH>(!6sr&)v@s zpGYPtHNQZ8358FfVj%)vBxvy7tUivT$8{Mhwc5Lo3=!$(hnI`-QtO<$&#i7->^{f~ z`J*$vBmTW7crw1+Tf-hZr()wF48N%b{@l6U|8}HzXDu{5JYNMZ1P5vlmkij;BF?u4 zHg272e_tH}_h-FSF)SnA_Gd_`Qu_g29btudcJ1nl6IV${YGX@Z&5}JSLh8bY2?r)8 zuH+IeGMPpUVuGwU;3Qb>>HPZtD}gouMf@tlMo9 zR-8(Tw`~&;Ia35I_Uwt)HIefhwNhxI`VM#4lWKU})#F3W8;WMcpMs%T5{`+3?NhXqy5D>Pe0Ixf#aHt|J>VIWmySYL}kaa^JL zejE^1y#^p0wegS|^QA6ry@Ta;@X|DI5*)SSZ?^6^8l$xrIdAwUlvBjqO}qElqOQ4O z?Z(ccSuRZ>pQMCRX7%SPG~DOiSoUc@Sl3@ZBYk`s#TQWS{VS!va_>)<;p3ad?mTqI z1e9iTEl#9HE*r-JE;9tw+&Zc3Ido3Sc>qd#FE*8EB(383_ldok)mp-XeG{tRQCDF9 z?5ybID0p|F)Y*nRGlKIdGnSCsf{LYLeNGMUC_b@BILo~L{h{;r71C`+7_5d1j84ka zT~qjlmBgb2+y3ilQ8n_5WRV;zPPO8Lhfb^zT-`u7E5dk9;w+`NcRgt|ES^?;=l8>Q ztll_!qKJsH%xvdfQ~f+C*aNhI{V%NWj|K70VoxU6phBfoR|BGfk&ud!IwM>*!O{rU ze7Gq}V%Q3-w#I7Rb;QH=otM$UA7iJF&1``!1~)O@q1bGGG-us;Wz)GK`hp~A(;dm+ zH=G)2OzrkJ!qp6NLlVZ%5LOo4msajhjTwTykBMyw>~x7XnIxSJQvjz`tAqKcAABwf z=NwgkUl+V={3^EqUw2CztolisWMAd}&{>lj)Wo`6)i1*>7{hze!i1uj6l<{2$S>M9 zVYFJykRLW)%^OdEpQL- z>RmoFYcim{%7#Si7kG&e8mTmLYc4c@<$IIQ;7j^Dwj_DcRy@~*6j!fwUyA>w$?2iz z>!#INes4hB)_7*2uSa9BRM7zvVKxKTkD1m2!Y8FGp-!V7U<<0rF#16G_u_U|V6=j6 zL*Chz4>o$vc@#N8qSr|AwGlB@-nA$*rvb`HkwjlY!G3RQqEuyj6nN{b&gGgSu@0AdT+Z3~p?)QXx%XQWPq*Ig%}} zIGqpQaaNo*z9?7!lCL4=zQspa*X~sH1U$s5{$XHhFUKNLe3(mcAt<8&{ zdz^rDM5h9bs6tbRw&5vXcv%d4@r&EQ%?Vcf-Y@A9Q!{aoHHgKCN%-8XjXHWO>rb)p zh0(-dhzym+TB#kxYsSNc!7jKl0*0WQ)$Zbe|7>)x`jnzWftWl8f(yD4&Qed)XE@6J zdVRC3i_0k2ifs-t0R>RuAV16=p_hN2;j@)dtT>B>Q)G@4u(_^8w9RB*c z$71e9*a1?2HAZ`U&SWt{?(JBK!#t|>Ba5Xs@1BjFQO`~SCVPjC_PaCQ6JjmRJ}IVF zK{`o`S`Sph)m4c#RAyxMU+Mr7g^3>y?%KBit2PlTPYo{QZb9df3X zt##^ni}LRSlG_gV7+B)jM7OZedd%z~*6YQ&E;?U-nf+~}mptqe5uz3vDP{iKMp!_t zaA}F_pul!|4ehDmd3!v|nLSw*22R=%O^r{yMWgM5h*+IvOZ_?C8xkJtiIJP6Vwet6 z2y5=wc1VrT6QKjDG5v=sVJ5~knqp_=Qmwk=n&T}1zvFPj*;e|C_wQ}TE7Qa>N6UBg zL(sg%JM%(F&z|6jNK}t{c6*Q;07>r;4A^W|Q+t*wEXhyfzF>EW z<4fdHp%`f~bM~}PG4j1_4J`GBp2wzPlI|*Mv^vX`nr9&Uf|duVaO*ePnfo?5~`G>RHTeG#&yJ~y$#vQ}!wd|mSqx51P;2jo~ z%e~7N+w<$0fTLZqj=GQq%DZS*Q0|#{YC~TuC4&2nS?AkhxekeSs2J?{S-sKcds~c} zm`6&kwhnY-4_`I3yXX$>ydK!((~8C9*yGg22cDv9K{ZaJea$|+dZAg4Z51U>1YI-` z6~lhDI|NJRc1v7jRWOU#ZyeoFdeDGH?1wDz={< zR{EXT!R~ptr^#8J4+<_FN*$VxjeO3;y|s=Rryp6kGnJ|KRac?dqBNhU>LM3B);L!j z8+gR*m5A++fBc3Mdp9zY_OY-ixp>sh8>Wa9odm1MLS4{QY?lPhKvXuO$woqIg_7Cn zzGM2Q4?j34ptJV9x9!Rxt=ao!1Ynlj z6c4?l%W|sr*fW(peOnG2{H|@{L?Mc+`@8Yn!K|MXzvCu9<5D}$ofhmKEfGOw{uTy7 zy9yRR!cMGq72ewx%_2;q=iZmrumF-#H`4x|y>G)>xH8FGu~YfZ9^j{%86ApoSu1!) zk2X2&8U^H`&!UalJYmBcvr#E=7#KHHIJlWlxqFv4XR>gxg?r%DJBObzX;qrXr+Cr9 zhgksNk@5912C_qN$jn^wN2V(kAhs*HHp-{#339u5-wJVF!utc48_0QV$bi;Xev6sC z2!mPDAAEH4FOx>AQ`qrR24f4N@Kbqvh-A19)2?x{c0{Zk#G~eSdV0>rOuWr+7_*E_ zZWv>YVv3sWC24l5c`qnqEyZj_EfTwILo5(UH;=R3mltZE3EAY|{@y^=^k4Bdoo*me zGkmU?g4k=f3|kCHYv91>0Z@?PNpsCFgE5U8%|kqXd(_+dXQ!8T_Giuc?E{hQF5vfK z=KZ`qaPAS}X&*zSvIJbawcZ8kOq?tNpdMlCvWKq(7Idj8Z7k*p(M9-d=Gt5N#BuA^ z@R?7Eylc#v!uxYRIEHAY`MfcCz4E0*HLI)I!qp^Br!6#DXNQdpxZS=`&o;8k4eA<# z2Mg&@EWtEC7z^Ja8>sZ1kUL5(oh>CB%!JLVnhg7f1of5NeUnzm%mDT$Hea_34fKB* zQVPq{By}34^;CwrZ%OmanKkXZ6=2)D z`3cONFd?7sbL4rP({k4K#Z7|?6-HK54Xdu2TPV!NYlHbnENCIaMN57REO{qo1R>>G zCke5Fo5LOjak)Qg_YVfH3Oa9g*M z*7xQOEO-Zgf_XZp{R-3^7ll7Rhk%kdU7^KCPz?MSZMjc%ovhIXzE+{KhcV8?95QCn zcJ?si=lfggw`5;&Xly0aO|wmX)nve>SiMV!B5Ai*c667dC-Q^!?zvl9cGRZ60r|2spXMu2w9|iX(p{oU z*l=CWRNCc}tkS0m3SzBxz}w%|VmxJ42QIG0ozTF6Tte6FD2YH2mp?nWJ`a(Xuu5G#9!vHk*t75cmjwP<6L=Z%Fwfe_dQ!t$TK! ztsHVuE;`q~qG=wVLx$io<*4MB+KS>9f#=o3TZOZQCEnwzZY@HIp@q|C+-fgr;Qd2N zfzX|py+s8B$+Nfehv>bSgk=&olkX+Cu@`XEm~9{GFp=a5Yrzu*aLD+cekD#WFMG5S z$Z*UH1Nsx|5D0;4tsCd)8Kg|FUV)p2P?M7P42Mv_H!oOn1Wjoge9l$qL;Xda^9zCH zhD7t#<1r5Sco}O6&eJk-a6!UEd9HAp@-myqwl=QN$cipoA3OsetRWG!z}s&zhz92v z*Ijog4(^$oiLRzb(Zr*^Gf#qC;A7a_496|t*j>>iq|^MwYt4W5cS|6fXrteU<|whB z7BF{MjjiiLNV1Qt(NtDIN%BrD`S$KsExBHZw`2d_lg>#dBv%nbTOJ|jEm2>p0!y2P zm#68*ad=-{=yr#l1i7(JPVNjU3~Z}Czaus{)s$hy`ljT021$D*$(C4XbbOktMZG|z zWhtiu%nHaETr$o(pX>b~0;|c5(ws4m40<1RXuQulq5R@3%4%Z5B!v8z`QYn{MVYDd$GG%3?Pw5Z!(JfBm^Xf)MbCyP&DkE!4xd zY+`)|f%9MLGn9V}CTdc(r@5NrX{*rYinhl(Nqs3K)j~*owe!f9ghH^6i3#8&vLAw5 zS=18jr#gR7nk4J&oA9IoN}qmM9mwXNlKPVJlvbV0h?^EPTVn^VC4=Lf3}>M?jvDUv zv;gOIrA|D(jfB7b*g?{8v_8KX2S8%D>OU)*dS9gEpJ%4wlhzh+QXuZ43 z6!yvCxp;H9k5S+VUF;xkU+lQn9>eMOy3Ck^CBT&U+$^i6<~)G!LDO$#=acwnXE96R ziFeco^D6J_FOIqVt5SPKUcG7qXIA5XmZux?f*Y2Eg9F5s@0@^(rR!Bj!Ch zsF-$xi^JNg5`cEzqhw6-`)f(EP~KXBB%}fUW%k#Yz$FpEBj%Vu#kUWr&Qgq;16|V) zjn4ZY9=ULOdZp;Me+*%5tkAIWM@5M0>IH&jH_kcT;|d z@__2Yy}2vX#9aM&d9O`SBg^CKLzl5%gjP1mI+hCxZuTTvi^`ZNj99(Ao!@(AD533( zYwxW{zTm^K{V!H1MX~3ItnEq8;&vWsTL9DrO!rny!{F?)lj`@NGp~fp<#Xq#l~7{T zkQNRa-MGXcDCb7y8$}^Aj>Q{SPCN^G{O3L2;CDsLC(aUY%$ZefQx=5+4#@j$#qK0f=v3r6I)wvZKlhr2tL>*0 z9x}9>D^4{sBjisi=XchPM{7r{jRXo&mU!A_7l~7yp9yZV7qCF`1#6*+r%VKbdi940 zI!0^tKqnR??Rt)S?aS{`7kOB~%LYV$UR0@dR+P?gi08W0XO{Yz7Y}vk2GX8fVEbGD z!myZ`p{<-B{aGGV-0689Dz|4ZzC|-N*D{Yj0V-eTt!$zTq_nrkq`u#`n7$hY^@Bxr z%2`3v9OWHB6C8|qRPQ>!FA3m~xGsyhpwOx{qn_s&J{~cUmtk9RF)oep9qdCH>UdeThmj6dbW!oU03cZgl2? zIK#*19qjyeuZ+n%%jH?V*+y??B5FWpeZ^Vged6~p)>K2{76$!4TNDu5+5944eE^>LyT&P`_O5Q+n|$77@UgNa zYv_Op3ECw(3^={>6&C8@U+?PiZNd5@x$LAUUn*4XY494Ed3O}l`X^bJ+;L@H@PLOISp-s= zLvyo6QjCV$Goe|;qoK2pyn^Vs(#qiV7lVDfWSqg@i6!UGor@k2gg4Y@zGGWpgATD5 zI3mHKfQs|z!K9%DkHJyQ!%WgdXp1Rm?CS~`K@A=-cT%prV+5Ce%~Ejw@RCvljh{gq zg4|HK`|UY<##}0~w-A=etYX_CsLP}r2)Ll(YVG*h97u|I0z*(9{8}jTGYFKEIQhCP zIh~TrD>M>1Eq3zRtW`G?S1t|aIfW-J*?FWhgPv?Obo{SnE@B|*OUpVk=z0WLBfoCA z4c;90IY+rwVEl6WhkTR!l?S~>)H~HdlbLfLQ(jGDsK$zu_BExHP0DFl`e=tFPa`{< zE6<(-AQcZvh^OTpxp<^onr^lUBA+L%<=7&crRYRadxD}2e zgAMB1GR`4%Tx!K$(p3|e&>c@Ep+9o_7NAvoYA1-2OY?M2799vu5s0y`oc1cyr-N9&?-AP&guE!=<%ToSWJ}?4NEF}Hzx2y(54Ipdkm&}JdH@f4K7s#+MK`uC zs=O(bH5_g(?Y(=^@ufIOb2ocgkAZg4c>ZU>TKG$_KYFWlHh&}4CNx*$W5UUN4XHx> zc7EmFmcHsVtbnU%pQHIT!RWfCvP6#z4{l-7j0WekX*myQWDqBs2m@PQ<|mP9^P2(#;U zubQnbvlfeY_koeE&YtRSkc{0urz&eWEiH^^?elNBmjVh6?+-?RyH3Nq6OmgavVNMW z;ePF$>;9MF-Jg;BYvucsW#QqLnp`ARzE|~5!eQ*>y#{QL`_U80QwVHpeHNOsxm<&- zDa`%3Ym({GkhOA9knY*g#eVT7!cBWleEx;%<1=*o13u=EWE``42mZzkzJ}_(Xv^WM3wzSI1f$fU z1wO-7^~(X>ULL`NtBbdIEVeaR_$*K615;pcW z==NYZ=u(gE{diuto016%j-$udc{pD(!$g-SFli=RV)JSv|)E|1-kS|clX?ij)0n!x*VrHSn7jtmyFu?1Iy z0|~s|aic%GY=xG5xMlu7bW6s+MAB!YGplmC1xT{;;4>_fNNbEAD64a%<-%UK!amMX zYng0EKzGuSa{k~lLw&r2dl=k6*;Zz0}xIZ9yBZR7F$ zXYsk#h?sJb;xywZda%XPxlO+Q2y>>prg5rDf@80WxiDccM2&Ys6tB)%o3cDcPhY_z zStr-~>>DWoS)LrUamPV254D;FkL3wOwzC|uV%|ylxop%JS~%reia#2f3_)5^+y&u- zXyn^f*AgM_AzZeBWZ=9V7aWmq`?2M_5{;nnUJVE|qt5erOwf+d*3QG%0_Y1s4nI5J zq3z=EZ^}Pi3a7jS!kYp|Z@A%Bt8-Da&$0&jh%9;yt2Wozy)<-m6rayK+xIoyoE|pD zpN4W|@GTN^c`xV?Mdk%>6S*~$=}?oRc!HpoO)xejgD&_h(7k6USx(zT1JN=exy6}y zH?5Kas!_xqM)+Gqa_l*H5@t}n`ow9D{z?n~j17FP8T;fcba1@%Adu4=Nf*+bHvmjT zzz}Ke&^iA?I`|b?F7B`=7SG@_`Mn%Mm@gYCWO2yF)A9|!OF}*e{oX56bZOY4h{Www z!R1$g0g2w>P^Ud^h0CTW3T_a)lwjUg1DoAPH)Ac&vqx3v3*6W7DF<1G{O{~Y^Hk$Z zF3}VE6kt6*#6?2f@Ht{FhfIyGQOxF_VEqvpgY}01>o3~9;89=aS$0L#+o_I`6-Bvb z%}*1%CzFYuj)dMylFF<+k|NUoZ$L!e^1@}AcvhdGVnBa&OJ6U@kYXaEa>BG&-khgm7f+jK+&6G=O#CP+V_ZM5Ow{Pwg-0Sa7dxdK6dja9QODX`d{GCG68o0gH z6`6Mkw^cVBQI`V8!K7t_yhCgospZ9~-202;D%DNHWB>d0fwm?Wm5zP+`|`EbD5Gj0 zCi}(3v^GPZ-`yuoE@l}Xdeub*yN~)xe@xF-+QEyTvFLKDF-Jt;#?}s?^%+&LU!!HQ z&AT?UgFw*Pz!UV>S9)ezc^bMS0OLpXTEk5uQA2z9 z{_gg8I`2vP>9X^;2EgrZs_g3KhP|+X@Z?TE&>C@?s_DMA9N@$7c?j6>xMxYwUgdX5 zoD4PChW|PUI&8V0$88k>7NDXx4klaNy!|f*Sdbc(rNg1xwN@_ViVl%q6ehC)|5E-@ zp;c`JOygE=QtSY4gbQ9IM67r5W>p)i;nY=yE&q)s!%XYuZ`>dm3~rB+eVMF%szX6R z+}z@tauhM2XFpG}u?V7m#Xb!mkS&_}i@A3y8MWv1okalfnV@X4TQ9X9zsinRxJ`n0 zIq`J&b{k%E<~r?k{j=N$w&>Z;0hhyCIJJ~2(Y0mzqDV&uD!r(Rl(TX{)!I4s8R;vl zU!Kdm9?N8B>r?0Jr*lUN&)Ri5C(KAZR(#|_zB635#Z?8mi8zu#S-p~^*B>^oVo(kf zdd(?hzPksYu{Fi{N@ zOCA<)CQb%TSVdD(>lTL4B)R)!Z$@B)+iFIh_I{M9g zLiKLZO?tNAIv|0|s=<(Rr$Y+_(-n0S{?2DEgB(?x?LVB5Zj9#`_&@$U!M{wYFACtEi7&!vY z|I}8T7;tz|O#ivPB@QaHE&Qzb)UXU7xK3~5y-z@TgBh-V9KoAwbnd&$L?ZQjb-Qay zu75R>Mx9?DxH)&(^tjq)VMNb_6Ofmju}Co2JMAYLh0qND!atnZDt+A@(gop}B?^C# zG9&;hsI#UhwZxtS*QDUglpvjM3;1eLVJuM%BB5Dje3smy4AL3{cD9M>a!48@-dr3g zyodKuRS?p0&v*8QZNyjozOEdx8~S5>gCIBtETQLTPv}heO8c6mN5lmy(*Cmxs5Bq; zSlb0R$0W3%d{X9=VD_p3CAzwXzk}lxA@>Z2S7hHm$Yd=?hjGEAb%$w>|J*!meyG-!2b84)Ca*Pd)A=rwpS)g$^md-gWs-X3 zPx~GI`FNDBca-k8@~(d69eTT)YrET1zr#Jh!xxX2XHl0){SUc+3`Ilbbsi~nJWL6B zTl$6@XN2EDV-P}?IAFr3)&N?F=7#Z#5aXw)4t4eYWEWF{(oy=B%jy~`5I?@w|6*qe z`2f7qYOb|;=CQ`M8PV8A?#5}qfVxzN)$Bn0|C4muqrV-|=!GD6bKj4+VfQ&5Ocir& zglwO~t)XEJaq)%57*u)adt&lpB#O-P9%6VS@J)a@!Mt$zSgK6wl1KTP> z?&`Tm%#Dyw%m9S@IuXp%WuqLuSVqbQZ8-tUib(*aGQZp_-p=lF#J{rY2}KBfn#MMD z=>hz7QJq~kg&P_ZXZ;grZ3n(p&}x(BX(UA*YCY-?_bW8R_H1hw9Uy^fm<*8U>d*IW zPI1l|LwRMQxFp!W%HT*uDHYpC3a)o2O>6)ueG&@;mQM_n!aUzB`Xx;aHGi{fAK2M6 zP^w+oaCTzPCvW~Hyd~?k??sPNVKBIf)h5kOmm+osDiNu#wJZ`D&??%-H;gfRQ5ZVs z*ei!f0%xl6ecmYABr^VXB^UA(i0ksh+9pP3mVx`*pvKrJ6>09D`gOpby3n0+f8xm$1&in4 zvaNfoO=O^kvZ(EC)ux%A<<79s`xZ*9f$}DLO$K;)Nupqx6t;YP>?S%9hD(Fw5}9hRIl-gk39f4a-*StA4)Y3cojech4a74?Qx`-;+ui^bts zXw!7Y1Sk$b3)T}>cRU>5;x)8)o2!iV|c;GQed6t~+iGA%0V4R_Xn}$p` zJO^#kPv>SYl4pNvM!oUut;NaEU)UOGs77?gZ#{~SQg;3UJCk~^XD~EtZN}5z;rwp% z^72QA_Xo^}`@@$e^LpJGSDQGR6@x27(?m^IbDK;SHcosX4yaGVEJw#RF*W;TzWf(C z2IOeTyt9^caMEfW$v;TVA;GMt%hid09D&1KBGhtg1kFgc{!9yOj|m+W2w8n92{w`+ z8INuwpTe0=Fu8^9yFpqC6H>AWZ7W22YQr`7#h9nd5Z}!-+<;5CFt=uNbB%F)zT;Hd zfV#a{sfQDDkB}DUfn9eUI&;q=jO6lDcU>dlnv(Wh#ik!VFSjCG97UJURj-jVZMt2Z z8o$r|ur9+Ctr!><(sDVlo2o}I9$tjKz5Fy)->AMtS@PDcad$7yPapIsFSoE)F-($MR&X{bq|W-CoQ?>Z{Zv7739oaIiUXv2trHk*v#H(6~HwWsD*uw=Y|#uR3g}k`kK*Yr4;kMYb#pT6wLS5`(I)nTUVzo7I&xyV;8TM z^t?UR8XJP=fFKgGD}%FcIuew1N-63M%tNjKgLg|m@`ki=@-)Viq^`EB2X4JU&CaW~DYIoTH)`_i?+SG;( zyPl5JG~p#l#ISblgbd}DOuv_YEJV$ka&!1YxB6Q(Y91>7`MJ*Ijn-3c8@v)uZaDDM zJEq-j1XO0k4VFvV5JI#(Z44pXfwO9U`MQkMaU+<0>kJruMNj&yo<*w@M^8~x7qd|p z(^D5e|4&#!~bhZ!&e2ruL^pi^kSm)Y}9eo)C9!QAy|D~k4^C(o35g8IXp~B z{@*OXzj;tidBLY(_0eMVb)lNNcrRN)b-r!>Frq2v9-z)t486{{BwO#%p-iIl5 zUlkPopXbRw`}@d$m2#5uQ2W;5;q3LXz&1)H*<;hG|HIi=fJODK>njoh0}@J04kbAt zF_a((42?*KFm#uInzg>Q_S%1)8VlJ~a`)UU(2g{$xJT1qZX?0f`$1Q?HU7Nd3lccH89sgRY(~frr ze$XAY!mMfIaG<-zo998$9l&#^ClrTVkCrx4^-N=(8tA~h)N40S;0o06LA0E7ypuZc z{KSRnM74b8`x4Yh>!S>=_Jcm@pxxgtXa#bBD6DzN)(hx zAQ#WaWLE&ER6uVgIc;Zdx{ z*m(*Z+ZrzVfmocsf_AOj) zeQLQ_N`7eA6`>6s31`+DbkRe&7Bx{7pME439q?f$0=8+>3#^n87x#O-GR0t4Zq@(l zG#H3AW0SU(OA)Cs2J+>_J!}7h`>Hg8%wsf@-OsuNHpHPCG9e^50}L{ktlf(Y6Vi9j z89sik++iH5oF7Aesu!y?5XA-JI#cH>_#@sY&WuVsj{9dqchkO^^A91G&SXx+7R<@C zQI_6jT5y`iP*z7EIF_Md9+>f&SGj+*s9rL9CWTyf5U$L((<^uU)A#6Apy-}!lkysB z;d_~b4+uNaPZ%@VC}JaoAN+a)oGlMBdDR1aK{#dWtxD|g8PFGPZgA*};yXif_vw>K z8Y3;no_7O{VFVx7O(009*3iIr%Gj(P$BZN?=7C8vFxW{#0E-9`V`93K!r+*U z>*D=YS_Rstq`ci)54iZku7!^9I^+l5w+wno9z3tyEJN(pTQl={LaGj*SCaY@In(l` zrjOdVKChgT9atB);ukKMqLLjjW9Fl*Rsv9HbjpCz4XOZ8U;}1se0>=vff@!@B)ZSa z^ovK?YxcBTmOq$P)>L3hj;1Z1G3WrjpeO+^!RVIN75)*+0Dz`06}5Vs*Z~ zy9pbRo~Do(PvTh5xFO(}aI!)CqG|pKyh@GP`*vLg#0VQZ4dT!63#?ybYg36z7)A@I z8Qg~FcY-4G;;M*vs|uxyGRs_gu?1O~9iJ-^$wEWooLUc?ukQW~`xbTFAI~wRG!=XG z&zVh!jnWiCkG>M>D|f2KKYlF|oB1zuPseK%qi{Eh(VAP#cJf84mUJt0MT&n~QzTKUdqDM@+DngzX{_H-$>*cw1(6qmG zKl);!ZG(wk((G;VS`mGeeiD3aW2aIGCBm$44$M^`!ACdjM-4k9gncXiQ zLw_z%YvJ-p8~lr(OkRW!5{sw|=W7Xs{z{~{@*&Q{3G`_x-BU+>+0Vo5T>hPJj3LYN za@Y#|)U$CkBpwt~sF&ju8Ya9zJ^JrZp(;jE4vxkX`3J>_=3Jc9vtI`xn;-Z1>x&zr zIJfPx`|4*V32pgnd31Knid5#VH0Y`*SSLgM6vP8_V)*%~Q!#it%-KR9zJa+1se)@jGRPpx@#W4Xz#~+FhL`y;!dcOuxd!|mU%m%zI`87an z?5%jpip*m|Dp_JtB4*ob(TP`V#TZE(kv3t->WZ66Slk>>>Z*wNhDY(Ib?div>knA^-&Nll(h!CO zyTl?SA~`Ctc0V%(Crs^bP!$^i#UL3 zn3ttHK8j7A0oMLZ-r2)2RtHAz6_0q?V{&wD5VhMOw%wqnH`Jzq)EGq^Q{&K2D@WC? z6GEO}gc@wqInKu+Ho^6&<8(Z4=L%eIMw{EfX!DB@5UXanooTZ@dy+G;R^zfK%+%5_ zjyI*h?d9q93$D~CM!bL&x5i>XOwckL=qoj1LJzi_mif9vG?Bz*5=xErX@a`x!xp3y z+5XrzEeSE1I+UZoOIL9Eq7pT<0X_^#D9^);xt4V%PcAi#XBG#AiEIXPo;7@RrnK zBNG?NSSIJ{lAMo59?#dB>=EE8tv?wqG-i`=w*6 zSI6C>1b`rD)J$~u=m9`LGirthoQD#xvjHx=IEuzM7rl6~HYlmm?AI5){QZw2@COBi z1Q+^I=a^UVxwz36)(4mGS5^w&*h`q(sI5dG=fQf?pSgnOYGXpleip^Xwl? zxFbcqoy|2Q+H+i`Cc(hx~^TtWH7r3mt{D_fFK#ho(e zA#;@!Jwmj;+8l!2Q3kd2J`ViG~G?Q9NTi|rj@iB-%Jr}+wW)pwtnjw%uRDpD|z zPLP}l|4-uahuEii-`={dfU^W)3Ta>h=8%v$^yS|r79}ELX6$wtd>QQfSE&PbsO=cr zNWsjZ+yPr&24mCHIyyeLFkJ>aI>y!t!t~O>OiHn|N<^g0Fu+w<95^_xy#q$nenVac zLjV@yh9;2)=0pRSIK?}{JXQ&6Od&vYb}Zw#E+hFNIQl1H?+$@~c({sL5ZS5@`aLBc zNj4Fx-xE$N`Tgamud5QsJ#I(!@JL3Df6jJT<+qSLGl`(0N(e%KuT%)-?fw-*nj~Q( z2ed32lS06V%flZA?4x5Z8KTIw$SOSc&?AG!yIC|haRR<|Qjk<%msF1dadC#Y;KH}o z;aeo_qXQkI9KlF$dn_u1j$e|Fo&pD|D;Pz*B6|O479kCh2w9Q_-p7rhDWplduDgsql(X z!=J4Ljt#YC*H_#5%v=?fo?E8eK_lrK{MEek0>^fUF4t!``mLu(rS?~IYF95vU6p9H z;|wDbEXx@p&k2i0b~|8^AJ#0zvqFHaOk(l=7>tnkg z3O$hX;?<%tPmFP>AwcoY7@%j2iBc{>-#^yjTs**G*D*+J}er2UMgAoD%&VAgH_g+JPgk=dd zQHkj2mn^O=7I39;P@t;~^h%?$N#_&%hrn2wPn7Ym`MhUduIH^TRuWSU`BHhgI(>&m z`wuDMiwQ%2*sLx((_&?&Q+eS7ggF0yCLr0JfzR^JMHb(uJ9yzROMm>_rmSyYYV;}5 zCu%~1C(M*)v^n^Ubhjm32}G(v?Q;@BV>};qT&*j2UQqOWCBBnvBjwTBV-E`Gl7EUhr)!r9;6>r^w@00bQ5-WJaIHX^kRt!;&=U&`S zS<*gZ4jf@@2WtfhubtTrNQ&E2bwfTGGS1J+HDa(Gm}x8zl>95SuCdIa6(aD7$Q~uv zn0!;~PYCgEFaWY+obSJJ_9v~9$lmM*idn4LqinU7RiSY_i7DD?U!p|+~EztdA?Sa$*|2T#MEf~*xAo(C_@tvCY>h4vj~9aDxHI3^cCfs|SF*t=<7 zM8iw5EP)WId(!keZ0+E_MbxAh#7B%I%y~MYRnwyTZ$S2G%M-0NAH`6=& zn30Ubd2!iF9g@H0?Mjmvb!gJ{)4r6{0Fuh*1_5Ly${GrZylvAfp|Rxa*4$%5pd&us z6<>5-#U!Xvpmq^Qw+<=@E2xo98{&x&_ra4l!GwbI&kOEV>%d&>%*~=tw3~7+It(AS zc)(7=P(nT@v0J46E|*)3V--Vs;MaJS^%L9!@`c92FUhgk3dCmI8+7_$h1nCz`+Qsl zksPmUq>dMN_Z(KC@2q%aaK5%$3rgiFLal-dr{uCxj1G3_i)tQVaRs z>#c`=Br2nsoSas@Ky2#y=6E~jy}4S=mY4+A1l0L~jXGbSuLkMV9kw57bA>;#1o|IB zQZvnk@2*BB88tPZr*}aRn~|agGuFeGubiaZHu>2CEzma5gdnapGfBe)ybK zKhg%6gzTh-KZ*gKMtwATDWYH5M!I!zp8BxGB}j@x`r9aRk_)2fXqG@5h;wd`gC9jD z>!g=NE{q%JYM2+Td^!OJ@?N@8v!V*NZ9q)ArY!0kTg12R4dAdg_V9r# zyqrsF#x%aL>O3Bc_*O(YqM2zcR;*BSPRleS(w$(N8ynnj!#l&BI%UR=-P8Zept|jn zYy3wVQX|tA(M+bDY;#1bl`_Qt(#9+`f;7a)GBd`udHvlR)4sKRruTh2i{Vef=Frl0UxymMw~^st#&_xBhNyK%ywsUxOE`1c2)Qf~>i* zO6>4;{Gk>u&IF^E6p%1VNJV<`H&Av3g69)0Z&J`?C|SSc=wQF0#yeLtAhP9ZhN%G* z-${KZAmsZjEM?*Rk={6reO#$rG^yvD*t zm@V-7KhQs-nUyZ$RkFPHpV3+#`n8|t;)@XY4M2I{T3 zw@dBD_5HQoTq^e{`s<5QT_z02_2-Ib{IoyS-AJka?$2dh2jsJQld9GCa1lJGMk^j?8Y3_zmaPA%&bdmL|h9B+OFK8{CJOxa#S3Dy!2 z=dzG^X<1qZGNoa^hh0^U@NyYYv0Ts36mV{IExZ>fqRR{W2=WfJuoeaXQ zm9AYO=gtl1c774Qn7mZ&!1sA)ut8nN`<8ET1EzJ<);KkvmgkF4r}?Pq?D}dMym9KW z5>c!2(|o&KRsU)k7wagBV$nif&r!o&Riju64-8#~6omAjRp8F^)^_(&3ykp>!sQ+@=%m>@d$B`F-XLh}HBUWbD6#bWD1DAYB z=S8XK*4#&$0!I^u>t%L;%-!bLR0na4Otlq|=>+l;HnVP&PO76Dj?aWvN z%!mg0qACcP&R`Ll4yK#3EnFS6pInDo$*1N1K(de4FQ3z|)_M;hw!LNywpxUKe-xN# z)K4U(`{j{A#}}R*<@*>E584_S^QDU5uTQ8er_!vh!$X(IXYPmE_HK0WmwB@;H=Ih@ zsOj0L`Ay~~P3G3#2zn8`T*%El9%0xe?I_HT)5wbMG*pJMFi+=uUj4-fAI{xE60Vh$ z^+1{&=(UIQBuQv|{L-il5)~&zhXg;9BM5slHijdicZV_KKm{8P&c7npO`x4eQ`Q3q z&*8M&ZH)N~mWxxp9)BFnn)SA{0e^XfXJw?%|Nb|kHj?*A$z(PB;~C_qX^3wj_E8H4 zKbxhrGeZ{&!xpRvTGUBf#%O(tIDLvFE;aNoHT-{+)c+{KJkWJH(8XK+w6*++sNKG! z<3`%;8G{!>!xrQSS{{(LB+&Y>ar&?U)$}ekW@mIPP`nHByZxZ`nZtHYGNHcd!;OJA zW$C6@cHVHzeml&56athq07{zsEo5oH8>xAb@*9lFDA|N3&d9DXbDU3koe|5O5k%pX z9TAj_WCEd-0&?7T_XX_Y4QE;GW?4|Rs=n0lDm-$#L8p}Azpgl|7L$njaZWm>OeJV@xNhVh0_fV|nueFn( znWLxLPO{2Q+4Q0aQ#nLWNRteslJoAe-zlP6z4fu@wn^NbZYHc~e=2cT=$qdVqVM)9 zEmpKXJ}nmX&5qNpskPsuE7fHP#3xZg zTQI3@4(+ro)3hw-ji7KKs7I#7xCsv#vm4XvA>Hex=Y#%B>IjIko zLyHxshtGroOhnCsufxho}Qi6|V> z4uoiZ4`gicW`G7?@=9Hs-^0-$B-bD`&mk+8J=BZQQ#CKI@8RT%_fL4v>1ytQuc5E9 z99%fJg=gjSY#sH8pwS^_arY&^z4u35iB<9<1HLLW$xA(bx3FOd?=h1Oq%AS4SsbJb z4X(;M)!cvm)H`xsd+gAC%ekznlJCOQL(aQY(7Tc-f9OG;m?qju-SX&0s9GkQw09}` zmUBnJP=Yu>IH~(50x}W-3?guKx-t(ii{zt9fAG#*sC!dV@Eh~l(V6k?tpIaWCl{x@ z^T?DLYkQmKkHf!4sf+kyG=Leyf)R}k% z|As1OiCXj?to)O@T0K80%-4(bC``YOCUyhAx8He?+M1#jrb$_(4n|!d(NGQGL5X}W zf;J6&k`R@jMQ&c}hX{Lj+eN+R_x|pIi_WVeJ$?)ub>;HTtBr|N&Z|=I<%^3mBhkZ- zZYlX8F(0)!$BcxMadNUqF=H;l-#cWIaY|Zz69=_+NQL+S` zSL0MRJhplXRx#dU?O)t0n1FcJie0G&&J^QD-uOvz%ZHJaptFv zs%x-6o@bix@B!j1+%Lp1JvX;QumRI_cWk1&oV=cDH6t>nAGY~}(*G{pnMlYg;5K#z z6uU<$*#T~`=A2F1M#MTK2ZVs2y6{a5oTK0@8SBMiE2Xf?2bI=AU}TUA|wL$~8l&!3OFx^2&-*sj0y=hV;|3QdJnl!|P1%Ig*0;1qf|XQdM;!7Pw6B2Nb1r zxe0B}=*@p~0IYIZZn+(ekpTBTGYN~Y);qxpaqM=qjt~qvS`ro|b`>#Yb`=9++~V_O z)H7FsTA)h`MdRYF?Y6^0N~|yXkxZzx2D!ImkB1N!$BCCKr5UE9`?)NmZ-WVniJ7&S zeUaGySTqP`^z8ujZIi1;ql0It`uI!=5={a2QdD_uy^$kcV8<9lHTO*$DAodN>kWhn0EIwLRVwjXAJj^(wO>C2c8%g&jUjug=b1RPWB zi7c8!M!e$0j}+6^Q_)lGmme>HzjzVnoiq8arwZOs;K(h1EGp^d!gHpabEe&++z3*> zh3D>8(-wBq7O1M>*{Web%j`PKY>W|U=Mib#+=%tu2oeR#0YyrVB>t3S{*=_;LZLuu z{Mi{98-T@!j1Rz~KxDFNpDklOvGTTB)|qP`^9!+dA)eS`DjieMGjznOJbmMj{?T&T zWTd?(HzYMw7ti0@=oBjBWh`SVV`C~i`sbnh=hdRr{7`DMi>#iLH8 z_3!kzxW7RyUufX4MTMwQ6X%IA`5q>btw9`njhExQz$$-1f@=`$_^x)=DA+p@CM9OT$%U`vm{}qZ8cUK5MOaf{FjtYwjN!0zbwLzn7SOFR{B3?jMn|{n&Xh+B7C5K}EEs$BwO* zM0fK-2$hJLkwSr3lt}tOED9ZILB%wO6v3s(*a0K$*m*tXIC(wRt(MiIz`G(+MXb4h zryYtJa3*EP;WFa=Sn)>rwAV=VW&P#$1~9=s5yL#A(tn4f8|A~1v%pD+LWplTzORvj z2b|dL4ecSwasamlJivdK+yFu>b9+Mo{!`iW#_x;I4oXKeaPEcT-jgGszE4UWPbYpE58*Vdn?X(*S8S&MzDPgJ)PH%%fMe+ zi1HAO%9}5-zrBQAH^Nq*T@_V{@r9TOgsd78_1F>hpun+n;8=ecxB&*nij#GXlf`F> z*7|q9qDqu>XdTdm&hC=xjThO30EBEXu@CV8H%81dD=KOzpP3 zf!gQ7pK3-3S0e;Y>-El!*tGhT3$~HvwSs+d;shTsVZTUfFW?SQGa|}HI)nm|^U_c( z5=yWNP;Lb)y@jRW#GyY@SbmZ87lbqn#bT7qP&Inrgk9~Efiq(5N$gW6@}YH=A7_*z zpIbez^~IeBxmz!i3e}7XrQa4{K2jJf;2slyTOjlx_n!#J-B6%+grn27|Ljgn=0+GW zWtzHy%yJ3tCqMi#lfK+MnPwZ6=#W>-#c#f+bH%!+v&*`dU25mk0<0facAT&Rj;%j( zHDI2Vy2MuoAk@0N7Dh(~p+7Due9{3d05O00J+F2cH}6pW#F540nEv{{K+T|w3nG+K z_oA@i38EvS$!wN4b2&pa>gVwWi)&NO*b3VWI5kl2!KpgPazL(uE6Ep5iYSjHGYCU} z7NWnTy^ZeV*#By)w5Sq}>0yi#i|F0oI4K>V^e~(NFIZbKpZ9zYbrt3ICvux_P5F(9r+GXYVSQR05}j6# zLrD9eRRQe4etpOvlE*y&(0klC~KpW(E$hTBmk$5M$=G8jC z1DZLec3glOEpH=F9uBRcqO0!oZ)(`bm6y6#1NG^h;1N zNtpy^*cdIc!z#M3m16bdYdk0IV$3>r1Ajg7!`|7bB?pGGO_Xk_pxqXC zM0TKEo}Re}?2}Z?XJcV;TL{+rNnM;|H zshV`n%mOa3mE(9Uk!?GzdpnVDjgsb9Y^mXB@uy)qV@VQD;U?2bF=HQfQ`L%B>Ulma z2cLNA%J}&Lqds}u*hfmW<(RE+$FNB?nDw+GkT#K6RA}Sk$jC@6a*SCarnNPsJ)k}r z7q2ZBT56@Zd&9f4)M^!gTSUlNEL~gflj~sQtyrt_RgLaw`RO|2-DFZy9xjRQ#@c9i z?5FD;Z~Ns5ko}RsL@Zo#Jv&0b+Y8dowaafpZ8CoOW9Dr?X{tef!@cBfJ4v$|IKOU? zya~T9g>|z&p8!^^HLbXI`mGP>Xz|PFEQ|#2?lX(C`ri5=cw6pVtN-;hRBy3O@#QdTyENdYkYOokiKrKo+F+1aw%3zgD|a6a=&P7_rGSt zO2I*U8=7fNZ<$6>QWd0OwiPK<2KBoV8 zlznc^6P0q!!+JgIMWt*G8RIDLX5O2oVyz$pj5%_ zR7`JStiEO;P5)hHI02uue-$?RJyY4ob*Vp8BzLfiV4OIndfGe@e$VbLSl0qw{KxMKT5hks|+gJ(a1OZ8L!`o8VAr@Hl$y7gZY_r4@@ zR(%kz`haWu4gY_DQzUg$z9ceLeITFyN;Um8pyqK<&EqVNfh-P13wdV?dE)7>JMPJ| zyT-VB3yM6l_1=R*Za>pp_Ab*-Vt=$1#5vtw-S5MQez}eooY{*J%}6Tl>U7(r2O~ON z@0K=TL^8x&JT55cV_X}pJihCkPRyL;b>EdH>dr=e$cF71yL@y~_-N!L&BY3Nzu4e#VQAyPla{tt34QpP*j%e!qHA?R_QH^V;A$ z?MwU1<%M4>`%iN8mu5R6p!ROTP(9%mNZw&U5?#*?}2N1m74lf#kF z(GA&5lv07U+od?-Yn*?ZVcuxjRwyRrLx}4xtQSCB(B4=*o{Xl*!_Gg!6#h^e zhyCu()4%|$uZAoM%XTJ8=+^ZfvD+RV0tU>2_+YVsuZH=|@o>*(I|?VSsM z?z!!s{(M3^v}nlQ$-bP9&$XwHy%X5_Zpo2$Tgo4$f}E)$1np{k z?VvAJ%R~Xe2ePhW&w?gYHYGaeM-bE5#{+vDP&t>MI4=Qot5)q?p;i4tE z*XfCeX{guHRQ0m@z?CreOL?#N0mzpBIu0E$D9PKpWd~4$3BCO-i3-4NADsU zZzDUsTayGKTRA=n&wBA3aXTgL1M`XK7q-d|s#j#k-!IoqSu>a!4=J0&E(2eR`v^$- z2n1c)J^d>Miu5h1KuY&7DT=N|qTAk~+n(T%lIoB$;1YpJ*Mf>(Sd3nvT@|={ju&`| z8Fcv@`zK<+Z{QDKH}L-WwU*WJ^Z;Fp?oUZp;NDa$JZ13h*$7 zk31BU_1!lI>0p?wMe(yU=)Z*6~@UX`ZH)VWfxO}jsy7|eFw73r-$ z+SC({a@rLU0suf8tUh9y7_3-xIgNlZw0XBp&VCj4Nj;V#*4Fi~966SPamLXEHx`Ok zsHb|Td(ux@d9QiGX}(uqAmD)10!DET+6&#Aew3BwN(3tOxy$ zes$gxopP|p4xjBpjUjBN4@3UC-;dEYktk1*l-;rtmn0Exqk2*`g&{xv8Wqd0U!F6- zsr5A%H}M8~1wajkuv7pt1Lz|FYsYU*+yun~8TI=U?2DAHb_0m3=d-IKnW?Mih(Gh2 ztpBJEmNBGw=w&x;ld_O)ukeWWx_hOmsygT=Y0JccUAG5x2kCoVzj>xmB0L>C#b6Il}0_V$sr0zBhG0o26` zo)Ll?r8<_PJ)M`LnkKV>XWXa4p}5I}C~e@>)Gxzt(}C~@@cAM4Cb}mj@A|9!G9*4K zCn1w$_5MVI=o(kA`7#6`4ny356kpm!SSQ;>*gMEh!k=H7M(sc9 zA6_8Oi)eWJv=$%oUP2?sNTh){@p=n8|arfODnq-g}AM(Cl%Xyt-@vnXWhV zYcHJGQ(nzsnqEh>v1k3Ca-M2ms@lG@Xp}7oTkMgBX?ukF%oUnm6RJt*~nY4im ze~km$a}Tz7Zkr~tRWZmYy4dRAq=z*GVAH$KJHn7383i0o#Gb zrIxzBGPL`hj;T$PTiPC(ZiqU(3p)xDEbbbP4yhq3lBx@<=Z=2=GaLBnazZ>7JCoTL zWSv_#0ab|$@R94nEVM!)!3U4M@oyun8^10Z_7Q4hyK3DD_kA&8B1&ktGH>dpcuBlu zwqHfV$S}!!M(U<0dw;3(po&h;PKxXpf2^BqI)Rg;{A46Bk?EQ{R1%h}_M@3a={0!= zEHiW&J%kvYIg}V2N}R@`6n!Jys%eW-j(&g;cVH8BU@P5cmc7p`sESCV{SOwZJhwEK z$edjzrRhDeWkXzCjWBF_ZxsNTY~5n3=3rl4nPq3Z=Z+LmgFd75{w0)+tebfAV9QKx z;KNa3%yD9-){(!!sn<{Qo8x5a;~|q{osmb`kuozgI^noB2tvOlpgtlp$~2^L$ICqv z8Rw0p`~2n?J1ESDd{z=2J&;6ocA2n{G+KQ-Dpn~X#xYYH*@&(OzZDQYG+qM<30{=~ z^M*@+gNU|y0%Jteddb_m=ij3TgF+mGAgUB*o#MD@Vkz9=S5Q^1`1*-0C3HNiG)J+J zc8px3;@h3#kA#L160)bB$cO2&#wJ3Bo`H}rPhZi$a~zJhlw|1uF7ynVk%i{{HAWDy zGsSQ&W9soUkw+=vp~B;&eBOkSp~9}j|HxHCKQdAQ#Vu?4LFt$A5zX(ug?(`{TkR3+ z`p90cJd=3*%%bPhsb4NvtLktX;kZeFx_K2sgS-kF7^Zr-rhhM4R{#O`rgYO+j{!?|K8xQz5 zPHw7KW!2o@4RbN%3g~~wR-HufE?@O!u_S9n|D4u(PB);pkvyq+#5JxMp3@)ZLh4uj z4Inqffn>ZJ?_Qj+{-k_aEPwFO6hHHQKa9oMiN%?yH;t+{Er5qP2*Jag)!&o#H%|4i zm+CE#OjiG}%KE0tI!ioMC?1Mq8i{Wj`7}9OGCBJOqv-vE#~k!us{iHozrtw)-z>5# zXM@gaJmLq=a=wK*?2JOuz}~}%_BWi2iZ27Qm+dq!R4?AA-eshJ`t4`1iU%y9H7{5t zwtych1-wOB4xdo&X+SJNmPxRRQ?LpVeLNL?e864Cpu3E8PkZQ|!u~z1v@iHiwIzQ$ zSiSK9H~?zX-9f}gvY$qG6~W$p(B~1`v*(~j|tEM(~Uf!pb!?ey3cviKFUPuXK7 z*<)`oa(K)Q?;q6>|Fc_(l-fM&@?kx$QSUW0Lr-&%6?(yw9I0d$)oU@i_?OS$@2bVK^yQ>J zl#72$WEwMvjXAzEP!DZ~lXY73%@=-G6qssdomG|ub7N*a0qx!3QmekaZ z1J@fLfP<$Yhwr7%m~3ibp9-EeXpMP;aEe0-f-g-J>qQ~KU4LX%H(C7GoEc(78wKCD z)AsU{lvkecd&tK!r(k==3>u{eRrWV!_+ z_~@+);U!^I=1&*Rh=LSAAHGK;sEoB3%3Xl&yKf04sQQgfI%L$s^>Ja-3RNr{(K4G7 zZTVyv((mb#w_IuSL!6JVT+}*J*fs)2 z=@tUYlI7TvrTS|fm)ANEGBh4$XuQo+56V;jXBhDh9NH9@!1Y;Ro|iq(j{#)%-9wcB zDer6jd(pGJPrhY9VxOC-?skm6c$oNnx8p@Q#nU%jFM{~gp`Mx#F*Vk9^dB&34oo#{ zakoA)-!E+AENZuar9NYKPmC08BdbYUZVJdEad^RfO2>b!*3il}9ALyH++WUVWXD(1 z7LuY7lJZae=*u*yW0JVMx;PZq=pwl$8eis*sOBq>aHf-NcIa%>fp z{?OuT5D?gO=PH22)`wHT<#OJkaRY+-5 zNa2oK=Z<6Q&w=*mVDrWZILEs42Jw9+lXbbNGC0FgfE3FImWb37HvzkY??=7bP=XKfPZ~A;y z89&wHbj+$W2D191)q$c#X8;Jb1E+CuamTL^2I22mPDi$3W@+wm?crCV3uo3yvL|qF zJ}iu>FjZd1L$F$%SEVaAqDDhJPxe;~t{$EhW-c4(o1N`BlyS}$3C|Yco^7=@)h`*$ zEg9(7YP!{GlJ1Q?+#7rA=@R7W^6z12lmF~?ml{R29OG?VOJ7d+me`fc!^;^ZWOGoE~l&QCr`= zM(3Vyus+(%Iv*RX)OdKxZin}E5YuQ<@`8nVT+lP|{Zv5K8oQ#G_k-bu68h;p4Z?~u9zMe z>}-AvolIA62WkM^o&QS#%8YyJvp+YIk1zyjFEE!Xsp522A!zP-Ci_)*g$BV+o2!IH zY)GkV{z!4}&EVaec}QIYDF3%~{TTnCyg@p(hZ~v5OAE4)8Dp#YXTg={&odu$LYzSkp_jpYD8f6>VQ*xBdZKu z0mOb)5h^#&F4L0=Ali|!0&6Vpl~j!Oy{d;yRHkl%y_{60q>&#fBM~2kZ?v0q*@OmM z;HhzAe#S#zny8$+lW1J|F=laF+j>-Gq=F?;r4xZzpxoCeuc@uwel zhy;YXCbOxGqnyG;Q;c_pp`FxgWS*}gD`WsI-FQb8&^E|C|A zu?yjl?FFlfA{Gp;HV*smRFd)=Gnd z)njsw_;?N~*jMq00ci?#$b#CW}sgZZVCbV zC28>iOQ6ih<_wL54(3c?)=t_ZIv^HfqFT%pdY8<^1gr=|P1n`Gr&kHd3#dN-3=AtK zF%X`fK78pX;2lYukm{{T(snDdw)xK5d&U+}GD$cnCp7L}m8_VGdopbb5*zM#=u6Ur zrIY6M?irvl(^$lKz3Tt~@E_Xh9S$Y2FZAc)u+;D@{bUwaLlaFWH5^av-K~4c{GuZi zEi1|69Fe7-XA0X-uAcll=?X`tdeY;HqqV^$_{~I*r{ehbEZGH=IUCaWL4eRak)vu`z$>5Exb0`s z2&Hi4lg4VOXhRz~wEbado1Ds4h9gzr9lpW3zW>MBSAa$Jw0)}}p`_H(DT;uwl!Snk zbO?%cEzQyrQb$^(LqHk@0qK^O?ye=3k}jq58~i`d^S)1f-}S!VbfQBpp(>-~e8e={

`xru(~~G0yRfvqd$uE%2jh4@?v%MBR#8sDi(+wd63;)?nxFuC zPA!|5CF-3Rl&bkOYxyu?9*~J^A8WJ`Q!VIiWef_0&5Z$j)zj>7-bR?5ccs#JIwtbv zw)a#@Mf^i+Md(A2ifl;o-OV^tEiHR`U)&t|Zd_9~U*UX9i$2L!uD!|mq{w^X>xyYKKJZO{t}`-dkjn=n_A|&e zbS1~(vCIDa`w$pF-p8L(gWJC~Rr)+Xzq8~nhA5Ks%*`OsZhJHBXHv@_2I95iMHW77v zmCz8}9DD9KV2X&ScBd*c;EeGpHsZ`KZYzJo1uLU*Z#PH_qIlUcW?-&%wNFPd+JP!z zMG7&P!?XPzrj{QpQyilhB$a~Np}f0zxs3rM1_{X3kjN1&I?6bj9ZV(2SfdfcH$Bs@ z+#kUiBMyCojmXsd7iTRkW)fV-jc7pNl*y_#(KIy+rirwr#pNu8q_?JBZJCeUN0`4v z357)JiivU`NIRG7*P$hRC8Y2ra_@Q!ip0K1Ygd}8i}31_#e1pHM3U;irAA3FVbn*aLW#&4 zStQ{Ug&}2nBa1w$f$8;W4cHgop#n&jPh?y$^Xt~r25HYADh`ZO4Pi0W5V7nxmc$Pe z{fO>02YqVJ|75rRbv?xRZ$AY~*t#fd37P)p4aT=$1jhu4^=MSFn8Mfbq8N~V58Gtj z@^A^UY*Z4-DOD?%K7pMeIbkHmMiM+mT*wT^N)kj`$`ws8bML$`_`rQlP6Tc4J&i7| zcT7+Z6S-*=RY0`g98)0sT|;?`0On_(Lehy3@W6h+LD|mv;?6mfhbVb{>f7ymf!oVw zYzm5|W<18bC&xR|8boI_mx9(_Mo@HC!~N!ldur@0QqZOnpdLbGQZYSAO~@;t8&GFRQvyr#KpS~8#b6t` z?^tvwH%7uWR_R3vPUFD!_yRp0Y7nR$D!yz4W`l(QL0-7zTrV@jD z7>qep)M8j;7_~D764FyPjIJ2IlQNc*TSMOvzW1e2^e_B_pEpZ=A%f@Mf#(m?`ljIU zW&9*7EVcj@WN*WnN9YmIn6|$H;b3Sz(O376j%}~Wd7AFBm9pc8nNe^GJ&%+BjmZV2 zid`HxP+wj)T0gY0_itvkg(iOUTal zFZglLzFcdwi!-keVRKSF-$*h&cv%wx-4>m8Q;=p1FPIFpjvbs7PEi{cL7s341t+W4 zCAD$uajz}`JDrTR$r-gQp|f0hOgr@5Ff=4|Yc1;o&G&tD8#ca-HGxo?4x;K}RD|5= zuKP_JnC6}CV{RYb{D{!jqES|JL~*8fQ7?UK$I#-gyEPUia{pZ<19G2pETE$uLDIh6 zPUx3pOX+&TPU|pT*`Au}l-A>~5)2;BR^d%{a^%RP{R$qNqKPjHhZRt}9kdRu76xde zE|!(srUr~K*F`tK3}abHh~9UkU)UsP%7Zyuj+_a)MKhbUqkOmIMN-{8^+>5thr(%fgS>A{jPf1U=3s0vxyGOZP*( zN8+U!Q#< zAgxR(Hp`2qAt1jq$K;XstnJA=x4_~ozBMyR_1p;~m^OvMIs#}Br%`Khp(*YjaW<=< zZtH!EUN9jy`w1%E`Q_KqBXO~{PgxADWKffj2rCY5;wkNJT7d zM=WikrZK9fv40i=8IGZqI4a?v%Yi}?s00uQDt;1FF#F|W8(IZiU2i`atJevd+C9A( z%OVz63WD`*e;R{#e3SVTcEp09XCen$$&hlB5ebRZKrUq+kr^y}MpHVK+I-9D?6 z2A}$$c8Io+oK>J$NZPIoTy>_wT#i&!vZ?JLoR%EL9DleC}BVy-su+MvF|8Va@bzxIv zo`0VRT3>#doptu=8njioaJIfzwkR|s9lA>PHJl>X9=tv0@R?zUaz^lJ_8`TG7P{Mp19$kyo{OKzT7>e4Lf#A)-?}qA6wUXDnVma6n-iZibI#x z;uMxoZ^Z{xw0-C1(a)f-?kL!yjXseu-EgUNe8}N+ix5K<5!=V+gi!g8r2-a5J8fy3 z9YeSkdn%Dal*qNxE%j6<%us#Oel9=H$LF2ppneL5Wxi^0qoRy-;T4mus)so@%*XwU zS~;D=N=|X8Tj4Nm)#vIpbr=8yIwxZoYSi9~vQMnQcSaTwu77PKlu`tpp*fN7T>{;! zR(St#fN?tp8p}v^W12`Agzy!c8Vm!se-dj_l%u>QifI3wRlkw7koKBgmxlvyesstz zXp$WwDi=K-x43X})A~hCBO1`XKudA@G=Xfp1(&_qDN~G<+2>`6me$J<8+84GAhJ>O zb@mT-ioe*0rI?z=oH7yD8b`r0hOPQU617q)CW43l@HVHjoUSAkkO_XAFY&WbjMF!T zpRJzzxU%1K8rgE!LkrRWL*~7#KZtmH1TQ$U+`Ho(N5l)#L&>HX!Los}3KaVND zOzPc#Snw>5ldt4!VNEz!z$7(uLPG&Pm3+GXxD{cXBmdj1v#GOvTnW+d*FRn)BRPI{ z=LUMF_|~7fp!TVtR~jE8+Ttk4pR|#$G@2DSY!eNN~hOnXE1q-joxgF-J%!(ON04>$_ymh{;u^Qz+9VA_3HzSg28JtA_n+w(m*L zpuDd=yJ+gjB6=tP>4A3u&WxeW$jS#)`##(NULO)l9Bq7cBm8pC1SM*+FB>iAtn5b7_s0--$yTsbF zIQ1`@ci8Yx@s#B@`CKCWEF`7doG< z#QBbl?7F~Wjgc`<5bmTH*75=rII%rN-3Q5So)rd7rx!P${1QQHY`0utdlY(Y@awEK zv~)Ut_w}WZYP}Pk_429a90yB1mCWUy?ZraFv9c(QoHn^F<7bj|p;!9-RH}s4*1-$H z24HFV>Meja>YRQUewP6-Typn6wV4$Wa4`jlWnlTXf+38ZQv)YJ^(x|RGJr#{3M!1? zMiqeP2C%LBqc+I*<>4+gIc?1%1r#s0dDb`{%4a%DTUM253JTWp>#ZoVKBf9N25Yfw zDr1i^LJF>(#dyhrK5Bz2{(RCv!cr8Hc$GpBp|KT6kcWNn5+@)(;QWSfI>vxK7hgsI z>yn-+a>b*zL6OfA;1p*+LJD6Ujf(JB29IUf8JVuD5h64wm8?PxyT|w5Vp(3x4=Yap zy_>=UhBr6Y(a|mS=2v4;g9lV*-4B-a(>K8&T*Fwd#UtOox{*L3wwBVTVYznab6bw? zUdz<6jfI@^P+~{Io@$FMhg8zfp}J_nOWdp~;sz+e%Bh8FxZ7e2_?ExCn7ceXs@+)W>4pIeufD%!oT& z0lXhE4RN%UX5Vs-+<48`r1D9UYP;l3fSU5Oq>fvb79 zz@UL~txlqY#r~mSHuO+@OvacTD8cb0#mtfgj#zUgy(X9#+ASY8z8Ns_o(s}zP;L!z z^X+kKT-$%!Sj0E!B;rDP$L+%c>$h%{zD$0qzDgIzuE4SKQPK`eYIN(eaeJciu0TP| zQPRdy5}U}q;Qr1wAY2B*iCqCr4<%<0CDPPr>OW6@0$@X3%j%+V?wjnCxu5t5CD|Y)S{dOm8gv8p~vXT{56Ex-)1h8*OiB`rq z8#RR^R-}}walCu)+j@;?UCi^Hb(-l)nC$i#e0aUzgT97KYQ;HnEsyw`nBrC#b0g8L zaFI|Q!$nDO!^R4Sa_&G8^?`O>L#zk3;eDyxH&z=?yLXuG9uQ}~{OB>Gb|=N05>YbT zvBeYH%Rw=b{M7mK0*dr}+S;$M#9_Al{c>3U@?aafbM(&aK@ar}t%XPIAFq*n7sHE- za1e|rqC)0E15yTVDxRTqj^;j@AJ8YX^~) zJAu>1eUdilQTFdZQsKMlwEQ9$9GcEgOEyB7Cdp;X$c&&l^hk3vrgNXsnUi^n=fMP*V&j%aB^0ot( zYuBT~TTm^Bdc{?;g5fq=DDq zvEP$rq9%^pA7e!cC1*rPB-!ssvMaelWikY#@1y7}?R~PPd~SfyivBE^=&xLYav6eh zez6e-v5|Qo{SO&)gCK*N<=$JZyKlANZy$KVw(V4k@A=-54}DE|5N$-%$LVX5Z) z94<=jRbTOP_M-5TYS^~H|MH+2r-{96m%$}b?8Ary?67O{Ru`3Ov6xQ}G%}ykrq6y; z3mNmgpWqtvl)`2YOO?b)_lV`hwW_Oz;-oiaM5`hwx0w?blQhhaw`eoYgZ~sSsNOoc zAuK{|exPHuKsKP5-pT4PCn$oxIQ)_C6qgxT48v0-aw>Rv*q*9R*_c!$TWUwgw+49G z-2Uc;z_CKhNjXvauD=Y$y}?0bJ(o3%shjp~eX2R-TKVB>?Nq7wgA7bqTY4J9WvxZ~ ztVIU!7_G1&BaH=zYSQL0jzepJaI{P*R_h}71Zq;Le)`ikkrc1i3ekg|GS4t>wxDAC zGa>W$lj_^*x8HimoI@z)d~1BQR5i;~#GN&66y`bi^gw^O{yS%S=~&Wb-)tHo@*{-HoXg8kb{Gv^om>1PL12c@eX{3%Ie&xHw- zzK}ygnM3K~tXFKR^AM*@UV8?v&u4=I0^a20NJ3vUO;h6{`qBPXj%GMZa5^!+1!(nF zn5{;rlX6M~eB=g>y>I%{(ofGesbd7sw#m6=gU`y~qe_d+s($IjcxY`RX|E#I$eetg zajPZr*W$RvKLDH+u}T^o9w`Mwj=b!GOc=Wva4NLQS9%Bi%|c+7tP(f0{W{`zKHqE{ z=|?@lltpKdn75&hByAci>sJj)RxOPy5&>v%tKucFM=9oQ@fZds;xf(v-g%~OSOra% zRhtTtq!yM${zqa&u1k@KWKmoY4S}-~RU&&}1pXOi2CE-#&QvtPKK+Ko+9l-6_nLRh z-txD_>!iAC)@B=Mmwl{O&tg}7BT5Jk=&g^ModtONF0_q5%`VVlV z@Y$|5gSKqFTcd%(WmcOXX~`L*wTl;Q7|K}<)E?AX|pMEWT-`lG=suQ*ur+$Cu8kj!YY_A#~jGodra<9VQ9ltzkF1^O0yFgb^ z^t?LOfv{OMr%ml=@ig}s_r)!@R)bF&hJ=J<3ed3;lRf*O!&mJms(#6;yAP?akpDwJ zbO_1(LAypw5Zga92a33cWQzeBJzephB-R)@qR#+jX>bQa0yj_hRxqwL-ZmNhhY`@{ z&a_&7gn~8Siqj6dpT`qh5rs&CD2n-HtVMi*Id7>d$LX2p(2<;35>V*zv zc?#I(VHN^^;J!aIc@}dlS}jDVY*~pH0lIvfd(}}j1`%j&7o-{r!0s@^9@v@zs@73F zoJ1FwLH@=JErSM;AIX(UTjbNYvu+<{fW!NNTG6sFZKQ1f#aQ_d1WTJzPP9)E4nvk7 zB2g2`EmZNLm9O+F=}6Dn2?vdoryVrX(+|a&H-zn z8&(YTVcuSP@jINo@PP6;NpOlssFI`#8h;l#? zjl03TB$sDmRG(*}uIBhi`SK9D98c|Wsx08@VIgyRbL!HsgQ-d+R^0>ZQD$ld(d&IO z28i=fW1VzxV;w+m)TeBPpn6<6R;>w)|%g=Y9< z=r_g8+i$hvOPqYB#TEL3Pj9$|CY8KJP)}$YMHa_cu$fQ@12r&H0l{+lv3T#`+O+6d z4F9aIzHxj^UUN(2WbYTQk0ZXWsx`^=P_V=ol{JTa69Hq%H*CZi@*&>oBp}wc3OC%i zdGBGH%Db@;Kf_R&L|F3}qqPx$iY@y74Wj0&dbs0VQm{fP564*i!oPSc!$w*$AE+ZU z`k^C1psIPXMuOl`H`ZFfranj^g%9`;+1Rh%h2ZAv6~Fh{17#qR#9}eYhtkgb^s4vT zzOlqE=@8OmrzwRPTFWHEwDa{AUY)mbv=8>dB68;=SixGAr7Y*+%Z5N)0=s>G9Z&jY z8?VS*j>WZx%e^V5FE&=^_jg*4E6>}*E^29K!i)}^(F+AGYQ<5si>f_i${)Nqft&nZ z{w3!#drxMxHYF(Mw}GA4#n#qaP9>Wsn#-(GjWSm|9z)>)Nab8G5p7aAV>;l>aRJ)} z8*^AR`IJ|DXR{F&rRuS{87i!itBQm{o`J@6TxMQ8?X$1>#;`ZAJy>VS=a}6Hzjvn* zX8z{YtmN`C;WF3~Jk_Xs!pr3>_r7#IXA_{b1^cw2NIWpdep=xj!O||bu2a#~<`^;B zz;D}GuWTkX{k=~XkRZv@R`jyt239kT-sV$d&CZj9Z6_?2+E-W&fqO*ZoO|z^DBK_2 z8T<^R6fq3p2D@dhantQnM&_?UtF8;H9GQn7_tO|5kK@pStX26yFHLGcH8uCVGB)rb zAQi-u{zh1t$VR#-V<6Z;dlKFTGv|FZ`sIK?cGIOM_BnNZN@haLXp$FlD4c!kQ-4t) zjFR_&UoWkh#t3JUWPvZIOW^wx>(>XuWt|3Ob#-g_nD9>gIw@ofZyTpP+<9=To4L^v z4ApHquj#b)gE5+l_6@W#&~knYtd^TYbU2ncoXzAoTHzJ%TPuFP{3Hz9n4Bh@>Y8VC zfYrxa8E+sCSj)~6Jq?u-qDZ$H}NYE zLt=dxwLYws>}fmM(_R0;UH?f55IyrS{F4_?1^w%#wCZN5RYk96skL)2-=$5yWl=_OO=(ZLkwgwu6(HYNtu)3;8Ajdq z=G>OY8OztH4Fj?K5S!npq6;kO*KTek1MRAiZE%)cn{ngGz$`YU>fuSW23TX=WF_;> zr@*%YuO32Ko)03vF~PJG_zB|2$+fla26Li}?f~SB(H+2Ra@2900!*@foF~PkpjBJY z!fydn-hF?lt{L?u(mkPg!l8m(G8O}M0y`y`y11GCxe~cnxT%)@`Tk$QhE>3ZRW>{5 z^KSkq5zWJJ|-LI6tkpR~G7orIq;^^3GM{Oq?iH9Q4z-+ueI)bG&q6z4Shx z+DK4Q>l@3quS5vtvil1jZ?JkWCV~V_-a>-9GB-F3i?S;Go=Hg>1juz5kfY&70Px!p zu`C>8m*q<&{H^k{DROtC=NV{{G(l{G18k8+I97(fV!424j)rTzQ;8wrF3!@HW|sZ5 zEmqiYicrj5OYq3FQssNK{~k-FN-O(w``a(3#T}=`i7Hj7q1&p>lG#j>V;{5m)*$hw zZ&V2gJUXYuXO0TF`C;{nB?6|C-xQ>UTBj4SFJ6*!kp%R-uyhL0^G|mvFA{A2+SJyz zUp{J&J>XKQ`O>U8Q|qLUm+PR|t@PrHLGSUG9wEp6RIWpYpL#9R!SU`+J$3J@$jnId z(rt&C9{Oe2APOv44%GKahY}RA(7r%7RKMq4oT;BLsjc;YykNVE=Cd2#o^a<}QpnQr zQt`d_!^*CYNW6!9FHv@#Anm??EvX@=Y4Ae%JJ@)97!Z7n87Sotug-o#QGXy`&Lje92@C+w2EbE;?yd>w`P450rl7$o$CR_s(MQ&YB0(f6ibL^3G!O&f0*` zQ%XP}a_zd~+NJb^MgB+Udiqrc5Mn9^QupxpELuGpRk%v?GclLy*<3g z{oSYYS5TXbobr++|9kGP*kNJ&D%6DdatuMzXuSS<68}4AAA=m`$yyf4S4!eiLSlBH z8D=ZR3~NT-!y3B_l#v5$1j=$lw+(0pa{$^~@mtOPhF}M3&Cv1VgFZ*uVTK)IVuY)6 zcTo6EBHG(`{sbitHW`l|N=31GL?duB{^yYWC$4F1Y4;iUX)uq-ke3{YUCclYGluul zb>8c#{AByNYLmA&H1%VZqPY!G!c^X6ukQJF%bTBknN?NXy~0F_@ZRK(k5xL92>HXS zN_&}V4=T+)K3_8UTP(iiL_S#}mJC5}ofm>#&hZ`wPo)4)C3(UWWX^FOrMa0biPP%VzrLNW{pw7leO6fUu)liz7#F72eC<$-(~8jXrAPv&JR1*@s>Z z(#hDCkBCMd{s~(ODm5T*i*5cryIhE9#D+OrmpOX|WPC_-26=x!?@J;!^kvw7Yzofd zQf(o7Sj-<&9jS}o?@jYNC*xo&*a=ATaM&;2r=DGM0PlRm! zhvvKDr7=87sj6926#Dke=GdzFkoC_BIU~>1-bUr+O%8Sm}MeB;wU`M zc+sXJSJ_e&xHXhhd1cmL;>1XxV>Jdg)H5>v@x7D2Mkp)EYAAi3f1It4SE!FS*s(Xv zv3FjlbU~+-e|+O##nB5o(fs3!{Nqf0yaIi^L5_-Hj-xbkZcEoPx8@@Dx~|LdX3-|@ zEwmO_1;QjArM<)h_jX>=Zx$Jva-?b4PSw&Q)vSGP_FB{AwPx~?$@?Y9#3?rJMQq%t zrk*+o|1IZl8LgV&6g^UqVf39&Cyey8u-0X{C?gQT={YnF5EtHcKV| zI=p$7FK3>;R4-v;>~ODLlgjN^%ZZ#L_gi0 ztncmcvDI%u#LMv=g4F!bqr=@-X^mr^wZFQRFn^9evJiEOZ>eV(_e)fN#;pT%Mm zF*I!`jF^s1-oIuplE4wg#{1ji+jYF zhIB7hsTd7a5Sdy0SiWN~1>0p{=030bQKiu;n%>5ywr!&tsuwJQYz3=ioJ`(+eL~)j}s*xCld5yC@+W9vvU~* za~lPRpyoqR42%{0j1?Vk+56u955z`Kk&%Sj#x0~~Eu`edOXH!aard=3HTN~CgOT8k z9I^>Dj=mJPNa6h@&^d2$!%vhx(dl~Fxl?h& z7D}J&ucRn{Enj{w%{*%#eLX|q(L2Ytg&p+u^HlQ?tHawuejuUxm%Je-s$YLf{I>!P z8w$@ow;M#A%*3Z%NDg&dJzutazO?`T;?Fng{}PP;kvaVlLy?pUwl%wlCpo<(54GVn zrJ5DprW0Gp*v%5n3Lz-bqy{AWOeerw3;!kWPnMHeX%vBV7NVQxoemf zl>CsFFyKJSWg6R;#wd{vXEXSZU_Up-P}bJ=^`)N3l8*~^o^9V)JF_-zV(ETy=UDqs zq$jduzgPeWK6d8qQOUxW+q3?aC#Dj584)6a2r?Ji#W1XW_NiTbs zY9GY?{-V>D+xJ(>QJ}DEsdfzr90UplK^7>qX;=p(FE*A?{bOP8UbtpOk#Wkpc*I^W0+4JojKwza$9vM2PN+5G5al=*)8Lz%KH0 zI>IT)+`iEezWwo|)yY>(fkSmVoHMFv{4Q2A#i}QElX^Ex0XkL->uL_vM)!p{Ia@U* zTlLjPxo02c27?|*fpG5&@!c(wWT*{fqF6(^F9-8|`#HP~91o zB_n!%>+5l*t{Uc;jW_V@&?suogR+D>_;fe)`&n?X&=fJuq%kuKXt4UWA~Mu}0L1** zog`gWg=4#^@ZSeYG195&W(4%^J{KlEm07kavU+Akw^HQ{UoiQ^2_a|i% z{lPf;LyN4z3#MKY;LMjMsdV(;NEPZEFH4F25Uw&r@sSK`{Vv-H4iG>Fq9 z`WC4NMzj6g#xcgkZ`?3xydRO<&z4;e-*>E$H})wI{+P+1qU0}CilDCi(PKd8bbS8< zc6!C?Y7#k#mPp-aZXsV%W}k~xc;2&Gch}lebH;<)3`hGb`7jAc5p2_>rx-ARq5N{wyF66p6Ldwo!2+@58! zYca8F@hjV<(_ujH-uh@sDMyM)jA^2ziD6NDPdI$9-|+Z%mn!5yau@jE#>oKg;r#GN(1I5fO_~ z>71lb4-~_`@GNJ`MSptGEAVA#F+=C`2zj*?Thg9 zWC@a;&_nfx=tV}}Q@#%GZ`!#bjTaQO!S_Nk_At0~@;+n=ReqTB=|ko?a#OIGd6heM zS5Mrw0lqRetofD?TuRBNzwU=LrdT=JWIl3l3@xt?mg*l`<<$Wbc-g4}%u>9ve!u}0 z>t(6`wOI*vP%+J9+Yc%JniAj3ObnW*RG|9#(u%56*uxhB)r+Ae52WM1 zl#c6Oi4J;msdT^e(Rf@Ho9Hiec$Kr7tB^fvA?=`jv6|c-u)_r2kEiRoT}Gx)i+?Ls zkd}CVFUV2ke&=!8ViLZbgUEe>W#1@}>N`$j0x3rk=-=|*fxP?6z8}G9UB_uFN%-%; zX@bkXWq}%&4O1qxXNEbykb%899k0`RdZt&9e*YaKbw z?X1SBDC<%U$)}kIYHJ-eG%@jc?}EKt8N8ouF{fbhF*v}>|Njoqe?Rf(Uc~Qst*G{q zsQ<@7b*y%YZ!elZNu&vO--)p-v=JruM#B0Ls(M=9`Vp*b{iQJa;R*TVPL7MY+L%jQ z(jfcx0P`~SB-4L7K>tztpM+XjfhFA4ckfck^Rna$oTD;mw={asLT$P&jDF*p&7Z?+Xz|{&Je2 zA(V!F%bpuUYbmX|{Ots#(h7B^jk+u^SAYnSc0bHcdk7uzLsK>vu%m3s4De?%)oi&j z^6zyU;_!&6cc21MM;xvZE1Qu;-+yQ6QBEy(DcTiGqgOc)C9ysd1F7XM#R%3%jX>}p zv&P#XzUA^_MR01;cpKkqYoz1VRmzKdUt24%&;xhS z=^r=JKNiEnPohsIE$+(^O&FAQ3GEb!oQntK4_@oVIAP@R0ZsSzs+yYOfEiJ84s+M@ z|52Qj!`$(l=)c7f`0~{KzH2`x^XmZk>Zh%!aV}}aU&)`QJ3{(Gd+tBt`sB#S-4)ui z0t60>+=4(*7x}?=>&K#jt?|#a8ZiMB<%ekr0M7H-QZ>Y%2_M1q&L}G$ud@}`C}b@ z-P%IXy;QAPH|DkCvyyf$3-*Q)t;~yu9H%uAATv2y`XPs~L;8p8+Tg8e*lQPg+$1It)L zZcfge45ZMeei4aue6T&;{lXDdv{qD?=MH66i*C~4J-k)6YT3CQ)W1JoYQwhv`+F~R z-c)`!p{q;Yu_l$hrJ;c1^wgeUDbrDIak5K(ImSFK#zoDQ>ep^XdHi^x^7;PCC(_-* zsVr*ru>y^Wcs8S|-{c(vqd68zRqE z(ra;On6#e1j|=XUlpp*R_2Zrv>HE0b)soN4YniHpNXeG&4k{8K+~E>82R#*^fp!)uPt-yAjd3%SU=S6+l8HfHY;TR6~%kDTIsU`XAiN7*`7#ypvq{acc89M%pb2@>CJ)ZTMgM}p6X7!H5Z?l``xu77-dek{q)P5;WY z{_-o6)A?9crA#Gc-I}2GEC;uOKwEfbj;4@Pv1hq3sZcbrVH>J5S#G#6wD6X@{kiN( zrhn>t^+#;^HagJc@50Ir(R1&)u}aQ`R?ahe3>Q>*e}a?PKJ!?%Ld|NX+2i& zh4cIW=G?X2=qlTK?u1F37j@;j!IGmshX2EY%nd9C^dOpCelOc&5&c&or&AayUfqFIhnu_0X4HV}0Nga;=A2rI$zxFNE7q}x zQX=nGtR2;Deuqz==%3`ykyczDNAL2Lp&Cz=Yu0$gCkODmbUIRA#N}0D-i3beemp;l z`(EKb0<6=zDTsLOcvY}dHx@QnMXR=FyMM)k=$aDfI@D;kwWvseM+hdIX}`NdS}(*- z*B<&D9*fI0WcUX6&yKU6XqLBm7Hhip+$Y` zGr#USF)sTNQ_9Z(r;rGm)0>g>_J>5HPeEq&BqHZgNd;>qLFhNV%7Mz)%HT1Q! z{&bn{im`jqK9uUzwW(~MM$6oZrO2=1$ge_#H$sIs;)li?h{ikjq!*fd@-K2Av`b^A z$|nD(f}jvLRki?CHa4au9;RiJcY7NTWd~NmjNNU)&^^~JujCnhECSnCp3QT1uf!KK2RuG)h}{n@5s-<+JGJdq7Zfj%%-#AaoZDK7v zE9`=f(_e<=gphOMNz^r2=b$;vU_Cfa3Kd5!>j*}^?f$W#tQ1R-O8#gopXOXNG8cFf zeIB&zL4%MvRJ;oX8)|ZDQy_O1U6pi!VLFGL0}wF<8>}B)^&(Fpa`dq)5`v|99e{z1 zJZR|w*5%eZPB4n3qXO)`&;vF<+a9^>49+9efv)01Rh5GJeXuD;v zEaUR+Yr5q2SNpaFI+2q%iKJXCP68w4Yo;JcQ^TUWt<^@z^5D#q0g{S!NE~GZ6I%Xg zS9yJc2OIVp%56Ba@i$Qh1I}d#Uv}XL6LREQ^l3k;cr}OPxFz0pXs}_JrOm_h>~&yuxbMlh zS%7DieK2lLsRbJvfDA*pr@7PeH$XE@F+U)Y0|c&W8Nxhbc7UzczJ2-;6F}2>ceQb> zbm;E|8?L`R>M~06um5ZqEIheUGiv%huD)wP?WuS|ipDZTf*5!h zRjor^vHr9}4xb|OJBt#B!e@EyK@|PKb zYM2}8cn7@gra1zDm_aLm7Wx91e%TvmlOpNF5%;!tilUy~6nQk=KezRLM>TpWkPKfj za*J#dWa4-=LSx@6qiYJt;;QQ*qIpqQ+!m{%p#0G$?+)Nd(Q2s?EInS}{6%hon5{U? z&+DQD%N~F->CYpt%D1tBwBdSbUgH(Nr34Toym;bGaMev(6?rLe+=aR+aF7het#cGO zQ==X8^=*&BE|dL7T!M=(&lchu!^%(gEjD+H@k>Z20cfbtbuxYSR%t9~zvZaxA34AR zU!auzBNxY6ZpJShx&qa2-NXch*NAEbuxAGbI=!ST-f?5?`zC*}8aMpu1B*0yQ-yeBo4zEo24Se@MpWbHF_Q^5$3NSif#3TB2!nIZL_1tU=cEIuF+O0O?naaa|q| z1CyHz;wi3}quyvA;NWj8TY8fcI>;%!yoTNlJi8kA{uAq1g_c1M%RCBli-)g}#4_Nx z!7L>f5t>rEHKp)r$E^qV{H6%S0b}G*-ex5s7gNE9FiQk-c7*wVO*;-21J-s*RhMvI z(kq^VIt~W!7)e~^-&n^`Q1!uWwg5i=D-O)F&=B^TrV2(MQeUmF6XY?Dp&m#=fR0`e zZF}X~$q62Nn@nsUOjh_FBO8ETb%1W{5!%0hidIi7Er7_1SxEIc%Gx>lnDr1)mHFy$ z%HjdgL7U7=7Fb2DJdSKTs?7SP`px6N@t2j9o1rec43~M~xerY&}3Yy`tn{0Av z0p=<#VCqEK8g5t!yk?Png0{E~L$i*GDGJ;v!pjbaub5a0AOJP`CLr|yK-DA(VA&eK z9Ow@@kJO!El^Smbvc>)%X**3De_=C~06Kf?T$hC!F+ zoV+64EOUYisEcU4_vE(u0tQ;>yek~lzJ?uTgd=|Q`6s&xrX+rHB`RtgYJctic$no# zTwEFEU349xMwPg4WtbL_E8lt2U_|Tsax<-=;tue`U3NUG1;&l3wYhFU@QF#K#K}yP z2AmY}Z3=+Ji8U&cMl-kfd&4~FQ0lsJ=8D)PDcbhbdZ57%ybyCq7ukbJX@hI%s-Z;C zSYI)o0fX2|5=2_ce0?zU8+lZb_{A2FZ(Tbew2YaWC(080s|71Z;hCdZhC;4oS1n)egVUvM8S zl2Zy!I#V`s!$zK}4m-zT&`?UUG~wku(8-{9sG9uN;{98T=Hz}L5>G9&eb2(MfRy}d%R4`xs6h93P{;@m zBP^I}!9_-W{;5)sRl5Dp+acVzVPO1CyJ=Scjf?0$KxYxplU}F<878sU(9WKApN)nW zOo!|9CSiLI89oXWmuHtIqAL}VZ&be=lzdmb z-s9O&Z8UqG*;qygd;4H%mkm&=SxKhw%(ky)21yU0Bt1YvXsqG^wc5R)iKE@{IHgtP zww+v$LH6K=`Ez&7OuS}DD!yiZW#Cy2;Gl5mCB*X!gZ6pbqY^cK>GFkOix-VvVUa0Zp{FeL*_|_ki)(Yb!hwxN zfuO@5U&}?!>0U!P9@=K-D9ihkVQm#&V;51aVX@e6Cz~}8i^{U zTWBi)K!B0KZZP)fBe)lb3Y8EhF5&moq2oxPKB$H|9j8|Zf$YEaIE|&uVFMpV?@eK z$l}g-h1)RXt|aj12uO9eG|uwP0EbQncTDrqkCQh)4jXH2I7eRSZ=b_LGAd@ekV2yv zkMscExQ!PLL2G1@=WXJt=14a>Kl7zCqiF=&jtL;he{?;4SJe7LY{4&yeNsAQvU+X} zEM}Z4DPO(>`e$TsEN?4J4w^$5f%$Bd1c7qX(S-+SJ-O(ES@H-`=vsNFA-WA{2eLF6 z0g>q72Io&O=6|Izz?s?)Wh<`_5%ljb8mZQd)p}%-1GZbmG%aysGsn`{$}zfCtyE&Q zd`)tA>E!Derv?h#@!x7esctuNDAR#I7LC^O8U=vHg%jHdBOVVFDEswod5}8>;0L&) z|Db8K3%@qaNN|YNBJ49qbN#C{=QQKBzkP!upq<=l#@a<1QQYJiq9<{?N8nX!(?^&D zu(winD}jtl1L1UgjQo3ySm#*cqCV-gjd31Fm{gR1N$}3G0=&=zz0iR?omOl`n&5;G zCr<4MlOay;2$Sq8!iDH^Jgl7j-(}4hW%KVpwQgv#MQVnG7A>7oU~Mb1>ZZ>xh-%*U z3wbh+mFg%ElK&)xpui>Jd6;@ z3z7gCzZ-^S>EObHef>ubrgPTI*pbmVR+(Ba=nb#ITNH$vJg2n!Kw51~lZs70Z+Ska zJLD3zy%Xlqt{k~dwz!hIbq$UjIp={!_&EO2qd4MInacdKPOxP8}HgH##ah zR+V;kGvvVU^;@j6@QS7)9a;oyhLCm;y)N>&F5)e*C38r|D{KdZ#)6oiBFfDOA!bLN z|1rfB#}Wc=VYT>(C+#^*+v~oCxx1Q|F!#i`mlGZ{#M|6b^i-ESW~P9=tKd&bi3#vBZ)2;( zOwhGwB1^0=Vlj-6#oHy9aS11wBG5BL09!ke!*KjulU*K<=~vE@2k>TZ11kz{9oT^u zB4FCG$1b0T#+!mWVzl$}PWY{j*$?9@%-p3PK1{6jBD{q`P4(KG&;?}juiv{}%wN0QPp zzi?0cDm`shAV@7P>~VsWM-t7R{mEYR(ZsO=x={nHM)Vrq$^A}P5q<>&4ys*JFNO2R zjp4_kUb*VJ#rYv=$MN%EXrr?8{#r9ly?l@eIG5s;2mx&Ek@O!}Xf6sf~^Ig~P znVEa;c($I|nS1V;krHs{keQ08kT~n*6Lc5KX;^!jYpc$e8MSa~hp=fe#Xpz5;_%!4 zQK~JP?6&)5dUsOh`nc9OQ`LV<&`0r%#L;0*YSEo(bEa$anAJ>X2T@zIUFn6PKa4R} zFP|yOJN=t(2K!s-M2_j?T+c7Jf&^&gyB}8uLGZ|_wToJikG>S>=b?fgBhQB0VM4{M z?GHkdUo%FcGSt%r9ti(td!i3(o8LvuE(XsgcZsrfS~FpGTcp~Prme^E^EH0y*(aFO zsLFjY;s7BKi@rc*y;XsUhn>K1&=zI?Ay?V>BOXgTw@!Pt=L6xb`jeI~pd5;@def)) z2I;Rl)4`=FgC!|yumMZh06UMR5RYX7MO7+ARd2-9K*W@JC&J#c)4tL4B^~I^r`I>u zzfrgj51mb;N>hB2%P3q+V`Qc!*S0_7shdgK^j%=AOo%?n#ME?`+O>(clO{Cxj@dcd zqN?f4%N@ZHFR9n^v1NXOY~N-?}^^N9hOpA8y|ZEWr*lwg>hkTbf|(dda#2rX!&n z8H}=SvVB$pYENx8ki%#$ECoMj*ry$uPd&@mv&2WOhh3Q=?5vMuzp8#8H?_2kU-P;8 zF6uhIX+>hzoK$SY_Pptx;So2Z-)KPgIHD@Tki7Wr#s2v=_DVCa5n}g`n^mJjS@gxX zEXbCPTlpIHHzymDF88C*jBuGx_l-V&#+>FbwK_7_DSy#w7E4^%8L$oWseaVNKRLP) zW@v&Bn&NxKiWdTFa_C$QH+kIs#KoX&Dw?Iu&ZqM?5*-gN_SCu)tZ$RmWoJYw>xsx{ zEHV1y7qPlRqnk}KcWhxc_+mCK;9ve4hXL+d#g%+LiiI$Ze$f-tfD(UPG(gKV^spH#1x8eWxi`~E|&{NRmUe*IMiw5kTA z$4ki-K?eC0KKh-4^eiA))SD;l(NaLeDt=!SSp+_2unL1#*E=z5;~O;Iq&`GK+5&Vq zbUt0j8V;e-Y&apLSOM8%%w{qsmb-I*KAWst)pq|V!<18p4o$G-W4o;DwFVa=BDOWN zeEb5eIcfSP@A1~#J*c7p?vcGN&nI|X{owbfyQL;NTitxGai5oSE5i6qwW0R=?vxbM z-J8$o(lktxpw|1*Ji1L9;0aam1XGk9Ta+Dfmk90>O|Ua6$eEz64%SwO1vktUC`}S5 z1*DoOy8K{hm-By)TCO({K8Q68T_=r|C$w`f#h2>XM~s977aXYS0$UbZ+ODATT&NE@bXLZXX6oDJUd$r6lfrKT{C6bM!Fg`z)jUe-X;h3@ote>_3Pz9oVl&G( z(8>T_GN3(MWdu%(q{mcX*rk$WG5cyNWSZZkI(Xm-#iB+Omugmt8Cf-F7mxAAOr$!k zbBh2gUyRiGG^?mWVB8`;a6n$OO6`MXn(|-`v&eMRm_1q1l;tg5KV|bjHSC&I(SL=w zMfzEK!TLpGyhDB0)4-h;w=%Cb0lPdazTbe`)opmH{Q;b^%X5`Ih$gn4CX0BV$8kQ7 z-`=2~`6QX?1lS~v@6isQ$_`)TjKSL(1OLZ6d@}tNS4)4cHzy$NqrW(MqUi~FRDMQ5 z>kibvS#0**=eZQrfBp6QS%dO8IB@e9E2;ymuQ0N)HveD+L%xqop^qy%Q7a;mtmb6x z`J~Jf#K3Odmi;)w!b%I7fvVrK->9t8K@P&AX78mLm~XkipBl3;+a2aPQ+wfF1MrIa zhhQ(<@4HP+ee567d*MDbZc#Pvwe6eCGgsvwAzMsl^RXd3_rcKAv)Ms=9S@Z(6S8T?b>-=;$E_k7Usi!kAOMWbh9Wg)De|L)GCVwrgw zX|hia+b9$~83n&@(9UbnZeJ6kR}(U2kHp6Yu^b;=7Qyj1_#^mD4%>eA; z7H|5Uzs}l?TW{c81Z*HF0=2xVjFM`p5x-K6Ub>c*r@-&NI(O5-Y zst=SYcq6!VUvuk{>y)GElr!Ce^P|a~k0u)0t?1gV0otuOg#nmF0iCtlt&2hkopQt_ zQgqV7KIuhQ{+3`(-#xS984?HBsYUfk7jt5>Y6=t0-y7_`~7JUk4eTo)h)B}2&Jg=M&Ug+N>HNHvSvB^Zd7gm4vjzjew2h$)K+aOuo zDvs)*yviX)p4Xy*O(s#S=GE?>^Ia#LS0Q2Np(IB{09ZB{nKu{}$7JNkWTFZYVTA~= z#vfvhKLR<|keq9{{FU7Dd3)HoMDd`m&YPjXwE#my{tBoK{U0+VN3qNsNM#%l!C!qu z%@5GsQlU+3m-N)ZfqC}B+SIe@jmswg3~4!OfpgSKoJ+RH+NE#=jH)t;WtHcX=RvWa zjPKj=&$dpP5j`2xA1JiS8TWX5bg1QcmOlb*9_y^;M0;_TSZLG&=g%;~5Efk6rlHlE zWXy2Or`|1lOZS=w5}PGeTH@t$V@(5uklJ#I!KbbMU#h-rk>jIG+;Kzraxg9djdAsJ zLnEuTqYmL6L5Z}1p{&CTBG<)z!WJYH7sQU_#08NerZ&uT)t#m4Q@+5g2>RAAEg#G= z#>P-4e`ZmST)U`x-Kox_(!iZS@ zou&gpN3>bc>rt3JV=7=NHpNHntwVsuGU)_@@AK3?tlS49u8bvsMWu21GJ}(DO;#Cq zNY`QI_57EL2Y$j);*jSwt@GCVqKj5zjU5y+#4dQ6O&nAynG*dm}{8M_|n-wG4k*(P+c!3 z)7N?Sh-$6777#y8+#hg)GJF=3X?vMU++~KsUBZD&LZUc=_T}M{aIiXP38&pt7OW2L zBGYX1Y!k>N?jj4h;oZsv0l6VN{t^`SYW-qwsFjLw!zshG!Nd)cR`IcWO3CESgRW9L z&~OtFfdLJBefD)6Q;;qsh5eR zGW$7x$}I!uFH@`}414`ti0aIl{2qIte?~>x?3aa&iTP&AG9et#)l`Yfnj&m5zLC_reWn@%*I8p@QHJ(q?O z9h^9bz^QCX>V^rjV|o%p^%Ks^L=Lw754@6GZ#XO#RB$A@!vBi~>QyAUS~?RBv@(U8 zIukTKHEFOC+Y(B27F4(-xq>pABEo>^ojk;X zO4mn!mRvn?i?@>zfHNfRfDb1OU&(^X@>x5 zw+AN!e^=bytAm#Z&PxH6OlK%wW&dQ%vtfjt)A%((QL}36RdBBZZZ0$hQp?2|CoOFz znrXr3^NZq-FXnnmIzGL6kiDqM8PEXHjTR1TUjDHlSQB26-TMs81d)z z_-g|{nXJpXjT1ZnJ=&9b4+`cTY_pdl0t4e;3bDP*`Q#nfI;1SOT%J-ducFWgAIhA4z#@{X|9BQbjl)04t3bD|P$P z2i$xyp0ZDYzm(f9z0bM)@|Sh}dBl^?Aby$iIm2AP#R>e9@%8hw{}zFBrL>T!M<6N$ zaO4!g43;kVUz~MUT)(GsSz0$aAUXPVjr=?vIC$#zbSld8fz-F}sTJ(X zuZP=rZR`wwQru7DFst2r5bf4lZftz9n##CpRa0wSv~2Zl*-G<3$mT$Z>nv6HEH&}+ z$-B!Zs{ZV1{>XVC} zuOhn3;5T>aSCfAhTBUl7LN3;9jA)YdNlOasM;vS8246E;(epR&8`sV)CBh!1p9By0{x9*_XfP}&qk z{8Ucyl8x~^(M@`7lg2~VJu=J!xG#a4BMDDyE@1BbeeY z)VUhX-5=OjOOE2yt$KJkMor%DTr%DF-5 zRsCr&Eb0nv-`74KN*w0YA{OE+zZl58zmHP(^#zBkJ1H#6G4I2f_aX780`aGD%DySe zzKP1d%B<3?q>SvyWl|6u;@>nWBWXBMc!yGWM<@XK#di6{+=QG4PlcS>t>p71TJ z@rVDXV32P`Tr zVHPaFCZp0!nvqeDV1eGllbc#>(RY~+i7yp-R=o8o z1B^{N_w}!zHe333Y5KFc`dd;a8wugzDb_`8=WWOEwgqI#f&+aC^q^R0?}87QgB~55 zx0;IsexxW)>ULj({Bs~3%-Da3A*7Zf4#%&875C~tT4oPhUp)P<%z4l->P)z<@WX?fQQG4q zZAAB!)I}{omnI&nPyr@%y6w)NQ)jKk%~EGAmB|d8N@kvWnLA#E#r+Za!@hJFcF5?s zuFc%&!blZxmf5ZOSxCJ9FqL!qZqK2|YV*9Bo}B8}*4^~axHS<{{cnICC^ZkKRqfUq z_VWSY405EE4^y0kDgMP#_q-%S+Kw}V-R){1^g4g%hnKg@Cfjl&F~0c7#vYO=g7A@~ znNN>bx(pjnSLvSH9}nUUA@_+uA1q9d!ePJ@BInoj#kTWV7S!6gNzPCH{hA#?qZ9DR z=JnYJNudZ4y|AclSU0t)ZF~jeNr~+6@U#f6cA?K)%T)Pydgg}Ckq0P@u1o}MtqD?T z3XG}e9_1W^r%^+C-9Nkm&9xFU2dSm9f>0aaphC)tqZ6NVS)cQR zCMmx&dvzzLtzVGU&cRl1?by3gE3}n=axzcjXRP*J4LmoHwx(dLreUm( zAkvQ}((lAZbz!3x17S;nu>D8H08ZbJpT5`e{c7s_m08-Fgt3~Ou^P-+9Y&-dMWo+> z&DVv^w-`vd6iB)M=IP}IlFo>V3puRX9`I?`%32o1(=5@Rwdmcm#$jpR z%klX=FFD^i0cj`lEYXfNf_<;{t?#*%Gx^5clP_NS;PcfWP?zH|`LZNkmQ1FS=!~A!F-G(Hn_vcYhZ=ZaFz}^)q~s z5hcktH2t=JI7xCiL&j!pcz63Ui+<;tXKwOL%l%qd0+E~{W3i?_b(SEg+s$yK39cjg z;TIz%RqJCc?*6Xv(=<=bpYKzL(;LGcS;Ip=j(zP;sDTZht1j@T{6Y}GwI$uYB`xQk z1$WPaGz<$g49Cq{rOaCO>?By8s7(23x@Yk=sK1-#usBhvy7;gqZR4JmILk4(lTdl_ zpsE_E9NwF?Q{!vWqcO0 z2HK~CODd5MlPrqMN-D*ziHQm5X6RMCmjuIqilb9Ynw=Ve2?UP&8ZZ?YU!yG%BG14e zRs^I%DP@v{>A1%>5Hy5e(*+(n5M7EHzK1y3PhL~szqFA=M69VVooPuTXoj;E&qh73 z$%nJL4huZ5g)?P34@37aA(=8Ahf4dGa7jei8qw03oFoD~%(!^g?s-i-%t-3-1^;6N zywiOb!W}{(rygV+@iAgy#bal4H0nJE;+t7l9jSI_xoO$=079(xfg(Wk=P#S5fK0zo z=>B(;JV5I%7D*eEk<@dF_6UeEv;=GJt`4*W>_XXIV*M@XL6| zI*{&*DicqFYR`jgM={dh*UPZkJw9+a9&`qE*Fyp^yZ41qa&N0>AyGhXLW|b_hm*f| zG!yhi+slwJA+nIF=q4)!{_`OzQpC`_bTR)3vi8&fgurW`mj%A6Ys$9yw!K>7Ys!$8 z;5{JHoiC^*c)v(EFb^VrC4*{O^2b)JM=GQIf*DIY~RXM$y5`70?i&pJ88g?9Qc^u7t zp(u2rnBbS2>X+NwJTlNcVm|+6Gj8pIsO0SDh_Gl>Q=MCjLJ9be<194OEUM(^D<+`R zPcDTX(3CHhC@`~K-(`m^qXoLA4dN_QD^aY`oHuhl1UGX%7QnRR9)bB*Xi4^bDNj^4 zsKM&|yRiwz^8vre_ogP}PYB;9(LLl5e+_d%8(c__-wSsl(>HYtRbWbduf>rwU1}^Y%4#B!$!mK{MMMN5vSE& zB`zY_U$mh2lwf#eY|kFZTx>n>7lc@ei#*Bg1%?Gez_5VMDiunH8D&R(0kK=J-Nel` zZsUHLyjzb=(N5tyUw{JZr_v4Zil+btds2&Sc2B&S&1hxYSA`|VAW^-PneI(Vx(c)uPd1h(>L z%;yzKb-ng`<`cF3WYzm!+6%-T^eF4*@ip!cx&dFfjf>+4>eczzXIVTw@+1T~VF_IC z!amHB^maZ_do{|+KlbFqn735w+>ZUP|vEMs+;_cOs zK;P~Qam(|GQDzBCd?hjzxIH!d(?jr3CH%+N3p=;QY-y$Wk{pD(-%b^?6AY0xubH)( zq+%v*XxWec`a1M%Jc+Un%X;=X*#B2B4R2WvR65891J&G;tIosvkTAZ*?r~ z66%;7yzmSGxBFk6(eFMU+^*uk-y*(_u#Htv`+(^uCg%2IQ5wykJFL?WjV}IzxXWRG z^s7(KSD$mEbtykeZzrdqxdZ?>O$DUh>VL6n+RM6#kq|GC!s?qMAYfu&`@^^c8-f1j zj@iRiju}F5;1?4?Mk@|+UC~q~_W-8jOE)rNbz)-1>^9anN3R^pC&<#!{NBbgC*T~9 zY^39;&@}2x+->V+Wqdzj^-?@l9xLigaLQeb1Yh3FCrpyF@bBa5>D0h{s6e?Hz7qMr zKP(*5?30O&Q_E9PzZz%6)0UA|Nv_i-*UX+Ei8boU!IL4cV7il`@E!)!Pff2_b<+@&q2<2r!Y`$kQ|Kzwou>XEM7#Ny5I?rZS zm^yw5#5-PMRg6WnI8iAfoqh#V8ElDSjC2~^v0S2n9PDJ3imlU*O+cSfb?!dTt*3Y#LYzA z7Boz@@*hd&06v=`!keZ`7-+hfor7j`(X{uDPKXeCqsH01vDjx}l><&1$wivU-O8L6 zsX>)bWcBi8^}Z~Q@y>iS#i(`rzBS2O`ykZ^Enyk2pr+c}@uK5v>o;md+ZXYtwUlG( z^dv>wE9X*5zl(m%T_&^WcaosGNKlJmu%$4VvwSfCv7B+S938q5Te=bM7;BLjYrYt3 z3PUAe+hqOXI?V`O6Pk)IpQpm+j3VZY z-rlA_fu^a6{1&|4J~l`qW)K6krKlE}^=n%JVMl9hL2Ep4zq#)g$qsb9rD_HJ`MK|$ zxZXM02+wN@&wsOS&O^pBgJ=aJRt+czYlZ(04`7e@J|6MypSNvhvuR#A&73}ccIdF< z^cmU845BBOo9Pm8G$XHtb~xZW)83uws#pj|;<14Ekk~9B2I&=1woPf?Qmd;N%8P)=1}qU`nG+Uu{L+#e>g7+v+WL;3(V5S3o} zQ<7EonOtdBeXFToBw{BFwdcPTHs=A*@O!M`*YEVP*-sZPet@F#?7>T4#bh`@C-Qou z22bQxD#Yi1_{!a%7f5sJS*5i+B$)DTw{M1|Ii(DW znjaEWorTyp!|%^?-m)vtu z-XWpjs|e5MH2bCG`=!E*FSr(8*mN{hhI!=u1<9@|0G7EVg+`u8&*zl?7D9@!fk+S} zyM4@aNs5g;06*IE`G1?z>}dG*kEt8czimdxUvax5s-W8wCn*ADh@ArFJ@2|lOujGilvu}i`yuY&DC)=(N7RAwYBqjS`9m{4D zn`eEC5r)T~t>TcAY#=%01{;V`WPXgHpUA0_*Gc0&G42=vCT{ja2stM%ChnOF+xc^w z-({M=%MJ*xidnCU?dM&Y88?gv0lzMc9mJqb#_=tR%%(G3*qK=^T{)qQg?T91ih8UcDv@*SAX*HdiV``%4x&-& z6J`DImi2?nb!PkZGg(`sd6&s5v8^ewEj_wpd%9y@LZADDK9r$Sw4qXw?U~W-nVseTBu8J(<1dL|^mXppD;?+$=Pi9;xpxMO3|3MB43I=IcIr(c_-(kEyI@5+B)a_vdj%WM}(nBx6mQq zj?c>eyXzR!2`eQjf7d52TiRSd4Lczy>R0Ch&}UnV0d7GHPVw(K;Z#zz>KHE0QQM^w zloh7s3N(hQU5O=>SCg#iPddX)Ho}3aj!X2pBn@r)#}w%dt332 z)lB43*TEE)4j4Av=pODX#QhW zsP+wEI<)8Az&biLFi!I5n{;&RsAdIqO`@6s*9Ck?Nu;NubF)Y%kj@9e87?DPZyje0 z)>ZrXC{t^kX}HKXZmol6S-c3#>#0tlB*!?DdYrF>QfvwMc5WyzH6%0Zd9OU0Ks1_3 z1eytg=FS)LJ70v+Uvr_qw!uKt!ay4Zq2&W0L3;v#1ucd777G7p{I>$2lW?o~zm*66 zssX7Yn!x`kH$%|CtZ3kQGPEcnOtfhObi`{2`fE4_nj8jNI|wZVgodSZkztc+@WKAY zuyKo)ao8566+hRABA7K+m%04-m+FHzoHWdFUzu%0x|qZj1s$T|$E$VHv`UuFEmS*6 zj(uxZlm)&j3x=RlKSY42LP+l6-y(|H5Uf}yAYUgC^%5TT5)NKyBVK3|XhWiSL39t- ztqMLg0=)lX2SeI|;X!zEL3r(ev0HmLmK5p)B3{CMwdw?<$?grD@gYxnL5v6$&O>v~ zL)Jtu!9*|8K1u36Nu9pS6tnCBDy*|SlqTSY^SM4X;5-bT%_UCLT%F{$u;l65nOXp5 z?5t>fnvJCRsp{H6&q6@Y@=4x50l@MwmHA<+;%h;L*MbPHhf}6yF)vB8m&#U

0Pi zm+I%ZwO6@wy5FxPFQ#`l5w~&8q}k2YFDhKU_A(_*urG``q4zaSj2q%uhqTSUXn2ACw^NPWmL(| z6xSrMp`{R{p|kRNhxBu7R0?XGqDlk^X2!CEr?~-v%zD2f#G&@iKzy=k9kT2an-1|e zl6gO()tL$7J9>>3u2h*_o|>5_y+dU>+&;VN zw)K*dm-|oF=0|_rd#+VcpmT57)vW(_`Wri6z_q%V%CRzUYF}$RoW6NiFMmQzFa$=F zb)nA|^(gXi3>o>J65qQ;M(F4Y0fdr41Fz0bXv&iMC#4(qFTLAtyt$jI4zq~eVnk+M z_l<`Z|L3Ss2u$oPpf1c4)#R;qcyU^EbvEs?^D5S&Vte?<*9ZY!4cAznNG)h}M7*h+ z$)xE}Spp~K#T_X%uvS+lY^1<1@lZqv_@Vb78Zzmj1#I#SdiOs-__+3e9_kOz9v}aq zeyH^Uk70fkGnUA$b=si*C#3!-{8g*mtJe1QfY9}TZrcN`;^hKZuR4lN=F_{&G48XX z@xS!jEd9s+wjyUmI)Dhk2WUVf`Eu-EDhapryEe}pD+a}3N%>*Ps7$Z0OfN7(>X2CK zP{4Z?;=Q^rC3SfEWLWY-LOgV`UOwlYD^sx$>3DiO;H|M4g4Dco^<;YM3OrghB5My} zR*9wJ*!KJ0wu9m(yJZ7!R_X5Hm%tKSb=lU+Rfphw;-TZqbg*9W682kKL_Ac-g|HZ) z-@3leWSnSo7AdKY5JL!QU`BfZKHpe+DxS8TVYuXQ)NIyw&rIs}xlL#H^ffZQqaB8! zL+?S|&v!kC52yNdc1aftv(y_mn&i`4od=UaG`ZucB>ICmrJrzV=B55{5irqTo@NsI z`xXYy&wXnp8fhiU4P?v-WK_hKk;j&yl)ForeJAqk>%6b8JHH}-3xH?^d1db=%ijGL z=Z1*}%alQVjCc~}kBIX}04V_Q)iv=gIM1)(NO~xU0k^qmo-ym!iB+Ql(gq4*Mi4mY z1=o~>)Rf2?z~l^I*?f@zz9uVJ_iI}Bn_BlXS6e`J4MYH z`AiVRjFb@s@!|Sbg*@_wwKE;^A!C3_glYN-^NMdUmumwv)I zhL03Y777dMJgOnwlDLAEc3nUQ0g_nPpAdItpy|4ouC`7 zaA0&QB9yB-WoEZ#ACtAlt1rd?QL9MFzzgPr|WgxtgKR{iS_t2 z%svxT^J;*#_UPTLd-_jLrfmgIypW|JSX6e>u}$Nu1te&qw}0bk_r7&3^pejk>BL(P zlua3(q}QVN-RWBz8mMF;NP{0-vfvx@Vok)?v^+$}bcLdkMPRWO69FlAugD8%{n2Se zg%2Z_Mzosjd@GqxJi@CwV4TNVbNDl_J{)qXU*RL&+#4-=mG(%=#|KSc90j3;}7`=vobw#U6WWb}{>CHwghIs3C0qq>{ z5xFldbY?#Xn#qf)=z=mt1{2rhD7WCTSHLJIX1mVIbkhZ$y$4eV@3^W>w=$*diAd60 zOP9P%1w?P6=_%6VxWF|a4s%@h6S}7;;s6$4p7aL>#(dy3I*Bi`oWAR&VtIE|tHe|F zVX(g2EWZhBnelG@$(IsCDTn&lR(#`O-!k&r1k$sN76t#cKRC-C+{+$<%O0c$64VD0 zIAi8JbZgk~KW)?An!mL& zG|$>Hiio5iYZjWY>-)#TGfuQH3DBJQ;r9XmuJCk4fsAz)tsv&KLKVjWo%vSqOM+Zd{?iW zJha37#i6I0%`Y$0TZ8g{>yQRq23M?)d0r(@oO|0|u}=3Tc>~dRR*I3frgjri{`;=> z7kfX>&Vhv|$RJUWAY$;-;k}8Ww9oEy%Rjsl?AB0*5>BhPr9q(NvfY?!x29H_A?mu_ z*!#(K>#S}yKQVscGkoj(!^vqwB1e7PMS@XQwWJ2Jf0+o_sfvHg5%tm47<8F!9FofN!a!0hT#Mf8y^gc-Gzu7tmIFU_>|VoqP# zEczr#0yxQUB5E`Gz zE554+Xwh#UUVKn#0BB~l9}1PEh+oehFCK&CCh~&4%A1b7-)W|-F%jmj-*C+jFiP;vN2;`a1QQa&_S(63P@*l|ALkH_#5OH((B`diLk0Uc&?* zrCrN7k6J?12Kx_v5+EABS#qJDQZNjZ4S+Qlxxrr6eCa~A);|DqKRGXj&3tDFuaKK% zM+amNV>iTA!|VB|L(#J1 zm_PSNTGD=s{`vC9dosFwTJKV1Zj!)_qsWQg*seJbC$cK4NylE+`=cHke z`RtXJw1K4y)v~~j=f!as!3)ao<0Q;#zsnmGvNFR@uHtH}!!K&I7cQ^^Y)smiAUMxe zNDvS_k|n3dd|gwN6vwxDvOd#$KEtRgK7DJni8bfQD8L)9*Q|fBlyiT(%C2~6qT_IY z^^4z_-)6Q%aoCnN&x!gjPrXFfP8+v_LTESGoay-h2GpGjX5&J2)b%A|Csq)PukUK) zxL(G4Rpr-x4l@DVS6T@b4IlyoC>BCIQ(=X;yfYV{@R%;X;R|6bnwiNb+loxATIb<; zqfdM4foV+>=Nf_mLax&LA2GveX2hDm6H|yX<6DO6o8wO;g-}jj*b&UK?2qyiQl#!2 zo>Yn*hU%qX!zitiC0p$)#j4DmEfYFZ*&>A=Q(E2AOP>Ph-o*j-TN-&K0rYrcQt1;q z<11%p6SsFXYZ}rjXJ7QWduY`(Ape%Qrf0M5Pn?IVhQ}{70I&P}&+V$YpWB+psVHz@ z<48$XBz!O(|Ksra=epq|S176^AUmnDNqSnk=XCxgA@N$!3|h#;qDxKQwV%Qm^5yIFDOpX zi*FX!o849)1-B%6A#Rp3)xdQJh%fOEtU#AaSY#xCJ81rJT1^X4Dgty}TDSJ}neZnlEWB{q z-GW&v40%2$@-Nn!#@8+m9EtE52RU`7HrVJlgXSZIJVvX1HJlDq>TJ%K>B{h+jOXus z*V8`vl9ZIekA+IEC@95*REK(5^brAJhqb+^*2Y$?%R6mZ*xJ_qH;gd;*KqqeRysK zWq%l`eL8|sY??F?yRf||>JCCvaQLVj3ab>XtrX;sn9;5v^tse8&e8P%0%piJ2{8<` zIv?mZP*FQ_8(|!t195KL-;a)|Er0+;o(_@`bd_W4Chk0A>FEv13VbfQ*_&aiFO-F)tU&-;- z6Gu9RDNvb?5LB$o-cUwi3Zfl3coiTyURmUc4DeJ5lNL0Dh}2uDSbt(crzY`s0tb#h zhYwW-8y7H21wiEnLUJQpch4qmdB2R1RaFc=ee0P5`z3D_S4_)eG53$0g2m zK4IbN_4_gF!PX-V=^2|7pVsdW{kB6UZ*uZ;x{w z{I;ott@#vXf-g;#pM2Ujm-R|qhnp7lv_73XDSEihXo**I>NM)Py4ntO#q`Pg)pJ8} zsu!gv*1Hs*Bz{e?kvwO(G%zydF;ZjuPOv$L+;FvN!M|46^AzscTX`$Bg&|774S1oFaa0CM%lBx$k&Oataq=V?Kp#@U4g4;W zc#E zQ!hhEqs(>&b+oDWmWOe2Gr=j}h_RKVj@WP)SbX9c9s=DGzgb?1+w-2fokW4B>!syy zTw+wPt764T)I!8(rNv=!7yqvKB|apL9Ee8XK!DB*r1Z2sUQ5gcS81p%e)Q!sc}#i; zOTo)!VLI_(mV$*IuAAIyp~nUgL7K|}(bxiVAPngP0i;c6;nD|jcQIS3&)rT*-Y(cK z6A2A`{(Yl`nTCw>OEy{K2^SaVlGup7Hx9&YmC9vn7b69j7He)SX?=N6+G&Kv41!b<$lkN8ML=FD@wvP~u(>8$; zo1Uo#ICwIy%0n(?hSO>{1Rf+eG)K^WFLc@3Mpz4WaI`5V1Z?WSHUAhKgWgOLbrmN9 z9KRR*JO`&;@BYBcnVAiJdUk}}16Dg#i#ycqlw4_#ff(?Ewx9*&I?*SlbXt88lvcBG1DO_Jwr0fstXE-Q!~n2H@R z(|JK}?mMsoT0u<`y-=R&x@SS#z%@Pj7$_m1DS$ZOYJckz9k%l9%|rn%P|CMALsmx5 z{;dN_FZ%8u?@vn#hadc2@!21=%8^7E&qX*Q^T z%lK1E=>8xxvPA)yN`Y3H?IgZW890-Cm60i6;?ghblxNOy^n~eVmTk;k=B`JoT1nU%gIS68@w-nlnlpaRPP~Wf69=COj zhc0Wky`+SpRtu~EKVW-3EMCr#ATaRg6^gzc3l1CNFp?yw3Uc#D(VG?pwQfC&jGEmn zvR2j@F8g#Cf;Fl-V|T@HqA#;#w8XdbDZ;~;;6y*z2NmLjYHNZm7}+5oD1qn{KH;9| z|8GWn)4&$f$%8|wP1rD6$?Cgd?issax_eAzqv1Rj0e9Y%Fbo1+CWztSgl$SH9@MKt z=OC;Y8K?x}ljh)tokcX$oE1_m=MH7kaB-((Zx%(DjN{Jp4v~D|rwv-bFXH5=@f_r} zsKRMaa*gfCQX<*3(&#vVkyof7<&{B9NFikq8QnWh(>3@GIbmu!VT1A{X#m7I@HVe5-PM5)z2|(c?tuau_~KZK z;TVq7R%}|3wG>uO*9Te)&)?U-re!so_{sKG=z+U;_Ub&j*q^0L1yQIC4 zq&*o!&Hok;2~C}XFu2#~-r+%#tAJRL=qf;z;Ph9dLH$87C4C2hg2{I_tVYRFYu z68?o%5`OnNp;CB}OJYi5Zru2esHtWQsn!Z7lM`9vdUD-u#P z4%RG&K0$SH{-wuk@wJfaDxgP*>P=N!5yij!4=}ToTa||Y$Jtj$#q~6aCLu`B;F91D zL54v>@Zgf*?t?pl;4%S%4K87j;O_1o7~I_n!JVMN-_7saZ+FkWegC|3`czlzy|-q% zySl2nx^Q|A9p!7p&4|^?H^OW^Lu7(NUKNzNJ zG3Y;g=mMSqbm}l=Z5tqm9HGKuDO#AJEQgH6M95vBHkP3xmK~2yro;lZj8_pu0`gf1 zx#bF}-nlHdecJQ1AEmruqPRVVK7Po}sD@y?j&FNORe-!mPZ&wY?ygi}q|}ib`3hX8 zOYWk#(*QV$nCjvpd?k@_e#e=+ompG0f^+d5#N?`&4LCWmI$7ArsHrcawg>mlrMxzA za0+kzW^yq6FAUBtk4DG!H+zeuIfYzx(mFm_L)==YAGBm1xyH*Mv^WG>V(4{D0k91c zpbN*8*IhnTI&hdgu*P&v)sU%7TFZPWR<_njDn|NHhRyBllF>iy$DoO-)A(*|XxfiA zCvTdQr!)wtx>{^?G2gkp>O=f?PhtJV=%@HtRZ_N^cQ#lNs}`cVc#3^L@bTQ=6QxCW z;K#bZ|DS21G|~EvXu@&91|tYDEl73gfbcm z0S>w85djucMY6Iao`1@CUWw8EEK!ir2p8KFw~hf7WWALm!sRUJlcDV-(I!+siIBRu zxrn7l#`G!=)OV~a&(Et)`+6T;+nsGaL%d;4(ly`Hf@9L)#2MU8qLo{Snyi9@trq`6 zN14IWYR@lk3h4vf?*ke>R0W4yUTBQ_LR59nZ^Du*Xurr*_Gz{YJzuV4f{Xrryj7fn z&25mv{?ujRtwP?#>SO)m)oi%SC{8Bk&KmTY_tCrZQ5-f)7wSF`X~1_vj5fSF;Bq?# zWR%Py_?5XJOc#9sS7zId$p^-{bOoE+HAg z;Rhy1erBWVjoMu@2AH&%NRpMq)i_jebhMK)PD}#d?S_uYHX0d^Pl2P)nd?^_19!dO zl;M83aR}*nHL~aMb$QPL>+}9gKYhl!@%=JDM>v`U>&eJ>_WktyKa>9SBKYx&lr z^Q3;^@D=)Hg|(_zT8{^n+jDI|f6$_csSB#pJ*1Q>MEKu-$q!l~wykfHx`=E5yzES- zzF;D$nX4BlR^r_8Sv|s(>@=dQK$q<_QtO8SXA}XAE9c_W?K&cuXWSh@u2F@)_?~s7 z-N;#Yaa|Ic967arF?)J4c>jBr_d^tEa=we;Sn3-JKrS`fUbnn;=WvGpcyzZf6K4S3 zYs6&(s$cYC0>Sb zi>F-23*|sSOX~%Oa0YqC9+k zDTMQX-RzT0%tWo)-8$CK@wEb;1fYkljSq{}fR4cJ!KKFY-UV~_{un#$)7{qZ*KS_7 zP=Q;g2Z5b!#Wd4A#-v?YbI({Q#fcqRG9lS)MyqqWh_syXxS?}8uu%WqBI66s*o?mb zn!_mT8T;SCKP927Lj5fw`-!7h>v#L!#-kvzvj?(+b+^06ZF!ILog0VIm9~fF>)Gqb z?@)b?gNx%x`1PORGd7Rf`p5cej_vjU-v?_KHZ0BA33nH^Lj(QZN5Go*Vl}PR=f3%j zLr-6ReY&>B%Y~c(p!3*DYm>nv_RY0-?Bi3b_v-_2MrAarXWX3ivdU;S1gPhzYs<(x z3-!%rXtGGq@7@mn&GhU~f<`lm>_g{!OLVg!`YeO|FB6I~D{5iol8ZGtj-PtH`Sywy z6aSWs2zZJkn$-Gb(;`)dn$#{By3`bMAd~#*5E^xsS?(CNr}|vDOfLjX5#1k0it7+N z%oy}#Tq^V1n>Lv6qdx@uD#9o{)0x_E^tPQ5IVdQm>6OgSSyhE|GOs;H#|#q7C|W^; zD0)f@M2W_g66SX~N63tl@MIFa$HmSw{XlYo-oma<6f;|`glCEO-4cu&yXqy5wq^B@r{Za0B?dd@(&11y$lf7Yu_SEJw;F675g zRfn{OW3NsRChVy0-n&G8DuJ(3g$@GVqJ)B!O5~S!Ke=sKB~v35a$b`;%JG}2t>Oss zsMA!lZ#v_2G&+clIuEFWVqij58w7W{`sIBJIeO`YIRhG)Ib)v)0`QLO?zb8{{6w!7 z_H;2zZcU9)ORNzcd0NvjvHJ=FP=O6g%)CuATH~-fn6FCmbTfR)l;)eKWB=v@G@T4i zP{}^cKK?W%38G&#llLkcLPO}!?qG@B=CV9JAD_+@a4G|vSEab-ie*>ch<@Y61&*ds zG{1~@jZ$AJ!;nvTUNbUEK@<4%UODLH3`b2;^fH3Y7x%mwQHT6~0Jp_L2DGmN;@>$6 zCa7j7ylG^rYk<&vyZt_Qu$A``N)z+q!(Enx8M=H3iOl9H9bK}R$DA7zN>jEQ3yQ0# zh$g13oXSv!Z$&qWN;>R|23g$P*9E7c<=wH<{o@K>0wm^hG=#zJE}eSwf|6KeBUQxn zBAv?F2e3+5l8g&Rfd$CRim)wvIfxCo)!>EFiq3}6yR3#wL{Z<(XRask>pa?Rt^uaL*u6>!+Bk%ugptra$%?$F8Hf4xg=dF zm8p)es*<3(mic2uC4ufDA$-jajrSRw7o5}{jfyFXz0Vt2C~SUk=S08NHgrW3HM^&^ zGbAln3l3W;emiluJi=+Eu^@W2HNgeO4$r_8fM&tn+p)dw%5_8DALPPos9U zKxi?`be|xej}Hu&azJw;UZm)C&A3QSe<9q2)VWGpaJ33tDj-bps51|511)e}Wq6V2!-X8tD3DikDsS`PMviEtgaMRp)?*a= z)C*j9DUrVl<;%NQYM=_YxB(zh)Lu3!HOvSf0wj`^xBjb65IT zFI%%c$SG&wV~%KY1lbaYF;BVWSbXwb-mu;<)zt28-^1BIq4_;4Z-Q5T0!+OWwiw+C zeQ%!mi`=I?-ryez6s)nIQ*Vulv z+rWQ2Hh`f5NgEu)LVVa^ekyZ*)%4`3GJZvBR8@=k#!gN} z^GZ)wJwKm&anWeE=n2B0nSp)H@ryZAEe@$A3jL2y$it#uEq(7Rd(qvgQE)5G<@bhC z%@wg!wM}l>8aZ{&?rH-G+R>2-T)OZ7{{1!JHSd=jqmdxi_7N=F?dM&h5NLw zy9?jzGw}JS=!0Vhlnn{t!%k=v43UL4K`LYWOeh*1tUUfU>qLI}<(T&vhTjg$fbm8? zMMWFae=`Kfbw&e0u_tC7B{_tipQFMqq&?=FVKXq3CoL@wcim9RMe(cMW?w1U$hE9y zrkPy1nCu>^i*Ih>5ie>KDFv^$p%;CT>&H&5a~0bFREvC<})nbB&o1&X@PibaPKL z#%4&&vj`U)uvyp9?J%k^v-I)xW>WvG6|XkxPNEFe?3>?XX+F=QUJvky#0lP3k|eQf zQ^g~bo-(1OT^RMVGeftFn09{B`gv`2x;Ng%?Q27gPk?}R0fU&O!r6v`JPJBil9JV~ zSSILDO^Ce|1SQ2|F5+XXF$3->U!XVkp&`Ya&28}?^K~e4e&6MrYn3F?+?z1o_(npU z0W}vCO+8Nh=eiZwj&yDyZ;V~m139AepVzqR4_wGLSuWxVW#`u1$@UW;cbIO~x3 z{D?jTZZNy}c|ri%5TGog_B&+iS7DVLx~#fozoseaQ|Oc>8dg&CK4RKDP)fVizCw&@ zexXCoIlUSEo7|pN;Y3h$-&glS1_jH$_`GD!OloIBonSQ?Q^?q-uVV@Gtl0{#vKvQV z>w4xaqYtj~@}w;nqpZJ=?SqmQBn>)Dqt9 z5^4$E9~AbpZ0EZr%7VKtTxrJZ4xZsdoh3c|aLSps7ZVQGSqE$uDlW^%lx6&Ju^29!RboB(jO7Wk7wx*$QVL@5!jj%a)I((k&rZhtd!4)(nM(#(($L9HqJBdgrZKE*`q}P<|oI6+jB9djW22pkLCL(c%AUUS#dxEtxJjhgGP^(lo zDKuV>{9_&F{6&i6Pi^WzUk3PR6$R{Y4OW1g*x6Mbh~KdprIhhPY-qP#Hlf=qhOjcI z5u)y8;t6 zp+9`V73~!&AGa6|%_=ke&K2$bGjcV!s2ds?;ZYB8a7-a*BaLnE8v#CZqgl~qIUMfb z2As|q;8C3lTSDp`Ql`H;HZ2zBypn8hmOjlLmR?>Lv3lP8n{$s#(%$e;1&LctNx@c0QXVq^7NL5G`|R@FGzEW%reQ04WuD60+5(~1 z?sYeZiIFYf{!s5zW5kAOZM_cY)wXL&f;Id*Ua1fVdH#-Wd&ZRWV?m-!KO>#BAO1D) z9l8iz#r8!^+!!V}b{;V6kNmo6h9WreNUwho-tg0ozq?G??mr`VMYMzATWU!BtqwW5 zUz!{8s6sMb2|4AD^m6x__3S@c!!uvcCg*x`I7^eeZEHbMTbr(~Gl|5B*f@Ks-h>wm zVW7;($REW~NyPJVd|~?npjaPgk>VFSX2_{u+@3mnho!ett`k(sRFHlU+EJE?2^#N{ z6v|Ln$QjsauM{+g6zW`1>4GXfv87;1Q$I7iA#x>73CWNMfHAz`;@1sJ+Hr{}^OfhB zy=6U5YR2tPGMzF2U)zg60@R@x-tgXE)9x26W^7zqTzSV}MXb0rb#q0gemvXKF15d_ zvX1{kGkykXx6=GxTNYYYLRa{6V;xlq(g4O&U!f01%M|1Qge{EB45*LROwot#pD;;@9Z!ouN@TxKcX7W7kcM4Zn3cj`LT;SJk4 z#Pu8em=js7k39P-%Gmo=1mMQLRz1%1)NS6-(D*;dHY30&8br56HrF2*6tk~lr1prd z=jpQDA8{E{IB+~kux!~ZV_)|eGUzD}o}v$?O7G4hPUTI6uMFDV8(nSasw-=PJ=89( zF{e}#&f*1-pv|EQ^bnN1Bt3-{#!u9b5E?uaJc3h>;f+0~#*H{ZDPRXCvdn{y8sN-4$>JG#k3~f+oUVr5gk_Sb{uC*QYXc zsb02`4Lh{4?3X9>I;cZX(NFxy_6$D^p-J;>dC7NM%K%PI$GeP zefS);pLmw)RdLFY0rO+kYe|Q45}BB7Pyk+~1_4rQVNg=F)Q_B7fB%%bxasQE2Sk@`X{HyX6{51Sir4N zjOqLpQ>IEpJwyo6N_kM!RaU|dzhUCVocLTslEp*H?Q}jf1Jt=y2Oe2s1pKMT)kD4@%LI{X z;mg^K-guB=X z$>0O9il<56N$27K+Pcy!AII)D4-Zp}1g`YmBkyq$&$>X(#jsVY_+wF!u8)&Yal@s)?tJznNIMBaK6trXi|asYX_Zat>nOcuA~% z1KT#~m) zbT)Z?-EK%?04tq}8FJf0TV`nf$M+|zv+}N$tTQuACkNtH7?zuOXa=^dm$;lzZ4c!x z^;8CK$@(=^!Ck`-o_c*yNTTyJH?g(DRg0#&^{!_DOj|f@@=}a-Lr-HL8o%$5F^hHo z#dO0{*%sO!YZEnZkoRLjyowmnaG97%&?W+YgJsu`$s37~_kC7Q5!& z!C5Q0={WwROgg(-Nn)=+*PNqU6y{f_w^Juv5825xrPVOg1BE+)=K-=IQu4N9I2-7a zbaJHZ-BnVrZ`WDs$hD0}=UqJAoU?CV%qiw|JncaE>dT9ek|>#~=dt%7Iamek(2R8e zlfbJ}SCAA1H~x!j7D)mMPZo(acAbW;?vv6GQ(av8o+}n=ukX;+)ErFk#cfgnRt`(> z?A*%Gi#Wz0csJA~4Ug?S+()$cde+Vak7PC?K(Z zlpvw8L_Rk%=cu5N1NduM>`r4V8HpeDxXq$A=1wc8PQOGfpPDlT&X@+#LxwrUhbt3+ zi5X`Nk5X%mnz69A{OCFT-ik6vI~De^-W9kp$6C7WH&lz$e?U_dA2DY5%Y=SZZM0NW zK%v$95rYPLN8J8+4-Bi-d&S4ivq>S=GVi7#kw?Y$$Ee56)yMqDy9aN@Pk7W_%h@Hk zu9x@qOA+t31;6ykw92r*=NdBYUVSF$5qTXNs+7y^_R`_w~H#| z$gLLoLT4?{yv)Cn$f-Bp1m>c2;e`zeJB9`bCEwCy^N)GsReTxc5zGZ4+1%V6+)2{# z;L(i)Jdd3%lVk}^l0o>sd7K%uvjK}U*WiMuUgUVU|D<*(OL}YbEEi@o=%V+Icr3oj92p9+z3FG*&-?f+1Dtt{2*=FAR z>dunzF9#9g7kU;piPN0%J4wOiO?b;J$vm^w?67S9T>He%d-8EqtLE^5V`6tbXTB#5 zLBkt&v42Qx0K5 zZuNs`(P+tnre3pkV6ut2(p)|pGzK`LNQ8Q>KrGwS(l2N_PZrEcEJ~Hrx4zr6W)k$@ zyMEUHYWtUYZQ+}x1SjQ2J9q4$$|&VS**9zp#;7wV{cjL{+g5LET2I%e|gX*s}6=fw)-Mp~@!L#!k$p?u#m)n9B zv{0G8#7Nt064}jDy$>U{a19?V7qRC&C=O~0D|$0iTEK$rk+l6oCUXws;GHM*W8KAM zihb2$GVo0`ItV4N6IsL<9uvY=mta7Cm*U!wF_*^V)yY#DE?VyW-PkxiXQ`0HA@5axdte+ zV?5O}yv6nqBiTPvLrNw)_k?kLaD$}W#D2`U4KV^*0xuzrz)SJxbgrMN{OSGNn1gh( zS2@KoG6=vm4Dnjq2L9^x`+PUXsWzQaLpN9s`H3?8`KyYcq(FJ)dJ4qGQK9#4np)*2 z)cG2W_&&^)my5n^P^Z9M->%=(RHu#zi2?m=z9pY@NR_is$#`};YmmxMRhV&TjsR$i z;;;jtT{y29gKmq>SWDtOIc7G{% zPZI+_&EiM>PE+3*+gl+uzUUsNtoMc zu+K-z_vmhQUk!~ZsV;`^tP)S{P28;Y9riqLql}fIBUm>%R6* zp=_vKSaR!{_{Ael-SH!$x4m#jZ?A~XV$>;KARz35%jUNI_r*O9%Sz##i^zE_IcWXX z!0m@SQsb_T&nm{)U7O~CXj!Uv+i~r9^d~5y?+MxJ5lj{yXgQ_Xd`7nYgKUR|+f?o# zqe-7AiyFWD)t{>NGzm0Qf6OTvb1Ej*o|Bn|5S%?{qtdW2BqPZes{z{|Z;OC6bV%(-1g#GLxQrcZm zB}+qzC}F-i$_6)he(Zp^>=+;te15!B>g&2_n{J)5axWrcozhA{HrF7q{%p|3Qz#?+ z3oB_7>#nQx#h0DmYpC=U2-tdrKMl-)3#6ZmAb8ZR$3SBf`eN zVP@p37goK*N`icrdM@;|RR9poR@YI}L}xaM0=^4UX%^m9J5VhgM?Tbc$_pGGux7a% zS$YVYJgNHhTYQxb{6vc7y*R_(J4S9(DTI>t7pc{6DG6fSpO4lO2H$@@zL)~s{K_qr z2`n`=x1Yt{>aFybpLTw=8;~zLk9)k)fs#{xSh?TFxjb>BbnJKQ5P|#N$K6>RyZnZS zY1`Ad6`Sdup`1xJ9nF%XZDemN*68QFPi*l%@yQqSp;_82W2u)CCjopwRe7T#kgr{b z;%S0L7y4t&RRAZm?U${w#l|)>(A<_Kf=-no2|4zbY&=4MWeK>1g-%uN#XVRBsWf{{ z+QPNUmMys#7l>u-c@`6xqQ6?!l@6#3xne1Y!VVYA53}{6P=wd!*Ms7Jzc=h(_jSF( zO${%b*b&|;fBWtW)9~#z&*Si@VLp`AaO%8EJ!dyxK>S8x@^KvsSV<&9eLox2apyS7 zk~FP~2_HE<`i2+I+q5zdKJmC`(SzI4la3zZDKtsM?X`8S#ywgws7&xIZ|p+2@eAj~ zb+3HRcRLQk^QqV9Kt{+C{2`bQH$o2gRQ zxcpt<%N8|~GYyys^P#1624NZPock(fyH8Yri8sCWpe>h(Usj0Ta~+Y^`u3*xET0&> zi#OP|7{-IoysI$&H@XhN^Q<&yLgv-PlwUF^A+>IA)dJT%`|}O5nB1>5IWs`)GSwP& zd)rL1Ybk#GHSBYm@Wtcxy&?2=yhW$vG~f5~-0ty4d;J8uSjz#5yj4rMv}pHfFK&}| z^GW5s?#)2tQfS5@5o?slUpOf1jjm!9z6Gwqib?yZq6BG51}-_swMX4=*Ua`?y*CUy zE}rN=_G>q%p}#$Ms7eV3w%FphSI^VT3+^e5tS+@W4qu17(2CuQ zoFAN;jJAdXK1MT-O6v83o0>l_tM|H~w%@jU>be1f=u!b-MxIFp7JQd{k_0pWSmU_l z&zU?e5uh=WE&4V%r4M$wnrx2O34lwzUa;7@Tsc%)2uBqjxV(PY`w+>*M#C|aL%hm$ z<_5+)^caviifjJ{9Mw#cY4o)l|L`6_Nv)xuaiZJ2NM|sgrg2?z?DYD4GfPms_|@)u zyr9Rv@zSk178BjXQJpJUR%)Y!Yr5qunpOImHN0%-Ca%T1GkJ;Y9LYN-C)WEJpl3{! znP!RD-bD-f9Qr1`vK1;)ZIB!qZ;LMHJDLvsab&%3NkhQ%cwX=PUnJB74=4N0*yBJ2 zc>?NtKZ=4X=;l-AZDu0;@_{w|w7={)ykK^f8L{gL>BSdkrb z(oTl2I*9pGIC6!dI_AZ~z4iA=X(ebDjs^!{FcHt1ZI8DE7B*r~G08c+ZS5#dHvv$+ z9h;`KaV&5Q8SM*0C+{0^bEa=5o>KZl72O2or9z-7VFR(c)=8nkjnRH@F<%~-?7jup8X~ZJNp{j{%i`lcl zRwvz;59$~%(!eo5Dcq?3YxY6>IskkfFnQf&Km>I{cI%`3K0@ip<|(1=K5_7<&Cr+@ zls!eImIj$fA7u3SL~j5N_ux!a!h}p$lRci%2HfhIo>0OtM?j39AX1ilj6V^RLmw^hBOA08Q=pt_QjsdqvG^)?SU;wf}MXBjXhXw?7UO z^>S!xUAL|>A6t1jPBWSRz)AYrqo>E@dBL3MZCph zpA%^(zjC_gOL&Hl4-3`bII9_edUmJ9E?l^f+8FLXDzp;_PjD@682RPqLnFJr{Ld5lv=g5Yil!g3XVhHwu~ybm{3p zf?I1yaNQOs zp}eX5*lWy}OobDen4qzyU*2foiYLH7d4x(EMrvB8Mg!it*!S}KCcKzkKYFqATU^K! zEaWM0zB7Ej13ZflJ`;_G1I?!JITtD2eL%fXv5h)|bX78fcc!&rBeJ^u`TS@Q%RoyL-GKQ(f{rN8RjEQ!zd{M~msS+R z?XSdGB%^QCadW)c{c~_6qpfLVcyMzP(Nu77bCS_iEHQ;|TWkBzpwx&F=H6siLmn@V zNAFn!u*b}lkNo`|MX7I@G%Mxac+u|oUZ!+$o^)|AMQJicDTQZ^wP%gz&ffwSGmGr| z={zY!4jkg8_L_CGs+%gMlv`8kpdZE>@!d~Uplog%B+h)0pLjT7)b{%t>s~HbTORV~ za_aVFKw1ffCl@qYhsSY;Ftd9et})pxoIFa=Na1Paek+MBf^XB5lv!#k%GMs(l7bK=uo_MEp&~(mUq-&|tGl~2L1-DH1La8oC44KHMIl4W{tMd@eDe*(w^EF*m-)wA(9d~}chDQy9fvRbV=?8xV{HH5kk$V< zLH#Jt@E8_+0g6rr$A)V3g@_GB8aCFY2@^|}<4BI1W?8u?=9JjOROPH9K z%-l-S;c_hB{2@77&3L@rl-*}8%i~?n#e|_7xp&=J&nqpV!uKy{@w#irk#fYt6)KJ$ z+kR88Fo0bZg6ASssu{37p2RcVdvjesw-h#!e78Yw6ex)e?vx#V!hkBMn0U0+|3>ze;mC} zBs1`-MLV2?>h&ToS4YO%yb^Hzd0yllTe@aH;?aSX{`Gm}NTUzu%NMVwoIi6%1Ka0H z@lPeeAD98&q}UMHA%6ZIH8=D67;Vy4`-^K2o`;Zz38^^^VbOv0kBP*!anY&oNiv&n zF1~6Q1*upnn`_a`U{{w4&2YuSf0GLfqoU%fdaQdPpxl6Jdf>Gj?X%#ApZ1{PpHDIa z^aEg>#u5!&65x3MNgm))oSIp4Gr~V4Nt5kZqkEa^*yL97=Y*H~ga-gdTayANL6^{L zKTL?vOuRWnrX>avlzTy>4qW8!O3;OunMb&|5I4(ISMnvT4cZ>A2pxVpHhL%rg`RD{ z)7yon5oC_g-e9X>AvSil8h$*hcVT5fmF(So85s$~!!K+!ekG??B7a@W?32$2YrXW- zKz$Jjp{tG4M^s421Q6hRAv_MAyy?W0zw5vg%b|wc6;VU}EWNDsu?!&@ehEsZhH#2^ zyOYpJfh915Ll4p9<4qQLCcd&&TCniGSE7YJQ>~22dkl>spm3tAlkY;H&=v5!(SFh? zX7{e8b^aqI&f;!15DmND6x2=jcKs7cSEmXW9iE$t(`n(&AcLn8v7h00)%RMtn{%v# zMb2px%Pi__X6nP>D~w_|m8jOl;)Pv!%4+fwoo{fFt0f4q|G`pqR5<0K5^EI_1Vebr zZ~wDMj15;3s5FhIJQdtJ9y{n(@i8$*WbHj(WwprtU1-jqM$Y>H6NIxTgK&(!K>z`* z#0P|gHD(U&M>-J8_!yVv4^JH3L#_NYX#6PWD+LJ zO^#23%eVqfG!DI-W7C3A%+h-?qu}d}Q@~KXN_RsoaU=s;XU-*Rg0ngeYzAl+#K->* zeSg8^7pFiON6^B)_;^4D;X&pO;x7xP?89`UCvc<04e!Hb$j=fcXyt+LmK5Mft}l+t zR=R7|gqn{t0G(*DuFnItW{xICDPU5HP;Ccm9Len2O2zh+D3k%|F3rvC2j}aZi%f6s z#J^!G#hd%}>A2CUGW4zBuM0nm1PDq@&txr4_vI!npC8izrU~1Ud(IrbY{0xN?o>jf zQC0Jk`DO1tYI)3P`Vrd>(-dQ~l>P->(2x!`DW}}9ZLjHsq7|(L*Zf;cLGZhB$G*L_ zLt=j0hZok9(wwA_xyHT;oPB@NHlZ!yp|RM%E8bV@bds4P>bPfz!HV8yK?K5Z>T4V` zxM&g@Gh8VNu<_5F8ldp!Cm_Ja2<&{r7}Ny{F-qw3Z+&e93W@AAz^)+KyExi>QdNM@ zt~d3<(2-wsm$KS+^~ZJ@>L1F-L&L{$D0KE>JJ)Z`{~JltH5PFx;^pEjc@Tz%$(IAd zc*k*T%u053vsNa(2GB^`y3?6@Bz-}GbVMA9Fr zr(S=Kg`HB5IBwc}5Jx?hxE1r=y1PM>UvsW!{Yt4&5miBO$^7{4y;8?3BtQ+m`}5g~ za7H3jL0BFQ!fd{eB3Tab-;57PE6N#jZPlQkT+Gh#fnOP7e);E%v)+BP`rwayt;&P#RoQIswgvqXnAkuveS7_k# zR#lYR!om8Xz>ysXh)=8-S2@?rBp$4j0_mIwap{YkoS4ZlCE;YsobwBhCy>@o8g))%RZMl8#iV{Lop zUuvs)p{^EoH)A6UC2lhl9`}vab;nRO0h{V&s5Zv-jkK$hLYvb0$U@s>tlJEB)m7t4 zwqTDYa+^8QF<;HpvxL{l2p^m*wKY0ndWx8zzFCK2h=}+?k2L(F zg$dfjLRU9m{{KLx+N=*ABbLHnvxZv`nTKeg^t4tO&HJYAreI@PZ@wT?81?l&MCQg8 zv!4=1-QOMU9YQ_d9sN0k8mf(mwuQ*>g6L96V%0JGgGpjP{4Y=z$XCOZWeB3f7oVX1 zFL+8FWQs5Tf5WO!YM^5UkZE{Rr|#BVtRRfE`(+E37|5F_B0p59nmkErQq(9siVGNn z=h>qlaRVBI6>KQFjlQNF_7?sW4hw$0CBZcm8lIoubtmV4O}M`g^P`_IUSTW*zwRHR z%OM(K1QGznmFUP^EZ$@lHhTGpC@U+FK|U0IVwczvp!c zcGMVfvzpTJ9DV5mUL6QNt+za_|J=74+fTCkiyA7=0+na@ zcL4CS6V)_G#a$S4dGmik+eF2N5cH>$` zWfW=5)iftDNVI02xWNmRViatCmiUGvD^TN6_H|SJW;D2KkPl;N^F-zOrF!p#CJ}{jO5iMx z*;=RR+VAK<>A1jPaUu$JB8m_H4gij$?amDcWug%(VQaf9X}fd&6W*HsZL}60h#D8z z3p4^+{H>_%E@-+o{_oy^4yC^Z#+BD-lO04Sxkxs?11-g!(No4gTA{}82gA!V(cZud zGlBcnjH8C?x^-TO1AI&+!^0nySW&|POv8)ZAw`P7>%XE`w^YZpDQ|4PA#ld$5K&M} zEdD1sSmJlWZ=+3JV(2vbS^e8?J8y#kQKH4QeN|UDqsgVabhP`qD&%GMZ%kZH7wHuW)F=VKs z@AxHAL3aW{DA@qu=izuh0g^mqS#lqtr)cQJby+PPy&(Ou=Z7(#+gpF=#t<$Y5dZ&1 z+@pm*s<)D+QWC>*ObidxPW+B`Ddwp4v5> zOP;S;qhjoY?R2emL7O_gwfJ5Om%JJZb^+4=JvpB>R3YJoD>O4QF=ZeTRok+%U?8DL zAufC_-p)ll&QWKhW4O?a<{92PX$p!5p2txqzaF;J>o7HEAkj|Jc3-G2xvu*Ar|S5h z_|^~#dA-^~j;z&Wj`9lP@%2Rx$nm_Gyl9!l;$TRn2E{6fc--Vr2YPe#89UWJYIgR+ zcFpL=?LRmlwz`8uj@<`**=Ml4iGMo$HA94WWT-U@AE9-apNxR?8 z^PCrq|H1y|nZMh9r?9Q}|BAT&)tzOQ`P}OgPU55mGh+3{T)07b*TlXVgO7}}xqCl5 zTNxfwYne;mfrX*?D-n41!_TkN4V*kb=663CTW>heb(``+bBH{Y(W?KNnaRq55;5Ab zZCHti3*}AJs`omSy!7+|hOR*c*ze?gOAgN13I_8LAJiu~ydE}d8ULOQDA)}~Ydh7l zARGnLlt(941mO#_$k{jZMg+nH;YBZ`4qt{RzmU2BAiC7>^K5f}WpHOfq0tm}XF<|G z5c;p^-%t&wv!KXG?E_~K1Ie`bf5BRDPHP5|{~Pk)|Lu8$mGwN)hwtPy-RKIrCpzBT z@ObR<>@yXETYB6%+?l}2fx6HtPh+1-YwN1b>%@_6q8>(-F4U5w`m`3}*hV&H+?U#BQPZr=sUh zNAYgQekM}+fA#}(RRk0h1s698XHxXM{;7KQ(>%BIb9V-9d9aqC@|G zqSD}hBD-)PVh(1DLHvylzx|D%!KKNPhN?Zj1DH{LS-?3mQPs8$_aRK`3svfk`$>?E zgt9T4Pl=+Y&L>6`>YN{zG9d36hOG@~`mOHs z@`N~=9At&gp$vN`jB5*H(|U{RZ5s<|^Z7nUlTw@FRv=$X8Npa#spk?p3JJ1rZ=ip0 z!IqkTm}w9h`utiSRWKL6Ww*ru7B|O$wa}k)b_pZbUSnO0AdL*)0FraDqcK!|XUcGa za|`TC+$e*wB;WBh-;FS~3=%o=8*5ab3-8O!RX1~o5`pfQs9vSpuPA@Tc>NkT5rWxu zSGbN5CmOS<4$%iuocX1;rg_>vx+eiY9Kv z$z@NMkRKHfYB0soi|77slE0Fe%pFrQdUclpt1N7JV6W`{5s;BZZkr}%5_V+4G9{Je zj^3Ydu}eT3Fvbg;U$&BRdpQ$l2`mNfOzDS=d^dC33QrKAdl>mX?i4Kcl>}^2vt%s# zYlxH$O0wkcEHy~^ujcUb0$}QSo4q*D52YR$mkOxdD4O$PM`Ray1>vG=JCH*Xv2i5UW>&|M#pRz*Iaw~}6|>qdz1dH<=s zg8nJ@JtXfiEc^b3XmSsiPEG|8600 z2+o_&rbhhC=E3IGVX5OKLZvWcg-WSZm?e@Xxc}jd0#j~v@;^fu0E&OM(B%r}i8~XIPEPZh85P{Gow+sFrPud-C<83g z;d4c(j|;S>h>AMn^<2mfe?_P&DBgemg~gV|_oVbs*1kRD|H6W=p`<|Oow09E$~7r8 zG5xpsKUn#{^OAypCTN*!B`@S*hToyrb#n>aPP^eNDu(7i7U7ULDKexTiEHQc0m1Oy zXNLXr;F8G{4)S-YE8hojPf6*Fo}LKO zajZ`o#vS_D`~SQVtK-Z177RtB)u)!ErW%v>f{ArYSbulA6hNW&YgkhaeP^$L0_y7` z^Mf$C^u-C1e~-|r_lAR+?x|Oo z7s>^DD4i^D8qerHM@Nz*xc4>QjWj0YDT%`}={dnBLH~!d_W+CHY4(K`5D7~LWywjR z#3e~aGKeTjmbAc!uc~!(RvvYhp@smCL~}>R{g~p*m3zBZbc~Lle6`MMDVME$7=@zn&7>x|x4LqnLZH2XM4k)5pBHRQLmr)I z5^4ye;IbWc0O5!_=3j%M@%qQ=q42y16A)O{!)dNixXsfzI7%eoo)Fqlc{?eyd(2ao z*s-xzwk&O_?%DMKvjQO7d-_dg*Yu)q%#UKnbl1~YBn)Y~%RHUBOQ`r+jU#kCyg8HW z9zKsJ|20G;qjgSux-VvM&tN((QJ$MRf9}hVMJHouOrESQn z4DDEqb-gg(Qy+DV)eD046$&gWh5R@(6SpY=ox77;xe2_m{O`cNurh*ws7ek*IB|z- zFo)VpQj${S3-G;X3gYn@MO9+GS$03XJ{mQB5!u)>p}(xBimkrK8pLj-#|UH8%FH9<`F zc?7VcZXE{)L#~JxQRGQ#lBtfw)z+wDD&D8zPU8ViIx9w->-q|qC&(g4gbn^79l}QE z&o}xG{6=TkuUU?zuajq1PvgWFB`g?wAVtXm)f<*QRX*MYd= zev;gex5)$WJ_tS(#5RhigsrjWDI!Jy9^;i~jCsEyc&w1*(P8 z9|;oU9cGR4b{(9Ow)=Uqf!0+#IH!*NL{gV!W7VCG2v)f#_93L5A5R9yEGQ`Q zH>x3|hop9Hon^P?rr+#eKYoJMmt3THnYw)FrtTbFS)kSv!TG8`GQm8J6dT-%@%A9! zY-Jbw$5HP7F~|JdX&V#yla}ibj5`|Aa5ie(0lXKrvGVhGw!S6G3-hgMU_JuupnG-_ zM5Hmjj5@}AWMq>RP|%VK)wLK_7irffm0uk#CxR(#3xcoo__~y9hVNtwg~!)KUkd`v zi84WViR1lfs5f!ANRT6mu&)6i=xgxc(2B}?Psu};_&ZlV>PdaJn%oLanKWrYZRt&) z5U73yT+s}-`XPwtM}ZF4CEjdl*e|IHY}hY&8A4~G=sC8!@@nh{<($qZZh;JsAjM1Y zab7>6s=R}z)}FEE+aPSO$o9{N64?zpAQ@+F_V%3~da5s|$ia07+*qbHSm-ZQ5>nDdKkZwNI~9;AhMJ1^N0VafL+eH;wG% z=82RFNEBc6cS}vb7O$__8e!9Okj2O2kFqU2HrWS-DnPJ`TVefHxFv{DS%~`#jjyY% zwMEVBhPx*FQ!O|k=s&&qA+ zYW$klxH8M*c(LNVB4Q>yLc({QcY3mkM6^Gpf5$V5K-HNx2~m3XSsfRAch5id-g#m% za_?sa?VQc$B-L;$yt?5>Adn-}%(<`(gbwkQfS?+X*^z)u|K?8n=vF!V9C^1QTeiY; zA>dzEEOIAx$-|*vF2tb=a>$Zj?~LQE`S7Zvs20}hKBWzYWyshsWCj20g5jl2Q?md0 zg85?pTsKXq&stYVCgs!%!TV&OVvGS~WmvyIz#~QSkK^tZdjCG7uiiA`9;L(TAE=85 z7+=+m_2+8)4VacXEOe{H@^5d+dRooP_5#O#*0PP|H8oZ9cfyG9Ufmz}kX>tW%TS&* zzN&l9E#mMK1e1CE$gUYAvqKGb5T_-L83c_;ZxMMV7xS%X|ACs7kbV9)s2R8LQ!sM8 zmfa5bp6*%+7(K)z`V;|}1s60<5!xdSqOud^iOhpTrVhiLMp$iah2V*g6J!f3j0q^=}pY;899`8+jq34+H;>R2s(t1W% ziq*7MO_1nXPrdKU)?lj_3$aQJj-!jEA50UHYv`<_;OYC>B5VbK-h+Wpi!xfdAk6#f zdvpD}-uNjagG4hmWI!Au@0A}s3vqgkT!}~6^tzW>df!hP&GU?dAHVhPBnM+|>2Oum^vco%w+OZ!A#764itjF58@7TyLI(Ce2Z>cL`Z`uC$)xP=Z z{PnBpyHeoq1tc2=+y+tju7@c;`hsy-DLklqZ#j5SGXWetHM}=WlyG>Hh~ulO_ir_h z?Cu5mVE+)sSbOB0@B7ijS%w<=5s%NWPb8+k$@294&66Vh)Q)_rr6fsJE%B?&*spAP zOm?SW^)lKm?=ftsFL1T--bs(`Bi@I>ticw|iM>IOM*#npp`GJ3(AUaKpYFJn+#mgU zgg!VstioIZdF|jc0m+^_qN5z$;_9!iA7=!1qc<+k9|(MeHc5{Qz{)LC_HcRCwyN#l zfG!Y~<~%X-Xle?*!()ux*G6l}2E>!(lfj$f{c>&vR0y+MyBP|}$(gd?AecuFrXo4! z7^BAre#atL39_Q2KA`ZYPmf>}70yRbAKGT9_grm>wR*`i)6!enCaB*~uf&(Ll^oKo z&&d^r*sRDz8`-@-hCb9l6Q>y@Gz1R4U;v#eT4bD1xN)vuBk>0%MYiu>K8y&Y!P(V1 ztMES~FuDc9-s1)DEMajSmeJ7oAX^UD7{fC_A2CZHNw&~B95dM-BS{85ZhaKKMoI9M zc^}JZN^(|j{|$pSF@ttkpydj)ftRYxYt;#c5svZa2Eo$b)h84vXV-6e1;JUYq!k6@ zI-cLRBk@X)ur(fI*tZGcf{!o=EqYD9U?J(fP15^Cp<*wpNGh9}gzKw9$X--NTJ}6U z0B&_4*Kw{kBkrP8lGYYhRs16Hr$FqX26=|7xjvkI^u!hSEf{u_^TyTu7rZ6`>m}B= zP^ev`c`8&@}1AOvLfiilF!UqFvj8o7%X#>2b1suMUQ5OJ!UK)Xq3!(uwJ)2ZWC^ON_Q1&IbI&Z31~rOEy3@(h%+p1bXhlRZ_GKNbRzhZ4KeHU~dbl(I)-&wb1+lVei#bCYg> zAh&2FiA~&W?xQue>M#dJ%MtPQ2<^}pxATn`#Je=z2tmvt;|dnu!;nmqet@?>At&8A zK2T=^1|0x@v?}lTFXZtMa0HLt&5nk%9nocsf>y|PO6A386k|&#gbS2KU>rBi312xS z7~MciT6Uk!n59pXphyrFO<-|9)M+p*yDbKsc^6S3z?mRF2-jqj326@7y=cowzeUEb z-*~?C5wXT~p7#kHj)2IYcBp$DTe)x}H&wOZkGDqJb7S`M-GAkS*x^14RCQP~Od(R9 zM*w`p|G-7;1EFVBW3b9f)62s)G6iZ1=Zutdq?mJ2v-+Ur0R8$wV$ouGc{sf?6Kkuv zceq-DYQ@0&Sij`pvBcN!f?@q~tci!`CRKd5vR-kSQWk3fXD_OV8Sy7hf)s9TDtUmL zK;GWecKAmKkVZ1S)+l}{uH$t)nuK=3FMcL_KR5~JAV4Nzf+Ld9+T(Hl}ZUSDv0vxu#yhC>m9}^NUm*5)P?G&&(qz`&N^ykgb}7drzmgUqwg~ z)T|3W1tPU$$82&oUQSzVv^;m1{xe|w&YP-8@;7-^yLD&NXM(Ss;jlot)FtGaeXvgH z4?;1ip_>=HAZooa>6gQgvcGVC+7YZoaQ;Y_)D;dO?_*fC!<|VGF|@?e zGHHNBaB>7LZt1MGgE1zs0pSt4VAVrGUZ7c)JXpfQ@=-kKYS8Dwq>tqJMZfv-oeQ(H z-Mg9C9H!Mu+s>_227=3q{9K#PGVydZaSGYr>QJ3lqYAl5OR2LhK*9A)(qMdG3Zm|&9nxNcmHI&&;&F#ENClkZbc+7L zb;N+~2~sC21)d>Xy=Rhr-s@(=*)^0I_A1-2xe(oiaiXFjl|M0N*7yeg&@FA|P$31b zBKkkjAYpL)Zw64)HE(D3_FprcA5Ly5oPFPdU-TfpNn;;w*>QSQ3aC#{EA<~E%u|iW zARcDV8x39$^2vDX%;^bO(8kp%f5^KFo0b%O^^x~ur&*v& zGCtJIY=2p(|MPNM`;&{AgF|p{=8^{uDt&OV-#hg!tKm|i^TJ_QzcAO|(y8Fc6dn*s z;^8Zm42Vhg#-)8P?R&hxT0wE0xy6=pnm{tGCOWMqW63f7mkg{DE*tUa6K*zvjbD(tOlR&kW${vwU2n+c*DQs+Wbol zj^(l7f96vwT$hBaua3S1L>=23-`kOKJa#=%&}p3vPQCZaLeoRdr*=|fY%`~H^Jrw% zyZKipcvE?he;{r)5o$J(IP~uQOKL|6EluFbb^LR3YCr4D)uKSa?F^JZii7p@jDnEo z>sDJRLSV-~CaUd}Yq$!U8qmAR8Eg z1aA7z&n6K^kOdS;Fn+l+9mczF?hxHS#qysj^k44uhVjNgjo3tLR0GSEge*ecsg2%f zX>LYSR^}q|q}ZOI*8^8VRLMmBjNgT4gqH0okclSCNHMTD2$PBa!;|r7b>4*s;?ZjU z8wdWq^1qdld1(p`HyQ`04weqfrZb-i?CA0kiFr~)7L?J z!$9Y$d(d9*|H2c`1ISnLLJr|IBpxf0eqzKpA=uwGm)llbT#siDGsQ_CX;BcnFeQqU z{=*;9;c*kcQ=r3R|L=0~G2(vya0VVgO2wGLRsTKy|S7&j@N)a#I-te0^^zIff8TX0K0tKI>}QRd+EwRi?i|Jm4U;D5+} z7tj9#{25t|f$;igiGw&c1=&NMrbq9lN^DPj8e{RjF$=!MP}N&V|9-fZtv`Pmv{in^ zjK9nPvLG`>=ulRzRrntLT5yffp-vI;1l=BHj%{j&b{d3S;`8=`Z1WxTj3VNDFlXB3 zdLuL}7xD!##$Az3X#Y-PC9u$`3iOyY^_pEU2kf!`CGG^$Ht><5Yy+R?2V=xm0+ou? zSu+yPAwtxVE9!{T`&HbP);rUqiPU&u*q7#tTm3;(jLMx0VL|_$iplmqGn5UCUZDu0 zp4iumKwYj14Q(nw^TeQevX&ngEI)pW^2d$!M=9XaJOXfOh@mzDP@9hscg5#J_UXY) z;LUh{kD4b*?FEhXfK;bC6Y1i`kxwKT4v-i4V9o63M>KOJVN`d&jc*S=_V;(N9mf5> zvV*=43UsdHW(eXA=3S-yq**#w8%~$+s_b`f_v@v<>RE3m2%QSWyE~hcU)`sJT{Yc;+A)a8Na|nm#DFc?ne-=Z4ULEZ+UKYP*CT6dXl?>0gd8V z^Ark@ouf8e-mWJb&gUuuwZJ>{HlzIf6&Yrmv3>4}j5y&-JGgbk?Z-E{K|EG71859u z;73s#zTHV@M(HYXAsRh;Xy2Iej`qVkhb(HS{I0^;GE%0_+ikPGW>w~L;XhBpSklYP z**$~9c72tkiqVe-8pHT)!C}SMFIK}+bP#$J!83yI0x$JNt7ljK>{KNfI21sW?>!=c zmFuM%Gc>WnIC%tp%ya@?9`f&O{=|{-B%E>T3;cBV z*a0Rc7Kd>x5xZdp&O9ixTT(gQ z;5#wRlNr|@W9f#lxk=+i5g^zk8TL)yZoI=>=7xIe0p5;4?Twj)AGRLpSoh0&%m>gf zc1`EZuR7Z=ddCh0?qZ8nE&LWJU0M1*SjE&2kdmQkKGn0s^=I>>VTY-uctiFJD{gwI zTPIgd-N`?uShZwV$(?cfz!_v+WXTU}T+gx?N?6_C2XGua=@|KOiCKy;h+IJ+*lxLT zg7f(X<^<}w-|CYaumJLT^9*snEwcgs;YT=vsgaomL~wx)cBCLWi8k4h8JE?eff&eu zs?$$AY%(DHMuJU>y}gHxE!ReHk%KZS17!AwOdj3GG=>K>qEdKJy@={LO(T^+_}-cGvscaH3hghOs|21+E4L6m?C| zBw7HyFnT1=>CCqDmYPZYQ!eZioD_^+84!L!fsGTU-^JA4)J!zd0dr_`3$p~Acnwg{ zxrchMV#AC3XEzI8R=7|Nru+isUDo_dr5Ij^%5mCq^L{7M1d2{dQ zBipG3OIf^^Q^D8pg3N7{+C zT7^nvdU?t8F00J-B1?vgHn1Rhm^Q-mSlw|#EnhP&uB#?iJ4J%4+JNJIPNE~ndn3yl zW7POFcWBwkDAZ;n`@XXFa`t@`Z$WGYwK&(fi*|uH7n_K23}Q#j;h2Di?!6ZWe3Zw= zS#h=4OLLU{iQPimr0^|lqtx0n5zW=M^b-+^7@D^$eiZd@al3sl8vqmefE7P_m>{b< z#IT6}g}lPOhnuvd2y9>CVQ zX(ifHZ#sajCTJv+$>u7-nLYb|?#|zJ_HpmA--21bN-Upw8;&us{{W(49ME zS+z(UFXC>cu~=)meqY9P$*5g+(6&VJMHt3oe2l>Jt|6*z=TQr`CM!yFdf&mwI?V_hk=o^t&eW#>4DtQ@&5l;?M_A&wB^c*;6b_V7b z_>_q3Jm7@WCh|rgKDh=#VYD=IyE9#z%Y;kTIXB6i^Sz3Al6felG6za}(mvWg{#4In z*;K#8EP}yg660V@@MxRNpZeKEVRYsykLdd>GivqrAQ}|!#;Y#^l9d@gX?xOW#P*O3 z<5zmjrNmvOI*%=FUErgH)h#KmQl;SAPl6+%WSrz=oEc$wO?o~|9}-xwlvuF3g7GLp z;$V5g#_}XJNG>`^&gK8!Fdd9%8H^V-CM?#)U}=?an;gmuKhf9u95k=wfsf+d>oXQG z4tpm1O7OAo3Akr#!pkrDuCT`+Qd|n=!k(r5BYq%Kxy4qVum0C!%wqTLDxb@V-L~o4 z8G=Sem3NtKlQE_!(pPM2;fyXWhy>^Su$RIn`r0wTS1!h|SF1+=GiDuMrw=d%4k^Hgikq7sX9wE|_UFm7?!N=Wyd4t7T1zqk`!DzV+ zrwEX?Bg0bTr0Pvt7aTO*5*u6*2Ql)LeA+@0&1=4U-x$Yeq*3ecY^|((%Uv=b@8(dd zZsg3%7&z|n#XH*YH${!Hd4q~=GZ}z;D>-O?CTT!zPb^^zk!=ZL-kYBuzD82Q=+r^Za{*0R3pT;DY2bb zU)Th*Gh2l-=QZoCg8Lr2kV#?rFO)1A5Ir5rlxG#2#zx?u0Dh4B9xApoK6By|b z5qOig1h4!xx96aq`_$g+rFH9{$S1qDf_2k8c^oy=a~gNX3eg5hxmy9=L80HgS)5|2 z=fc%^g=RPL&m(XqM*)WSrVHuNwPVb${8`wdWWuQmuzrkTs!tI~UXKkxdDjCy(3=gWwT zjo$`EkLFSP+;hg%l#PIY)o4R3RB?>n)&l|%f&x6Pl8)Puj_y>`-$u6~|c{oIn*{L2kks#U}Ihba?Y zciH<xr?oXhy4e^-5Lz`l#wD5|C0m%Uim+ls~C9F z9V;Qema98YsDll(lD>pOy{Y-L(K;b1V_IFB8!3!f`x^eS-2b8toW}(q`QYX4Gk=sY zkL7OvumM)c#q$ON-*7Wx6&x^s{2KTs&CB>%5x`pLow9spdjn}jolU1xkn#{>SN1#? zmr&hU{^Q1&+jRX89;;%BYIBeD-}BFM2OhnbfW?Z7*mI8+CAIF1wdO~Wiy=40M*hf* znf$(I-&i3_EKA)iOJdgLw75Rpsj7?3Bk=YNUpWS+144v-2ct^xC1m<# zf80r?pwmEzZ3R5J5}sT{-5*{H!6MfzrR}~WBDNrer!L(5l1}9ty8sCKR7#~qOd*9+ ztq|hmb-xpRdue{V7@N2GPo=LL3q|3VB>FZj2t^eCtIxu zaxK3~D2#H~3?O{~H3{#*PZBysEUYaBe8= zxC@opT!Osw9C0Gr%8>3Z?gU!sS%lgy ze>*W(AA~&FI-bacZGA8|bBF1a-9xo1RXYc_M^`@@W`>he^gedy)NtpN9L~HyoVleK zSOF3R{a14Oum9FS_-`!<#Ju=)x8fb_f5s>9^WszCEKkKeOi<;;X9R>SH3nw-=JUJn zFHorop1=J%JjDXb12=WR42D^LSk5id_8H}-=QqgV)a44%34wq3WbxsX{{N^&{7=Q& zU!BC?PWx%h9iAxr^E>O3*n;Bfq{SPeghtCR%-1*46;ylFcK%s(e=})+NV)r z9?Z^!jbF4}q-~BsKyI#1_m;-QVQqI0AwBE0oI{N))k|+NiSym---ELBthl>W+2bL_l|$i=0#~nU^au?%f9=FH*C5l!yW7-Br#C)*scJ zD$!317@LjBh>(=^e*2vJ$fx5M3b)3OqG1|PUMU%j!`!+DbTVW-A*ubTCHMwaiRPg{ zyN7!_fMd}9n3}sMSs4j|LVzw&^?uU?AdalZe&eeHxQO3ZJZ>e;b zDmVxK?yfubArMQSj!%YiQ{&B;*v*U)0iUrktxR(WsI`(Pj$;#)+ z$N9dRNH^11lbK23>3@;6>8RzuDAiXp)>qT*SVZ$!r2n5D|1_JKx^+EGo)ehx;D(V0 ztyDc*5#O#7^8zt7LHzRessPjDaRHZPXlE16hkLBb+Q&>@DeU`KR$&w1WR=Yj8v`1y zwk~zU3Yx7#TCT}`GDOF5s6#%y7|vzg-NsPeeV{vii~Tjjac&1Be3@77Y%%E5zO%~D>$yf+Fk(RPQMZ01aOKE{nV-W<>hITqsHhT zynSo(^{N&G=u^`|adx~aHp=paRMHz-av_|jI3EN~#t@ac?j~&y*=o?3Irie}8;#wt z4;5{j`9xG!({pp;j>td7yqgoalH(7&*}5RH-CWuaAqa^pN;60Ia=Y`fu7d6UP#x0- zz3N_|xj=JA$I-Ra&g~zug48L+zRUFLjH16DR^6MH&)aU!#iRh2!Dpz4dobo^O6o+n zm8WKR*BHIEn2i+=^o)7X6#M{A1;$1edl!}&A152y_(S-Sy#`aHl2dIDi zmf*hfi8mmGHp!YqLsV{%Uz!))hi)v^12+@AC*e?;|T!R`CEzmkk1#tx3}tU84SRzySv^bC26J7 zd){;Mv?^wH^$q&7*&W8&c)go?>(WqxKlRIJsr2J~@DIT-s9`ImIr2I=drw$x#r83c zR;+OP7h9=>0^Iz}8|w*CZ`3i_qQTpX%rFSfBR_Vf$B?jx9${E)?T;*C=&`ZY1b|Ij zdW&0X0_aXFL2q#P@~ZnNiWt+0QVCq@7*kymdW-Z-z9ApOZoxMqWy%q$5I9nI$XNbu z<#~H&!m-J6-=6xi2D&q$@ID?#3#r17!vp34Wv}DyXW(DI)Oo_lR!a7?m|CS%3}P`= z_?+GLxLCXeh-STtvsqLrN7uvxE%uFn`mU1LMMa}&L=xoVrbeKhu6lk7YO+$n1VDB}P1Ch?nt zMGFk};=w6?I#iXa`{y zlk-{fw$)lT54lg5+IzCuNkd`r)exLMT&I# zShB^{H-}T7<)3xrnm%Vg>+bdcOmYpyw52{cA_T1-5Yg_Vx6%5Y_--Qjj>6rR==E>o z&-!6qP(!Wo&q2@#ZmT6SG_>PR(l-Z5MDgBUS*-H%VCyHxmQU6|H_l}OB@?VWBE3l+`&QoD=NaUw#h_v@u*C;sUI-uS375y3Ge zLcz`xvw3re0A#ZJ7TEcRZ%^oDZU58zb3C-N2UP zY`kzKsZzv<=fHDkB4Xn(e@2FWQc9}lca@T*YM9;{^|XyG0H&c*H-;Up4L=qT1phP1 z8`6I)3Q@?fD=dMccsuB5Ymi!-U1ib)RmYH7*01)NUk#lXf)y5m(O#{@Agvlpe>av+ zJ-LcHxvHal5XlWfR-kYc@3I4Iwt4jf+949BM2^k0q6Wwb(>b`hJwE9BLQOlen_AXy z|0s1FIAFXkRY_>o>rvULGy^ie+C`H)W%QKob#%MbKGiysdE!K^E=|{B6f8umeJ|V| zy-#-RL`JLaIJZB4U@)OA;J{g(SZYu0xeI=6U8#y8(@`7WHr8Jbm*qYu=sI2~V-`^% zRqhao3vt8l#W6x2*CbBxp`=?8AAt38+oVw7b+pA`ruo#e#o4??7sP1mmk$Q@ypE4! zT|gJ(Oe`5DS!w=AJ3XO)`>4&K=x3ne#@r`!g#r`3I|~b(WIjA*ln~Z@=i5b)*<#~^ zH^uWXx0AAM15t>|$3J|LFAgr6J>XnSY!eL~fE>=3F@NaZ!=}51RwbCAf*BGbftL{U z!peOZI=bzZh~7T*_hHW@t+VoLWiY2wL<0~?-6YA;eAKx#{{SY%3L7%u*nlD|ntqEv zk=MqUZRIN=1dhR*L*Q7P9OZv3{ch(`F-My&>tyz?^8!o%N z^K6%Bmd5`}ds(rujUo{%SNXnxDs48zzVoz#RswvA`dhCvZb&06lz9V8Gv}F9ol-}TB#k_yRWdHvPi%vO_C|q zxc@l&xO8upZn8Tv-c`oW{gy*I(>O<+g)A;n(u)I%IYE*DzN8^V+@UD7`l%XHIsvlFk?#z%{kMNf{I%J>=DYaupu0C7Gb2b^ie8Gk*|Y(q51-Urr#*r@NDJ-+D+JEKAbmobZi>%9C}J@GL#z#y&O{ zL-ut4oLN7u(wg7Pbj^nf%8Lt@iwnZ0UJiuA_W(D#n!z9xW`(M1Fapo=*Y-X4TAg$Y zA)<77Q)K4Q3#NvQX1Ta&CVfe^fVFf&Nf5arGER65B`XR)J@00VCt7!!j-tMr)Dr!k z&o8m|w*0>2dg$TUT~1h=f#T2m6EaaPa_*Zmx0!q)HYcldzu`9YcI!h6bTwkq(!ed@ zbpUq~U<}O==K7?8xPNu&*zu9sP3De=jEf@~R?=m2CzB1~BG1FdLHb3lhv)T@2DXaG ziv~ly)IGs9W!$0SOHCv28)ElW#<>%kPCtB)qL5xekx9YLA8+Q<1Es2Sj6L?SpT`SR zTAyj9#={YPf7_o#{BjmjW4C)>+>qveI15a;*RUq7`cNy(-uXC7Obb<c3 ztL|-$BugLgjnJBGlJT@3q;5Y*nNvMD&$wRUm)$1Z7re4<6wR&QZS~WTUG+|Nnakae ze|weNdburmD=ov{qrfRDgPFi>G{Q?IHMsMpZ$i`Z%!i zAZnAUtu^Di+W1!Fj3{0_Q9gMot?0{Gv+1Y?b@FP*bY6vgM;~7FofF(np{+~5z59(S zA9oV`@x$2q=_~n@ay1J2=3ZIvxL2nR%%yqkM6|ix24t`8$Le7Ys_S#$Yt4NJqQI3X zi7r$6!%hS|QS+>C+*ELsV-P=pxcW^YPrxLHuDeJkW)cRdp2SzBGeOPWOu7 z%Xp+l==Gi>fl7q$9c2PosbsDIA?tg|((_^GZk`p_RL{hfLi?$MgykkcpE%y?D=Q;y zjxIjaDK8o|b|1@~2a823SSQXJsQ`W(25+s;bwK&F#rE9#QBCLZexjMitWg!j+qjkl z4waJTzKJ$tvu#T9-G=@`+{*d+K46Nal39fRJ9+^IzFV!To#~<=!3HRT?pUb$w;h z4OF*(x)@o9+8KNDS$TtfK6>WZV*U|svlyfb>v%z6Ym)H%{li`4+1PpdB64w5V;32H z(%f^N{==7l;N0Z9@8Bt4=?U`O>VTnR7T}o$0wz(sP|-vG<8Fap{h7RMODlJ0+(;Ww z2;O_p$;q*l#rJ*7)@2efpQ29ix=9?)P~Mp1<2Pi%yStuq7-PcyDIp{)A!IFQc`b*< zJ73&8KgfhT;*XkOBS+@|+Voc3`;E*^(qFV?tHTqiem429EEw%T8wHg%64M5t?|(ld z$9AfYTGa^4elDqn;;lJ%&a_g{i#Sjb9z~glo>`M{$13+{jO675JC>KlPb+Q4?$d|_ zEB8kz_kVgCn@bTgjNj#Lz$EC|0QFqJ$Q6Q)>7jz8DyZO)mluGpaX6uSSgu%u(`EKX zP9vB_p!4G-{t7e349BJ^$sf3_rj2O}0Do`)39Z%LjG|T%Z$GW9)dXShJK^c{;ps|Q z)+$-n!)w#SYX-vJ9)HzpS*E`^JPUy`Q&hVQ|H?uv)aF$@3$CGb>@gdzglKIeU$E8G zxi=%U7Lvn>D-SVxM~y?e^`MI>=o_bHwIlQsVSbMorkzRoIX`Jf1E*UNP&Q${%%bQ>7QaUTx#@_pOlFtq_W{ ziq5taO-deCzysM8>@gs>B>gz=0GwTKfCveZAh87?z(kF*nhm9;H4{i}Q}NwKD9RAF zWTRx`V(iH=bF_2TurV+^^6M~EoJQ9FOGfLqek9I>#qmhFq5#ikFnwV>(~g7+NlxOo zEwfO}F~njqdPzNhaV#xOLN;a~GGpW{6DZl)Dn04#z-bp54DhKX`V3#^2GUHy=f{wgO3^cr`I_>BqTf}LehYU zh_ncJZk9!E*3|mi)L*@1Dhl@eGM}jy*2FK zZr9)%@|iH=GokM2hta*4@^*e)1Ot;>I@Hqe)8qGcK2zodTFW)Ni*8+an@1*pZ| z9{%1w{9Rty%URfqRFi>DlR+`|w#py%O_8&)o|_lreE~$@&&eB`XNG_s?(Rozgzr;88RF`kD##u!wN9{t}&(g`hBbA*&1z1Ymg@R2(K|<&| zE-w?!ce!|iK)?Ks^ZziQe|sDR8qmEH0xaM1eCaL4k1?f4o%a-I+%@x9Y~N}Ls5~dG zI42(1kpy~nB*hzdnHqPOK=nT~?R(q$gx>OmJ~g$J>4B;6AM5%zhO|G{^&i(CC@x!F z{k~o!cbS$>JbC%9OH(JVjc_hnUxNCAYrV&N*A0G*3i%SVS^SorZP*)(7d0jWCq-*# zAymA%)t^E?`YPrtHLzM0+dLQ#;R9-l*8+m5j3MVrx>amDmtjw}!MXb`I-u=*z`|*AGoSekzPGzXaPFht}O6V>YvJ&YT(>L5HW?GjJmwDa1pE?{IMfJ12AE+{ad2 z2vY%yjoW6STGE5QDizzeBe7s{BdWjPjUx7=ciK%>Fal^YIp2PW3I+Ih0GJ^|CRAln z10%9kx4YKx@?+ERResa3;YLHu1(D++aNP}@%1AcLMCOZNMql6@2v54SHzr?LIQ;%l zKKhN*Jk$inzQ%hYZ>#apZ3)|*r9SuL#FRJqs*P(ls%mIXzom1{xG#o;7>|EaM&j0 z5rlY~GHt-A!yaZTgm#)Kx*S1q18GCu>d12Jgn1}?qx5+^PJp>GW-4W<3b-Wv#d$9* z&z$pCr3G~j9?X&YkU=lp{DiYjeeusK=n8Xl=%iw#RqN_SAkXGD3!N{!cR-=}lz2l@ z$!+Y~t}>S=Rdl-G$%#Y z?3#@QZ9UmSSGNC>6^!Xni0JgNKP|tBe@r6-=H%0KbV*9QLYg6cs&I^XD~emPBV)!^+*1P31LGfWOVd?jpJwkIk$`w zRwf+&HH37wOGRA)+ylmu_qmkNR!w%GW{o~zsS)Jq`NmTW7~dl&`9+>9#t=})V_metl0~xdiH=UcjU;kDTKC>>LPktTLeXOK=?aY_>Z8U2a zv?7y(k964376p3xl@!<7Wi`9ZIy3#Qm+Ia~+s-XO^V)e(=`N@{LgcS5j5tZSbj5r%>#$NQs;!m9%~T zyX_4>JK)8(ZlpMy=nln^O4R4$?=lUgr+j9lH)h6%_XFiUT z_;T`TRd!~P7@P$71)8CFM<%J^LkEvcErQxPzduu9&iMTC9vCvNEs}f;PUp$wf2PA` zO`p7`1p9*r_&ZoMB8lCauCHRM1D@^#_RKI1^5cgtoj~Za&US6slKkUlm#+$7Kw5Ts zQsba?;VOYbE;XRM%fFwpcVrvCP*@V>hgYUmS*3NxIErK5bpu?CXXD2 z1FzxcR32riq(9}?&uS|(t}HhT$3m;xrQ8EoRstHJN%1p&2hA<;UVUEcs@}fpzZy@_ z?cWnsSEDZe32a6D{IgG-dVjG%bvL+nN@^pa#h`$(Wc<^z_OR?FG%n16Ox8hwHpA+R zEglTa;Me6O>p)i(y_GQohLLsS)QS;pm|mZDGv2qe^A|JX{ecjB`R79?Iy66m7%QJ< z5$#T0+f@fc%VP|tu01rWheO4Rv@IWTx?pt4&}_M4t~0 znBg4XiNp(Idr3tUU|`M#7eEiu#FRF3J8O6ujM97Pw-BJ{<26zBzKHkEKorEuwwRY| zEo{>_=UZQugr zb^Rg^VQVi6m)5CqWgsp1sBNi$+x~z94norUTlr2v(yE1S)(oJ~f(3I3-=_*PA7r+; zi5GF>%(}CURfm|a`i>p#p6#=Hzwa>Dgz~!hEO~%Y{CRIQETUU-XNQmA6v+kr~X3T`_1Hc}=MMRGg zj!~GIrN5PMuo8!vS+kr_?k)Pyl^6qBO$2YrhY!3BMn-Jn&~&6@2}aFZ2C-6W$$L?A z=~u}u&s{pT3uY!SdAfZ9pYs`=BxEN|4 zY6n66{P)tcz6fDz?);oc^j9W{Ai{?$ytPnxI~BDw z6~!dVCN9br^jBBT?C;R?itnawA0{!Este+Pr>h_U0YZ;QJ9l23%Qf0EAtSYfP?<+r z_PIgr>tS+>QbFx%kxMTgQ!Tj`RKxGFW4#j8wOnyu=Hcknb1w#Hafc&DgU?faPyu?9 z}?Qft}|GE=_d&*!%d zSqr!tUT+IBF1PZ608vo8x7e`K z`U)hlaOyPwAN**sZWU8w{Ur-bnK}lb$&6dUBM%Jh3m0G4SSL*qBk@SvweSmGJ|gj_ z_91#R5#_-6wngHGJR=srs})KH=l2^hSBF-pP{19@G^u;Iiw|w+Q!9ucTLYSD9-6$1 z1(H{~3A+ySd-DxG)|(NGQ$HkU*L|!dL6Lv84qx*sC~c(nPTvqiL*`9B|6cm>4p!$z z6)mO(L9DsARHp5xZD%`qbUOd=`Sf#UFSnp8`*_6j^RpIM+Q9!^0RL}&Xma01uN9UM z{WvA1^&6nE#&EIV8Ypqlo3MLWbC{5*;OC?joDn8}oWRF8_jqjIBF`w-Ry#cJ? zdbEW}@U+EUVlhF^uK&$JF@fQWSBBqHCg%@yYP-%naJ6=yzaZMs7FoM;TuoWPZ@JjY zIq)S#SV@;36z|O;!$iEROqo%Y3X+U)B&vK@)j7wQp8=qpu2wJCa26MuRWW7 z@%^9pUH&Fo{?rNejAD5dCY5J@JlOp4fC^vCwcG8u$ONARz7`G7|2_x)y{oI`u`0&N zLf8c5U%bvwc`q|Xs4w^BSDyn;4DfppIQJkciA_GSiavmF8cY1a;BqYMhfIm{NR+$^ z44_m@C-;5Ps?aS60q1`PEm$e$=$2zI-KIAq;7qa&8fnwbr3RY7d7 z-^CA(nW_=!=owywwTQJr|Ct}*gdO{Soc=C(5dwVGZ$0=Uo^LkA2ZMQTU09~bi{R+8 z$+8flfZT2oE9x+|0pkfB%)wz&Y&qb>Aiu$%7RKu;R3pw|@&8cv7GP0*U%$8lqTm3M zGITf6NFyR$0@B?`Nap}YM38PN2?devk_KrQO1e`)Qo020#_#W~`+whi|Mz*;>{|Pr zGjV3`byj>Lf0x-GT0E>~rcpT3qFxQytCT4l}T(?gt0KBdr6Ugn!i&yhULY`*HGu%$ll`2DM~ zMqXYyajP(o%~t;YuvwTbzdiN&+(8vfX%Ya)lA(IK7U=N>mZaX98gx%=aO@hid_!9>4&m1Bn}^eTewU}IAm;! zPSXlZiPcU^Yu{i4{hJN}u)cn!k#p(*lmGV)9dmKg=dVxwQ*4nY1eP{>Vn4E2WZBgY zM_7v+T&jabt%9W7f32{9ECxF7kbcduZG_kc4r8HZ>k9#4(feQa1?@~}Nt`S9K0?Np zSOMIXOcuneSp=8WYWF)vyZWp%H(E+hPTl;0qBs%La+1b3uNLE2e{gmb<&6Izq=IPW zy~`n;#pJ{z(r|VX^lYcTs2m?|c-9|5v-o*F>0k6_@$JMGUBnh#(mh<#Q^Z+polId| zy{6&ipvNVx6RzqWu1aJUPi__ub^OP~9^x#zPNonp`A9B#)9_fc@L1xkt-l8g|2;nP z?4y$!I@?qZc zp)8|D$xA=$qU8@E@H|gNiP~lP{U;VBDzhant0j)<_d3&Wa{^DiJL%8-8C4g15(`f5 z!67hcP2fpzheFCWV`D|MBP!T~HvskhSxq;y%I?!_AW#}1BS_K2nybL!iQIdlsgj|R|%$t(05l^$< zdm}e5%TOUZsa+?XyN1<66PItbn3{#YS zGZ$@gsyA>JTiFZ^Zc5^9{|Ih<#2|>Mr9;%NZvBV_RFSAqo~STj_^8M5(LW5pGe36j znd+klWW|wa%<));W0Rg8tSN7fo!cSDFOW!)gvY1%IJ1G{%Tv<&XZQ5lY|DuhknQ)j}aQsZx)(1 zs?D5lYjka(%koPappi{?aN6ySKYB)m$X%`B6<+gw924_ywZ6FqwEeQm#~T{rgDAgr1*xZG;C; zEgfhh=ESrT%bN1auA8+sxgpKmSkJsJbd5R9##Z(!S=9HI#F`GS8)$Dvkz}u)Gd{RC z9@@^Miu{;j+?M|e$PG30V3`tOY=a?gzyg<;T0o2fZKzrnk!hT6aDQH)wi0_g1AI)$ zhFUX+$ds?@K|!iTiL-QpkX2=V>Tv!5yod#~T%-$n;YB}Fhb3$PPq6C2hta2Y%HT-Q zQomm&$KL)E45-~N`xgrw#$Z*M@(=4~QeNO>s%|j23V)*5`8{Ijl?J%HQfmIOTuy%D zj~C=6KDh|Z*&i#5VMD`T7$P+g)MH=O@|`YU3;g}Ot|1prv;xRx_ z>+(g&W8g)t3;N~RCVJ$h!X|d?@~nXRs$q)mi~Qa+R?o5$6UP9#4#ZWGMDy_#)4NT6 z-1V^-6|biEeJr)m`>`I+z@`$fmL?uj_T#ogGK@ovbAv(ta3uNNbe+5hcLusvUjCW_ zdOXtOXcpE!V(Avn=x+<&I~3B9qxP1)`tTWJTrYX#Oh7Il@L92}j3X$^8SQoMJWj&O zt9?YpZwu^8voY$_If>oTNd*|N6rk~v?k=4pDZCA~8Aw|psP*2KEfmrs`@Mnd?-Sw4 z)>FNU-I7L<#gyfRZt*Mii{F&rqjr4<(v%UqFOOe!bISG?MO(j|lxI_J=;H?gGyo&8 zdi^t{mYjGevA>DY@6jZpe!I`A18Fp0zHtYbE7%Q}CffoSk(GSB1Icx}tc6ghQt=}k;E z)8wT6^WxGvd?LlhU0=Cb-t{E=@=7PnWxe?rZZ zQV=Kxc4N%lPg2KWc*M80y=0rrm7vZU1jiG{tHo`D@qGV4_;h^Xg9k&y5q1yCsNK?> zU(KLX->x5~XrGSgX+h^Zl?Guzf_`dQ0qQFgmyx@(EgtD_vA^TC5&d)E5rJhlC%7+>NlUrkofu37 zB)>8M4>n=Ho}J4^`KAK_Ltm+bsc%LbF$;TCSA8cJW!xK_J3?d!yLIpBW2l`pqV$c6J>lHp{#> z*np^XU0at<@8Huu8)l?fKyD*zHG`&r>y*J6wf6f?&e&;m5UjU1%h1iab?J4NEZV0P zACXl$F;)nHIQgK_)RH-pSZb~{Oho?{Gv6T7&^?enfmjLb^#d@TEl6;Hn};kA6-6D{ zWR7+DwfOjixXm$P=BnC^xHzeLjOZMu>EXo)j>Y|;)%U6$d_$1+RjKfV|N1IXFTZoIw*Rt- zgDmXqm-p&zWyCZP;Y$(hEJ;Yi=|smt-Ujs zLgPjwYs2j;gHpkhkz{#SdWm7}<(gypoGwRAoFW=x&gm+6>E2<*76(ncBd;6?u!L22 z!>r&J16%jC?uMgOaOg-j>uVJmSl~Dr0LQU44QwK-A20#oo6d43o5kPHf@@Uv9~kiknjhe;Rdqv7*CPt+&Z+FN}hQP$GIWIevxnhUCL!v{2^z z+izIk&I_8JqKh=#uDHrTqc1J$F|BUK54cQAddg5@gG(Y4FSOR-B*55iY$0O>S#&j) zcO^Z^(6r^Q*>gO1-4YYE;uiC*d*dREfwcE2e%J0I3`g2O!|JlrTUm2+K(KSooP%t< z_6CC>n#nx?F$g=Pia_Pj#}LST7rrjR)2e1Hu~xDoj07&~^FlmiA=B>I7(8TSYu5}s z`48LRPnj(RWnFh>UTV5@M ziZGcalSkX6;_%4zwieReS3sP&i7Ja==Wf#oXtb)fTbv1&M*T_@t z+Liay{w_hkZVt>New*Qe`ZgZc&JPyx*TcqOtvL0Q8BY zPA+!_7~{LW4COyJXc@2ErFTxR_+qOICm3`>UP9=D#p2@EiFfN%hB>0$-wdLPChVcU zXFt#uf1Jb(T%D|pv3v1|(V8pp1F`BDSVc(}}*`vv{^TI@UcE{bUIFT&Ok)*?&3ZirN`eU zfx<9|M&Heb9eEFA#r+b!Yr>o;epZ5C_mIOn;qhEp*XHSU075m^jf3b@Rz*+UL;AeG zJ$bd0H=m0Sqd)I-n4aow7_wDkEd>N4$|m{q**feuH97ko{6}#Dn z_=&q8T==IpvPNw&)-DV?*KU`rE%!JgvMeNR*mBocOAVj&{jNFgvztnSD#~&xS2bY? zqS?g{-(~}uX|LQV4B51pS9St650WuGU+o~Zn}U7k;n0mcRx*2A3=^j$((#3AD16|- z&Duwbfbwv(@guZmqixDD^)d-hF6!gRuJ$>glRs1^h1HIR9L`}UMjYFmcz-&1X8f)@ zPd8^4tXCEcLm~4^z31{*sLCN@3uhA!log)gC-=m~h4v#m&usrxkK4Y)NjuOF*fz|I z`f-fBLJ&FBPehog=CN@CngGgK`;CYchMchK_c?HpnKJ5LKB=@Zp}E5HWWl#x{sQ?D4RYYyK~~&-|`FD zo1j}!t0%dCr@+*TRtz?;i+ZwK$webjBLiJQ@j9!npWUM(&ngAvkyKPZNnq4 zCtt{%;$P|v?jO-fF8g_(BK}OAg$^i88>B@=&^^MY*0HFn^8!k(yxSf zN4R65x(srRYV^%%;65yn!JlY3&S|%e`i}S256uO&S6qKeDC1|U%r^}q&GK0vO}Vm5 zN8h{w<$_9>|j&$ZO>+TBZbUXm(^tJ=OB$&oxC9Z?Sv@4hsph2u@^7o3{%04-B& zZs{xxA)hvZlDk+92l>QXKzQ_<0t@0}3MiGZ&5>Xz6`07~MjsXi^5N4-!3glHx`BMr z2?UWXO(R}x@W!);te+)+A^@uYIg$Y$ye+Pi^_PMt$i|Co)cf!m4La?@Uly$x4;IuIjr^;h_6ZI|AxS)XH&hwT={ zu11;L@=7-U&fbC0`w<9P0pZFT`-O z=uu7G+)*dEa#75TTJv=%G%DA?ShFKnro3E%i6xBwAvJRJrO{j{zExT~qE*XVBRuY_ zx0{MS;5RzvS0p{@6$()ddMgle^9k^7K0%x{T;3i^?Bup{5|#n}aW%wAuA)g=_NS>u#GB{wVDPH2|a zep!k5Q5I)CarokSr`#+WVZ0mOfbnSALTg(<|&ls{Mmg#h*2an;5 zdn^Y~tTNkq?D-LVl||L@n(taK74o{?R?krRj_i}&rT4gWe(a$WWqDjUW@jsjBfDF?L6)?To zt90O=bqU<+vPvJ8S|E7C!Y!v(M8(L6;gG2jXX5Xp5#UCEy~vH$V)p8UN~#Cnb_+a3QPdw5rj78M38;G*9$v(Xg9(boshq5;!Ab zi>|O#!?KN$SipZ2v=Gf&WflUMrNywBEFE)RH%+m48<#iSS9RBQ@q2LAOUTXbhgzqV z@8E`$|vhXLBt$G3~8Vb=;#E>_n1bAqv#x zu(A@-#8Hw8YPY<)YMxm(REU{`)pCdaRfB{|+y3)8m!SYvuivu*ZL8C8XfUcHQO?~bg3;(}9vI!w+P~$rdJD@r)W^LOU z{yfD~Fo_(=DaR8EG-%7{m0u@t$8xZsPmO=?c$M_tQ4P}kc9vIadm3jl;lROJDDkbh z6{8*<5Th$0-4;n|scm$rt>d@i|HRlL0SrKjlYFw1d`bTs8yt%7j-~vUCtxx`^_PtQ z{j~o&`QH=VoE-Z1!`~XLoTvNM5KWx8uE&8Tk}x# zT8-*}&p2ial0>Zb0uK{Y;~9F4bQ$2Iu|vi~hrw?-mxK-escRU4Pq<^$%fq$$;z8-w;Hu^l$vrrRbC7lFcbg zI*Tj5720?Q&TGBonE2H{HRolr@^v)Kf)H0HO8o-&2IX z*t7MhJ`pW8r@gAAEW6F3?`aPVj8PuJnwij0C6a<&%dNe2BG<7>&D zw?Rm=g*`OK#iSv6{FLrnB-}XH3qX2IDOqE`ZNg#xPcQ@IM-Qtrr)W~d@C;6U(7ovI z_H>AdVw@4pcRs)EL{+v)kir>#z{{VA7GILWe(o}2iNw*9K{`>LvzJ1H46y6>GEN%4K`jcU-xfzC4d@V+Vv3dW=M~-7(PIQC1 z#WL%IkUP)r`NN1*sL||AmT+#u6T++?Ob^cW5K&LBbn*C2!YKn@ncp&~+ZS}3CCXIz zBA0(d^G5lDWmO z-YC;mT3Gws+rBDQEWUeUb<6N!aF8PFl-R>e_O@o8PPj#}zZTqjbJWdy+Rb~QqtR^> zJ@Fla$&r>wOQLJ0~zWtZ_PsUr`eEYVdk5F(VVl>bKgppK$DN&+Y@AZQ3Ih2Az}n z<%%JjjfuPASI-3ocXnFM_v4w?xmXa*wSv8yL7;!o6S@kOm(3VOV*s648u8(u1 zZY1KX{j2?cbA_$lDaF$4v@sL-u{RW72Fvh+Sju2Bgf?;HfPgLt?f zI#*&6zQjQMkO_ky-_JfLE14^ia;)M+$ci3zZM7xbY~M8ld;rrMSH-4gy>MAwb5C&M zO1JIz$pO?{ycbAlHyNGM7<5zF3@%?*24P7u6T1Lip?1&B8bnW8Eptng5SOfHIsEfL z@7)ar5&i8eWR@okDV+Ga^VpZj1@2)e`qO+~)~gs5(|1A7EAn($PAx7~CwrhFTbeK1 z^$YFXSpiZyObh#G@{TZ)J-{!Gx$yp@0Zs@f>`9oGJ?qZHikK|nd`;1A-_0*TW;t4F zavLd67PdQ&G+#ZBykH)I?;;r3QS-Vo1$V``>h(5#=L5fFjV&so70 z>pM+KCTD7dKnG9I(Os}hX>Ph=n3lJ8VIu;S%v53b05H1&8lYdDQwAs;t|rObs*{nwuSjL~U8S9c zX(moHxM{}&-?#_+wAxGxD)#|h#`jdh@8*Fobg0J)qc;kpZM3?1Os%I`8lDbhvbL9Q z8xCOtW#lhRE?D*uVV`^8Y;)u*gKE~*9p<{=j^gMuB;qG#(anJn3+v}z%sk6LULETG z!e|E8)tacrY?=vkCeBoMi%>|}eKpcbTSV>0t8k*S)mQ8V$wiln ztu8;=HzaMh8Q`G&1h*eWzW`6P%{m@u0MU#)d-Rh*(mE!jF#$=PFtWM;903Y;?u+RP zOwkgT^r>1ONYen*lWm(}M()S^7Q1>b@StA*Y?h*8+px$m6A>tyar7a|)kxX_F84`Y z1*S)*r8GYO9=qM84W^66+(pSu+`n80cAk(^Ydcznv%)iB~@!e~?uQn zj+XGldvE-j%g3`nRz3P5IbIikQt0O0p)Jl<#5EpfXJ!z}H=dnaW@tycsW$Y$Ncy*q zuwVX?bNE8jd%-`Vikn-M)$Mk~E;-^k+k<90?FHL2j#O~Gl{@;4AGq6_zO?N@4VtDO z00cO$^3^VguX*mqL%PCEkOLPrt-VLw=!uL)bBW7>>hH8Blp`iS zxqcRnlMkZ1nt7*|z({3S9~J7r&$F&I=iokg&`Dwq)Ru0t&F28{6tQ3mg4o=--FEfB z!@)#T=8kWeh2+Mx)F@E-L9pznhBYsB(b*Fk2djXu_V}w9jPR#Z^~!z5&t8g>l~epw zEWI&a&047e4a}FcU!x!xYPmKidrgMBaG;9kOAJ5(7x?etL5K=t?-XQ|8z1#w{!}0; z3K4ee4EqQdEIhO6(DkhMn52d1j-U$Rr%mU(q^Y-6t;D~y4rd%ez1+Pq9=`3=$AGeo`n(fC&zgwmyIee(A&iM6NX(x+(}~(bTOX_s*6Y?1^L}yp`E)P zUUr{PYk;U;b~`{o9QU^jsn>`07CpOF2fX^rmLUH-bb2T?A7o4EXTJi_h!ut;xR|J2 zE>>F%8_?C%>hUc0W6Jis`2$D9xsXNxeipYV@Zk1#=*mkV*ESGW>jj+AziAA5Z%Q25 zV(28>1evo#oh%I}7QZeEDqa-~wX^VcvGA5*zB41l{;%a--8&bJ=HAYCz040ASC5Bs z*Nzc#gXfLy+`oJBL@&V551eU_q+R-&Kw;|zlcvO5sxzJt$5eAubD}ms+j}35GMMh4 zV?+;}6NM*EN$i3DFPYk7sM|rOvf0;RShM)4e|Ur(CqM&VWg}p;x}7~Ba;$cN;KA$G zVLEk7g|D&`{P_;T>W^$aqKrUdCIZV_oNYv0A6|n+j|U&vj*TNxdB{{5Gdh#Wm8#mE zpr7a#Ji^GJc%(94g$sRvnj)}xv$W*Kn?&n8Z=nDhALAKV8${G9f8$t$uqBQ}q5s;u zRXEH#5KI)Owlx^p}TR%zTO5py5J;tHgMk-`Z)L zrOXIIO7FC9p=Q6v3xI{?hh#EUEk~rd))B7?zfdwZfFVkHZlJ)2+o#Em>Y>I-v^m18 zdV~(|ut(SV4&$Q~-tf(($ZL}U%(AqfU||m^jH+L2K2+xRVmx!1m-8DG4&m@()Y&p} zZ-`@?m;0wA+NC4ir4yc)0}Wy5pX&cohVTe8@fCSg-<8nLpI)d7i95LGq8<4u@MTbv zzf>jw8n`^a%>7X=a3&h2Zdfj$!=~)crc4y3P8O!#zD&@yOi(7!Q6?b8raZjDoZY^n zJ$*_udU0ex?~n%ZSIw@-M9d?=GucRvz?0=fU5=P>g^UsJU!p~chTpyA<_lJ>8|id8 z1a&z=#uYM;Vu!tZhP~w~4V^0u@rn}3iV~k~(#vep|M$a!73!;Bm&_Dvn(KesA{w5& zib}G?=|TR!5-)=BOrAV}6l9IB*Xx(>G5ej0l6+5MirZ?6LpQlpdXx zLyWmYOlsqu!n_;UnyqxW4Gsp<@!ZJ>x93~upw8rJdSE|?&LoqNh!7EfXkbKSV1%hj zmiDAIo1H709l?E4^82J6-=w>~NtcxlmzApi_rn8Q0@b%WeWxMx6mrWOMAToV=m`m* zll-%@%dF6kIi7|V0*`0W$ta%6dr|;GJdEIsE~xPaLfnxq^qdUWG-cw|4TLy$7yxWa zfAXg#@P181)b7;j3Oy-hN2g3zr%c&iPuZTFosYAf4<2a-8EHm)CnMwWUG@QTf}^l0 zvB`+RQw3q@K{xi>!72aW>EuitQ~p#b3&XM*2V}wz z+Og5Pu+hp;Tgy--{`=v9t>-?!zH2XuZ8+nvu__AGB-9=%Pd-l815t_*{ksbuew&%U z(;sbc{K}-NV-l!i3WgqqMm`G749m<4%UsV~U(aN!W6FG_D|E;Gw$_&L_eZZMw<|hX z6VSwLXNR+YRj6eo#So+-%|V3Pcg82B6Rtww{e38Le{yj~OomS!HmRs4XedB!c?^Y1Y=YgU+mjY=zi8wMQYEylVW64D} zyd0i8fbGRuAvKw`K}J$7n$$Jf(i987B8p_GAVm26i9ncAAt<|n!}o4S5dbn{94F^G zZspxsZos&8C@WbY6b?A8cpo#_~+{_zuC(1NEPB1##Hx(Jr1btm?IHq=`>%0 z=5FgALe=J+KN1Iv-B2v{bb09Dhn1k1X!ti8-C#!$XLA~0f}kjPC%}VrL>rng2?Dvb zkdBtt><`*>-2X7FU+R)Ccs-lINF)3GRvDX8=u=$V2gdzrRvB04QW;mGsefwcAzC59 z$-ghJQ-ui#_kL{hyY1&U!f(0EKHz!*u9x6?Oas{#cpbO?E|5p9)H)EzlxXC(n`r75 zE(EwS$niZ#+zNxRfOGrF;>{SYLJMN~mq$?@rBX##Ws~_=F#$z?U#*+3r;5E=0ZyAS zQRVsFYR|E`MG!ILCi*vG=Bq{!WD=%55dc3<-{^SI7V~kMr~Sr+HI+`Ti}l1YA#WeX zrO$;R@T;eh^W!KzX?yv(U|J^f;svsym}41()G{lf+bzwaeMAV^>6N&dDGS151|0xi z&riXuoaIp0r>T<@1}5|}Lbl2vwgq65+u;r#a+0pR&*=@YGDl|*%7B_}%=6bXF4jy@ zb~Pj}^bpJpLlzTLX-Q8Klb(2(q8R+8xpuTVeEfAh{B>o;j|z$(g}MA6ary6n(f^5& zIyGEEBCs=-oW@e&@}p^ai>me{J8i)7mcK7^KUnz_zav3@p4l{rr;X@W!~L?$h~%zR zc;v0^hLBc@CSinUv~I;>JL<;{xXik;-EHrMarqH}JK^m#%1FuHi?yA06`^(&OO-Tj z7;?zlhOu~LX?2iHA`}3a!!xzsZYDg0yH>|{oXBP$^UyK}e**GN-qS~A21k7AI=+@e zrV}DgWFcmqiA;DBuoW(XF{)~hNfARNr?ccRktyO`S{|7g2=a8G4wDG;;kw1OE^5em z3r*UegM|v6ws%F@F5{kyRnCm!Ghm2~%r+j3DfEOv;^ML76HEV9h(6PWfhEVM1HB9w z;}^U$?|Nl+t%(e;h^icV_TY=QPY9B!96nGvbQBqu{7Y?~neSFYD3(JgA{o7d8NCJ4 z*QwLjm%!-%#2DDJPN$DVe1HZey%O46%ml=e@~(;3YqmUmdi*@f7Us$p47NNxwmcqQ z%#mNrb@+L7{U3(knKk2-Ezt4V&zSthEZ=bMu1PM|B3S~M&!ngQ!EjyDy5eBs!vaXF z&Bn$;NaKUJM?L^U%s&)&_DqZC5#g~el0qS6DEtO**elpWIt z1qt&yvY^T6x@w%{;&;^Oq4#mxNvca+dy*4_I_|MS;Sz6Z$pfl5zoF}hIa*DbTUo`W zS;VEKDY+*oxx4dE>F`fYfl)xGH0H$Bve~l1Cc7`&l!12M<|QdQ1J6ij60u!*MMcj* zdCx$l2_yQ4tp=Q3YHADtv~HMQRw*9WmLOUVfVNI0Y3QkT#8S}ES?e!8xMGt#URT9{ z)?fx1GCo($QerEg8$8y9vlCO}X_V!i{vS*C)Oa>!OgW_yqf<;0io0~BW31kHup-wL z37Av({ncD%4F95s-+rC>i)`|s$?~b1q~ojujTSVt__f4n0w9ggmY6YEJ`0fuJ6mJ5 z=6-*#KZ6A~Wq}Qs#3&y(1we-J@IAV~Bcl4Plal{|J3wXj#aukx=TXl27vyt!sAROe-!LyGua}u;OVn@6 zDk$qo2x`0IvoM}aVGNUgGMx*Whh2I}Hdl zw2*9fAN-Y1O(lR5eU1W9g$`x%Q-7Z1r+(QT6dRoW1kbluUh`-102;rx)Fck%E?9LyHrC5(jGz& z0Dhm7RrO|K1p!Yt^ob~Wd%6tB`r$aLZf|M+)Nb4pY=TpsziYm(p+q@Zd(TM+0tMQM zG8^CU%OLL9MOvSw~~oA6emyB5hP91qHul5O^oQi_Xu+D#q?A& z48z0uh)2mo6HP}GEe6N+EIEC`!Xbqg`wT4>A6FI+S62BMmE1EbL0o@2T>n)t`hQ?# z;^PM6;Zo(jd=)F&-K#dj%c$4Bqw_K(ibUT$(RdQCdG^johrpTo!a}dIpAJ4A`7L3C%c#>VUoLh$SBh9u zXU3(xcl^uj@%ie>t3$b?Yy+h@10@%CJ|%Ymk~zi;$VzL(Tx$eM{hv7hFx=evG~D^< zs{Xism0X7tWDh@mlg_upk+i+Qr87Ue3D>p%x@HoDK(VqKHMbf~O;e3aQ+08dR&tl_ zpMvvE!8NR`UReC4{()Q};Vc&6tc@*zso52ooiqpXze3dh@Z#oqLZtxrXbE94i=p{G z^Yay-o^*24LF_WCcas%uf6IU!;ySi2>go+gHWK-Mqn!Gv;BC@a3ba==rq#RVnQZiJ z<8#XKyU#!zwy?s()`Y{Ik&feSmFQh5v<$;t(~iCL>JLED7ZqQWrls24q1)iWEj_+F zR{}i)it2!3lX||>*TAO_=3+uDCOS{i@t*^VJHkFEZ)@y_+|L}z=p(Y_@FF%43E%Pj ze=FPeII;IQWo>?NSh*xpM7>YWhndopvOhRLjSY*l-v?Fgfx5JgG*!)3{>50dAK z$Q>27E+0SRz$tGA-e)fpt^4kgLn`0Nck=>Hc(s~VLfn40|D1Me5K4M~);_oQKzKGm zcmf+}PX4P-`L_aPejLu2_z%fOk4Jk^SFt!_9q%@lCEfNu)ver=-l`v(R4CYOgL9H# z_3ZZV0I+xIr03HC&RDh0h1T<^1tN~jwz<%XcgrD%^cSx>&OS%VjBG0%vAIph=pWaI zC61ka@!qH~sS({>K5}b%9N(Gv2nkZwd)oOT>}>hgmD0mL5zJx8+tmb7hysU^IW>8h zOba5;JOd!fIa+d>y@n5P&uz%tl@QfY5_Kn()*!#h&Npv(W|E5xSGj+DeTxSYy=>|_ z|9RHFLLYTR5Ow4#GOjK%KC-8+0;tPOw8Ko4{C{Ua|AkW}Okw*ls!G`C+=R~Zb;iFT zcw3a8ovHJSH=j{cHJcw+5MQ!Mi-!#lMh*{}9#;6=>)xlP5;lorYkj{3I(P!z0Kc1! z5~cirnkvktl&8ct)XiMOL0v=~%eVv<5TB*!rXDPTm9RBxERhGX-iuH3ek5GulU-<$ z!;c(LZw5Hs$vw}lEb($Im4Z_E4kpshAH&s_fk>q*1nQsa!fsyNK5zXAF*2T&`+WH{ zp5)tF=$GkZbnXKGc}dTs+O9~@rAWYq-@%11g?#3Oe1^-TO7=etLpS5*U5e&h_)}An zZ4Uhrrk~gu$`A+I?jaTorCw~rrN+`~0Bg|Q_Dcg!gqMq^VjST}D&dHEhxMxli_#(v z?>T|$+}gbaiLam5-BtuJAN&7>rkDl!MgGAJ9J@gz9*Ww;M8$4DR@{yCS9I*yS~&ul zAl%>RLsMwAK)9R|ZlXLmX|63WmvP$RV92=*FrFNiz zCC7#8_Wn6J4{5#w1LGSZbQBieZOp!ePv0T;CPDL_$?nL4TPsblH!JQfWRFIczjBsR zBqTe}eqwV9*!rr;^y5G9y#F1}J9XD&%I9v+vh%9_tR{|=Wzy2Uk043Ne}42*kX*BJEl4RDsW(ei<&}fX^{WKMoy^Fm=r`bIpQ?|J9BBw-MsG^}l06e`iHcdr2|5 zBQ}TN$Gx1KbulcHcx?-rx?kHDyLCUWKi~=udB!M2Krcj)w*EYR{kdzVq-v(*a0s>( zp#PpBhzSs#9D|siK7(0Z;6u2X8X>2R_;AkxOYIQi$4*Ef|Jj>5yy1&a<1xoAr?=Yvu`_B$v*q9J5hCLeVfUnU=BR}c>=+rIyvr^%c)&XPT% zOaCWZ;UW%M`S`EiTc;pixk~<5CE(h;|NgS*dqC1IY-oPANwowvBZKi9146_l&esPs zKt|ps_A*baITX9kzrB7@S50wS;{ng)Dgcq5T)i``h#SDJ^+c)zco>IpNUuNd=liCn9N@_IA}W)M*CQb-!j=*6Y0AWheR0eQ*ZPBJ-Z6gKK9jNv%2w@jsK{ zc7&+*UxWP*D6J2qVX4yp8(7{H?wC0uaRVL>A$KB}`#JC?lfDDIw_n+n8vr3Fq==4#4Uf6Rl}NT5=FD7LLueHk)HzPeyoGU zoGqEN0=wQNM+nb7T1hE8=jIUzRa8SRGC6;t1zVc2W9NlLvdQkACA+030leTj4?q#G zPvFB7c^1J}mb~P@wL{h&M0)Zl5O`ZJ8j9J(z{#S5(oe=*^osZd$8_Uf*!C+|jkAsE zR;U;8DO8PbfdRE5K1i#`O?n0slA7tw$+Z8`@2lj^JgrIhZ_B;cdsQ#YHfejQuO0THLB*g@cfLXUC>x;>Hd~;(YB)x7l25euPt~O5rp*#x_Xijal66-HM)4L) z38Eott_-v&eJ;JOmzZ;Tq2>rG`t`DHyv505I&aS$G_eNtH=_S~QOq;9Qp|sf;kBbQ zFu6f-LiP5%tDD+omAe~MlqJZE{;c{fJMHr~qSA)zhANhmwujzeObesenz-zfN#^ZD5Wt4|^q`+4AH znSQD8@>uHoR@mpMhjJAh$|=4vyce-#3*u9>S9BWZOzzt5<>$K3lL}u=Vn2zGqAMt| zIGMM4Pl>(S{{vO9e8keC+`YXe(gG6kZij7YGjN0w%eAh7UoG=z{=*&(j(9gD%#ftl z*b;qSVjQt4x3SMsKCfp~-dNLQ4nV^Z;*DvAC*v!!vuK;BAo8leRn&MAaVZi}(U_jn zz-($%p5XZ+?dT501D;fSq=#h?>s|3y9@M+&ws-eapO{2*tG^7Y%awXrE5zV@YtXf_cGQCt9I$AQ(P~0tpp}9dRS(~YI}~{^2ooJJ%79A%OiAqFJn)6 z1^=UjK!>?-&h0d|GTk!a+XLRrR>RVi1$dSiqUk#9o&AWShgkfl#NyzOp>Y_rc2!l~ zzXu3$d4*3)L%BFjrUajMAM;aLb@lHn9Ik9mIZaK8sq{`cUH|6)bKWt9L0{g!KZT)C z-p}&`7mn)jbCjcH%IFoR@TZ54rZfXwS_xTD#MW0kPf-!8e6KHGpB`vsv6{ka<>8)h zmoS%t!2`3NTe?pI! z8oY5tPO3Xxoo&A>zHMrs+{?aPMaIApgK1!@^I4Jl313X>jt;pOa>DvC&W@l#r~(hA zG^urG^ULvIGJ-_z)^CH^H|1puI{)D`A&`VZr5G$L6O<(nd`wrnRA)&bOGGxiJlEt4O6op>R6d%Xo3yXRxW z1+`y92Zv^iC3z)I^)yF-^WQvU@|^4A3kV>F9nnPQJMwV2@pH=!BbeOr52P(_!9B}~xY z*-g%Q=A2MV3CsGnAFUZAOQ@%_Km&8li5bU7uREyT-+auC&R_5;&BX3^K~$w@rYX!* zg_aw=A!mvQy+KBq7*^;}&rV8RD#ZQ~4G*0n`%b*&w;;<($C6*!4`09D;lwie_>Pyv ztVV340rz(Ot!4_`&%riEkL)6z`Ry;8>WQ5^?LD`sF;6(^ySKC#4`CLbx7R&`iGGx# z-AYG9>FKWAMw#`)8E32EfN2(+t+o<(%Q5EB!47Zb!O&CQ(UQO_iLD$sOYe2x4e)pS z6^XTB8c?_LV`5|0Xd!=Z9(_silU|22%;I8kiF1}t?tR^jb92&tQn|0c|7=Zu!?O)o>+~Xhfk=&!Ra8n#MCo2Tg`G%Qz~yZ;&&Di2ZuHIStRQZ5?$+4;fPAY2ODr_?g-2d3G%pnfW1UbDA^MGad0#g$?|U^l z`*}Qn;QSmJssL>!$8?~Nu}yns1ZdkmH_*r!w-4=zwwa69hRNt(g8UN|MoJKSkiK@! zLn6Ideu=2OJcH~~XC4a&7<6zhLS!ZYFkx(Q` zBAXsq7b)m;Z~xEEB*kJZ-4-kB-XhrBJuvQ_)wr=czOY%>)=3^@f*Gd}s^UiR?u-I&m_Dj@+1ny*mr zLbQhShczp#*|uZI&m>(Xn^oacXB1Pe>)s2-kICIT&$q|7h+YVFw!9|fc6nQ*GbjHz z{_%I~{$%wy!6Q$80i!P%F%nLgN)jXOlOJugY=2%HHFaR;UlRO83AN(kI96PXdXX_k_ux5#n;&dw9w3Rg_)Vk~wQu9|Psl1Q z>CTBJyt00f95hkb+`3-lYr;u+_tfFw{_O(2b>L`L$wz+A~p-qy$Ow-!vzhUr>rCTm5j z0T2B>#&5U|K_y|%qP_2X{v(DKBc9KwDENydM)lYv0xd@RGvvy}sQ1Acf>;}*S8hRa z9pxcahGJMvE;@1~5ny}Uhqkc=;H|q?Uu`I z_q$`Z4g3vQL#fyEfu=?T6EKMa7=Nc4mVM@@lkoFuC@$n`oa$P004h&tLqFTi=JcO? z3hu(1FEt;%$|bJsOEHs$@K*yxlmlbAGsZqU|ESg*pD=&sk5e;L*W7p7 z?_%#STqjU1n92r11!e(%&g}KAu=gims-||};O$ru?ENCe42BN$v-=XXR^SRxo{ZQ< zL#J@;eK?!|Z&h!LKVJ&@=(%;Y1K0mz?JdBn+PXH-qar1u^rn>VMvz97?rxAqx?5NR zf^>I@0@5vA(hbrjUD8T7cY^19b-llKd+r9`;x&>@j&qC`Cu8 zG$I=LTff*VUL+a_?qmRLNV{qOe;nn!&eMoa5L2y8MCB|U=p1FWQVhhXh`sg14z^rr4#RQwMD@R~*% zuw)C}C<|Ttav!;yZ2-LBuX=wIM_B0Emivg6`*coVQ$Sz`TPeLHc)`w_E&dO=ScQ)j zJFpNJv$|cqpDlmC_I-*;J(JheZMX31-0I~aubA8RiTRqd|0;gAv3~b~-lQIa()z_F zqZ4bdo;QuYMrR<6U2f;+c2{=#mg~ldIYgRliXI)CJFCg#&}U{_E4&qgSK_X*dB+`5 z29s~)b}Wa5RBBUepcpr3^Jt)O{B$%+K4E$|M-_!r3d=)PK!c~fpGLf^K8Utwfj6kl zh-$79o$z^QelwwVTFG=qv3LNlf;XOl0~`Nkf~}(hVQY2&Hl%<))4_S?6Uk<3fEzkZ z8)}XQp4CL@cxukw&r2U6Fd97=i2cdF8T4CgFQu?fI?Qs&iaCz0qp~T#m`k>_Sh4d_(bdeAmq6+;jc;?SzMIUzs^SJ;<`fV}ADA5CUSg zz!Uc%PfrvHReE2=;@AWFCTl_ar$O^QvDNA*Rc{CkQ8)t>V_hET&3MNhN%go{_qaXW ztLI#C$N2pS{bS)8v##*^xjbmAMPS|$_+9@I!QP{mT7>p%uJI3==|=D7x;i&{eVPk11`W40Q^_-%nyRQhi}_I zxIot5*b+FDmHMqVtjqu#f3~_jRf^ykTu(qf7E=BqDR3C{>-0)GDBYo<8$+{tgT!8`3cx z9uok-V^-KTi>RY{P0*@GJNc~u?$J)53}!;P1e%jY;wtCvP9*eNw7 zSEnCn?=5tBEp~ZTmdsU_5EamIAQsU1-H@>+f=J|q1S;4q(RbUU@7fp&XsR&*g#tR* z4F@X45(pwu#nKBRp+Vp(HinHh@&cOi5{8;;5C2}x6C_#A*w3cl!qRV+O9uZ(`X)?Z zpfBz2TzaD~Ew>hYK>%p@mB)2BNJIl0tyhR#;9oEpyt~&;&xIE*NIJ-~+k^&&44+!& zHbH|m=1yYk#hm`UfW?<|0ht+$*=d72Bn-Yo$fykbj#KmY8ZL+;sw6Le{Qi{`ShoxX z&=UAR3`wGXB-a%FY+f|=x0dt38C;^j5eKnq{XkoJdoRLz_<9G$+yqJL_EV_re|PRS2@p=ccq5 zbx7HCSpED!m!9Qp0>AOC<|Vt-if3M`QtLtydEI znV6TnR#+ubICD-=c5I~hngx~l?iBR8gtuuLqhMyd;%mldJwfpeC~{bCRSe4Sd2<3R zYF{9udBzj0WODe2Nk>(RWlx|A97%+ zijq6Bhji?vD7Ixlq^9!TYU1e$3gvUmi2kA{Mjm4;taQB^rKKk*3f^Od!>@hGBUzn1 zvtb&vW_!3dRc`HRch=&td_OM~UxDf(TnhOOW;PxeimsZnrqB8rh{~Wy#b6*PB@$a? zA!@iZ@{s7$dXqfu4NHN+IzQnKVt8|8nfUI5&(E4p2|ho7)`tniyv%cgrMQEXw+Sq+ z3#!R0l_N&1={8RUzhJTVhI!ZxRVPs}WCnDbgmjxM*yb$S=2RxFLzPK144GWle0E58 z_AlOsQ%X~sl_AsrS}|eKHlZ?UrSiHm=M8sL|C+wh!-ySfS$+aae?{C>G}4s*rDVey ztSN0s`QplS$ri6F>5#RG3nIT#Es*X!^eQ!|n-k3O|9*G1Wpw0`0&{r*l0-R95@ksN zJ{Sq#>+`RhtGE`1i8FG5PrVMH^@?jFC%Eg{*3#gv<0O5_-QWu4FfDS>LL?wJ(Bh8C z!%4zO9E)wCeva)^H+XLT}>em35+#H3QJ8 zMd$9V_;-$QhDYZ%&+3{lum9-j@4@{#Ppk6o$wk+vMyuY2?!JnsF8qO&b*2#)PUV2! zk$BdQY64nZ#&+?x4W={3S^|wL^_M5NRAM~nR5HnI3_EQM#WdAyHPz5nVhB`XTB6AT z7kghEi98lx9O)i9SpgmHjUphmYP#>1C82d%SYp8L2rh$J!(>vmcRo_rh#YIGa#KWe7 zK(=$)Ix+9nJMxp$)Xo9?^p#hx8>wiY<|Odjf1-j=#dWP+`P}XkyNYrCXML=peSLNn z=v|(Jk^k$)S;JKg@#WxGb`Ejt$RZ`+LnFQ=^=}FnyybU-<8zJnx8_B8QSCNL^#q^` zH!84X`5}J;ipaXj_jRo)ec~_ALASc<39kT5c)oGNHR8ym2(4?jG>TXyF9Po4|y=t6U8jW|rZAO{Ns#F0cUJ3i50WOPiR_DnOM#_>ffAQ`1(Lf@(-Y zi3MhhsMPd_UWIp*xTWBGaxHd<-WrYcHM6GQOzQ(p38upZVdgtrCYg9_ce4mvPv&!H-y@ zr$2yyk)xE^smGxQuciy8`lmWfOK@G7H*-^SVC#5?SisWvnsQTWdYR;W|@qI@qeAFTIgvW~)pULM+k<>8f{E=Lyp;@?q0rQpKc-A7`1c^si7bq$s+s!YwOZj$Y zx^o!cbaZI(SQ)Kx2jz3Yab)h*pT-SU>n8h4J3mP5Pdq>wLGEkluJMq_{)RS|ynNuW z0*$yxsLjok?4QkAo2h*tWwBc!&FuY}Z}>r@dw04b=BKmzYl3!uuJw!6hIc=5dq?E9 z3%<-BZv?-!wRdGOoGxnroRQ2xW(9X_4(#*6xOjr_LT37(UAlWZ1j5U$Jr39{u-)=! z`zYz=~E+7O9Xl3)UQmjiEj;m&7U%*Esmv1qf*+z@BX zxyOnfHsB%gxWxWi5%&Vo+66llF3#ri>l=ljTUZ=Yfpset;S{k6eYC5bnXj!2;yITq zi-)D)p!dLGFuV}g*d+lTeb+)at}r(@Bj&g<3r2m;(cHnjkg|#1Z)e(TO((*yplvXg ze7#@1QpF04?gF<0}`Qx$IUm>I^hbMH;BLY{TqDnjgd**qG-Z8Pr&qsicd-(Rog>S*H9& z11r^Z2)XfkUY@;kJue?A+Ur0Ngl|=D=K`bO7c`nUZKJO$>;1muiOIw6puTA#-bGh? z^yDA_H^w+?D8>7V2`2l&ifP=;oiKZnqqTmaOf{4$Pg71cZ*e~nx^fV*!U4n9*UQ|C zWd!}XCzsR4^PH0#`}m%cNWh51igQ03JlL#vUVXwr2e#g%yyX-+_N;>Q@L%U|tb*{E}v^#J~kbgCpSN~O3WJ2^C`eh=>fnyO)f3&rNKbodVDrS$0M+rTCp zuMGE6Z^5<(UDsD1WA73+M{EI(0KqHJeKYn38qZxUYW@7&1eEODDb5sA$TZI<(pi6 zra7vg$;D&pNLCJEU8X|JlhM3elR^%v=RuBJgc}z$86!-6rKTFs zEy0hQr!efr@sh~7oTbL=ecdPbMMfl3Mr**{=OX^!=Nh^0zNWJxv z_J3IEgZsfFK+`s(Xt8_rC>Tunq)S#%81b6mM>ukGEwrAgK|xssbHQi?7UXcMo5vQb zAyH$&%mnwdCT&LFHZ=6Sy!`}B5mu|_+~6zbi^m0`+b7_zdpL&dFE2aBpgP@eOflSJBSUrZdI>W$SyfV|~ zPY(GP<6J_7J-|fj5c2Nj?Im!pw~~?u4mi*PRKt*FD|%KP~)7 zLdF(3eDo6R)pCWRhd<#0tNCEDd=4GEaBaGNhN2qEs>9Sr?RF$|)dRkK)^QJS;G=Z# zuAAFQwn?LBnlVs1=xZ=8vJHGnE6e zJBU5hEfyZjqH1(clqJ#r|kJH)2Q|RWgMU@`2(5o(250_)}Yj5 zh5IB~y4G`}9k^U#;J z&L{*?yl3G{1-{>p;b;2~Py`>|K_j?>7Ub!tNAwZq_f<)h6tUTmrDF;Wp2W_6MdP*r z%e|}~QE$n>w)+$kAS7G7p~Ah6e4YT`FXx_G{g#Ex2u?T&zMol) z=}ba3O@HPM#?#xHhA1K}Sb8YNp}D;<+uOZ}`i$WjFS~FAfg#K^P%J>9IP+~Pt}aU2 zvmgl8O!bfuSD$I`85)xAm%B3EUL50df@06u^j}aec%d1aL*E6hn9gwVxx$I8^}5h( zqnV@VnQM;Lzp=b!rqiw0bg!`K3r(vyHd{<0ckPzmkCEDs0RX+uFrZsnpm**-=0gvG zz(aO#vq$&CTaPyd*+d-}R2@mk2(~m&Hw85~_7wa|Fshafq%@?9F7CjIq|syQzSxrB zj7W@6eB1a6dUjwD|I^rO@6mgt*_S8XwZ}FdyO$r%G(Y;}z1JxY&zMe-Wp9q1&+9xG?CXb8 zGv5?vX-5s+Xhwfx6f-0m;yrq(YEJ(fm~LV31^cPWm?H^KDCSX?zuo**GQTeQD$Z;c_rbGV1>ZxoOj95S<$yS3uJKDjhn;z3OVj-ky{2@}f=8!f(@%>8Fx> zm~m4NBvz_&;sTB8UY%J9tdDP+n<cRv52Y_f2auz@)&c1?eOmb0Ml8CGZPU@dM*$l|<$wUU5|Y4y35(ZQ3M@vu=@<$xr=H<~{ajp-bGR zF%^H26a&1_M_>USuBVgBGb!&DX$sJLeK2c94a{F>zkHDM<~sU=OO>uj=E-%hPJV9_ z2EN1HjSyP6KqQx`nTRr#98tj$TPyTO z;VE})EszA-b!tFMH=wr>Glo7cNYz z$@F_%ZoNO~u0~GVfO|yWGQhbxz8}*a-ZtQr23*S6W5BJHK|6H!`h)F8yOim@0KY+2 zvNxn)05><57dN6Os1hLbiMk^~}4spEySzq!TI_d+}$tDGhl*4lnnw(B5_)UD7KfN>jM1Dqq`Ta?9>!|OeXZYtQ=^1yE${pH&6qT&ea zyABZQgk766y-LwRC5b*T(`^UMCg-g)8E;~h@Bn5_VuEmpS<@nkSD%VA5M$P|p;`Y- zi?3u>VAJX08DWbDLc0e-@oZ!9HDP_j5&SzEsE+UG{Gk_gfvVTwJ-+ko&}{{ut{eP~ z0@=@J4q~sL)#;!#2yqFITP)u(p@oQ2j~WNuQmZ$Gtj!UF*r8(mP@R<{nrw@EI#UcS z#gVr*mwMhRC*pru(PnknJmT7`fyx%|jh@W6^6%2L%1WLHTnWMoY)`8ypYWBNosiaG zw-yK$o5^je+i$94)?gFf5bf98z+ceN-*})KtQFYyyW+rj8I>b_fL5Tirt8(IPndF& z2;^kCPyZ9ma{WGgy+iN$nbmrxHjlKxNd;6cv#)2!Az9Z^GjcCI-fz9N>sh>6zhV%5 zXA=)rAU$<&&3bE#_75{fMhaiW930wr`tDi>dWNNW@i~w-Fh=SgEC`0B<>9zgxH6*+ z-_wkLaW8JskFGBDTa*QNWVZ6*SMu&CeS;z_g~f{cX^ixC^!I$baAG_H=rUm~7-a1j zWIy3Le_j)|Hypvgqk*ckm%$%;P!Of)0#bytS2}t_);1!2cuQhgjr)s_l~GK7?GYI9 z6#kNt|K-t-d$kmIzv-|M3id3psdx$fI*jder|*fKaoXs(m3%2Re` zNdGE8|5W;?f*{cA%8<5aaQLXX{`Z2tkKcMVi|AgPtCr_i!!M%ZSruPq+Rv^0Wsb=2 z>Mu6w@2*UFcT42G|HSe-8Q!&&>fTTQ>8MakHHV&-U|!(AK zv&r+_7eVqKu)V6{;NlNSTNJUekKy2wAV?+;>68BZ5&Fl%|B2B>j4^ID|FqJ-ftG>z zh3~Y*BHJ@8)AMMK?}b(@`$_C7jx+?DVS*n(?AXHrqgqx2l#lvhw*t{dBp*_O(MM$p zlb=FqDQ#!xw)pOY?INgKzxyFy35pQi3$$+878cBQ7R+Ll*5Yfz=7uBqcQjB{_0{`B zFUXKe4>v&7H^P2L)8I;2aUlJOzNHhBDuP;e?*5xbotJ7<58f~(shq8lebH!M*S|l- z2Mrd{;3*3==e$yNc#;?3t0eMYL9F|^!p%7Y*L4YR;44)?_3;YbeeXhXqC7|lW?1C3 z-&t|*^s9Foqr=x1MD&xP)T*}bF@auL8y|)ulFmxkWPJ$P`wD?Uq)Zg-W`NY0f>KRu zu&ralBlyK=pa<8RDzNekOqKojKpI$xA8jvaTT4n2H8fKZ(ZXUo4j&LWrDwJiuB9!8 zq$irJR+4txh&YCDmk>A^{HKC#2sac#;6w)WI&DNi=~v-}3YAOZ9$BKDCUKkgh9;u| z7n`p2xA0{9b-K0T39-z+2=He~|5!+}Ung4|?i9X5uCgXbXD&1s=HZd{g71%g_l)`Z3);%pNeo!fqgBJ^msH6irDW(lh+aj zEYre4J(aP$T@-5rXV*mi&CcbTI?edTxA{wP0&5xtYjxFaB{`V)Bz1hw$A|DEk}OI> z#3<`g1~h|$FnN=;6o1_dOqx4_FlCPq5zpV=qC{6hA_EqPLFlU>Ucm?vO`EbIKFt@n z4%gOJR%4Wn??gB-4Xe7iG!WlffU3_#lK(`X$t?n}&5|MP9N2dzEXF3yae5m^cN&N!@t-Vc{b3g2D+zhgki>EM%^7O>& zO<}HFBb8=?pU1I@2Eluv;}uRHF+wqtiDV%8xt(D5{mCDQoBg_R#L1urVTbT=lg3N4!%+MCq2^Q5`DzV!u*OAdiYGq^GQRLW8 zlxAuW~yNv93knWw9(LAeiwj(?V=fiShc!T4cTaKw zloq(80Z1XN;qS6*5p~DQ3?eAn>l_Pt_q_wAP2OHdf^o}uPScx)?Ww6J`|a{*{H8-+ zGrUBcXq@e{=Zd+pcpS6m29l8n>pgJ#z_kBT z)oop5vNZ5;GvshnPvL3%C(Dn#HEFyxull!N^)s+L*qN_W+#HQ=07hc9=MoBtB`fZu zVdo)j`}!#&1dZKclNT?A`nMAMXye{-uC=U0M~pa_V#h>s#8gexx0(O#L|>)#bn7*9 zG2237{~)H39%C4(1(P?e{pEUEVXB2PE0}9Srf|>3)rlX4Gei#aFrtgKYk!YoDuYyQ*&~jZD^idx+>jOH(olD%%%CtWz_&VDBXRo z20PC!4}Byjc@oa&$}w5?@c580tR=Acxr@H74l)_nCl7xwO=tY{r#Y~#oB{FK3pz-(l^ zZQ6wV0GL5Z>BLm$8+90u*}`bef!$M67mUKMVG{2WGXC$Av|-5T6=l{>5ST!j^V8Z$ zh9di`facTjo>*-&SNUGfe5>Sg@9aK%)bs>Vr>s#whqv}fuAQoz4u+zX-^rR0`%LPJ zkc4-QE-fb6hT^j*RLF*0#AbT#33rx`cX8hwb@@v0EI#dBJA#w19l-zsNAMaC^U_-* zuFPo}aNykXVNg8y?t}@YI5x}(2@?S)b1+z8Z6Q|I2niqB z=7?sH&fu2Lz|R~6YBn^}d{dgN)lvdu2&STJ;oGtk2&NoXfu3kjYAzdFx1AVF?v5#FthJjM>@}2#?T%rHrJt@c zU;8DnWYjPn>W-P*G?cJ#oq*&M>&&yI09P7I-y_#%{di|n`Q?1b~w_h#E=r)vaV$i7mTjA=mJBBrI z>!-u+%dJSdat9TsRZ$CR>?sO0^Tf#3axv_w6@y~PBBHgN6EFtFf09|S2j*`kw6Yho zojoN{GsngB8Hxz?~2)i>Q zHOK3RO0|XEONk*5&tK|LMxXe|_wnt#xPpv)ldNeNNVJJQ6c;M!D=Zulc)NtG9MAl+ zpnhVD_x|1`^5X*zZO^9X1Pv-JJ6P>ISU=rbf4T{sYulV_qcx~p)6oCH|DEnb{!pOB zQkV5fi74k)g9GXG2X(&rK_^XMmg1#eB1CF-$^W#tbvlUGA6}r9ZpJT81sB6`9pl>l z{n|g3$$+!32#mmHS_3sqzKXBxV9jU)9w3BCM56c6|=FD1x>y3@V?XI>9z5ok+wbDIuPp zrb7-u8)REd>JGVNF9IciGKoaOy$D(X0YnbSwu-+*BbZBB@?mM>kU)s(V}T{tr`9Q= zHUZDSh4*Qhe<*l9hOL3bEqc&f==h#b>^#?F-_&WSt*Fn%IBc(PF3Aa=a|h+$7gTBz z_e`B4|Kg;g^2#o!_RdaX>2oRN?~tpT1b8IMLtEc%1?irtx|T_RLI(Snx3@-wWzLbj z(Vo)aJcHFzP|UExClM8}z#n{I&*a0DPtFB@^7l!nCD*xmWjNn!Vn<=&Gd#An9y_k% zx{0S?KSi^&MM06Nos^pG`s+sIUQq5WDnh1|RQq_U|F#jiSn{Fou8;-v3F*bjY1I+$ z$;nyDZunWu5$}Y{Y~-@LjN{Ca0YJ$|ylMcgy372tXs@2K`z(cS$Bm_ahcj1H%*(|Q zy{D8c&X}^1N8(pOjHy3DCxyI`hZ|`{4G1Iuq5%E1NXpA425yfIX=UQqMK&Ic4tDeJ zl`|R-Tx@>#z@BCd*~a-D_J?c(cHz4R+6|j_CS>2>w~cdF{=oPNZR*+-UwU=eNB^fB zJ5@e|74CnWj?A>lFBOfTVSQ@J#|FZNok3mFMvCn5azZR=0ie7Z|Drf_@mL}#zul(n z`(mZP0EMIti*7*e7PYjpRCon%Yv)($-SSM12|l5jLjy`Xs_vm#N6)pigvG zZY7a~(OZigpY%|6olE#an$ZfQd-;#+Df!qN9wT~SHim}GiI76aVsQNabL|Brgg*Il zTR31|V~dUuNrp;M_A*yxvhK6k*4TQqV?S-{V^iL+l!`~+A1{9q-S8yKM~L|RNL2b4 z8geBP+wfch#Goq?=YJFdrTEc1o!9EnFMSib31aia(2M&`MBeWvX;rCHqypjsn4QZN%52a=oOzG3 zCo2(+`3+y-Lg!< zsvE7JxPB3Y^(Cb|NAuPE@tMxwoLr^PKFM^FwJ{JifziWJ!mdGBJ z0+Z)V$@E;Us^4}lhS%O4-dk~QDd$-@9|>`?h%lQXkO@{CGv&~JEL=Ps03Rv=4(x!tPLPZ5C}EnD48dH?r?IUfkLiA z=D8RSs^*&6C%GEy)Zw660#GB0FJ|j8r@dQJK@>lSJ+19`Whm)Ig&yj34negNr#)>S zw`S*2wsgHr^y~NQOJ|Cpz#q0_$9iS6_v!f7UL+GgXOE2UiCWxypOaw?<40)SrAv7S z31;m5iKY2tL213-n@a?iQ?9){c>2!Y3l0)&R`!atH`r9xJ}Q>hm2sgFNNuncGMFC8 zx!N*FM}R7j;;gn1%Y|f)Uige|>l&eQx|6Dn>pLufl4f*;0LpYy{b$h-cb@1@5lLOM zczJe!h!Iy}!NPu_Ykx;vLBxo?lzBWu`swyiK<$Mj$d{V{U}6Xv*j`hVmwHo_nXvTD z8m@$wKI`diY!X?yszb~m0SJ#bKMsWLnY4nHyslxNwhS$WZ&Fbv7Nr-#^35dz{O3&W z7WCM#Hxh^#Br7jT1#;2nsG%S?7!*68dYTy*m!-9om5xu!EljGJZ_&)wMwe({yrALD zNP>lA8CFpEmX2K$(yu1+{fSi~o0T*iyI&GB)IhC^#3g;G&MQIrtcWYO^*4CDuainl zCg?SjPaNoLd}w)%a||nFP5WleyDdNL7n?&@rJr|?)zr_Hl+?k$*SESvD+6Ssoq+{V zl8T8CK&wEcV)EaJpg*e3AkWu)VA7uXZRhCq#iQ2~t>dm%%3U%C)ZOzo?@L1QqL+0r z*6oHVA8<}mJ^&*u@%?_e_dH9lz@8=Z$o4bXe;0DDN51nXj^=ZTg9X9%JDXc{4h7!x zNTP6{?RUOFJp}vdQlr|3)ZnhLbxG*LD2HO!w2zBjt({dYS;en7y0Q;+$UPf;Vc!;) zMtO6c*B|%twhi2Qn9TsUY6*g1QjETSyAMJN$Ou*}nc`H=w-r-|2zh5n&JP@DErtF=j4l|Ii_gGq>5p%AS>(Sdk^a)%B_;eq(+YdY`6 zWJYBd<)D0=;!569n8!UcEvE9BYI$q3qMvv!_I8FeZKK^rATl54+!Q!cHd@)lavH+| zv^6n&Ne2VXfQfN`VNx7gzsQxV1~x_#kf;27e<6WMb8CNVqD19xz@r8>+v;3g`)sSP z$;g;mG_v-Yw}oPnF{iVi4P@LFasmWE|AS~gFHFJnqr}|+@QrMO7W!VTbe( zLM=a7P-an%T=j625zg_qe}|l-7DVO0+6Pm_PpxA0-81D!=j65Q{4qARm24?CA>`;N zQsXqRJw33k_1Vqovm4IV4B^&{P>s`nLrm?8Ckq^eU3|V=pJ^-)&WeZ zsU6D1vo&(}jdE2p{2MnD)ieBWQ6{Ko4Cg5|8CV9^8T5XoAs)%TkQ`ak=v8Z{*l-r& z)5=FL_^Nc2m#fr-RlPDIfZrddAMB(?ln~uG%4zH6aSM1^KUlJs(`kC~!DDM+hk%fD z><|$H5Z;1k+&^AIMV1YJhvqx6E;9^5JC##KF$#^q6Ovyo6kcP2Sx0BVys@s`A|scc z?Afsv?%$5)H>P*;Gi%x~q+2M1AD0Xr6H6?<+kE2DF?dYO4G4gw7vItStB5oBal{i3 zQ6M4%2-Ff=e7E$(<13b4P8BWAR5f?G0~G6LWkg!*+w)oHH?QoLLO;)UjY1dby+rB5@-qXi93{Q$p!Q08Ah$0^8V?F z>L_hU8xS1l7y{h-Z67&~tjc{Zis^eEPc#)IthB_}fDOYK+D13tmQJdYNUGwtSz)kQ z+5SWFyC9H$GlYIq?_Q1my_)f+?eQjt@*?hXS(PmA@}gg|zq|lx2}iC#1_P*6SN|p)GVb>kc*W{{J$$Cmp%s- zy`I#VebNf~5xy?DOO5oP5LZL$eq2ED2$83=Rnf?+`CuLVyPz#|Zs`TR)*U}EnTIAN z^}uZ2Lh=@^{gtVOEjVe3#bJ?{YbpHj3#!vGgx0wlmNF@p(c#5(olOZ4SS z;-WU=qUb2dxhcp4#XA2R8q?TEG_MdXwivNG1pZEO-|+o+Oy>|b-n}+o7d)pW5qZ`) z%BE-3rTQr)ahaQOnRF}E+$+?9E?prmU3w`ce??o9y4i$nTOc)Kk?aBgvE!-#VAf)l zip#0wF^LwdyH8tBoaMBwaaQj-F*8;M z*3L2PdevZVo!x8LaHY5sE<&f$-N)X0H z70P!QhqzA^nBn_{bNRg6KvIfG2aj9ZXr%rMoW9`Qzs~^3nZXQTuGIAR8NjB6B$xpV zA`8lze$HxXd6Vw1j&Jdh9N=Qud_9$tJP&3j1%kU}Vboa?#3`cH)H_Qy%TP$u{;UC$c< zk^Ts>z7aKW9vnZ-ZvTsuLMRmJdY(AE2+e}(;5>UOv*o|INH!jN*dphsIWU1C0ybkA z0z(;sWL}#nUK@KLIBfZE1;wS$6Yktow7bG!L%`1dSdi8@*K0ORpsM=8B@7c#%HLB| z&ORvLf+Yt5q>f>g3URWYKA<(2}!+{TEt{@Wf-+vE}={)Q%xhv$l@nLz*DUe zyTQG6&V$HxPO+R-vP@U$h;`1+?3BoKS7}>70F?BvBFTd!vJtxo#eOk)UW9fovz5Id zm`0<`0Z1!>^_?q}PTp(SguLW$e~$^=NRmbmkky*u-&y zv10#?>m%+BXmK#_cF+_r^=F>_Y_2;Qq%dwi@jPkI5S^X3@I_8{t+}iAyELs&rbBxW zSJH{$A)pgsa`7cTlwN5j6HT8dN_=YTgYpN$)@y?0UngK3I3%Adywa->HX(TxrDvRm z>0}nQU>PjGIq8AaU?)rshPdbj#G z4#ZAswYum@uq_nipdDr4jTO!)^+I7sLqzLn+;Up*a-kbGEv~riyr=CG?|ps1S1WHK z33J-GntjdQ-cRYp+CHKS(sSFhgNQ}0<8BBe5Spwrwgxs6PNG77=#PvK?Fs9xr6sFH zC9Byx8p(Y#v;Afk@e4Z|4NSxf*%Wr#6pG#OEZc1UZc+={5Ju$~>v!?;TSWbS#Y$^j ztI5`i(fT~hQC2W_W~GIF0KX~3L_ z$d5Ec5ZwXC{|Ek8h#>OA8_~lWj^A(Yui?ALnCDvvTrVlA$R+#eby+IjidiB>enbBx z#r<-@X$4z?R2`U;=90$mP6Q!ry^i;~-W}^D1^O17LLKURLe2D`u~05&!?n5S3~!Yf zRPBjh$nh7KjH;~$Q09LUT`LVW(kA{*ot?0@#QsOuVB@`^+s6HA-z*Rczm&<>Bs2!;d^M)wcK@Vox*E)(g<5LQ*&`j>Ob5`=GLuAX^Cln$x9GV4 zFVQj+H}62n{+F)d<}lzB0w&iEq`V{$(HiYqi0(@(=+2JRJo1Sz@$HADC@cQ0`Yor| z<_&uyhui@dA$kpISO zErzR4&DtF#Ueg^BmbbQ56vW3qcHNG7#P4)=inOjZE~%|RzFMadabyV=_l#Mp)3BYX zfye<&IJ#}p;8wkj-JsD)$GVOq8U1LOcD>Dh|`M8)RvbAGfF z|ATzwnDaEIqkG}_pcoh%+qHT~Qa*0LIXk`mQ~RY06cUw$pfC0)h(cm3Yxmep2BPRB)V(|3Fr!f<(#0r z--4+kxB^)h3OaRU&(RKRut{k&;GKv#@S^j{HW`{lbAu2=_Aj=0wh_5_LsGj%(Ci}+ zxy0a-$-Jbc|HmV`042uF=ARn*JAhIn1;6@}e2=Fs?_(#E8PIzuu*mJ?Jzw!ER(#xD zI^tEsJ;cqV$WlA_9NI&fJ_Z8e#D?*Sf##karNJRjGQy!qyTP!z^!d|jJJayF;e~{3 zyW0_|HRA`l$wN^s?#*%VXqvcO^Ta4BCui>)W*oO%Dw_AhwIVIwiB%I)tT{q)Nxxv` zlx~FOyUsPoxb!Jq+Z)-x69FZbY1GKSs}F54iB&X{O`~EQ3{Lgsl7H9F0*00mF$Os& z?zU(5p0+=-&wAuW>w`h@IL`3fTaPEYOgzx;RQ>~b+@()&Dt0`8hI6ZFYm?&gkXw;of5SxwJm15=!Kk&KND(O_CDubQ|YyAF8*Ul`&ATxjSLuD zBG$oA`Xz6IGpzpi)v;;_|q z*eXq16<1qTfX(6Oya1bm5SxNuZ|t9BzrO|8s!@=g-SzwZ=CL5x@5s0AqV4%(BPR2r z&aDrDJGFMMtpBa8%UCwvS4~7L91sJ(#&U4I=mgt+&TUg*NmxB2qJacD#?3D9XaqXI z;xq-mAkN&04J2IJsDRaJZsL!#Hpv5PD`@)MXsL-iL3;a)2G(kwQuvW_tBaM=Qk{~+ zjD`|G$w$f~wG}zLGdW#uxim5^fd;cUlxOv#hwcs~JGln4Dxe^KQ^@F9NI0u#ICVRx zEC0@`Z}g=dFxmp0ixrvj1<5|Rzv%omp8WES!yCXsO-P5_nn+y}`?t)sOj@rmX% zcuT!8Rh{y<#8&Dn6jsW`d!J+y_9zS?OGiqNDRPggG|F zzd`pMVW95GvrL_Nqwa4%zzPq`caon6B+}b8gJgfK1vK0jCxa|cInJmhW?!y=1Z@1r zN8=NG;G8k5YI_jB z#e&n_h%a)=-j@LjZ1y4yq!)=2wjh6Cdw zSWV^PEm$`)KScaGLm|M`79E~+(3BOvl(G{fT?)u|j7u1tBk(j2?HWS|p#(3mOBD#( zWm}jr+nF(KP+DzJga}^P5WGNx+GYR5I|B9gFv9k*R%U~CW&<0P2^*B_2-t{HeO)9vqYm$rD5jq zkhscA$*3nbcc+iOXUoQN{!+|R*OI-0>1m>d-xG|1Z%yCKEr~%QOvxT96l!UAkFuQQ z!glCe6Igg)?3Lv_h_V43h_V3?MA>Lu2Rii9^MEFK6??@9h`g$NDp^D*i0uf%qc!3Z zjfM(#dUCM9B&3VrHEEb#H;C&eLuU2G)u?*CGh3m(hih)QR(C=c-ve6wUPevZqWXfW z0EtgVA*5YUW@{Km!iy?rep+Ux=#j)Syr?m;@vh6I3D)wGk02I;IC>OOt)#x5&oBxt zi4#OocKFz87zY016lAOiO~5~U*0QFMzI!NVAKH3sp%ww((icPC9YfBo5ksdDvuUnY z0=81a&Jx4Ue-uE!BoU>CVkL%RK++jQ&Z!YYrV+Dbt_Fg%O;d2!sH5#-qREy%x_~r| z6-nke5|rCS3pn~PTjCuMR7Cl`pZ5FvTgj!K9cFi!y@ok*6N zaI2_v9%N}TZJE#L9I`Swv3q^-_fP%C>Py-M50T8``KEjVdT&0W!h46&%A#>JS!>Tu|D-x(?Iayr z#XDR!oR(X@=OD-R;ERbbVKwg-tcO5|Xl1xRG z8RSily$QfY$$r*){Zj$R_yLU((^3nxKuyvi$87FEJ&{q36}^exL_>jIWm#lmG@6j2 zXeYg)5sQqWgdfj#6JC{%w$V}MQrMjT3foPpSw1#_*~?CSXT&SKwj!ZBhz_26C4l=` zo6e4c$(1;4)179^2g&V0gv`1eZmuGdAg4qchWMfY0{LW}wS7i8 zI&>W;ToLUr;3OG(lbFZpF>qjankB(nRN2-JprTC%F7RVl-O>%bXMg(^SWf3mq^bN- z!$I8=VS#syx5!7{4G2gm-QC~9_nh}V=lth<=lic~ zuC->Kd1eiJtzqJM?zzXl9psv7!*yQsu9>U*HSo8m`Eif-24O^*-W2d&3=u-JZTfo@ zQHNqf;F4AUg_TuSexkZ0x&3IDu5-z65l(VtYe7|rMQKesXz2Sx@*| zGy(r`tikoiSgGsW)P0gcS6a~}TS+YF577)+?3^u*jM}W4Zu%|&-Pfp`o6@wdph2~ zTbknP+hdFFJ^6S*9}2vFR=}(K<-3;F{4Nc~>$gv*r)M6x^=&Z7d`(klXi`Ys|C5Me zug1_MXx5Pi##B=G8Nk^8kF1QxlH3kSD|TuR{yu}3nTG>}VhO7A5C;P6j~IPPZAh_} zo55eEWDE9H+15rjsYLOTAa7^`R4aB}n>+(_l=yFeqg#LO{x)eq<>vi%TSbZ|y*D=} zUaX4fL*#$)2{zs!L7@yww}Xp=bhks^-`-lA9DTH|{_Yk$XzZ%>w$lKoQ71;RMoy^x zTx%u2o|SxMa9?zF&rXbJ9~uJa?d^BnY_K z%-xis0U2wCF+g63$lA#Qg+0{yFok`qn9^b^skzv-BTgmbC z?ux<3I`Q&D<2~=9bLLINYt}OAr=HFpNeU%r zy;Y!L_qd)8yA=|<-jW9>G7p-FmUX;)oyOwTC}$_0*P3|=IF0QczHa62)A1B=TK2#I zaGQ-7v6XS@c@uKQj_K8>N`bBrGR3iXhxf9F<>6E1azjjD^)=bS?^;d^tl)?nl%Tk$gI(z5N%?hn6ot7MN zxXP;2Uil}x!}ZDXN@JZA8hI3xYkVi!`2r_LmV4Rx%|9e#QvHd&TzUE2!#qdF z`(;=+F1OcZ5w|Dm+FNwixNh-b*NC-z;;$qe@pEVgWHJbPv15NCZqajKtG<2V?s6-{ zE8vB2VUuVCPrmK9+Y^}BFp;CEs2i+RSoC_{bXrW@Lh_PC<|S@!knb7zAd(d3hC310 z`t^-KHqrU3@wdg&A5Z#`=QDfh11-Xn`9+=ymCcEXGcXozDEV>GK&}9NPnWv#!&Ek4{TOKZLi#HyzzBebbK(pxIk4SkaLO3k$ znma9XDDrGJGiYY79rJp>{P*E{iXk8_b8~q$Y^L z(_kfKdIViTsYR+d*Em|s3@)qoSw{y}I#U@BgAeMO;0*TX-+YRkKk4^#V`*%JA6#yo zZo4rq>v+~Lgt19`T2d1z^al)Te@EWgGW?w?SGbBzY4bLL`?M21H=e_%oHWKA+rf8h zWA7Q!O=8F>Fzj#SdFvs*`>be6|Mcm(@U<)`I7>fvRspsnSs5P z%Kh!$O){_iiZcrnlFRulLwnb;_^q-zDaw{O+{LG}H7c!*;@8&Uluz|0=!NvKhoghW zWl5@TQj_%nij6YyML0_2`Lq7ll(RLGz|FB+0^03Q0E<|tvJf}H8&e;1IwXwG>l55S%=G;Bd%HdSyNPaM?{L3x zhb(OyxCl7Tm7Y#pn$fuAJ(A_V6Y+4&U(E1bb0Z1g?eAP~8QFW5m8G(K+&Esf*o>Aq zvDLJ(6gHX>Tqi0#(n2%-9?*ZY)K5%@oHxxu*b{6u^ zU_H_vU|37hpc6m!nC(89b~Z?$+->FVD!70H=^vTRf2gXm%eNk>-!LfLh)}SPx6s`z zv;f){PdBU-jNWOcf!Ou>B zl3pcPTtRbZNmY%~>pUlSdtdIY`%(-6dM7149+iR;yEP68>@igE9iRhHKbU}-B==Qj0i+(C? zSz_Q}I*H#&Zt22?b7#aNPxpEDJb*)*%s-;4={#@Uafizfu1`EJKP%+UWnS2egm`BP zgpoc4Yvjb;^vEt&$o2XlXq4F}BC^6YjCM&$KPD$dly{p3lQ^F<87#vxjm zb1T_Z%-A#KZpn+m>i&}JZmG$1z<$p@6IVp!sI94PQ9cJ3IDSBNJ&OGPIY5eqt9}mt z@HLtx)u$vU;Oo*K;I6-wV{XB<*WKTkJrc$eX};NEbl>22!tqb=IfDrb?zXx$nm-*C zZ3^IvF|Au<5s3vjEC_}(vczxsACWxGEKBvrnzK)`{*-WcJQDJ;*zY_|HG*>C%0z7M zC?4ZO+|@O8gGc@OKFZHTpa9|9Q~ROu;|=MwZ)2~_ZGu0`2dC3(g=A))iW`+;%Svfq z+c@!OO1=}HA@*hIho>GJrFX}Mx0jW!)5INOEe$=|H=NhBly}dTO8NW1z1RmxqYt)R$&NF_jEL#+N( z_S9z*lO9q)T*elBBw6TuU^Qq>!^8pZEO8k^`U;g|xt?0R%KjKC=Mn>z4o6lxxLQ;{ zm{q*5lU(KchkVsl#o~|Rhr&rHm;83jSip6xdgONKbRCGCk2$@!iVM$SC9YQZNueAW=i< z^8{prGuSV3yr(Vgo235^a-7Adz03f~=}`wkW-&bDdqVX{>|nk7sZP-SRHw%AG(Y?O zi)}VXivyv!4$=7UH>v*3{G)T~CzpGMuFpNt7W{lCPwe05gPdxxv+oq(>ML%{o3Z59{OJtDqe1zZz?z<3I}(ZD^RHgYW|R#t|k(`Bg2eBiQM z;GEB`N-rCnr8=OPjzeT$=PiK5b#mTVC6VqR!Oq+~!J)t5wj;bxqjd`F9&tbISuzUJ zL#yM$r@-g-+)ZPnL1|fj0Sx!hd5DRClOBjJ#WE_xJ|!T%%+q5pvV-aUo;^$3i~Rik zy&Nw{XR8SV=Z3)=6}XcXxEkA1PTNw1S0fZxBVA(7Jz~xkxbqdb`rDm}1gj_@i~GO1 z_aj%aG}AZ@TSV-0N`H$NB6|XCl)`(W$!9&{r~G%rzz}d_xN>D^*qA|l#dO?q;$5Ik zx2m&=CCoycGQRp})Z%l9ntihDXO<kUZ_zVi@XBrP;OW8F&$`jvpNQ-w!1dibgF?px2YcOyz^$(q z`Z1A3YW9Rc4+6_v2QCdZkf-ykr#bGIJHZ$rfwJ?85laWE)}qzhd#aBl%RkZR#X~0% zZ(ZJF@mKD-VsqF;xlRPy@yv$(Di$uKg!lU8Y`JoggBBEqSNOrK0kmAfMUhSx+d*SU zdPdvyT*r0qH01b6l3Br>zG7rlqb%Hfbb?X0k^miNBz9fAY}u1+a3|-B7%tyofmBYVr$k2mmU=}sbVAjC)J!Uf++j zTJgarbWK*uENy-d#bgrzIdMcN%uOAtBlk_EB0!VPtnBmZM~Tlnl0#UYcmhn_#ART^ zH=_kxeXz#tS-S}c3mk8t#+_+{EXU^gG76W z=1(Lr6%-}*`#7U~W-qWFpbsx(ko1-=R6mq#3@>)zVbVwau~EoRi4~CgYCif39_&}$lpqj zBsd$G6Q${2&=z1|C`VxqzPwQ(lio13x|(@<$T!bxdmcSePC$?Po<{$PoGFAps82sL4v)GZb@j+D&Bm{+0bd(l`S|s^8vK@0g zG}5nn1gy7@Rt$!!2Ki|doED|oHuAx}QhGLtAeejcr9Xz$v!?mH$#X2J=oX5muw91W zq5zPSNO1)Sjr=?TE){jhLcE`^(sS)ln&t-Y9VU2;?qBt6=k0{NPaMl4ufB_EZE2a} z@ZoV}I}wX3)P2g2`)EiSq*3b{ZE?DtS4bMNN7BeHBYYhBL9X%T7ZAnAvSVbLX;Hqv zx6(Q_x?VF;U8)7Lo5^zg7lBms_FJBlM*L_$ zT2wGGkW|KIIZxwVgbEsp_1&ga^32?(?CPwi`3!{5&khU&N;BF~lm7h@i#as}@)j3o zfJmZpSJXzq_ttXg4)L01DK%S_u7CsYf-zK){0cdNpk?>K?GH{<3f-FZ5)h5N;1w~KIV}3>r>tsKSh_ZG2|rO@c?!=hCO0QkxP?eIpPQingKP|6?vEVG zCqDfkkEAmSiq!L7iGzhnAj{Bz8FtFHDWgtvF?vJdR%+71#V2jDMX|#fk#{%&dKe0l&wDw-h#$a#Bkql1x6V`GL5 zGR6)Tx2tf=t^R=Wv{J^!q19)(g6I2z4x7UJ(*#arP%I+jJD|6oi9#S)YuvCKH)uKJ zQ2#*6msmV7W;ulBKq?MIOkTfCKmRO$9XqOy&C%Gxvm-vbI_gYO@GRFf&;MX-ol_Un zh5-^RhRa8Y8UT1C)ph$MSTGHXNS*g`6CFUh4j?ijQjQ{0_`@&BhhKi@ChEBtKN9UU zUDdFpR z%jPDZV};oYN?Fg2v?2$>+1yve6?iGSSxD(JIU1ZOdae zGEreN(Fob$XxZWH(W;z#@e8t>32~1%Hvuajqw8E5qJR)P`p$)(K{T7DrajUqd^I}2}CAsbpG7c^2e|_GrV;@X7aRL;u6Ix9^z(r|U3g zO$fUAfZciS8vbI{_zk@G(bJT(F{||PS?Cum&G95D9(@NM{qm1?H^K{AU71fGtsOJne64m4Za(?o&5VYl`KM9^?v$;?nc7%0B^%pV3){t2ln8#?i<~DD2t^IX7q}y4 zfBHPa@Dfphid5WQV@KZqu&VO7!X&SwR?Yf%Y!@wLSsrYRUZQc>s3=-Lo(3#VuP%uy ziy4fqO7M6%@Oa=UKP6XwDxH8Pmw-09+CI7}0q}S{SN46o`oR0dwA`297g{y2?^RC(ArR^ zPUvZ7r9XOS+;iNJ3Jz^4@lw{~K=e6oeYp-RV}5_u?!GdNctb*RU{4tO??GU}4R25Q z|9cHRqli4CAm0-PBkAd7RDy;)jFF?Bl9U-R@c8TSyOPXa zJK~4e_HV%0ZvYGVmjBorlD9eeG$R%t6=LbVZ_`Y^r#-szm{kV{u$Ce5KgG`j`#@%E znf}k3&hWW#F}#XwtWMv!$LwKVKo?WN8S32Cl3$yzg^h)hSZwnm(u~(J=L&n8XhV7P zV*lsS+Mv&gv)MuL2&-x0+L{g%hVQb^AaW8r>;p@A>e}HI93L=#BO#X6hn*JjXHEm; z{5TWGK>?mGQ+?~+q2b zUP$Y#>$&u=Y=gWazd+>zM{}kx`Y#8Km>%UDqIME*2vNdEfFpmBOK79q18x(Hi`g7m zu1Mtqo;{IQ){#q-&$k6l)C-3it9URYKNCeWnILF}a7;$LKA1_jnC|SK2-b(bnN5Eb z%Y{f3I{c<{79{ih*O*}tQ*8UMhwz|ciGX&q@0gAc13nY|EaimOqE`?ENbF%r>qAg; zUapVPz+u_-mjDDcpc$bG7(DnfU4sn8=q~Td zib0=3#-0&*z2ErE7#Nlz=lB*XrT3lsnK228qrkIeJdz4wza1pGM2qL%FWZvKg%M(H zU-2uYDsasMmVgd&oq@`H5u7M%q843l~&!xjx(w8 zjf&~veLw^drlCSI2}Q3BbZUp5et7nfFxW5#?%v&EI(S_lO{F)C$egL)DkAV_mFAdu z2FW`PwS>D_>qmz+kmI|og?{bFkE=`gXZ)4hKQoT-@neu^bUO@AyW{k%(bfVvbyVGB zr9ZT0`ijT$EO3vD>#?=~9o#Fm&eI8`X749?!0$-0;pa!!{f7|8;#W{EG)*l(;srZb zEqE-RXqTK&`I(lH&HD^KsDiDqEzAagySn`guP8DU8QDG(9^tt|EW6xLsJ>Fh80XVM%pE5+%pw%~2M^mZf9 zQjd8{=|qjERLEd_@o-edyBY|OsXaSiKOmso>5yyOA7${a7WS^jqO|q&K=IvDRE0Bx zBPS0Ft0V$=CU*F|Eu1U3mYC%!>2_`IJcBNqsSYpw)CYCA2W_;yJT27bk#A)Mq2 ziQqal&^!y4t{>4U-|z{ z%v6Jrs!Q>Ivnj;1{Vp95LQ5}asvm*O1}Qd~UVZ>}Tt2$w?=vIBa9w_&g(FwL{?h=I ziL|xeZ7tp%EVk%hYK^w3{h~9M^;P;@#RKhd{nn}Wiw8B<$6pOIqZ{uuar3BY{UYXc z2FZjeXblhivd&~u&|{JDw-7iC^J3&xZ_3Ib1`MF-muOKTAogD)6_A~7&51LGEo*_stFb9x-f4Kx;FQ|;ZoYu(2^HNL2y)6+h3gZqrkf!1Sl7%x5H16 z0tSB_z4ez5uPD2^kOBuiigS)PIc-Lb<|gfAk5Fj~Y?C3u?EczZ2=z@aiTfM`&14Bx zQ|>SKUmI#m0(e~XDq0wF6GlfDz1&CdbD>^}`lYa%l*%CtE*hfjq>g~Kt`Fp zylPU-Zpx7xeA1ZCItrPM;^4S0tqg)?CtW!rQk0u}h_Jh7)vm~-oOx1p47D`7{maBS z*QcuIy~z*cLh)=cq8~znn^F7CU{JrEW&DS76Tv;>ANS;W^297XW zsXsi#ECCMKWoc9Yq?BgR)v;E>6k732%TeVD)ys>tebZqi-1C0wW4mk1!ytMHYI38x zCNi9^rl-$n#5i9Bv*XiQ2BtJ2Dy^SgY5Sj01M9~hqTAnh{!)PoWWMk3^B90xA>~}wosFqAzw-uWsZwi3`--FDwV$L9%vy3;=a^2({v)lNl2(F2 z4t3zN4(Kb!1{LV4_ykgGB*1h6m`KsvMJERo&24#7Yw9&MYuD{;soVSZe_A`4sf?X* z@&QUy9rkm3{6z%0hv7HAEhgQkR~NUbHH%ntr)hR~Pi*Gw!MWF*J=p)qw?taCR-6_6 z@Soiaic3W7-H-6CB(*#I8jdhQCV^tTw3L_okv$fZmw~Pz}=v zfh$~(1*23_FPZSI4i;kJK2bBQpmyN6t7VDC#+V8gX~^NDgFYen9*j~!Eny=MO_jtk{faGSefPB&MiE&D(TCn}lff2U6M_Ypw% zeZ_0dC~w%cxh7BISNm*?RI6* zD`01llZ2-nbo*m#1i}!f@3p~2)-#Ef2Z&|;B+2Cmh@(atzwb!I!D?h^@59kRBoahj z5H9c-8vNP_s=^yWJxLDij`qKklvT4B#IVH0PhZM1e&9A3cu?>WBce6R?LyZptQEU| z@oRK@*W%L;zwM|<1;^e3 z*S64GzQ9)OCHu}Ld#Up|hx57e>nN`wgt@s0I8jPGbCpq; zqvN%!VielxLHu9>*zc$CX2|_jC>}`Q_~Cxz3MPLjNCoz_buy#@#Ax#(^pGJ*OA7Kz z92^T}3Rh)zJ6`e@-qmzlfuFX$f^!b;zha$gI|VI-SJQW1`ZmB!Gk>^{1P`cta1V?O zawP?DK&FAm6&@W%!8mK_JD_gB&^CH!(oxt)>l+S@2!)+Ir!P`NsbJ|hwyUWpWx36#(rl}Jg!Xsk*o2UKvW9UA;|V7XlV zQB>BZHg&avE4NQnyl{*i0+*%y3BrXh zb;6gV{-w8ojkVX&(OAB}TfYB{tx1@z2@8u7=Wp50`{GM~pCG{Ijs8~#U#iyZo+LBv z)pL^3%YY5^UGz$UXPNrH1Awqb$kMaUrDsz0{SNj0c)MKWyIg^dkdXgf{Lb`LUeCgW z#{d;sm)n3zQIf+fS+zOw;*Z9MyHi!*$`!vf?ctIV8#j)d`@DLReRH=Fvk+DQ2j(=Ef{DHoF%47AXnEnURee0hq3WvOjZ+TG7F zdq89=M|^{wk!0($T!FgR@D#}@40XW5uDiwK(c30x?%Li##PZKb_IKR10{kWsU~Fg* zK?BB|{vWd}`)tljTo`LCsV{@(C#k~OrCagp`*f=De3;)Y)(AW@#3ISgf5nL6wL|oY zy%vVVu4kdn`o(@8|xhKS6! z%(J>S)R$=4yvpGdJj^&qYk7uWEK8L--A zW317mZLU9M`GyQ#qdTWMVkvJj7O~g9rw|lX(RDp$WG$eh@nDA$=D_N`{p*CrUjT*0Ta3P%V1%!h>DtC+v2uuIMv9B^BR%<@J zuHK5r>-{qHIv@+yoACD*k2!^B$p2-}@XL$G9WA@#Py?k%3G0n>BkZ&5@cXh!Cbo_`{g!hcVf0 z34hD3{w*$;5?hOb0;onmR0T7b_DzZ0S)wjML*@b^k^{%(5R%qRCz?$nD|4v@X=IHrzwoxS?duWm#~ zWHyquRr~kW-Sule?vR6PefP|5#iga5Z|G@!z_UDy<8QnEqM^@e9^c@Y6n!7BpUYqU z!;(i*r0!W^`AR1LI9u@>yW;3mUjn;ypQK9B6IwGbl?=@Vui3B7k`Y*9JUm)-qOvHx zKRI0%fKNR!(7CI^U+U7R0mWIEJqn;PrttMxRN!YKOOgP1M4c-&N@WPku1mKAk-R-# zE#QKuKnme{QKXLhMe^x^hqQi)L6ev7h8h`Cz~{ux%^R!t!{Sp* zxInh)9LU$yUeg90;+oOxaOi4^otcw2sT-a&E4H`VzB{qf@5a<1P(1<92$?J7ug@bM zd!9!MDdHD$c_^{@gWT>VBOYGy<1n{uC=g)fk6qNj8LYOR3=3vo7A5U2UvpOlw|G2) zz5+=kvnPs!5V^$0PFB>K>R1&DvMvcap_2tcZ_$rTB@Re$_BYNf*douLLV?YTO+U=y|yzLafxfo01)>ud{IQ;V>j^Cp2=+BEJev8=QEDnclLmm5AqP4D6n!T}! zY&DGqz4j0vY*da9uf5k|IM=67W8MiE68agOc=w)_=xO$q0L3cZtj9is$fp?Ue>bsIi z+j4XdMZGdK&`PCTz?zehi|(aZ!e(I;0}h8JSfPVt+swR+y#=x3O1+8onuJgua3unz z4%nG8!p8FlnuBXh!Q~rx^f5Iop2t42y||nRezq;Cd$m{AO!g~vd9hdgR>}7qccZ5+ z%PD_+L2U3e7=l{x?J)s6b}c~!q1Oy5EwenSIYifaET$-z(j>CY$Z&u11Mwi@MNX55 z%!?p1NIZLmOn`o0vU))pk3pN}*za~)I4qtkX5%HWy?pDE@C5=rUGTWI8$P`OyMiBx zlw$g)8WujbxHCoqbFuDkVS0kG-`~O#{nw>q&tHH6c98n(yM;d(2(vH9FCS=wH5b1(&4qn6+8zODM9L+%{a&AJ|BX%;kq#_$0Uo11WDT z2&ZNOT{PhtgYXRHHx`elw1ZqULtHd{ut)z2oc?N%-z(WobLzYO{M6jJF-hIr(DU0@ z8!wL8vAHYM9*Yb0EM!E37cu>(dmZ`&lGk>@4;uNFP(dRfr!yP|eFO;rcW@+Xc2XBD zeZ+`2wo%jwQwg=y56S7!w{R&r}8t-2}Ae(zhxV2bb(^kLpgka7cdoC7P|J0iCR`Ha|WJ$>IBEH%;Es z=+)}}!l~W~dDolTIW8JzGrFW_>r^_a{((ARNuG+^1R|GI%8eh)9cb;(nQj}Bvc0fa zv?|1=&g=F5D= z^i-NHz_vVf_I!^tP0gY$$#WPqX13%@QUz7yPt=5{1-!&GVdAaj!iC!^mUfZOV_clnOny?sDUZ7qAo;+; z^ZKNDb8AC^;c2?NUU(~=WGQuX`OnVOCzovD`v}X6CB}u)KQ|n8ucL;Ig70)Sbc(5x z0O`VLi`d@>D<>_@cChOb+GvU=^xIgM@6|5cYmd(t8_!ZEg7jJ+X9g}Gw7Nyqh~Bk^ z7!TKB@IV!l9y$&>L6mSkWW-mv&Hhx3SU2+1ni!6Zqu#&89|=|>v9MZZDjUT-k*nuw zfrGDhG;~aD6emqDqtOS3PP%x6VVL~DJ91YX4x2i@AIcNx?FP8%AU`Qe_bb=enJ{wY zc$$Cz?N>txl2RP#BhU_uee+JgX6+g8Ryg>60|mPU`XdLE(zD<%3^*f|PcpI+WAZ>t zDQ&v-6R@`3PU~U#9%<)%IPIvJt&4@Ni?;68v|})|b792>gp>OLte{m;QM{XeD=i zM1V?Mm>pyc=?>3@B2!3!Kfh+oae%XXf!o!Z9~yAOZz)gKM@O5QuC2huy2gd>ie9rwSiu#fYR=J}*Bu4Gl$Lq&|vOfN*J@ElBj5K`t;!$sL*Di?KK-)OBQof|2cHEl z=FaF}Z6!Uy;^+$IDpMbw%Zii$N(Gt)y)-0H3tqIUsErt>rn~}OM8de_27L2CXA+BV zb28Y>{z%EO1$RPUcSe7x7=S8DET^`Y%gDpILAP4z8$#EZ2hA@c&?D(u9hDF%1BAcj z(Y#!eEM&C$mj>qHYrCn!(N1W9l#0+$Mmtq2#oCZjtPI75e`QAF6Gy;6H0*E_1I#Hu zgSnxCuAjANJgCCjpuUA>2U?MG;+esCl(^nwv6#AScGTDF64M^vpKu#CN4z_ErWow* z?L2D`*8r=hd3>NoVS>ofzdA}$5RZ%ZUCxj9T^L^mnv#zQ>DL$)hg4(Jv({IyrTZ@0 z%~B6jO|aFpcCB)~fu|i`CQ@Z8A0tt#hIyLjNDufM*(heDvg02yL$7pF74W&a@n#4< zEct;hk#97S5@pEd88B4C7{xXtaJ*iK^w}ZZH-D5jwJU<94 znJU+|>{J4nxpj3*!w0{<>U4PzQNtpQ zo=|!84F~;I8JSJ3`%}Jb177GUmK96S*1njekKs;AySdExkvx~0k738B-M6`yErAl) zlkz7%7y+KeaaM-}!D=d#Q%oAVv>NZ}Azrvirbz9tK805Y{&i=3M&0Elq?19sQ2l0} zRJcokS_61|UJLzM!yeDDC@xJ9IeEgcmU-ZjswvPR+f@og&eVh7? z>vt}7jtBJiq$zZA9E4C^Q@^>5#a+T}2g6~AHzFN=o~LwIHIRxOsix)Y;P5UuU=@PK zXdxg!^lyof#j@}Qwob1Y&7SjkGjzb1)Zc{E|4s0(H^EfN)B?%Wp=RjOX6VMG`=2-R zcmwqK&~rZzF`hiPqV9~FJzXh8if#r`AwfnQm5}Y5`Le8=An#wk!#(+@S6-_4cX0+Y zdl%(bKGfxlA@h3|BR842GkfPF8_li!97^gbHk!3}3&l}7T!;y@S;_!gyJ*0cx>C?r ztapuSduvL2)$zeY0>7G{E}S>FGUzX$Hqre96#O}Ii21SeUlBAH%^`{=o-!Q2J2&&Z zzZysu1VQ9}{Eg}$rj#yTHYeg1rErNo^V~W0e!v=9h1QGlV5Z5>)2>_}$jXDx701q* z*l-VculExpj?{bpNEy}wy&+~TprH;P;r=M5A|qIp8+2tItXk|Y@ob2>!^-3bfg&LKW27*kniT%&2$cw(6U2(Ndcr`_LKovJwc|fPyjx`^nzv#4ok-bQ<1(4o{7I*> z{g1uA&!QR#ak=y&D{0OhZLqA~wXA+dRw7JR5+S=4ExVOH+K_WER@vVE3HU(EK3tlW z?>rXATdfR4O4}h~rQJ5~$`G-54j56ovCKXP|6pA>=rB9bg|C4Cl7^PYwXkbXA6AID z``eOT%SyXN%oSo%Wbh=>Mps|f$kt5G#CJTtXi>mTt%<16o0y`MXnKEbZNu$1H8_sb z*5K$S2^t00gM>OxA>&uh?|&&?)LQ;7I4XD}b^E#^_}aW8_%ePdMF$(uw&FD{n2gQP z!M=}`PC9TI$9{AqDRg^}zV5|#Xiu%_)!^TLo1;u^S|t=hO1&AA)kQZZR4RNIQ$99+bK zv2Oy~#JQzMbooQ@WTB96k}VIY>W`TA-j_EY?K@-O|MbY^#*kwE(MYvzSMupp0ww!# z5SIjcKv_QMpyPS%$O90`>vO*(w?$(&(5V#oNa!hkV*3~yLhEqLuG8dC+zR4Juvy*& zSkDV2KQcGlFzHd8w9T9PK^-9n9e~vIA`Dbb1CT&?PS3A1-U~06+huo!1g+84$Wxfd2vNPuLQMx3WT+WUi8)iC<{>!ib^ik_>bSx9;&&O zUaPs)(%*u1sS*yCH7mo-FP!|)bM#5U;jiy9Uu7IcvaFH*r-X*#W;ecmYbl4zz5Q=f zXMpO4(PL(z)-GrekcWc|*iY0v840oW8^){eW%{nq9E zR+?)L;WZN~7a2ONdz=ZMFmPyd)0`5!qV#Cu9X_iLIjhe1aN?eDfVd*Nt0G&b*wV4s z5|sCbXX<=L*gV~AZZ{JD70`h?HxvJ@%@+;j)UutxA+p_J_+QsYeLR#wd-~7pQdN^eJdR8EgvqQ4@49&J|sEuZ~1^p`gx1<<0pz6J*oR2NmJk2-|Bx@OP*P6Vdt%b;oW<;eWxIgfPAz>Q9m|5Q3ar z(U|O8(R^`v0$CWxk}`ZOUBC)|qQe7iIwE<$0EH|-AkQ5jvTAADWDwo&{K%wNvoTC? zvZnU(E`TH&o$FiZh59pN&wqeRwDrETJu@aC;S_#mOiaQl=(hs_!6gV@^?Ps$QCj;~ z{D+?rFaAepi9-IcijYsT^CjEH@l5Wx1!IO-+P^2pp)~&N_h1CkFA;5^=fwn?34m}c zZt+rD^l9!|3t|HVEs9pC!B>5#qM)4g3^_i%AdTWX>BMx}Y&tpV1(kor`~I0>8Xa0m zj&J59ow#FWPu^GK>YxJ6ISE8l2)x+ZI73#r5aqtQZ4g!k1f3YRH8cR8E<0|wY`<@ULb(rjxwY}*UI*yE+s2vBvJ_S0W@bQnCNRg3# z{N3d;&8}Fqj{4Ji2V78;`bvZF-~*kdu;P?#c$20(%~qZzwCr(ZuP3u7oar}8Zxt8} z!VN$Ji$S;;KKY|&qGoXJz8(3snP_J?+N_yq5S%!+7uayf>}3u+Xx64=A8eI? zTS-1^3PMe)-rd&T-x@FTQ?ecNia92gM(a1-m4SXa!h_LcW-+qGm7E?WZypRv2)JomH$GMU#gjO8wqS9Z!M^ZTrlg=?R z-MdqXKu8K`McszEo{|4?zq_HgM$7pblbq69h(Qm)Pj97txm$cc<{cZj1RYDgzu{4O zyLh;lkD>U6v&~4|ilshq5)Hx^Fg%V@L1a?oZ_ERtnUL==tcjpD(>5>eu_SaggYn?n z3Z{hWrI^9M1dn;z8JGVw&>j1AaZx{sg3hHx}JRUKB496KL`Ik5M@GV z^&W_lkzdF5AAl&OPBSQ)J)i+;0QOvK6uf)*Mhrcq)_q7$Em=-4fC+=1k^yWf?M5iI z5pv*4upt~ZKpV~sdVN3hO1GRAK2Le4qmC}Drx( zW;T`zN5n28Vrlqt1^9A9u?wRAmNmIAzWMhFLI7WG_+McKb&^f*hD)c_H*Y1KSCM|$ z?N;chor^TU$8zD>SAA)yDBj+QoKPKcPuFl*sOW2a^PjcL}( zNElnxs9LhE=Z#8OZvyguhBL9Sm_7&&f<^Q8tk=)2<<&-|B0ACUv0W^8ogUf?I{-~#yLXDrp@lcU* z>xCTOvpBxr{Ek!z212y2U|lNYpA^9={$_xAR?812!;oKtff2xYNsZ$WWspqB6)$A1 z^4u%*7vv6#%=CTn$J)8BZ%S)T%DFD_ttR=cW?-vXNbBRy#qj+)n(GpDfHk=FF(_EF z?^?2#IoEYO*Tw&ro>}K&<$fL8HM{UNI~LH71N3(+hW{kiq;4B+ZI+#5_e3BM`?i|SUSa_H;8SI^pOKm_jSAt!um>-Sq;f8Z@`1L zgU(XkxDya(QV?eb1u=%;1X*-;RF&_uv58<|4F{)iPJ$je#*iS!&W?ogeL6M~K{gQ# zEL9vV)sBv;zna^Bm4*N6<6sSg;_`i)Hv%O|jy0&HT+@2^T8t2%?tyd!6ji=a+4{9_GX*6AWHna495d;5F&md0~CD^iofRGR)>%(Cg1%l?pRIwAa zKWSR<+d1)@ojDoz`H3bDem%G68w7qJGO`KZA{RZ)O=b<8hnehLw^Skq{UVkPrz)8IT4=I;255h9Q)elpH!oI;25Lx{+w+zOL&$uT^sT)S~qU3xIIX zf2V}#`292h#OYz&Q>9{n8B$*-;c zwvH(c!%^ASnVj++OS~y%Uh_U>JlTYM#f_qH{6)8_(I%5-KTeX0#vq*gj-_l>i$y{N z`*lVI+-j_XDZ?oX%?=#jk}hrl-;_zND=c`wvc|}ZK+ZMzJkkF|Nf0mNV~@?+O_nvU z`yAg6o0U%^!Uxm_$g=1_?SInsc`L8gM$cL0ZqOABB_C(^_cW+@lq4*5Tu!0ZqJk@A|jOs{-IHZaG%Nv;OlT!-@9^#8?5yrgVmi;={AcPFf29y!kQdR>#(x*fWsW zQ=U^vBwSr7mm3fF=rEwGzw+e&*xc1M)X3V!ys zBPSYTJ560R6nxAu?GrGJdE6c#pA8nBt&f6bUh6J(57|b@^ogcJ%xjVo~9nSYi;;f z<~-7nX@h}6MEgs)n2&P=8+9oRivLe}=;O(Ek0)siia`bBzK@;3jaf=t$-E!y*v{|A zi_6vCVWiHhV@#Wjs<)Mt8lDwBlb6SNmZpS@$1*!YTAzA|qO2G!ztzU1x-z2y;>A+u zOHgii7NPtRMF=2W^$(+-^XT@Q~&E;VP|gxs~4!^t`qq#4N$`5xmw&zZ?B7t88&)oil)!`|#4W>Jh?=EjSnH zic%W48o%>hg&;V61QIZQCq=-U&XGTmcpVGFr;1@$;Wk1^p2J9;7yP|uNWdah&kV?4 z)Z1S;0y3`w-#&0CCsq+2JWZBzS!QmhpRk)fmue~Jyh)=o^XAkbh0Ir`~^;)33`EG`!0^F&3$*a z@!!Hn$RFX*%O7bM?rZ%CbT$K6r^^uAorA^~wzJ82hy9cbdwbY~zq2=PlEm>FBtOsF zxS6Q5ua`(?Q#{|+h~rD~0;6%S3tAsvex7-wqy!zD6?m6*uEtKw%9`mY1sFyC1qOqD z3UAsN&WZfA*sSrAP24+e7we*$0GXkG2Tia3G7g6FYN@_`{xVM^XUod8r;4+>h2bab zn?~C9A{bA0G0G{50nJ)fo?Ir}nKM@Ph>phssI2ac%pwYp>4EQG-f#%a2tz+ewT>^h z2<{=(L{!Tm?$0X}jN3hzS?F|yQ5LRFMX1$gQ`6RX#E*YqFv$NW4kmm8OSAup0eB8*HAG&Ysi+kB5 z0-HMe=O!owb!~5`#HEB2mWbzBkW+_IEz$R^?##@bb|5~qr7+sA5M+cp00Rc$3Nj?g zz9_X7LJ5(=NT5XU9tg+9|9ER3S&mB6=M-3z8A#o6dvX1Tq4 zscY0d_Kai?r{JZm2ftkyF}o*`Z{J?-5$&JN>A@FpcT14Pwn6_M^^)Mirm**joFx|pCkVy_}nH0OeAbwq0H zaz1b);{q<|Vxv$i39o`FqtgZ_-41dwR;WF&{*bW=a|AS$D6M(JsFB#38aUILTEq=rRjcrO*24!YzSmoF!`vZipfh*0-P3;z}4MAEw zb`BNJ9(awUJDMm1q$pic;J%6x2<1@z=W`*iKG^n6Q&`NmJAFn|3;G|(+h(_ytYOr_ z7+mepFg95j0AjFn22{LjXrg}CaG$EAmUY~itXllR))9{;0lk6E&-${K3)I}K+{4YX z4pX)>VOaREutAqM91yzLCimEBsjmUk-^Z0EF#u%eSlSDLRCj}(Fqf=vJjck=sTlJW zofk>nnwGLl$FjrvXg^E5DQ!PPxpX7IGn(}qG~&Yu<>T~Wbm3$C6u*?{-Ifr`US(=u z6VOdj7_vynFT8)Mjd`?P$1(ZC=yvwh0a=G`3>AEHiU5q~+3 zb}*)OL#Htn;0Bv$FH$cLPLL9-Wi6>H1MUt9;hy2=Gvo}aAJQJXv`F7Uv#Pfq7f;l- z`H?f`olXmYQ&lB=}9n;l@N-oy~nsEvwUwpL&nxi}sdPQg8H38q9qUX}q`Y z{j2Fx34R)CS>dS@m4`QNNjPoU%9GT_lT_NjT-tx%vVz~T;@`zUMp^yU&x!{O`j>HF zP=FOrpjABAPdpN2uXyveu56$-u)C%#ItkXH=C|ixaRI90oBK~Hi=MI{#<(WNnqLuC zTR%TM#5O>Am;nndBCsGB%v;lTFmGQI(k5JPMK`tw50>M+0r{; zL2Ukf6EOO)Z8)g0H3R-y4SQ*~jSTS1fwsg{d4)S{aKw-uG(@ssBTP8Z6ta5TO3$0f zeKxLeimtxcO_9LzKc~G2Ce?zB)#Af~+649QTd~Lo%HsExYdhoJ82~8A4k04$DY)sn*h?pMfL+nO>bSWx|OPlB#A)n6MdWDnG^c1pM^*ole`Q z;!f&qu4l){-?D7>Ch4m8u_E!jjZY#8S?VO3&$bcbY_mX`^E6a%)7Ty}g_B=3@*$>o zUt_f?-75N)?RxF2%~izv>O<^m+7(s5Nl7%d=N+~HB8dTkl`tma0s zs*gg#R|DN#tQ5|oBu81I?s+IFmemgdCfpO$MojwoOr;a z;0YmhuIW8se0Dw2(MusA5TT4wLhB_Gpg}J(L|$U*l|ESi;M(V=2CONqJGEUUenwp- zSW_v3&uciZ&Fsl+TFzUrdtt=e-5qKxKzi;i_3C*g^j_?g^mxpjU9Ys~*dB?+N#vA* zal(FnotT+}4qOkkFzU#p(tzwP>H8 z*4_BZ;0LZb%2{yHe(2qcM-N9OYpm!UdY-ItiPj8o&xL_+bwu`#UX)JE-kYdW!aihZ zi>u_O-(k(-$+zZsy-K;2EKGe_EwEaq*dIFgCSTjZ38>bm%8$nZ+%+Tdrkg`HTvOeg zxK?^SR`h;z2k!{om3sDQvS69PlW9zq1_7koNaY=apTnNK*IWt(4c@qO+&HbZ;o3Yn zeqZ(mb0r^7F|eS$AyL5Cd;@_ccAg+>A)>3lGy~-xO0^&l@fb&sB4fIcAHs zxwwg(ii!i>bPhe#E!F-*lNUhIJ+%r!>ZOUZ2Ansj+CR zO_(vI-=VIp4(=xZ($i-&T**g41nV)uPVYLf2-bP|WzQbW>RQg($GmFz^l?_Va#mN( z=8?9|qkLY9B3_E|q|k|^(Di!jh+)Y51}=d+&lyEEjd~fHIMD zA&nD@v(_zo*M9KsePlvLNrTd>N2oauPnP3tNXs{RO24g7rF*k4bmCoGo-$%tAdsgv z(-F5$;~#F|QIi&>-2rKQ@ZH3pgkF(6h~HhuqmCEdz!QvD*ucX9uQF2}KRilmvowC}03OtO9&(H}Lv+9sZ&nlz&Ww?& z`K*+c$jyg`G5LG1t^jXtvQ-K9o3GH&rajk>fY+USl;Z6@0QPPOmV8Efx70vUG5qA| z;4w~*F771>V$eXqAZJ6wg`FpRnZ-Fhl3b@@op+6QFL&fCz<$a&#earWSO7F7`cb^@f6by7dyPTAYZ_?-%7H&&$Z0C4nQWZhcRTKbC zy7Tnu(gsqRTYn`H=nlyvMdILjdP$3L-h00k849@ksp?{PyOk$YIHuIhceN_wTy08o z)%s+v9vB#tg=g3SVm`XKTMb#NNK7bOCQ=*NbE-(&QqwAWn#RoQ$ah6g zQpLdiFnq~5oD4Cw;I$1NNc<5OCthV>OQ{vx=2|YEcQQQ{x9ChtKP8^-k=-x{uG{>a zl{pjjZwM@BY?M!nG}}+N2Cixy!IkSeb!N+3@aYOm4r=dev#*A=byr$}qo2K_2mlxN ziOhi;@~?`|Pu_u}@F&O+mE?!tp%Az5iaux1QoZ6aQhU}l$_LW#$9Tr^D_J>-zSs&lF#EpIN!OW&(R3X3UfJ)U5iJu;C!}!Yt8Z=$Q+q4% z%%gjxZOU)Wy(}evv@2CmFV>#6L${0~IH3biDqnHvat{I4IC9)M{Q4OH2%MO&y?-RP z%K3n1a)&$aD0MA-&b=^*T(&@`23XMsQxkGeS*+h9-H>U&Zx9@825uOFj?n0nl|Q%t}t-E*ni zbAC)Il1j3obI6UhcnOras)38mKb7O--qR>DB%_XzL8%dz&bP^ehd?p9$_<)IG_)X6 z<$R1TT{ooISL!owBMdmgrx*~b=1&)Xi#R>$HDvM6>;Q=8>`rd|Is<~1@zyD^^`L@V zIz1pzP?KX}*_;Z&ZkAK%0NYbzvb&St3@o4*o)?qcjio+ddKT-q;qy$zBr5WZAgO@% z+VUO*Uh|8! zp!nM|S!$nvDb^uf9)Y(R^Fy$FnG8~?8Vm$>n1=457rnoS!?hqM2Xy42t8Q`=V#w-SiuGSX>88x6V93|yf?^Z2g^@L!jU z<`+hozm#@-DP8s8XM!-|8(Y0-*oO!0hDrKE_^_#%5i%o=7*V#kP5e(tn%8>O4}Na3 zs_8&olLZqD9|d7;*mr@@I|AH$?X={cC;?#gW!nzEZqC z0hCl?+fo{T;{+$=?ohIJ0_FT43dSTg3+1Y zQI?0zFtq1=+aCt?_jU*@lUD}}33>HT5}l2Qg`$HRKDg1nT_^^5Y~yG(eLR#ERhtUR zN*39^39DWkUuPP5fIhA@6`mdv`Bq3FH_X(PDDIup-=6AzBORn>n9Z<*Wb%jN; z!VD4$e-siqe<1=l+R)fBM&HP>0ew!OzWa3;)~(bi`!+Sr%fTye9>xgF$(aEp)R_CH z+tZ>yS(R4^K7L04^N4_l)|qRkG`?ii)g99xhUOo5jNro;afci-S*!#eI2a(a46)3P z;H_IQGl)h{aoa1P`jXMG2e6CokAgQ5k-=*rW*JCiE6)k3$O$QAwG4+Pn}85swMMqL zp<=AmR}RKxn$A~xEkaz&B?V2^e7_QU&aKhgI&G1IV-qxel*cb>+am_M9TN-Lxh?~C zsDKr3?=>Cd1eoAbyrq!1$yyLstmjPzS{r6sLsmtDO-~JrrrokALrjp;i_i&sI4j8j{@Dzx2an>q+D+%=RCnIu zhH&Hg?7R56VOzyCZ`YX55vl6=+bJFaTRL4S{Zp2^`%eY7EIZQ;Jhsu1rw2N99b5Ya za!s9Uxd)pyz|fSE`eM#Av?FJ1O=JHrfxh_M(~1ryw(mBKIuLEk>A@=Kej~e0Br(*# zx`nyM0?CIhfVj$ad=3riWx3VCRsc#7wY^9v)WWUiii{LAXN z6Ai@EyDC+n0GX}c1^Az9Htd?r1QX9pT27KKmgUF`L$NoET0U!*ZfTZ2A}`c&CPl85 zztWqLkJ0Y_A+6=Sm*L$pqdVoqdpD>y2?TvQM;?Y^*O;tsYXtzB$Ep$KX9VSE&43@8 z0rYWjzBqW02{g1Osc{pab%-6F+8&-poQLHynV=jb7mxH)!){-agL{d9A<+tw z8SD2dU9}9fwBxyZbZOEJLdZk9a;BjfaV#Ctu2>kFTc8EN7=6X8IHGU2Sp`Vvi$1WiIwbVl`YjF1DfUDwVNQ*7j;omf#!d5qCxw$3_ zHywZamvFw9@E~H^y!b>v^g-}w;R)LvBR7n=6&)%u^Nug2`%^f}8@<250C{8eUy za@LQK5p(bXIcoyvKjk&$>9=X|XoI;GCi0{>*#WtSIP9Ko`gTl7Ph@$HMX*fw?M}LF zv?`lCY=&PJi~6G85wtkSchZ?<@beR}IR0Fd+eGe}ii7r#H9kZ{&CpI3aPM#{Y||=k zQi`$mT{8qYU`ve7(xdkY&CoE6Wj+u-eEsJrUqxnK9N*U9_jF6sz%o{*3e|pe@|X16 z(VS3YGDEcC z^6}8O1eO6|GYF^LtJ_fDhh$XBSJN%I`W1@E=X%us! zuSYnF0|hSv`5*XgKG6UXmby=B-7e&uRDx5+2Fs$4^yAKS+=Elp%8=u5X7wo<$0->y zCl&t`wKj!(kV>Q1OQYG)Spw)Re8D_I!MxUg6C?kTzcIa${b)mPQ-UZi{}60b`^CkN z|DlIDJdwV$nPC_L3phVH|F~4ncOV?9s$b5h{!qd3p#oXxD0S#)`@)m1g(qcv{bhXe z4;4l?ST~SF4Gh{PK@$%oH^`(m$c9}zhFv8p_1{$L6BlVv7ioz9NAXIZLgYq3 zIBdqGMlS6I zg?2JbY@LiDJ>vnVL+2qt?mQNubE_NafVFz5Yjo9oT+mVbZ~5)68kPl}+q>)`AT9o> zEb25TewGZ+LPG1z0=|i1nbw}IY8aQ79@~>2Hr$B1j58=N)pB_2^w5%=Ac=+`siW1j ztn{nq6k2oYAvupAIZvp6f0%#25xfEculUd6l&^0rF;S-`cV0O`eY;gUr6~}GuL1k2 zwDQRz%KN-Gg293Vjcm|IcTsBNN<77DBWL|31E`W)$HW1Q$}#rFL9bJ1Z_B`NXvu*F z#Nn;$c}eqWx^v~o(jEDw)2wXwYuT=rrTZI8cj7w+)OQTpJ1M$4Dav*`g3q6a#@~=Q zH?lN9ciNm~(?}cN7+FD!xDFD=#G^$>XMwA#OxXdHAe4&d}{G$O`4WN$#G4)<(m)VM^dE9B<*H3AQ zOP=OVTe+s7mU5b>wUs*p+yv|k-+>#fOUo44%p3Ur5TR>}OW)%HtWldZdQ%^__`EBN#lj(QFRupMfF>Y90xFaYpSMybXJQLgE{2#F*J;_<`g7D#v>k$bEd@ z;D8qKz!q^h$1t2j8T!Hj`rp*-6j&vF(eMzulzVvvY~(l^zBk6Vk;)Z=%WJ8Q3LNWo+o5B~1!*p2PoeL%GV+G>06;~@lo<*b=X zrP03WHUCg`i4sI-shmT$9~Ddg(l^`=oR-$83a_{6NJdtDH}AZ|gX-62*!xkPxLZw# z*lUzqW9XP_Z2H2^=`_RT%2xb>w;k{F8`(?F8E$6q&rrApbf?)hxMi3|qZ+L{Bfz@4 z{!sH}JE__E^uF0meduCBKLihJSHPE)6qke)SK$Sn^q=(py@;(5#&aW#mS8+AkeKfi zA@AKMN`5aA@!xy?ba-(I4&>pGe{eRMr=QMk@nM#Q{K zzjUr+yMScAzQC-Zn4$^k8#*y(E+(B{9_049O%FmE1R2Tu0j4|%OAxz{+3hHXp%)FYr3 zS}jdxhv%DLqV_Tk_6o-5vhaJVh0NfCG!`6+4A1z81D}VFzJ&!u-U+ED!lC7fZ8L*p zCs#zUn9-kcT!Rm}xf@<1f?|7q^x}Xj(>k}#OTOn7e9lRH48v)qi}O(wxMtUdSOv`J zS_OxJl@G~-!-X79HIjRwxcl$ZI_MycscBPmXt{~~8XGy9{pzt@*!>gtM|(8)zZt-h z9}Y@&b7_0TH{b~@z_w&xdc)}bYH+jt^FYpFe=GgpB7VV-EbaSTSx5ofi4|nkA73~C z`m6~E_N=UQ|1%&7EucOQge_|=)Yfdcu?$9)_Ct4SJTE_`EefB~7?jUFM?2f1orz9q zs84CyJ#Tb*-YA=EDf?TD{BQD>Z`)muh))|zXBg!4ZjDnP20W0{3n!zo<00LbVWb{^ zP)@tk7X+*1+1Dk81HHkSyYNxbiyHHd73=YdBkS=Cut(tW;N@YFkv>~qYXwK{Nrpu_ z%DeoBMLG!QtOae6CgzFl&!b}#CKQ{BK?=Q^;OVtHqJ=Go(T}P|_BcS8B;i|P z;7>K!1{U+&6*!2=?RCTGS_`poGBFvrnF5?!8yC#Wi?{%KuU6m2w`vD+XNQ`xTu&|z z4$;pjST{ykOl~-;r&#KCOv=4gPeJ=fjy)v?%Bys?G;Z5$bejUOMvjf}AffO3K)f&b z^p~82*QJ}r&xjnR@O*iX*Bk2tab=pBL^?~k@gEQe2nWvJrsKm1#Imu))-X%a&|2v) z-rIdBbGSOpHQ{(r*LD05P|O3e>YdjFvo)k;t>UuAa_*VHldg(v9yt|FU<^>HmFVO# z(G-^G@oH%57Ez5V=Rii0fZS49rl-{_OD!-9!hJ1UD;?R7M ze#tVoe3D4s26kf(D|Bk%Akkpdfe?MH$wi+>&$q?P00z~T7)&z1vi&}2aoXGzhL|rsSJ@CkxQYziL-$6Q8GvUbfN?FO z2i(iGJbDpZEV={ih{sx^ck}GD=L+l+8=Fqu#|ev+to9ggseOtD2Ir_7`4~M@LiW$T zV9LO%^*MucBs%Hlyv_YNacpvxij;ua;TJwQDXhe+?6s$Ywn&vIh*X&p@_~=!0in-g z$5e5W!L35%(%@9_sCgFdw4?U%9m;8S)d%%@uVCo&0r5((Q?u)+H^-|t%}In_B7-*? z)FI!}H%7|!uy@NMdx&|Alk7sb?QGCYd~MEh+z11~PZFAv4$FWejSLa4^j z?d0ViKGmkDSXTY_tDCcLfv`{6Z*$I?lS~29sUF$-1Z`-Ze+&F10MfOLl9gE*qW<94pO$EbmdVJ5OO|EF*t>*n}kfIbU$h-W%69Z z9&O`0P`$M{=6tu)Wz8g+?eU_T3uSIkFW>lIg}Q!c-Y-rC$A2r3|K8l3CTOg0pD9>s z8amQ@{hO|eh*_&iuKelYjA6~nC@b+@BJ^mNK8VuNuo}HCr{7OhV#@b_m*)uT%TtsD zWRWWd^7^GdM=DdXi$`c>B3q^|Xrh#L!=V z{K=o&KvLosiFA;TeB?K?iw$yX!f~e`-xDT!8yr-2exKBYmC~|dQ~X!~;D%03*8qFY zHW&Io+ zh%ZJ-@Y0xmave(_oyxC9z14{7_Z`(tU6!!W$QTY(3VStDkFs-syzXoJ4-S+V6i24$ zY{_zt6EdI^@O+jtYu5;(-|}JC2<<8VV8|`dtJxwDgyZ+t6B=6Pa+;pR)DLdB3|L)y zei)A|JwFhNLczzT;MjCk4DEz%UgR2nn(upN_Q+_Qpts!5V~=TLgxMkbhxk41-gJPvvf{Y%FD;%-5^k{h572_jvrqo{ER9e*UfHzgyNUVf6G+O-)K@Sm>Is!r@;EkMeFa zAI3v1Zg*1AbW-`3Nd}fl!V8Dth00J1hySCv5=FLMtp(vP-*aL?wIkIP6FNg-#?Z%E zZR#OOV~QQ}>q$4RA7?kq#Qu{UF__gsBE-n2#!_RpRAH)BN%8e!^Y&c_ZGPO31J6Zb zeZ5j#^i&JE3S5&GHeOwGGvSct&sXF1=lIy7l0x;6#SzClUv0E0mrP}$I8~s^fi0_D&tP+GDbE%c^8rbics}*0k_A8= z7J7bgH#o7NTwcu1jJ_|$+|MuY+RkAl`G4NvOB!(HrHxClTUDxhgBfRDu8>r*oK$!`3L%*BO?|AoH6#hN##I++alu}V zzulPC_bavWN^7(SyQTk&*4Xt#Y&#HLY(O>hLXsERv%1Xt&f4S4swwQV7Rs7DGl?cM zspIdK(|-w&rd?OUuYX1bj16#!mQCx#50q-)U}h%e`LM%(>!=aLbJUi{u?mPo+r`UC zX?jR#dK4U3En2HjuHr95u&nE;C0lr1QgEJX9EI`|2 z+kdvt;!Y?zZAF-L$JLuZzLiT`QTiat2u;8y1%i7$cl>#V@HD+waQm4_>_XDZye8w= z51QF5FIhv>L&DTUjQ(y3{3AdD3F1n)`e#%RzZjrEje3MS42VE=nSz#lHInAsg6<;? zT;ZP>S*LGCms>+4WOFwnm9Swr3bfz`q@d4W7Q$isiG+;}7>YlBgkt6eg@gb-%UZ%8 zcV9@msth>9_{PTH>0qZQZkGaA?lfyI2$Iu9>gqXDg7l#j>W%s(#D!CA#1I(DL3bIP z%-ia9#%za$hxtpB=S~HS2hD2JktnR?fU^0zm`}G^GKNO zUOI?RRm|Y=jKR<{nlwd$Bqc`+j<$ZcOhLQ~E4tk|zwvq;c6e}UewxU%_U31|MnavX z2^JC7;}*p9ezEn)^Xkmg!%wM(FZR$qSl3&Hg&Onw*1qe+JG-aY_Whb17h>#a5sON0I z6j+=5o*V6ioj(WIX@nVjCkGj2ff83hUUxwpHv{s$_&e@0$~HeV`8{K?u7(W}de+WH zI!9`-1L8;~eA#N?yM{$ox^}^_c~SixsLr_d-6Pk$34t>8q62T~s)^v1`orz~sxUYC zY&ZGyv4)&Rmy$1!XDsr+|DDWjOY-dgNi7XIJqSE%|iDqAq|M zC+k7s{(iHb=b7w!qM#3E@b7rTC*sYD>M+|x*p7=jo17$6=2-lfz>A;fy{knC$U^k1 zTYzfgv9QJKp?1Kox_=%&FF37+Y1pk{=aLpyUd$D5@bkS11+>L|Dh;1Y?N{`e;<3yu zn&Ny~&N>RoW*zlcqNUoDhDE_Nh1_|6Rb`Mgg=E(Ghy&>m7=)Ht5f7fewjb?VrGgkg$?MEN z=FV&8hJVD*yQj(yPjC%0SrpryWg zrxVd*9c)gUItI>jHH}-}YG}=#O(6%0JvQDjSz~Qr0$0K3cHw5*!xI;(D&e%msoNj| zU;JBj-*aq85NwR6Q;;h_@W!(iE*ur|9UIaMtJbFeT^oYmHF%fRUdW`Kf31SvFr-d% zll*U9sVdTd(;kUoOvzJObL$Urjt1u=ZNoMRc8(>pr!2IzLU=y6ZfEqr^2Mv>%w3qfv zqhBthc}k?+L=wB+{8G_?@VPL#oIZM^qMyxrsJ}@D9f_-!HV?R>4AtF|vBFhaH;n z>yb~Nd}wQ&&x0QlZm8I++k`FwRD^ZGQW^Jx*qx&<+sHER(;Id=#NkD;AC=xrAuO(- zCfW2z6{ZGbAS&H% zZt?5IMVeh0OR$Vy=4dhgim5H6>HiAXTmSZq&n&P7wWTFh64UtXQf{t%La;`taOL={ zWm3#-@bc_gl1G}7YWSHD*VWHet zd+)p5Wkqugg>vI7=Lg=&JloZT8#-LV4a`s60)?|xzA%6bY(C9B%Kf1&RmFwtnOmS^ zUYSoR387ftldZ3)`B#Hq6Yp%c+AhbxJdUSzuIpWLW$YTsXtQnaaq-e+MWos0s7a+}A;H8WW$MD7m^$*3h&rZ*?; zr>HhZFvFZImQ&$++D_17k*mB>j|F@pff@_vX0VO0so@s*36|DYvea#X8q#P4Ac!OH z+H4O$nsnMS*&4h{aksS6Md~i!vAy>ez$e-6o}RF`0`Br^5i?{qrHi#GH4?^GK`3Mf z-PjmUMO55Ilpca*7G!RnhMRAgsBv(jF-b%C??;b&$`rFiN9YwAFKfc&ipC$#i z?Xcsp24>{Ik>Y_rioL%IT5dMF-JIWk{B;zOHF{!0{UH|fXh*a6G=-nG>3E8G37&lD zSbc?_O!(}){C%A#p;ct{j2`V-&E@j+&HX`U1wQ08@2aP}=f;qIr-eH`Q1tZ}J1Cl& zdKg~H3pUsFKKB@@6NRpBL1tq~XURsc$<1g3WZ4`Nt8=Hwb!%m&L7gJNW*o21A10Km zAeX9q6EY|iVFztg=lIaJQ{;2?35DFB5g$hhpX-h6aaF!y5;j1df5}=Ii%zw7+pt({ zIzQc>%N3eT^*ckA*MFwp?y~>W}HBpXxckm)3n69Vc;I%}idnC8nPE0K(!rWcaasANZEbIrLPOP_`)@ZV! zrx?NuK`6((S^6{Yhqsd3l<+k|VaXJ-Rb~1X`lK0;Cj5%5m-kVGVyrJ-rdc#)-6xSL zBKFmD?|JmJYqqJbt)$6K`%KdSeREJV9!XUZK!YxgHBxk&g0o;-Y;jN%JC%c3I%Sqx zR)sNTLQAYGL-10i>K9(_84KZ#=6mO-FLF=LrAm5Nj)#Kfo~3n5pKfzYD4EllDd&;O z;_io&9Jgn^>3peuhUpDTLw)8$@}~Tp`JhQ=!Bh&wgM@Q$5i^I*&tu7$4w7cbLb>eG zewxVGtyIN_p3P8c?uVMqQ^MLhGM5|Mv7VQ|@5tWZz)@7BGA%4IzH^A95mh>_l_F&f zE~jDvpN)9q)&lm~KFWJ6IQuTtcUa*eV+*t|xv~{n*c!aV4sAOJF`B)dUWs>3c(#6G z^f_ItyB8Q5p(P<|dY2__h?W%T;wkG=;?+8LaWc7$_tjD9o9x)#fQfvPYdWmkw?51~ zV}OrbCVYPVR$6}a>5ElNZ_Jc3{lVp_w?d+(9e4IP{SMao-4@Dl*GP2ME^*dwBT~ds z++b7KsVu6^JZ&jyuy>O*AWafSIst~F6n^iNw!ehmGTRaH@N7V1mIrg`|dw%T6(}IZ#k~&N~hBD+KA7s zX#NSXcW8q;htUC9GT3`vzshH{-HfcsrnQ@i6KmJu}( za+#%+=BW?hJ~;J3T!zD3hz@VT{2 z##GH+BriDGJEnx-c_VgU(a@V;?0jI4Zu7_eu|A(=J=9B61sDnh#`i`V5~n$<^LR593V~4*BR3Rb)ux2p6;!{uwwbd-wET)fw63nhdw&Fdxw+;pic)7h@An!dBdexN zr>*!HK7!9aKVA`9j4|HJjFvkbxOIvrh;hy5TgP%W>W4xL&nMtSz3&9jRYvAF5Ejd7 zm`#xs2cFslhcRDL%MBG1(5ywj!Wk9bnRi`FKK_oR`Q~v=1I|$U0rey3BS1eIc&Ac^ z9*w6d$hu4(9f`dur1kog%+JMrUGJ%eHh#~3lcIsVG5@6L=j#IJLe(RbLvNO0?}v}= zv!yfdS)HmyRW~GLovUO>N8hvhD-lFh%12ec8!36r_&ef5luURN7x|0N7y9a$Z|`-! zLubOrrmKwWHygoAn{(F5fO8h<#7=2b_ZYn5P&G60s2V-F&gm_=&ZO60-g1Hd zzIYVxV$`lF7!Il{=eFIR&_oV)%5as%)Ysaav{LoD-}&stO^Ka7J;h(LQI*_7uw?Te ze~i7D{&QCF&Vv51wKFLOe6W*Lz+vV0=R84g-=x) z%vE$ToHv>wzh()$xV>18B>TBE~vaTEI`A)px(C>38az*Zo=z^d^U{7sH%hc+v| zY@}DLSMPpjHA*C71pmy07xEUDJ+P*)AHMe!KKqj^|8c4e+OaQzI=`BPP5eS9z-T~W zcvcq9^NqfuKj=2tgBbylinuPS>h^XDz9)<$AG#zw(;xOU5aSrM#;D|7VrfyHfxu)1 z#wMHo66V4Y;^H%2E@GF#XM&|IR?2DyOra&!6$! zJkx8w&01Q1i*m-jE%Q2KmdldpvTOgbD+#|-BZ(=pp9tzXTS4lA?xYf&9`^)vWb}H> z&^M-&*fRi7W~H&6RA8M{{L}G_=z6MRaVldWdwKACdB_3`Faivn3Nq~qp_Tt58+Jcy zvU0hH&bM;%0N8!&n}Q=kWA7c?YV3B*_|H$a z6k0Ax2=6lt_|L1qYx41)SEsrCgK8_Z>?yR6rMZEb|0VTtlKY9l0tc+5-{^!BMx|oM zUSJVpFF)>>5&5}45BEo2%zU-9i|p5|_0^pm42znsZ=PG|Fb@|lIwV*DG2)#^ibdXU zNf3Vz{D~W}m)Tlm6`~tuv+x!?ZVjs z!zr2uUA#u!xYdu!zdtJbHcLb|OQ0MONE{IGHRzf){!PyH{T)k?!6gWiGuo``leVf# zmy?SElC^fX-U5jZkk72CJUqv{Z~1O&6q~ljP+2L>Y>monjf0lj-AQXazSX1x@jAB9~$0rG!x#^e+Vdz~Al%RfvC`aX)<9q+@_|WmEe4p%V zp^ykgp9n?cbqB-sn%OI|*(+w?wGh&4p|FTipTp;G$$02fKnbymH1jyo$&gy0(sKmU z`fv`${RgJC{ZDHj^4V_8f1}1ouPu=3+A*z-|F;x={>9@@M`Ii3;=u@b1EV^J^j9;D zHGt?YOVIgB%7;^*Y28!i`DKByd0C5bT8nXlG>OzS2?pM?V>H1(A*)xSo)XBPrFYC|$VUq^hvrop!9NMrEeF6y`>_E|NY` z{m%tJ^}Pfr9xC&Muk9%hf;09i%axON%)@o98vS%yob9F z#$U4RyDE;ahsv_lRc=^h_ZRl$~eum~(?bVS8O99})-Z<>MIAPAUh zWYP`1Lmnrk-VtfT}0*2?7`Onw{j@QB>Fx=r_vydt?%6E z(y_zgWVKPC!kkcCwrU*@=gAHe1HaiR13#`d_z8d+vkAQP>g%T??#*33Q;)Cd+iG$d z`QPYy9y0oKTr?HdLg0}Sqxm{BMzb!S$V>gb_wmI~RW3^nOx;?x0s9Zwpze7)>0S41 zagXS!(`@ms@>tmhd)&_*O7LFQ-t={wpGQ_-?@*eZP6@kEve#MZKN)Yb5Xv3^A8LI^ z9+%DVvL=e}PwtLi|1d+B>~5j}$77K`x={m;ntLGoJ1}05_4^c|XrxhacWA@?oqDd4#N$t)EnJrh)cM16 znoN;cT?;f1Sa}avmLn9d9pc{A>0TA?<=Rw#x&10_b(EABt zdsCihaZUGA5K>W5Ks)6#YD{44?d~CI~;op+r`}9`gR#b#@owYa`eGJ{ew-mokAH`|fRhXZAp8ss%|a{P&Jm z)A=_o6-wG(y&4!A;10DZ2T;AK>u7|Ae`v9XcE{`Tgn(=h0$r>;As}_t4bbAJK;xM; z3EdYaJM>2!R|v8=fbPnTtjlAq&(=HUAihk{IgQb*#OKkgB-0ikhyM0=?=%07y{e99 zRF$ZUAOccLS>GAx)Of2^n7&Dk?F#KpU_-F@(tp->bV^-)$NxPB91d0iRqk9r*&ysD zAHzh6-U!w|!5=Tq>gCR;Xx%)%gisuEjIYzsx*^Z-!GaW5@E_DagaWLC!4(8E|B?d# zUXAfB*Dj&q!12xV9&H@N@U<($ya$u)mFks0G`CCLUiIx>JXI?!l`Hal&S-nixVKBN z|AI~Fb1&5G6+2mJ6EynCtmt@ZBg&RjUYBI8csd*7&b*sQhtx_FN;FggcxrZ8r47WX z>k1{R>n;x#-}kLN-ef-B?{~b3%!9QtDqj!!DMIYm6*wV+va8a` z4yrtxgz&6Dcq7@nB&^-ash|0OOESvtAa)pP{k)54BxAk{E075W14KOH3;N;zMLcGI z&6Y=}GVDg>M*(^r(J#cDN%5}R^?t|b`N}#)%Q~U3&PlM&(KMJ6Hku}`#ynN5hDCLK zf-*{ofoEjh^Iww@VX+DF`N8~|gBJatO$nPSaS;>us$(v(UZ6<9nxacm*W(!RI($-q z@n!l{eERjOlbgAAP^iKyv))3wx-Z;XAPCsKd81-$C=fLo6?Yla>fjZUmlTDECKZYz zUFH41$;RPC#X(*My7;GTuygvHS~)J_CzI09LbE+1Qt4(9q%)_F1}Bj zHdbO{;-8jmp*mx$O``@g1OQjVfo4jGu5zbg_lW~qiWrU9V1bN(0*|c!*F-CS0ubSt z_tr9+B%7x2Z>|W(TZ!gbHX;nNIxLnI)_*UEp`{$lj_FHSY*eyx&zNx=!N3d2syeFn zuHyd1I0_}Ida8m?gsxTGj;<9R@Pu+4p%A{{3@j1xf%9Jr)v3&3LN>DUg(X4utHdOU zuDXR2945!U5>W)@eUGe)`3nTi&ydNwV0NMP{>&#?a|Z-Dmco_^n3VhlIUcit+T#+Zy_9RV`zMg2 z2MBVM25fJF9@c@!%jaKiPf>|*R>V*}(0nMbqz zw;c-q`cu_~BN|10H+P9EkiA7}bVbD-O{E1S8hWNRcO9gTD)?M^ucir2j~9HO8K*mB zTe2!%w6gkCQe#T~z5?`l_h`Acbhf!CgyV~g zJuG)8_`g&sGJDXT%(&!BdrtP`mE(>lbap4|Oe6~z+oXgJSAv1h`oby4g-$HN|4^GB zn@rk0Q^DF1@O5DmJNi>g$W(q=x%32xIfdgVfqCUlH=gzcas-qBnocEDp>2Na2t>*# zln!D)Rt*p>E75}5dxbZnn;JClhH*X^@BO(?< zB6*^>Xhd&u1IJ04#?Z+cw%DWC_hzlSTv=^-)Bk`2YM?7km=d_MaJr8$;?`p<&U@s>ss8_23;n*VlZ{V}8gohr z=*eCll)Wo!>CR61R7gu!j1pu86J*tyol+!kkSsYtVoVU*^-*@AU0DWM%X%ovfk?XO z+}7~^))pG!)_CTGl<>mfmOJes%Fxoh+gUqGB?@M5CZQ7w*%RaEM z;XSv1MmeW>PH`g>iFO&n&(Z%S1^!!gEkbxLiiu4}qL)_4+A8SK1)aDTZS7Nlwbymr zFq^FxGCdpdJ>+d$@Hm_CnXKVi7|}h15;oKWncin1FW4a;9xU);${fAO>JRe90oh`h z8o$poCYE!H6B#Hn5kF~Q`bFi6IjSQ2kucK$g&n>siMWOE=kGMEuhF%cL~WAr3%o|T z3OQA?MutRuQ@9bVro#=M@2ub^xXrTi$3C5_yQTNsXgfR|(s5B|wOCs4zVib65vMz`?<2K>6&YRQQ>yEyN@pZq~4*w&( zMjZGWaRj9gidxV|1pI*zxOwjReM80Anh3b0WF+nfmz44Pha6FifKO}*FP)~HLiw+> zcQl{u@$Kv-Y}K*pG*QytN&J%oGV!;5GlETnW5YCJI2IKPaeFO=vzfvxU4-vr-kC!Z zuT_7)YLjN&r?F2CcxV11QM=y^v)_#1-%5N`|G|KJ;%$2WZ4@d9Y;JHTOJSX6j!GD? zip9QY7&A)G(B9>|f+mJb+b{19B#tIZDPYH_!p5lj|BmzijrW3&hKf%@N&)3xuw&t3 zVgr8vrYa}N>rEtyF-HGV65hvQa=%U55%P%)4p!1qA3s4bFv#f$7|xdJev48FTYAfd z472}?Twwx7iD>rugdD=>!r+xG_({}!UqJ)2Q3G?WZHgg}loRjQos4oXuP8JYoueRe zxy{@mLFg;m2FaYHkg9xnsaxr zW-oRpYI1S71{*yax68GYKAKLWjVT0|n@o5=2DGw>D?=~8bxkgEj)rO8_pl%#07B~hca znoAz#)Z11qpgRxGr9^uWEa7KmWbOZe&xV%?g)DKXPB*b07=4-(pb;bq;Q=_F=RD}RHlxtTX0Zg0Bsq&lYyLcTX1_%oD-@EmcC5$lLBaBn_6+10OEVf$r4Bzfm=AwQ+DT$F7 z(=-zZ0ZI{UJ>LsYhU9-$=xRnPe<6F!^ zTOV;eEK;qWp3m{&{D zt}?^N55z0xDuZy{z>f9b3@^~XB%IPAl_;C2->R9YyVq3h$a<>F-xQ~usdN7+u@W^< zk8LgP^;B=p7b^-=)EeWQ%Cji8iDE{ON+eSBWdJn8hd-0#W)ufABO0fEwOK#}0gL0m z$rpHC`(9^$H%V1ou9A;+wm$tK@GS;Yw}=V+w}h4=vCf9w(L&-5&a-mE+1ym7(pRrw z>#n)%b6YdQ*Gi+$*#nupL%hS+tW=t)j0%Zmh^ejL6XEt^b)=tke961em;8By+V^Vj z`3>;Kq9KT$>Rx0i(+=w`Ig}Vq{4dQ-dcrHRSN;%KB@8qtig<2f8Q3y$Czfz}f6S8v z+iQqlh|6GNSvUoao@5`eI{4K6KCWf%9cLz@_Apy{yNHV60B+LBZoR4qTFj`0`7k?T zNfc~T41r#BF6Fr-c{eapZT`q)At7JnJEsq$h!NrCymI`~*x6AT*io_IIm4w<(b3^Mh6*sk z@!>l@<0f`*)0C&1NTbuiw+$I!ptFM?#E89bMD?L3$kkhU!)L?WSOKiu|)U1 z*pGUa(LDI{Q9L-%J^qY5TW=@qJo zyYxAf=*u?F<+HB2-L3bN7amr|s{Woo^na;iToAHT^(rk89S#v4kln$L5=m`%0~Tv5 z+E>m_cLGRv0%(sTFpndhZ4T{iHAlc9+0h~MU*;EJ>u*D{DFvbfCPInlMEgudjUhVI zp69ab68Ok_s^BsF7q7_9AY!QE-JbW%2LSK_^jU3`tM}Mm zIX)%_R7Qk z<>7i>x%5_-z2CG8vDLL(sjOhvZ*9aVijYaqyqUuI``qHpe3j_!T)~p;L(o^`U7jAjRxbNx9Zx8lJC2lWF{=mAZ z{Vbi!QI``Bq8fSLAgX-R2=DkVWd;Ax`5jU0HlWI)-!jEPO9c~-;jWBpnmq3-Ei40( zvXh;CGw+fBa9}K!fpdEAqqkSdI`tfOz6XRkX|8e{7=y@_(ne67HQR#;bj@|KI)Q46 z1E!#*66tw|=Gi}gsQa?XZOMZks~`7o*N@!BDbuX+Hd94?a62?dF&}+(#1XbAcx-IQ zLoJ@4R0XoZn&|CRzhhZ}p9KvLF26aZ*DFss>n?m2yBAGP^ZC>9K&U2?(9dAZTi=4f zIe}8yVf6rcFsQi=Psk-e6zh9K$0CP$qAI`^Dn za+NBFoJXIn?Jo+GlTGXPv4dyNwAHBK1VbR%mX}y0A-~0oaDR{FJ@ZbHhl%mvjWE_4 zDXy+ur@{s)Xfpu`Xfv^WvYCLjm8kO9z1;^>))}^1z{I-THKGiL-s=rg-uWI9ir}M& z5TD5+54ahtp*6KupHq^4u;4Ughyx)nfa7v_Y&yZ1?YWeTw{2(57+s9BZEuyS4vSsrQBXX#vmDi_ z07gf$6@fK_O@nLRTV1P#>5}2Zo5=|;Zd=#8OUluq^+E|47g0&zZ>3_o_3je6i;7$)8-lDm+||PqJ4WT*?}~s%TpH005qh$IJ`1L7rM-}Hu=_TcVV<>MK%!E(3xsNzKYy#&mKY&+}t?((M%_YVk z{K*Jnnn`K-!q`58tk@JKy3>l|mne?a4|3jNU|0o@K3WPU&M{0d&pxk-@Mk&1k=X;R(*|lqZ7BSM{{n*!+p{7MBy zL6sB9hl1>XC;wORzBE0ewx-JI_2fwJ{G5^i=?4pwee2S6jQ?P22|U8RYN(??HT!7l z@Y&wX$OhFcv04+gQA?K zR1+QJbbgEnQm0Cf#k5AN%ryV-_{tmhdIi1Dl&Yn&G<>d-wuM@W0}KNb%AwkcjETqW z__#$%wW9?|QX8Et@}{!wQF8DyoiN%QJW0XWVS<$I?mG*`OMJwhFem|SWn zv$YdXG^hQ5cw@*EfAH3fs@x9u$fVJyETTyY>Nhjr?`zYaM#qz`N{pTw}2Kp4oTLpu+Yxeq_YR7qjLc^`slC8M-5X!w_(_xkZvwQUpx+E2iWD zu)NMn6o{ichqIX!nJq-atJ*BEUSSe~AK0TAJ*A}u!)6C3*P73(Y4kZRkNE@GF9u12 zjb8yBOOX(~U6o;qPW?ci-jHpOn67O+V2Qp09W)gZ}~E!ZM;++4ok`e z2%?QV%`0~s+!r;CvcDASq?*#BQ{?iDGv5I!A0JgaV<6M{J1mavAHQlPGUo4$6edZz zRt%EX!Y(xFI%t>`3}wBEF}{eCtP9VRHNBQfU`ZyHil*Uom-$dNu;6L(K31e`!XZ%V zg$oe(!havlvzN}OXK;Bf!L z=uw~%bdn=$nzwH2!3WV3`_jcoY_3-f2*w0`favAS44$gxf&(~@mgOzP!Fek~PKzU| z(@2zq{y7q7Pu2l1JLARnvxNxc?HC>ZIoaCrs6Q!c_7Hb@)UqU3-R2aWv(?`m0f}M!#I1aA%lsA$P|OZ>Q-@ z@!|)k7QEzkPhEM~pfu9+(2klhI`H{CKh#q{%KFDxHF`JzrTtPwVeSMza28HIV80XK`!%h+f>M^)}_RfUBkVarrPSE-;;@%5u%tg3O zJFYj`vB;}j^W3cm%7{44gB{)QOFujb65J$LsC~z_JqX_H3p4P4?hS?tp3@FOVGk7r zeTcVX@{$B?#PZKSykURW>_;ZnyV-V##rvzVWG_=GmA>wIbroKXBfUb8R%qFJD47Wd zPV(=bbiZj;bRe(t9MA9Mi8STD#fK9#T%bqfr(rO!SRzm|MpFsRJuZl5Dn6F1@icwj zM>#Bl>Sj+Fj5}QL+-F{RFL{gfheR35d&E&#TMg}O65e2v zOBiam1YKR2Wf2cW;(0iX`DG4@rk}P@9%e_q}`atfq86r0wAZvuE zLsepO@#?kU=rO#JE(Xhy+o??Ukp8X3B<~P(fad;XqQYoLU=??@S%5hkSEh!+g3EsV zByTLdN>~;u-a*Ujhci0VSg%kLyaJY|E2w+A0w$viOYc35AA_?ew{%!HHj(SRV*{t0 z9g>Pv?}p)8%55Ld))ZgrNpX06`10ZKi`&9oWNWkS!{Ni`e%7MT2K6e^xcekq0!B8^_IWH2AoCMgzqfNMLoX-Sq>h#Q_q{l6Pymi z>7eeDs4_j9vz5mY(T~h&AcI0;Z&6;Bvq7T%P~zw@bB=i(PPR$8sl9W5^xj$ID1Q6w zCrwOAv_aAH6Ztlq*KE{P8kA$|0@!YX!kxZR!O#_TWku1mpMV5V|9UG%q$Ag9QX6@{ zPl+09UVU?m%I!~Qn=YlQ#z#&}E4pUc^8%ry82>wY6N2m)6D&CwiXl7HaF%g#x6->& zGkdvXG z{Gprn^(1E~7(ntz8Ln+yl2?31qM2h+jptEN%fT~=mVzqe{c2-}aY`ndZ@Y4gFTbs% zr}&OdzbGdDv8&u{gB%yEz{8jGVge&7l`Oh{l4QyqJjZ>p>`%&U7V&)%%S4HNY0H`9 zJ>M}e=V~@FHk*c(W5&_N6V-vw$m&)lt05pkt&y9zU|y6-uAx zPc-Lze7UR>HMYkVf5MyOntJt%ID&crR z%ZVmQgdv*f%fgXp3sNw#Wz^-L|2)IyTh$4>ag(X>L{BJ3Robi7#Sy|BL(jjEL`)G4 zIcoGZR|4F>_ls3YqPe_4#2z<~*hv)_&LH?&-FvLZ-iWfg91yU|xAA3%PimFp>|*Gm zLrL0A%!#PJeQ%d}#aD#Y6&3+kBM`oN|st)N%fAvGcz*lCc) zIBBLbWl;QqR)t}^L<|p(VNVvEo@W)GCOilV;Df0YIQHSg7a0>;l^4aMliXm8EJr*z zmeqKYgeT+tWS z5<%-(ANdJeX+DQI|5`9+=owP7#dSSgp8zIm1VYimdt#_K?UD4efWYv*v^Pw{a=q0W zYx_(Ab?9j@N|uT6bkFeSxdY=Z11?g#fRP3OHKsphbd@@smDgpKLPrSFuQK<9c4n^iEG=VYX`vmr5z8o8MGS7Ppib%23u zV5fP_oiOr8ImX`FxjKwNVV=-h$vhSgF{MkaM)r$X*JjP^7Y-KlYsyllzB7VxV+nKa z3UW@;Twk%~xqC5vOxt)InZ$H~$zT5Zkw4?Z*_bDySkcC#LPV#C`lA|hylkN+5n$YM ziWnAA8}P~Kwh6JENwk3-_p+^H0ibL)-wqF_rc%sLzBOvrw+CJBs7#pMU+FLDL@@|H zYL{fpiQLw_UcWrVs6Kw?by@#-8TrCK}SBjQq2=K+z`CSwP@? zMY@43yXPlsQH(ejK9s-@p)h&DA#r3)QDnm<&>pBvD}%-;d%)yEc0nwtxQUC)=$ z*hrXb40pV4Mbwi#uVgbtp(nr`6qb zUF#D`z$MNio8>_wpATNuaY$AbLJt(zSs1oYW#~6<$Ks*s8cuS^DI-DVm_g`78p^oDwn;iUyST-vH-DY^~Z@;lI9QqKh~9?Cb6jNa>tSJ z7_G~#6Nc;7T;`Z2p^3;mb{|KalKhi zTb27QGe60rd1jRPv<6&BFq{W)?acgG`uS70*mXnPQ^~ytx`T83R-XQ~kRO^`ozfl+ z(R)#a?%3|usvg%bv(&Z11Yo)N$O8EEhGJOaY7*Y(CV^K^@0$>%nV?dduM%tTFQd{AC_)4^C zQ&pdW!Fwt;*s(hP^bjmcI-P$Y)lf-~bnFn(;x$}g35 z!;&Pa{2Zi@Jx!D9HzQb%q&?8wWf_jxI=;9hKTVp1?QgePAV|n_T)fEmBtL&w;aNPm z$m!7q6cs`vDqi2dM*pMFajh^JQSL5S)-RQz<|bH``-;SIC$m#kQeEzw(#=P>IrJCT z2h%I_ElP6VGKy1Bi9^Ci-5Ay6T31`9eoH_z)|<$`A>(I?TTe>8p5d(mDS&fBp9Ne1+F{7Y{X1{I-9HS<|)XX;LdOF4?NRMaPcrug{PJ$7fvk znRBGk%U3Z1$opy0ll*4_Ek921NJ+MJ8EOr>aqAJIq}_bS9N3jfnP@{WbzBy71nHyz zln(1W@u+9t40v%fD>i>xmfe@WE5U5Xiw(OBL#KJ!BUgS?P%v8ZARppse_V>v109eh znj&nk!{d-9S7zNnV<#zMM2)n7_NvCfJK>uJOGmsLgDEqH#P?lAY;fSp$LIK2_ds`l zkTRJ6^bNwPVW{fYEasY_r&X!46{)TRlB8P>I3{h2c5Yk(UQh5xd+dkQ&d7c2KIBU( zy0oMInivaA6_HcBl5gvrTEHCs`9d1&K8l}<> zO@0@hZj0=>MQGOzmD%(CFaq99V8m@2wuaU52VHfZqS#5^U>$mmp7Tc&^PC{RT6hzd zvc~WY4tL^a3YB^f{R>`#mhFa)?+Wh@M3GwhN(i`jt!N`<5<`88O+(mLeYhdAA=^Ih zX*Go|CEgviB?WhD!6Q7%ayH`WlqXNBTPE0w}Ci1@t$N zz4M?a>%+rkxVDd+*W?)YerXFml&!IIy`bS7xM=k%UxiR}dxEK@G44$x zHDjvy7?WsB5hTx#^rOS2+B@VoX0a#-wg{eZF8c;bB^


P1t}zRNYPlnq`E!tM{D zZfc0pzx(rJRXb1IT*=mppbDJJop;S-)4&Mo;OtCu*&mV* zPJPS^dP)S(uAPAuYSbOs(1`u6)_D(SXF5SrzwTa*JUNm`ZiqJ^DbIvxcD^9^;a4@S zpIiO2lVSYwOVgtZ-bqHply1h-3sEt%{W^De2p8w99xjN~_RE@cM<6BmppAE*QouP0% zUh!OoC0LDq<-qZIN9iGOT$$Czh^WE~6xfF~qaUi90fYmvNAqo2B%mxY*XCF7%q7{r zO*2tkdrrMizY}t$-w1ekUCPeyE>0xw8+rXSUBH=%y-`ajIE;y11f5jK`)ahzQiwYU z1IyX4<~^(0+ei$wD%`u?+W#Csam_K8t9ogRD>4Hr)?}E$SY{PnFwxY9i<;tgDI)A_ zrRJPe5S&Eb+F2t|yRvr|Dww}UB?i9}<`->zbYKcQBoaxVKl_1+Qr4PtaCo90vJFpP zSFV3?qut$WUT+T*ytkXB9XJOxdCzBlXq78sqbXL$?#bc>$5W5sSAUU*dy)i%+$>PN z7@cOUL{Lsjk9eN3jy~lcDY)w?9ZJk~gex!*(9eaz>`U%ZCBmZ+ON$>XkZM++c0MeZ z_bxyASw|D4PQ+ShS@TzFXM-n&ZUv~&bu#S9S~g7>5y!d_pxwH2u=g6B(B9UM30`;!fxHYj=Iqot=%Y!J=2RVC;lIp+iBm@Z7Lvd8 zM`2ZOnPG80QyGv`(09QNV0b-JSVvJx^D65S%@x|?n%;p<> z-OJ%Ka*oG99#ocUnwu^4JSfpXVi;VACedon}cpJOYOv;&(A@Q@*3AsRi683C|lcnk7*4#`+4v>_}rO= z;mvffT;5_ON(`jVmi5<#S>Pd3-79DI%nYteL7+&IvF;Ld$}+c{8{j2tdgyRLDzR=w z*rSBXJ%TPPp3d2o;wOYJ&XR>!L%5A8Yg%{d)_5@_WfegLRYGIfm=f3^AtiG3d?e%f z)J$J@s${>*vEZIF>n3%9$y`st8P|Df5tyINnrt*&rI(aVARH^+Q1N6s~MgL zXzXH(vKa10ki}^gyiApPcS>~&Wls=~&=-HxjO|EX!&2*MoC~Mw9rp__GV*UnWuI1qISFV407odCo_*T
A2ej_N=VGPGqi0(2f_ z?IDwPRtQSb@v@_Yp)DEc+3(!V-DhICJJ9o$N`}DpFU+-Wmwrkqq0J5^w}fM?5kR(g zUeFauqE+)q-@Jt2xL!?-y#lq&Sho8U40S3Q8tN1^@jv5w^&q;iE6hk6wFN1?dy(8$ zt!qSWnu=b?;X&Y}4R~mxvq+jA^4O;*8KsLbUfQ<*umF%J?~EeNM#v?r?R$RT8fvbn zp)t{_%w5fg{viCZeD#@+Pw$rA6)LI;h5jm@cUO|T(6i++&tE#l6KB}&2{j5SWCAa4 z62FG<`>`~duBJ((x-@pIt=;<3StZoGBDq-8RSJ~H-454)Q9s%wa2rlMaY8UlH~NP* zT@n;4nEmv*!C9=2PC1h-*Wy)NFCi~nrHa^?0#(@C65n-cm1ueCHJTP63N{;1A zgO^>iB{)RwfWq>_xZD0YzcIb~?;EBB5_H+2YT8~J%!|ppf$H98+?nuPpYnfY{!H?D zU2*1d=MB~A9+aV%qH^8W$csq|QNvpKJ@D~EDzQv9@a3u7cXkpN^Ylu#4jE_cs)h_9 zj_2f|(+LM&8uUpKVST*|izf^NO*`nxrS}rY7RoXl+EXP{2@N}~-=@AU9gjp#hZw$Z z){8Qfo@E_^GB%GR8p;kyp_axFC(IsR6&81QRBqbPd*0x2PCk=j2rLUvz}jfOw?Wyp zwQd1=iWO~l8F>nBD~%!QAE%t8OyiXg7*>BKMSR$K+oD`N8Om~Z=NU71UY=N*tkMDs zC3_IN;p87~>7<8YG_P=r^1l5M$7ZwBjeov;+8}27?rgIc`B;i7TD=Kxwa6j;3uwv; zK^gPH2lZMQcwIQ6vY|%9%5XzUaCvmbY-0dfLcg5G!#ib1$!eM`LM%}Xk(IOcR+Lgj zM}_A-6ayb~6I+>=j{VZd=V9iFO20 zrc#sNQ6ElJPU_kAK#TRea5T$;4b$tO{XX2pzPKw>&09mB#iyEz(%N^*9+)`S832`8 zMs7%dBCMv8tv~c-_aWU9{aY0VVZs&8h5Y!ziaIJ^Zp062a?9^>&=ZVlRT4{Ef5~Of zqMh--RhT~KO!-)Sn_{d5In(K>yfBOn&8AffotvhQBQyy!ZI3Zd5VWm?fm9Q4E&NG{ z)ZW@5;n3EUSv$_%EXKjo-iqOtIRV;L+iDR^V`yVl4B3V!TVk*+pbGz$!i zEWE6*whBin_qKKw;diEQN#ISgi1SwIHg#m|%?9YBLGf;_-ef=d$F=8HZ|QS4LvXXc zqPZ5N4xO`9uWt^MkM{dwru_N}?N+8W^u)L(Eqc~g_|Z!yS<(NPYw$X_Y(34>*ftb&U`eYe zj`DK-fqUJS;q^h*=^I%rm=GHSXyr=}YI7|UqI>*UoEN!hQnyI(0bl-B71x#S71Vr$XbK-U5&kd6Gg1Wjt! zM4u0p*6I7u0@kfWBVAdG%``p zXqn&s6&!Cx2i=tyg-GUXE*6svoQ)HeYJwjbXzAAa7?2sk-UA{WDAhonk)uFxLjfK~ zoLJebE}W`>B%}SR+T?8747=!lS?%#VG6>><-*c4XkoLOCpK9lxcKD78d9wb4yA;_; zQV|o>v#g;Zlf1&d`#D;Pp+a^gSaUdI5U?*SpZ8Qwk5PnXBi7YLTeBPhD}agYo{Er% z?AW8Q6se&pK%Iv$va8Z3Z7+N;IPo_9r}gzFOUEc}@O@YeuiBjLhlYcn-{=_cwuB$A zM}dda%*Us!@a5LVlCLmN#2wq?huf952S28M2m81^$=Vh6xKvyI$8CpByt@b7J1;Se zBlzp}CAx5DMoeJtv7zF6uuCztD`kLkCGlZZ$OT~i5#i51KBs7BfBIRhai7Jrqpkja z=~o>+Azz=@SBSLZ?aWes^ohq>p>`rkhgQU&>sQL0(In1OHF#C5++RlRLx%DitrE~` zJhh0sxp0f@LO$#m^y$flCP@W&1vm*u5GSHXN#^u5GUS4)dKC<>-aAy$^xMSdwM~9S z#6tk6=bb6K%tZg}O8MElZ->MQ3Mw0m0bQ2(px?E+aaI`?OpytPD#rJgY*46ioV-(e zsVsSE0|`_4pmEh3a|kz=GRL7$h0$WkO!d?yi1GqY7xLnoC`pumcmqUWB;3!{z)qp+{P5# zczOSH@cvm_%|lwx3-WWv4R^==N7H|=kX+B}^NXd3_?zMr^#V~z6Ac1UiJu4}Hc^6@l(-n?(e)fq& zfvDt(V^1dm2@(bgArHm7#lm-smLN^M4@7-|z24Ci(fcu->ikf6bu;QPq;|1-0*b9QHUGU-MY7=pHy9|*Ivkcb7*_| z#6+=7kJt^>-H&D58nF|D1)}&wL+gyO6awFoSsgC%W+|1utSkm>Ai#mS|9J<-HYMOq zKRbeSE_KUUymGz@_ADTl%k|17P71p%gQ|LwXA(RX`EHXt2g@I|IVF+UsEMOc2%WQU z04Ik?(f?@t61?#NN-gaJMfIR96+A`pNDr?UnX4;tyKQCx@um{ zTAssa)|S3aMl17qSv^kRDQPIGd@N;NQ|TKK(L^2op1|1>C+OH)BX~~3dBylkzvpbr zgv_%#*|_naq)d=n7Z^as};xFsbYc}qZ9kpg;y4e zpZ%L-(UX##E?#9Sq+{A;I?1=nG-P4&a2mYhc~ChKbYLJ4!7nYZ(r}3Y6hq!zBqHXB z&>)q~JYcfCqL3#U^Cs`bsgSgYho<5F#F3{?DdAnXE3Cicx#*fL`chajCGr){-kS4L8OTqhCS@o&bE0B-?_GrE|mr+-oP>8=fstYjdzW=mz(CU+0QoVD)c+X*4W>c)NNyBWn=UQ zFWjy?%b&AvFa7Y4b~Y$lrRv9*6E_^{_zZ7GD_v<*z-YX+tgs1S5u0`&K6UJAh^eKyzlK)e{j=az`g8b4T^ z9_4!DdhI2)ZVljXy{X=HL;y+x<~s{SWG=x^LkKHwg)XE*1fb?Tsb@h@I;!t7v1sp~ zO8W*Z3;BCaOx)o625exsZ#xOE1^EX2^87PIwRiFCeQ}XV-S#ZFBYWY*{ZRR-BeRxo zKuoHL7@)H+pG+zh3}^Y!_eQAwm#J;hj4HV|#rj9{t_rbw2ad zW$1`S0A~v#JtAqIZANJet)nXIE%=Da+0L}{E8Je&#+}^ zv1e4~Je#K-Tr3(y1ow{yVZmLZL8wpv7BHD5gvN5ij7CdG86GX>PR+Ic zzW-0!;{;r6sa`6I@Mv#pu7Gi%$Q_N=Kfswf7Jd~CqJ!hafIu)FG}?SY9Fmdu{UumZ zGQQMYJC75NT&TIy|DQqZ#+y+bg6;RzJ|Cb)c9=(+EWowJd>|K@CO2}Z4rqc#cBo)Y zFJNt5Awg9gQMJR)0e-0scVtBSaObO$9H|dnl`tDDY8j6QkWbyA1uQ@Xfp|c-qA zBsJmQq8h6K_~5H?a!97K^utJO9tDz&h?mnNF!jUt-_K1>XnN6`LUKY(gu?CbnJ11O6};#0pE#uTrD%kJyo=TV&)8VK=M?79Yqro@=Ix7#@_ z$}Tmkh}Ah#xh{(OTP=#NHTqwUH~M!uUY$xWB7bdb7ae#tp?{cfR0(suvS{3&G+Pu+ zgFlZ4Q4%-qCoVLq0Ch?MO4EMg%D;HvxbYxzSfWvyLYhv|!m5>}LLIxMP7!c@JS`Qt z6S!D3(mj}3xC+zc(vq1*R6&hNwZ>^aS+RVHXM>uPF?wkem%bk!;HaB+f_VwhI=8mUr+ZA%kD%K#|xpE_gcpciHrc*C+wP`ZI zgEEQCi!V%1=I8vd7H(^Igm&G{ryc<{S|(TmPUgR?I5+i8fZywD3~@ew_SLi1Dn}Wx z1hYxL;0n?%YUX|%^^om)J?+%~MF#HLAOxsVR!934Hd1m8Yr9YKS-;H{ezPtS@{qjKEFD-scFqj99Vf#r^&i(%u z;i4HSe3d=~FoSH_$tDa13%+2{j93Cq;Y=8U0p#_(<;U88Bmbs6@+O2Ee*jU!6+VEd zU?z)cS9Q`eDMQGE~ee!=hc$t ztYM3EWl*kR)5N``i4zM}SqWCT#ff~29|;&B<=WgChaboX`d3A5BIKpI&Jt-Ii5LS8 z7VW{7g#leTxY=82lp{GZD=o=42RWyNIL=ldwx5tNI&vSd>v0dan4XPDm4&KJ3XN*80ivoCzIv7S=m~Rrgr+lnvcKhe%|Rwi?t86|B0YwSDK7mi+C25y$o(1o;pWn=kQRQcaa( zJqzBc!Vsug*LnQ zl1BD4vFbD+bs7T0uMxwqH#vm}xrK5!D-sI!a80At=k5}|qet*sgU#LKWFkqvJ>VI_ z?Vd;JW4GeuCQRQ9Pav>)4_F?3Q_>*}_(m52sL2NDBuVJ%t92KfsMr~Ik6wOG>m2>u zDaLiN2^B4)f<0C>$(bAJS1M5Gc>K)B3~<+%*Cs$4i>28U=6SXP5LLzUadYXUzRI?j z^fKym{^4d3HvGc{Cs7gRb2%hQ-qTdC>ju=Wf3&j)xMg#F{C&%svBh%m#Zp?6|1l)- zPrr3UZ6kI;n%pxwGbX4ns@)G;>JceBo_UWit=8O7U6?O?hlgWCe9+NEm78&#E$#!x z)RN<pMoJom_7+>YjH7i$iM~hGGii< zEwBw(!@$@o@otd!i*Xc3_I!EKzRR=vgJ;!bCS&7E_MwnlPiwyy`KtaIvy*2}|fqOX#GKLA91a^&%$uNJ+BpC>x6Kj5wO1 z>!$v$wd!f1>tLUY%gx2w$UCS$?70hQKc0$*>9E<^j)B>Z4hM@r1)ba2F_>6>$?fsm z{TdHx@ytm^bF;fJh3UcqK-|A;$vSZviCRDYz-H*NEZO-euR+brY)y%`A&Kx>>RAVYkQ2LtitM*({Q%aNB zwZJ%?py@^$z|&+PJO2n$&r%`6U0+@qarn(7d8_Ku<3#61(~F(zNM_?13k6+do2^Lj z9L%^f?yT=42RwBsCZ8X+4eEprGDU9nudD zH?s!}!nvERvxQVGLgy?6tkM8fP*dbX^b$e6dw?W zjGcDn0#>VYfDJD{fG0d_krXiI@u#G!l~Eb7#+=Ko=+F;GTMP zpV(!>Z(}PG6G~=u_DFr_LBQr|cmlKV9;@!j>{sNjxOx4fki-T?b0B4$F0v5aG-K7;)Q-^5fK=oALUobu8Q+I`)M;pCRFu56}~$Z1=@<_1vygY zh^E^0tD0*-I;PkEc!LI*3KBlZ1^xvk?*WkXD3c}hMIa$dXagFHHfH@h&%(KUWtg3a zC88`d;6mJJ=)`fr{k9jzlgJ%#_YFdk=1i&C7n_ojW-``)lDU@fwpPyHfruU=D_=(!2U;8yE~%HGmi}6JU~D4b?@b%3~J|F zlUBpv7^GQ~hW!5nyciriKr31p9A)y}YA~&?C?7d*HRb;mu){OfO#Yph9{gPEMQce| z@bhW<{}nLt&&^rVj_U_{Zjw#qzi*nM~Df)vp1OZ1>MS zLw(kO$EsYaBP+N-QEGOhQ1g7J71$-{{PuV*B~!ge)$UNuHuS|ovd2jEmT#s3_tYz4 zo3-()e3(cQuubQaq1IbDsV*;nmyt9NCNJx^Tz2mP|FBG&S;U;+u;+*u*v6Qv;*dg$87LMiDpKAI?7F*_m{I-{!<;vWFw)ERWW#TIeTUd@{fy{GI{0?T?EH zccP`}^Q0O)4oPi8)qUe6>x>pwc%gv}s87`}S7DS6%8)4wVH++d|4Byf!qa|k1H2(g zT0D311tFRAT!Nhlk{OJ`iTZuV$7iN0mbPmN`X~iu8FW81u9|&bOECeP+0KLWfUT37 zDfy5RHo);Zs86+{Si|11V1L+WFQY#Ckm7#I`6CC+=Rb`hQ$3<-z&fK(H?pEQqx1$Q zCX4mcEHXkf>C3tvoyBpWwvq2ICAsza#90S;z1Mk~X-xKb7<#*aJ_YwU^m7aWx5KiL z{?oUgIqGp?^>m^UdhkKT^BiDDCT}0v2U_rpZ$8j+z$9!dVDoUe8foJW$kZy#a? z#H5TJ{5qR0dslxaJV?Av`hxC%m_ZI$Ck_8;(>|BJl1`5?p1-}@KI-{_kH{`fBWMV7+pD(@rh_T z;6{#S1)Sq%7HXIc4^EPxC2H~d##}$!5Z?X)K?G2?OFRD*1M7q<>V~s`xRT!YUS+9C z{KDjNuS!T}cGJ$ahwF!i#%B~DM{5Qzo5x7Q!+UCT9G^u>u)dqgNtLUdSvZLXIx>y| zIvRc!X|`|Xq>9<_E&)dVcX55w7hL{AE;3arw@#T!`vod4+jLf%9It)90{$@d!HY^R zNv8V{6xv2U;4124aZjOCynOJMWbrnn&Z45$ai{&adb_o{n{PU~EZ^^xU_OaB$wO*h z48uRUKt!+6%u@zMEA@5$?*VHT1DHD=`vbM5UiV6uj{%GWunG$A!1m)50FmQp{%eC6 z^Elug69~75Y32cy{p~(aNdb1yY;PMtK9Z(Sm)OtV6;6Fe^j#lkL_FUHJF?AFu^Mnk zdcU2%a!q$#tB?jFI8+rC!+vR{rsZ+A+ zbU|K8kz4}qq*@OJl@q}!UJV7(FkDp)~Sj$-d6^Ht0g{=0Y2WXGDJ zrrkBW?A6ayQ2EF+SUQPVQFz*4gumN|%16H6hgK3kj_Nws!CYXc+Hek-mkc^>OeHs2Rr9g(q^o8kS^Dtn?;gI2X5L#%d;7~)9$IK*mJ$IeaiRK2S-@6=C^_j!$W9f9IT;Vgb(8@sd;pz}CTe&gy>TkniJHG)v zH(ELINfZ0d_Seh!o%-S5Z8M3BcZ5a@7OE#i-^5j@QAusY7H4`e>tXX&2uJvEj-^~A zNj+zB|6UD2nGjwz|HLEiYU430t%ZuIM+#fE$!8X5o?jhied3^3&VKG_d;^#bbH$w{ z_58$*=n9bT83bNhZsFITPf^&gR)V>P3P5i|;yKd6>|Ec*w@oeH@m%L3*d)L1sWL| zWAfTPhpQc$<9gv5^2wA+9|R>C8#*;Z?rS18Wro*}p}JLVpIc9HrqyW6d?_<785{cj z;{!wN1o|aCbR0Oq>dS=PK9$XP>mglk{%a$b_PMZXL!aDgL(g2CLhzAiZnbxts&1}_P}J5}-9=RRP`A-g@t6x{NkP(ZW#L=&%g@i$P z%f7RXu^3#d{1RJNQQ&?*m|NiW*!YFtE>PwfXy~Bwj1}Ra!W~nB;TA7q)X*`4@?|dT z^4O7~9|qjf*YQzi5da&ey%R$}LiOqWDX$%a+|*TSI8kRQb|KLhY;~VNhh_-=52$aT zLyr0qb0R|xJToDhk*TwE$Ar(wn?>RdER`GOg8uVmfa$7hh{$gG3%tV zc-wFB7fInvuzzY+W?I&=nUANHk7wAg`Ltj29zRj8D$+HX{23m@5ds}-4MScH!$Kyf9y~(iFuN8D zEoYln^!wC(z}*vK!BM>x=}N*KZcrApqH3o+%;(dMH@mp-^O?P6o<*u|okxV|u4lrJS-%b(N3`b7qMMF!B$ z>B-LNsg@EQ{-z4}Kp98^_D^X>KilfgPmF1W8vj$2iudSBacS*lbf}Z&Mcqt2rar?z zws0hwF4NV)n(h=#}G1z&-+o!ajF1P5Y2nYMPnM=^h0x&7^(_S= z(9XYng(ppV_7gIoRsv3)MP#stY#{Q~xM=a0DcFs3Qb!>5S0a|xMSM_NbWj==mK7J4 zl^{P5EOejb0pfR4@P=to(0?Jrb1Fdi@bn7c-S~%Jo#Bb6?S(CeOK9r*r}6E-b99|& zMwR-3UDIw{_-=wq{R2w<7o0TK+%((W!5>G0KVsv%@!`8Q3XQ9->zDQUFrhxSx%|3T zEGMadT}Hze;3ij2MLLm3GO=zZ7iA?E6-L9B{x<(+T>$f)zw^F%;V9WE-?x}c z2W~}3kQ`*EZltB2#7M@sk;J~OVm!-H=9w@`{Q#z7Pl{P6Z}HD$V!QXWW>5}uNwIpC zXyXVo7V|r3D3Q4{L?5#d%O3u_5<~?*tppLm_G1i6rqA90GOLBj=ZRN)4kjH-yph@N zF*{*~`8J06-YzkDt}zpxyb8U%3TU&8B(sbZhWQqT`N5yY-CU_B$ZAaTN)qPqv5QICsrFPCP4H8}5R8;i6vI0gbf(-HZVU9V-vm6>{bmL)Q`uTw(48Lv z|97V%KEw%ZBWU@C3FB3GEdp^MseJ;S8$_F>vb&2$G5I+aHY#v-9`^Cl^y8(A%TAE% z&PHcxTyJR{TCpf;u_)!oOPi0Ea<6Y()C1e~-rH3XD~&!}#av|< z6gn^_FW`_N?M}-=X}E#@>FCWnEGJKtm%h#FXmF9P#BF2t|gY>zOleN<{jzK7i-GgOWs}kiTXegUxqd2C) z#rMcp`*4rzxdEj&IAZ?O64#mm;LX`EQB8#{o&e^sBojoz^*z|S_V_mj-qcZJ>KLTi z)PcbJYe1wZZ~^#7I8H5y5Pnh(A{Nrp9IVPy4-;&FLul8JQT-)8>R+CBKs zW`NcrhY9CzSXjhpIT^sf5QTn&-Y9Is83u5!G{YH zu@w?q@O{N2%!qBWpx1~Mt$toGk%x)iBc~AJpJ5iU6GUN}SCvp2$S3LuMSYQiO&)qw z@44Gyw?6}O0uDxhZKT!y4AqQNn_kOVSc8G{KW6~_ZyEe&Er<>#Z}%y@OK7HWLsm!5 zLfW%JKjB+i#BE@R<4ImH#}=$x)E1Nq?Al#(_(QpvE5M3_iE6JW_CTc4pH247~Y#$M)0R+l=F-tG@N z7n4k)%=R4zs}QQNr+k~U(2{ZQv69yp9!?Y|g_TWA9o0S7r(6I)x^K<_%q0OHX zmaPJ;8;*k<4!LJZ3CiZ||8>B%FrPhJPaAKO=wIiJ&yPV2o< zNsXA&m4Es(i!-s*_fu;gL0$62u{yArI8Bx@H7mn(uNt_p4kDoR4a@kz1``(*AS}VQ z!qf+-C=qe%5+OT=F(hXaxdv)d)LQ7 zQ8T@E0W-a;MS9jrz&xE!J7PRqr&G&*ZE!mc6aoK~9Bc^~Av3C#xNXrhs)y5pEf3=V zEBHpw>dtJImeK$`zgQSUALVto{sok}xQg*p95dv`e86UDLI9tj+5GLY1hbQG4aN|U zWNFZijAciH&!ZQtzI%k~Fk4hUOxqrw$7BH$36O^|hryPwU$DA|1zX;C@jn8S)ar`W z$z2~%)zUH|P6!rxSnT&%$Eq-ytt25$no0O=9iSd3Z&!To>q^VjtQajQAx`cHL{q&&}9~}#Y8Q6VEfdB_9wdHEKMwx=Bnm} z5cFL)#Y#UH!i5l^#yw0Wv9p`qZLxPwGGc^jdBSr2`~wuP;_j{`nL=yjAxRu9h0Cc6 z6HZ!O1`8KlI*~@6iWvpk5trz5h3%+<1(^fzm5FcgW#CwuaH@nh)<5sY+fPA4bx*p_ z!SFA3yOC&YKiM2pppAT?UxewauiwnGIaXX4E;a9^@Y$K+wiL#Y8mTlHEX)b!XSEi> z+6+=1(VFTzcV-?<6gJgoZx8R=2Vm8;;g%QF8-06bSi+sqCZOc}X>P&dzRQ1(e{~
Eq zqnG3yF^*|r$oatT$Z-A3_dyCOpvGobc-MQD_KSQsrdb5^zVcQ#C)|h^4hZR#Vz5&3 z9&!P5Il^|#Y7wQ6TG~+6`Wqg_ZC>`WT|3=Mx;`pz!kMT;1X9^WTB3;s*c(^;3(F`6 z=b`Z#H9!Tv!HOl0LsM!Y@vjbu;{jHy#=eFJpU6`tD{b5IkkZ`7x+B8N}- z)AuZ`kH~YEw1=V>zG0PbCw^6H-CkbYB~gSfEV!FJ_9x@N9v>$yXU%QN0Wq_>8tdmW zOIj^J-7f^x21@mWcq1 z7~&Wy)%7$rTDFwNJF^>LfzX;m)CJyKhZh5110J*NBEOXGt$$IC)}F*1HZw-(*i~L~ z3%Erf-j5V;41DT47ynHN<3-8UkOstS5F-G+)rUyVxRtWFb zbVt`Stf~!|D#w#Y0=G8!HCSAXky=T_6KO^MvsuU$&w$n0ZmB|l0EE9K zb_21?yOFh?*VF@g7t>^o)oj3N2Wg}07TD6B@>&p2T?^Gevvdb^k#?@Ma+dbjcjA}3 zUB}$C6lWpvln?6Nw!#$IoX)|qk14bAUmLxiFE?Kg1`{9F3aQdV`m0}RF_W2WOvs%?>e{rB-WF7_X$c&9T7I_o8;8Z={_5Ul zmR@_@!~2BG<|G|^eLC|BsQW?rb09ApTZ!UPVq8IglG2AHYvplLjcK)b zBLAA$BEvSljdPS?GX*>VpJ`4;mwA+1D!$9!liX^=X6=q5hL{jlBCf5}N11e>#X0Xc zt431Ij+@7=d5>%KjwU&9fqe9UC_G##yyu7bw;$BsenkBE74hQ@?`;Bv(4WzNANIZx z9DE~aA}IXVi(>e9wrqL?#~QLcrjO!tGpChVG%<-K`I;Ltu|2MlD5^xZxqC&qfM}`^gTiBd5p_i6hoXo%qOBr<~)SSTpOLYj-cXzfoOamaGmbaH+1Wq1|kvxS^*{P z{^(O=z(UIEt}im6;ci`f2JCnf)f|=Z;Q~+6ZG@->&jiqK@aF>%$^wuK9z43^A$`Y{ z_wEe!-5JE^e+cl_wN{H&(8ZQSJe0m2f;sw6hbHP!w9ta~NkdN;imwn}9vL8VlEIp_1D9dCT@p}hAO^R4;gp?D-pkXDNDtlN_n`H!g8!DPvXoG*C z^#L&HPW-CPL6G$8D@Tc^dx(JWlWuO}Z%JIYVFOpU3-s5_f86>L0AL^y;@}zXQ2UAh zRaZ`|B7qd94QhvcocFGBYC>ep8`5I&4X;UE!T2e|!6xehJ>_lK$x^G95c9fx)b!Hg$S{NdN-C1Drac`Rlg zPQP?fQ$z!8=gonZ8|4o>lbQ?K*NR&H)f(xp91&amimWK~uH;SxzH@F6c`E^;f-^Zr zxnJG$FB`$CF4e3ty}-9~oYhVBx8b+G-H$xyjv^g$Q3Uo_eZDE)cU|CPPp+SK!HSgw5m%DRyk+$$pc^!H(KI+P(YbwlU zXR+42{H|k7hpii=*z{N{9Ey-LM){nx(TS6FjJ7Oc9QE1#eI6p+E0R#!PS@6z6H}BN zzfvWnH||Q8&ijFsTS6-CO3v;|F-y@YOVQb<61k@mn?j#AZ*M!gD@FajEx#`_<`H$2 zmK|r@^P=RGd@>yOLWzVF?!LS$a%_fzV_3k)m8Wt3b12c&5851m`787LbW-QNXk$N_ zBACF-gs#6N`CcY;-m5hB)BJrm^r2aK2kXlO>c<>c^sI#f+*_(y&sm}b8;C+iHKU&s z!kg(8D0DHU;6;5|z~gU8A%QP$G(-4flxn3UP{@MD^5=$8#$u$M?3`JMcGMCfXca@2b#f!?48qHuU->dSXrzyRO1+JyUH-%Kk2|LvQ;OW#m?cIpF{CXu{d4$ zzQa3_V#x_DvTA~?DQ2tUk5eBx^0aK}N?t;tM=xcHyzl~#9-~|pS!KI%M2&f_TG2LF z^LtE8O1WFRoHQ1O9#zyNcyHFr;g2D6)-#GiVU?pE@94#mp2FZI(D0{I9qS@BkRI#GN1Ua(5_@ma` zv}%+Gb$tkFIxVW+7q=lS62(i5Fl`PNRNyx7;MMDXR0-gG;fvcJp_v0)`EdRe{AQs{f! zK?~L~|1typ2WXU_B&Y5ok4skmqFpiqmLk}?ub4$a*#qy_e#;;5eUnTyZTQPKL)-B; zGo4gQ>)@aptiEwRAHh_34?IsYp!m(J`6m=S<-`v>uI9Vo3>D``VlQ8~&QR5;+YzT; zFuG_;&O7fZVM222*PWkoTCR83t|j82u1ZW}>l1tH5YKkP?S-B+eXcrN{?kYPv+qRr z;9u6SHldN@Yq2fxng+cSNnzg~9x{cQsFRD9g z{tu&I+cXX`@^|yyL}A!1w#HCMHqRkvvWoS=>oLO_rxbw@&%m6k$YX_F@0g+4d+e^c zdf&SQERMYHZ)c-v+v&^fV`-Oiv$V?6+BEAHUNVQ49Sg@CtpdXd%{7<>&NNAY=)Oa- z1ChnYac#p6k-|%gh_db2%0bQm&*I1&0%B6tQ};jY{D={m#^Q^HDjz^}>OGC3Re^jD zMjeSro6x@%(+yz-++TWc`HiY;CSr`wgfn0#(E}zx1Yi30wEtWXN9Qd$3R~13vG3A2 zFDFV8RkDH2f&PMPplZndd}{{f;1JNzZtGC_dDC!k^I>;`Y-&8Si=NeZs?b4m_ORUj zt)>_GhpN8(?CM)h6B*F3Tr593ciy3D&Og9@gFvYl8tXZKVkww-o3FZ_gW{rhn_|;a zqr5MlZF%K4Z70M7HNW*bes`ve$jRjh<6>>>M>x{PLe%fedyHzh;zm6Ai^^u| z-rFnEOF;<(im!RRnAx0@ywp3EY~LtCdJ6)Sxzu&3F`tOc#qb$#k|0MJVZNlbiY!+j z@V^+IDGG!`{372YVMi9&-f^QX_@BI24QcNM`~Wwn)<;4n?i(3vkEV|V9~!QyW|b2*T|X{ezi0%bTm&Pk=tox3k7P)J6-a@O zzX7rUAQQoeEc%g7^dmk}U=&iIDmL91j;tv6?;4;|Bb5r4kHlXPqT}XJNypGh$M{gG zL{X{S1MT@pFrnenqu*pxNoT9ZQ>0>0qL`xNniA5*&!%8d#jm7b5JP|4Fu*U1OZWE; z*V+CR&EMnyvtc^!)P+?9VJ9LRpK=_!h6Uvc_HL>tVyc`MYzbEkmCHV}c7IKpoFpe- zjj*e&1i{ccN2R$?igT7D5TGXVxRHr?yM^~xUvV!LA9$+@JQd4vB_a)Zslh`e!@-kX z5(BYHeJgACaLM5{z#$K~pUd-bjpC_^me!80U3D0*PJKlp4J?#)p4 zAp|Z`_$s2?P@mJx4WT1;u3R>E$L(vc6JB?3L|t`&mVu45LUYlpU^pal4`ZGeQ>*jC zCwRtsHcR)4Ca$%2SvxZBMnTZfblY0t%;kgw)=^9L-V3sdx83&6RP1AhykZe~yRfLa z!2uhoVo|I2@UnGYH=+w%xeMCuMhq~srS&U#MuhDHi{?MlOa4Gp#eX29BItwPC9aAeP9^!no+&6Gn~@^w zBT>wpSiZqyhQ#0zLe$?kQC2&Zvz*AWRPh_L8Bt7!?J>t~H6ljr7Kx>i&zK*6FMJVED*8KRtt{Fii+n}`Zm5d3p!~NW zP^jVFPj%*AjeIKo9G`cw_kMEc{Z2u}{YiIJH7*mjdT0?)jS5JHi8_O{v01tc_D>ge zvEB5}(|&9bbe55JmOXz)Pq1`w$3r=vQ7R*cq;oa%`g{>i()p|0{qne)yNza!>SXbJ|F!VK*nI06EYmG_=9iY zJ>LMr8Y|u!D@H=4d_pBFfcz%_fH@6n62Qz8VdkrU@UdVx5y&j&_szzyI?uCLN0hgi?M9>Go+T3rK9p`(^QKcm zRy}w@)u-l-4{rQ?L4~Y@9Cg~RKSd?{t23iZ*YhAybl>f`{Sivi%p~e_YlGm9vj`49brmE#QW1)uaFR~Bp#rysnq3P+s z>(hbuy?E18IS`th(&pDs%TON`ti0devvVhS1l(U9usQ&eQnN{|v2U1ml0 zbb^#eHDu8;hjH4cs@{=qT_#N{CchW8-aL)9E2NB1pAD}ygCb}3eP;DdPNoe`G!n1q z-!Q)Vo@sziagTs$L)X`5N&TMtbVi1o3vzQI{!xkQXrtH{)>7ZiyK!x9tf~=pj7$Ta z5y|Q@nhgF$g|hve9IVo0F*Bt%O1am+MHD{>sk*T2q%wP2`xkT*Pje5Ve27~FB&xXMHb2clUcqlUyhU`$JvMNDE zfX9oRBWF`pf~mG}DdK@1mF}M}W6Gst%4L6tC@S5|D%~U!#Dx;X*4U;+xTa8%=x^XZ zLjD2(6&o0hV;PMj|9aj37^40$#4k!?4{a`pI*e8El3on;n{N}`wq-rl~1n@52aLd^hn=uq>MotczaI>^qz5E}A6-f_klOAdg$-m@^{tpCP z4P5oM)6MQ}4%A5NkOJ14!U;R3H1nCTkQ3p&G3GRi(LTLRF-PYj2AZ@@_l+l)mETK= zjupKQpX5i|?+#5`_b;b}+4bz~Bz1G%cwz)iKV{Ne_W63O5Hwwz@y0Xy>oFUn-g5Te zf`%TJ+Nn0R$ox33@ffSGx#$R8*cV7^Fn6nkmR#S3_skSz_p3fL7MN}6BEBpXqn;I{ zKJXQh4eU|OmthN04M|mfAbC(J@y14ak*?9YP6+s}!*3t?!vm}$KbOs^4~S&hYI}@F zX|h@0f;EUqjsj6ZD?Ke*0JJd%7}f+u1`Ky%lCrm80%Tx-c(4F< z$&@NCxu(1+#=Xz6BjlA;G=kS2J8d{hvzf-RArwk$oWX)#f!?i{?> zR7;&a&E{DOQ@>a!uc##}{50>n^2)`bC|>jv1_k8)JFT_yirb;+KZToU)otZlUvJAc zI2HX_@V_X|?T`o%*0d_w~FI2jsDXw85R(Toj`u*O7YL z$G^I5rXc387*~(GR6*&{ub+-zFrWx6SEb$CDp1;$5qdbGe*f<`VyDM1X4Z(|(C5$Y z%x^*FX9@W2ZRdYqoL1`-m{xnM8888QaiX)Gzp`NXCnW|tl;PLtde3sgaC>Z62B)Ur zL&Oq!4TZsHt#4)Oi|?mM0*ZrW#^T}1vfKG>tjVn{mO>9-S(_<6EzU)-9=hBDf1>R& zkz@h%YY=mQe}Jq;VJqK0IHXvX>B$8-iYgc&QO_Y=q#O!u&wlMCwBu{Xv!5;f+EaWa z%1cq@Dl!sN?UYLkttzaxkhN31stzAxTwKm8Ev%p%Q>M5$-*~osK!|hZV-Qiaqak;V zRp84FsIsy(8d?66f<&mkalS^D-Y??I@-V2;SV3`?zr{g%i|Ee)TFrQ+757&xByvJ5 zfrZn<&30Zwsc3|9haQR5^KoGFsO|E7){)59Yc<{Lu17uki6!RB{>|g7g6yt`DR8{A zhs;^gSjOU8_pmGQ4UOj;eL|fnjBIQ?G*+UF?t~N%eP%>y1CvLGi*uMEaGU3Af~l2F zbjNtqBM7<+LrKbTVl&QA=P&21(ZK@KkE3IqWOp3g#F^O~GutNteQSFUQD*VW_|T)o zxwUBd@_jc8*J(PnsuE+bP`bpqVVO@R-tUY2QnZ|AWI0Xqzh9V)kVVj`+5C__uF?hN z*UgP`cZK^VTopO1Q={c=*Xh)fFtD^`J}CyjhlJQ7Ezazf6^bzj+Uz z`Z%Z>QjDC|8d3haRQpE437q+!rWpI)8^61O425mXG9FAzsVT&W3I}{~Woq}#M}>q1 zbBhK!{HBO5w6YqmN>EWwEYSm6ubk$tuUeZ9yW3n}mF?NGy}ERL^?Dzf<(2g5V{P3s zM#y(!Vaf-YLMvrnt4wppx-tR8KX%G~=*Yde$5W1!JQIpUYUFeO$N4e7O$j>BbYU*j zOy2lh-hQcK`=|7_p}Hpt2&gn?yuQ&im{Q4FCYU_s?;lmxAN<0jt$ibZp+!1zC6qU* zeeawbl2byfB;0;4((E?#H9NzL4>Rsv6l7$RIt+%}eh~Np7JL33jSELQvzh8Sv5i+`0-w4_q&1_qOxOkMz zCM|u+)n6r5_Y=uRVu%w}NoNfa9i_1baEu~pQXA_VeG@N8sr*(|+Vh#oje>ZhXH(L( z`OJ-13=1*8tjioL*5D!g>T2G25`U!hJ+H#;v|U)l$2o~--@A`+%AN^73%ofK?-L#| z8?is}kU>#255J#3UrNW+p_u=63PM73oMGY~DK2Xl0E@P{fjt2kPxf9kCF=%Q=4NQf zYqSPYc_py4n<46fddHbD2^1{TaJFJI1LG6$M*FCilzWsi8tt)%t@VA4@ zC)wi~#MK@&y6?N85zS0`JX5+qb9ynMFx3SX*TGUv)gDjWV$Q=ywA*m)cs(A0}X6k)9Lha^y;On8~qn zDLE9jo>s^#4+Fe*n%U3668UyYf(blN&(~c9!~!{M#6;CYUVGW!uhIMy2=tfcZd-Vo8%FiJJHHk)7t^2NneySSuE@u%%E$O}sl;)qU=2%X4omRy z{=W%;{?f=|A|tB#M^^KXWGR92!x9YUG*0F;7{3$$7)EnN*8jdfC-(YqMfUwQ{4Q_e z$WXEJ--n!hc!>gdn)VEw3VgpKKD^m|0ldZ1lyJ&V*m{13m!xwQn=I=UXBxw|4AyyV z9?w-Bo}2!9n{)8Hj&+(n0>4X8;xDC4$2EpcqvMy#fL`7x!n5=Kv*Xac*u!m)1(tOT z%~E4cjF95f0d@&JJ|)cHL8Q`@2X9y3{bR(2iPz*vz*MvL7fSX*W7y^}w%pVjryCA4rIYn>;>pymb%OUkAemN#5@dhV^U=x~fC20ns|K6LLoDe>}NZ0=q#q(Y?kbuOp zyDWRiF0Uj(Pwul^@}}CO^%0q^l1Iave4hscX3!O%9L_rNugtm#q!W#2S&Jy54;&w# zZYuNNjcMq&J!I#okv9DKDI50oFdGJ*5%Y(Hr}Tb($&dV?zT~Km??cfY-xY#K*M|r* zl>(wVvf;IjASo=H9!Ug3J;$_q5K}&Ni zGGna20`vXk7bG_|Hh-ipGWL1f;;4~fJde9`^VnpX{{T~Doq&#t+h=h6R~dq;E;BU>1Flt)}3?#%XtAsty%hcdgR&1GEjxCYQ%07-JiLCnduX- zG}U-iyt5?3(#UUVdI}Isf~4D6e~F?NOw8j?6f!k(jRTM_Q zbluO6)dfk9N1=d@avVz`K=UmM26sf5k(ogs{$;BG5@pgz7yla>U3_FU23vf5H3lB^ zJ@tu}H5p3t@}!J!wVBs(i6Y5hdVafZ>XQUw`fXMx&uEGE#EmTa5VIQC`#ru#GAo_ ztZ_N#6G|UL!z-X$+4z$wVj@;uSb~kS=0kklX^7}+JV4y7W|zOb{phG~KRVm)j8hXn zP__3S@dYmF&Hcw~Cva1Lje;tEn0Ih}aBzKmc`XJdH$`1SV_kywiqY$@My~-NFt{H1 z@fyS9HG;YXelc)=@9XbtxN8BC(20=H>4DCmZaifj1~IBy4kDpi&Pn_tz=P7&wUGK3 zobk1_Kx;ps7HI27)&k3Wk3W%+Om}z<;afX8UApt*RFtlrR*b#Y78@|cOr@?O>(0=> zuw&**d8U}nwdihZKxEv%ODeA2^%QB)E6M|_6jTNMQ%8aE;B)t=o^J0U{TH~u-K zIGVUoVMY;Qj#mZ9mTV}NY;Fa{5j4iicT`>WVA8c^R4%AFdq{F{_&5#e8dr@K3w>cYOw#KT%=IEJE+?4Df`? zQXa>RyTm&ZDGx>lcg_?{$a75@>IZ*AS|{A`_oSX`K*;9P#j-KitV0P~fHbYVy)zPcv^*bjd;!*o}QgA-Z! z6h)M?m;YG)A;q^(d8l%84uv`{U6HN^u8^)aH9qZ^Sz>&217N!Pl>67nta8;cI@V9c zb~$P+(w)R;th%QL7E&UDk~lUr&NuUhxkA$QZY~>-x?!(5L$qNb$)w%7{toem3mf@o zLKaT0P55s}dy~j1NLAqzW!w@giF|!9l##)F?rxBLzbXXM5H;&QN0j^ET^yUxv=+P* z`2^OSwW>L$1cZ76O-1$1-<;{m|;9a z&wlv>H&0n|tWI6{DqB(w!oU1aJ`F4$x1V;te7EJ->$@K)+S*V$;@{kmw&}QRH=Xg; z3Ug1uc%?#lnK=2uyZZGHBI)P*bBU@q&gKPFulH+W}{g@i6Q4AfqtY;8h?2XBU&TaONEwr=8EuMS)F`=QEW zV-IqYlK9N@>XVzRXE#@^o=aKe31L(HVve98knEo+{xGAe1hc1tDxFs794ST+Jay=2Je)obeF zY@x=1E=sk6$y~|qjQ70PaqtWizFUFk-YMG*`1f@&>&xaz9z(%$d z-^y0$t!KA#!RmF)8*@zUce@L>_{&a9IlO`vx%`uO$G#ld0}Ex^(G8N#br)$EKNUCI zcgy>c>}6rbEJ~L9XJ(tkt*G8pW)PoEL{e#jNOJfDbt^J0SFr1J&Adr&-Ey@~FTKl) zih}jh(YfTKW@JzEo$a$-dM6t<%a}QL@~H}J#QequRA~hh&GH`qi8pUr)(~lPI~80c zi#d4CowZqRS-GzTI!}n;`;9MyY}>F)SL;h@YgpB zd9?wbN+b&lPVnP`2+|gMu0ZWWB0{VQ4j7W0(#!2Oa3qvLMFD_0UGM;fF z6Yo~Wuy_!XDJ9x($jd)!DyT2&w1mvlU6R zCU+pwFcGWGjl|guJHTGBBxVG?42{Z92A<3gLzKbezAeRl3Zx_&%=AQoVF9;{uA3(qi;=} zfp4Fs9heQy4)_NiWo!YN4AMbm?4wjrO)p?zumF}2M-0M92XK#dz$(@9=J^FP-@_?E z>fBB)Tx(2no@}1T*;ilr+(fj&Gt@4f4l9?MH54ycvmSZ#@d23MoL)W_;={}_m=eI$ z*7=MYZn&>;)NY&?Nc_OS#PSf^mO^g%6pgGsk2T+#RYPEVS>ND3%a%Q6ff1y|O@XAn zb*le3zvl*-O)+2G3qvYfQCGkD7k}jJ*PW-J3-yOj_M!m;hYwLXsGNFo?qTU$E%EO$ z2~HG8-@$l0&&wrGDF885PnD)v8U5%-xWCt)E`nJy{G~PH_?nv#r|A|&Hejd$BBn43 zeo$Ms-!+n@wKscbI|B{@x82E-#1j6UI>njX-7PD@j z0LOQbJI{>W38g5_4WCl>=OBA%6Usqmxbb%W60NpJTzAf<0U&RmrI4GB06Ewzc@;Z7f{c!RjBH7FqBDh!PL=p9(-t&;y&|p)U_OkFmN2Wg!;@(%srj+5q z1<=`e2f8kzvYRnNP89i$)tN9!CnX>cW1BW)oMaV9#A}~2;Nipcz~UM=%tHnr!UH>w z@cSbm^cB)ykk}vN$}>F1mJ2DRF1+-NyPE-=<$5=s0uwt6B?tfX{*DLr>Xh z{Ho)AJoiW`15<}`;pkVFK2%zZ3jMb>kWRfWp&VV;Ox<7{cPC(Umh6S`z=>qN70LbC zz7lf!H>29}0Ye|vim-)qwa}8OHQ7IYPmf!BV0xVS>D9Y2C|5@=wQjFB>5{p=)(pNA z^C48gq>OnJ20qNE+Bij8SfueSFqRhD&)=@j_Pw(6EU zvF=`8)NW|s+)(6=9dpOpo~Q<8Y6Z5#7k1xR|DcPPKSX!BaF_s%Si`gS8DcRX=3}Jt zbu6Gu_rZq%%xU2~Q04sFbbWsn2ci=)sTo5#L<^P=?*eyqTH!n=)z~^C6!|&1I1A#Q zc$}rH714&6CBH0QbfWyMRDDf@`S$c3$5vD0;l%`b zKh;maZ&g~!8Xkq!Z|Og@eh2>Ir(L?h2B0A=1E}=HcPv70@!qqd&xE75$F-r5`8T6@ zI1*Xi$szI$Rt4HC)UiR^d^BRiO$8iD! zMB7|6576FAE!7l5lPOa3g_m(39FhAAp(^m(Sl%&vN-Z9(@$qgbF?hla-QAO&ps?&5 zEvX^2V;#yMp(h9qTS2-NUU&Kkf)CY5HslWidt|qn(KF90G*v8L6}@61XI#1N2`_Sg zjr(ifIAku?H;2XZtbhzfxS09{OLw;`6*jiD~(Ig_nbitBL3Li)ia;dMV2j>9DMzbVi z_!8)oZta!YyvB65>v?_Mzt$~+F=c(M!SfV_q`HKpnWK)xkf~#(-ycX*9vkavL;Y*F zg-dOe^+UeI-j`*g+3Wy?5j1#4PbEoGFJte&nxctPyzc*$owX7>R3ZH9sm`o{9F{K& zX_RcsvmWCMCG8I@lKqncEz+7oEhW-X+$|OLk@V%1sG#>~HAshThW4g#61pABP!qEQ zqvN+I%u}_roF&!_&9F->W9W^FH`t}+^jO`;X%ZAw;PQ`$Dee82|yrKlRPe|0@`vlS0tu|lfef<^T&4w$^QE%v8?Tl+$`0^bocCJ;i#Uw$E9kndRxfZ{&4Q^+*^M->ZOJzs+nV)6V{ z`Zt(R^_`j~N>2W9;flVC$Dv?s`y2J}11qGe6x}sV>7&Co;nTArdNW(eH9L0VPs# z6LO9^`BFUn6AHj=KxGt1(-B|! z0FXWzZGgy8ybrnM(5M4ltn9&%{*k*6YZAE&W)0npwjBl}c4hlPA}}nk6v%Fenl_|; z5-nvw$X|6kWS_&vp$kLMzd79jRZ1CycsCGMCnCxe@P&JJd=B6sR=aQkFK5Q5+rdB5 zu6RC#fxmO{uCnG_-Iu-Sr{8gvR7e8E!AbX;yseJcrpcQ0f-(d~eISg*)(`YKxw;LN ztgT%;S~Giid&p7r!?yk4BEh^gSiVo+gSELSI}4~oS~F^8-ij*yiXYy?X%c}~2XMsr zfXTed|JycfgHJ06E`nj;0A_TybWV?;!jmh?oAvFRoB5m3`8w(CYCCi2VDDT*;Kt?p z2is9$>*J&8lP~%j=i5KO=s!K*KL4Umc{F|12GZwhJH=Ejp)zMKZim+J>6eZTG@&h=X`^=DrO&E zRkpr9n%-@MgjlORE!aY#q8wYwYi`S8ue@qmSH1ZF$a7R5xS_M^ZhcI7?Lu8Vn+qv? z(-kJ$?-07NZwmgbzy9E+;dc?{tK(cQ=Mwo}+LV{vo^Dr1jiewvD9vAA9Zyr6KOV2K z42wPalEm*BaHw*Q>NI$BY<@_(+G?Ti(^>*;omZ(awk$%vOEy>AsaRDKQ0lXb?Ig=2 zigbml!p^J1AFEuGi?*cISC%6fSI6^fb39{n*NIbm`;U(nS1VhGPvh)uw)O24Vt?dp z@=VKN#~d~K zq7l#2?cAQwstTie zb5zMu0Z|cH(vovhNg@bK21$~WOIpG=@IUW8_k{a>_q^Zp^wxAuRaei>?Dlk5|GFBO zU2k$8K6u_%^TTw4z;jM%Z8r+yl{2|gNa40y?}34$18|-$d0ru>DWh;tJ%R4&Y7(+g zF0FQK%T(LX2i7`*9Ov+=B^fI5-xVm(TATG^s>2_6m73$5)zuP~A^FWtZ1Zj!j^Y6L zF49ck**qTan_UdulTyjMz{@F_gQLOZ!~NW3_xE`vyI4+1&X`Wq$6I5@>H(DWA38Xb z+bCp#bC)$w@_YF^PdN0xP7763Z`5&i`P~07-&`Qv>e*5WxLd7vWa+h1z$98pU|!ZC z2ZQRU{akhb_4&u*$b~KKHeV^%^I}Nsk|FuMb^oA-rt#D7O`a3*`#WaJ{Y%It6tiSZak}6|X^O&^zV)uDu%Axm};(;xfnrlA)G(I3! zoVfj9?j_h^)e=!^HdV~SeFAn{%PuWNQvxLjj}RNDCf2d6jLH_(s}DFa4E!=XD*aTT ze;TRFPE6*ZHVd@3f82_HN;RCI4~`9Mr$3th1v>tYf^RcU;woftEWJ7y{~_3fj7w9O zuOhu1r`C950q)4IoVoh~LMsLopE>4V54tI1N^N++ni+jbIeIM-{xuX}Cty30$0o&N z7#w-@j#w7pQoiM6{NpxgGT(8%X5%86i~?oA2x+-Gik*0stGt#bslR`DELryW!Y$Zz z(|9tkqVdXjJerXALF8=u;J6QnmcJX=l3Yx4?HzdLPp>?R57u8!WN{5CfI2#X{Y?S( zDF0y)ZV8!iO;5mQfmJiz5Oqh4l~mJW{lk6Xcei?U~R zsE>cE#f4law?r`5g@U&xjb`j2!w1Y+dDj=;s69QRa8RKsdS&$B-6j3;`*syI*QFom zk_(}F!3%l0fvh~k!#RQ~g!7!Aol$c?j?4mq8!ob6uyDFYdRqA+)6fhMqtx>&K+%s+ z0b(vr#XNq#K6VuQ zN4>pQPYQam%#B^3N3`Qm(5GV7GG!&?#~-bk{W;K`-$mOJPl3u`vdpE{=3{=v%7>oO zorEH0Hw?|bS3fR#%?o=(MuCZ;74EGGk644oMBj?aoeBSphypRuTBPtL$}?Fc&SX&6 zAN-ZtC$cHtjiQ=vx=bjWWt(0aTU~5FsNfb1vTl~M_{i3LYl=GY9aSc_VPE*E_d`z_ zPqTA3+8rTfo=DB#XA=GsMzaofG~-YDhvvcEhi(eY4575-`FlDCFZ#kDozTYyc?6fc8&QDfqQO)qb6Ec zy^l1J7k)Y$3_9wG1-emxHY(%#@Chl1mzX4c)A6%KUM?FG^FA2!|M;r~^cZ^J8;igzIt+FbqbW zLz(x#>Lm!gS94BqbtHK?-F8(1TpTKQPvm(`X|E6eoC|BW8naIoS4-k@FyTw(wbN^D ztl=a!Ep)$}I8EpJn5BTJeg=99KTcWzS#9B89+?-;>95-hfv77=w=6v;fE2A@WyYgF0z_}4h1Edjk~(1F@9PJQz%;eS+0 z2RK%A^ZXxFih~}!7W^`rFP0A@{4DTL;hHP(Z7XzfSczcmo}vmy(V168vf3^xMirOS?7Gh zvSiH2R%dL~Qs(&h+iku9bD3|wCY3+5aZW=ua;-(=6@Tq3J%$??NZ>LemaLKV7;V&L ziIs1AG?2-~(5?~VGJX5UJ@`Sjn6TOLIMa(GsHKz zb^ZDg0bf6BXyE-EVgn}@gcT@cR2BlPx8AU>T7f^6W2cxho+HdcJ3#zv;cD8>?aliq z#X#1I#`c}l^w%K^nwAm-Y3owGQIjirlDrdbNn1=#%jc)GAXqY>fZ7R>3P9h6D!)|aw&_4;B5VQl(e%%cYh;h8? zG5+C4%X~1&dAi1SH1h^tt1_PXpiZy@P+%n1VAYHX zINi_C41YUjZU0Y_=lrvf&9Fa%{WG5M9n+wTiqmn> zC3h)0)c%YPkALs1+DaupM9{Pe_*JFcYxY7BbpM)zJ?B2n54+L9Jt<^R^i51huaZGk z#)TJo8kud)AvD}@zZRWJr1SZ~U58gZW4{{iW{v)eSd1>XXDQqWIz4P2!OqY^$)Ze$ z5$TA7e9Uwz?YqVTQkNDk4cqsz(vQ7E`yG!vR^aw7z7}kszkwKV!fgoP+OY!Be>=xk zoEz3pVragU-p|&t67%*ARys>0haISjaKFn5Zkt_jeO6weKHje_w4S5`#&gq-1h||Q zQ{i%|Ibf!nMq_|QE(|(WK4QCnlpQ}i=7_W7Pwfjsh_z0Vp12bBz@?hgdRZ>7Q}>i&qRv< z_XN%A+qr2ZH!i4Xm1@vZw@E~doHJ)rlx^{b{$aZ~Q-zJ>nxQ_5bsVkdn)%sJripcs z&EN_)kT`VYn!Oh5VD=6joW+GNsKUPHnn89jvS)yQ;Qm7Vd;_cCrbelTCemk&Aq6Bs~ z5au|0>f#??hAQkmHV6;wNK*n2DO#{e6DYjCO;xPPe!sJKT*xG9L{SXS0OO6pSFiEl_no&e5)94Bk8gL_%jP;|oNo@UeI`K#ITg}-HfmV*h4`gTYT;s*qbD8V6F zg|!jz;N!tm-mvX4@bxHXelpKA?KgZaW}!Du)4dBHzNP|S<3QvIP3F~^`Om%ZpR@6A z6?)B{jq6kzU&eL{H_y6d36rb7XAYBdt|2AHqFRMJBSqN2nVor)@o}SFE(fKS@!QYH z=^biMD63OB(Y|b)Zq8?LN+W)tO@?)i>t_^04Xw?=OIZN~Kj&S&6?-zgzM&>wQhFid z-9G1rz07319U}IVNF7Gen3kf zi0ALKP`W@mu6G#${`Q6&RUAk&!;K)rPYU>gTx}dbW$KFkP8>hdHgf#za#ltb9R6sD z-r*{>tsnCmd@sgEAOV?gx#!emO0Bm1rhY?%Jx0=ql8Pf?yCkjmXz_>MoB?Gvdjf~v z->FJk?`m2v8GC|C8t~WQ|B_-UFRh@2e3&KBqQ=;wI(|fpdO|PB@bx{s+ zY|f6ZD)4$Thigjf;A;GjRMl|CGwrvFH5jju2yNQ1kB`uD(|eCU;>#UaE1_^Y57t^* zd5O@QEUN0fIatqWt)E|4-n=!eyreD$2+@M5I;-5I!Q43m7e9M9Kl_gLvQz$`G>a_? z3OfQK=;BfTYeS~vqRTh_nvx!B8-_bdq{l^A|Bc4_Yv%fEq8}H5ng56a|5RXQS%)Cq zPa5p6Jr%!gPlo~Td+Ln93EQsJbh~2?6W4Kb$B}vDH>d!GD{`UZh85WTj1?x4;rRY2 zo!f=QZb22?;DI>A9*tv;Q|0aq_3w+CopviUm1hrJ+F0vNk1Mdtjld9NJGKjCoj8LP z_(lUjqtU=esYYfxJcVe7P;P2Rw?bT*e*LVI%^lr~fs0Sh4W`;N6_oYYl&s!V<)5f5 z)W9o7WsEDTtouEA=g7lip@mDXaiu7Scne4V??6%Cx zSzlkhbW*A|Q{vPA!LIk?SsFhijsG>%U?|hzi~s%U0*mjp!W22YJ6uJ##LQjDI`&nbE>#!S5Ayl z3e-^u?9mmd^upw*DSBaR9)3(OoNx_?GNL*aA?B5V7oHPeB-|6u49inu>|cb~zxYL&+AhHQkCfCS z;e8xBOUve#?(Z>0ggY&}Epb^l6vV+=Fn46ij~3`xs?$gCWA zm}Mt)l0?1^Cl1(NCm_(u#Me440Y6>nKljH&So=d*v9Uj~V}GKi{!sN-5>sDUc#^vE zBsC0OI}KeMA74u!UrR(--Ad#?5>VtB`ekR5C+eGiEgajvW90@M;}|kMHN|Q44-bh; z3vp>TU)Wh$_{Of>tBIe;Op(Y8wi$gnczrn{*ypZ~B>Rd{abcFaY0(Dwi7u1RGtBIx zgE#ZT7@7W@N$@T&_}rn)P;@EFUb(}C-ItBmmo36#_5v!yQP4C5wR@A}*Xi=&3mHzA z2EGyS`=Xloyx=*IFX_IKRF`wct{RAcOYeizH%MHmw=nJM(i>U*)u_qT6hI>AG-1DiBM z`kmB?|9JJI9nU`ybm-7PD|Yhc5l(v&mp=WdkLvfShcOUlNo-@z(2-i+Q2LmJd^(L! z$UmA99L3(6Rp?dEeh9va@FvmBg};Tc-D$}m-?wL}R%Zx0{V03>qgCbN32zcE?rK>`Y=KheHbFuyV7C^)y1{uhSpt1>74-$knr+p^M~R>9rd zc2^)+cdkoQujsnJF-`AZflxw0GlLpIwZR9aA~!bws_pb5)1^$npB)etbabJoW9rX z^t#*WIbo9u@lE3N$__<1zCt+Ov8YqBsCyJW%N#TNp3W=#!9NNfcq+&)QlZB73)y7l zWshPFQidp~O!o9zl)ixugII;KaUA_Q{)G6hG$9qnB{1F%K9_rXc6M95t5k0!kXWv0 z-gzQui7-%)rt|&AHBMqlsHB>AV86az>G$?rT6}iDt>}&EhmyX|@B@3Wv3o%GKwnn#uSX6VNjru~0uCZ>K2vw=7 zXRlslf~JI}4<}L&APOjb?T7;a!eJd$TXJqd_62^ym$LjP)B6DOt%5?xWJjr`u=;l% z2+}E6G>|_IudMW(Ptkp2;lOLUQw}acp62(Dbs{+mlJD&hcZDi(9Cw=|80uL-C(1X{ zj%Q#&EROdD(F#Z5R^Vzf^Ke7l{wJn<5}Bzmyg$h9mg|FnWtu*K+s_=S*%1+#20;~t zTakp#v8d^J(HBHqGw2D-OzdGJnT$jUs;|ILYr=+^(0tOe;>pDd56rxP=n#t7lTql!u?Zkbb4>gvlYhH{ zztx74>a40(-SyJ+`8jGFFt2g${w>OqmrVr@IxVUnu0#p-gAv&da%#6LU3%5OomD>q zefCBJ8nmd;Jk`~Q@~SaWfYQd|z&PFxyBW z8&ynp{-g3(gfkq*nLf50w#m&2`nHNZ6O)+JKd=d zBd43-xk7j3>x!BQoa*g`R6)I2Ji6`6r>N6h)L9k~Rq08zS=4MiM_&KkT6%niJFuZ^ zmC1efBGn80D0s~x!Ak(Oi-p1J5q5*7wrUvuWx7*l^uu)x$;VLl zX70`vGw#)Uy7TM@=h>lq&ad{I?{u~)b+$di`5y$pUy>cE6YumrE~0_iw~fo67?_3$ zFbsS;6TT5(Q0C%(exyjGdLYe>x!$W}g7covQ{*e8<6e;z3oaw}i-3aTwTaaGIDaJK zUT%TZ-O>g3Lj3>bB>#3y5Jbv*tJgDEizpW0~ zQDYuIePLk?9xlFiH|9P2i~{w2V`)#}{A^Y7N zGi1*lq}^K)OQU6tEdVQFJ<>v-{%n!0?a(YrRncMe5#ZI$8faKuH6mDbN^h9(y*ldN@qyxx+W4EO;Ra_NKirQf zrk{P=1#$|u_E;W@{lO5Z^bD<%QB(IiA-Si_16NfC*WhnH=JStTqu9Fe)byoy3(bNm zeZ{r2K)lNA;FwU;2PyS%29#>3#=<;f-#L3AL`Rzm zKbDJv?;Z(}T&SXFSso9MO(lwznjB4h7cdyKv?z!uPn9zB*zq6&9X3p#ufu%2p4~XT zpNIJETXZpYsEA4~dDw#F{jqFSg!x#G`LP_?-o4Df($b@<;F2%lC123;7)bIMAU?6r zd}7%b9`P>zqadxZu5b0QUPCwf^JAxR5lgnD>>_IT0Z{)|KzgBIoIi=mPn^I?<*?rT z1=+8I`Z8Bqp@i5j9ojm9!6i6YFhRt3M`NGqOm9P?Mo3M}TE%wlv+tCMy`-X;_5Iev z$~x*0&cr7K{~?6{)FI4?xAq4I9Q(-$EkF9l?dI_hH?j;iC2PlcE1fUCl>N+q^c?)q zv#I#S@ehZm_M+)flIT!E^lYEqq=CvGdhJgwJD*zKW=p_jOThfh&-|I64E7@f_78%) z%Cp%Tse>QBz0{h0sTFpU2^$2ic`Lq8PJEv_ZZdz-Dhlm7il1hDpQ`>wc?$c_MHMc3 z!Fe{p!cVe*PGExWj=1HfZ?rbkS@j0nm_d7|v}RrEqe2K=xXobF9CkcfUh9yiZ7SH0 zHcnZZ_j?!(i~8muNON;|NlU%`Q3_x>WBlmP|K>M=VGbiIOcpqz7#-jm-(1(?cV#tL z_|K@_f|iymYjhpcu=4|Au^(jg3+nvEk7|0gWy^fCr0w(Gf6w}H&)_wVTzhq><|yeM zhI=Xi!@b*AkfZZNJ`5w?@dwgGdq4D2aU%An^*o7UgIW4M$v#9IqJb`cZ280=@xU`t z2FV|Rm=bhcBPb+stPggSaBjG?0xo>}Kiu_upOH`UXPb0Y@@m`pYEklXlEK~WY3}{w zvz4WTJk#nkc@yQOXRo~xYG%Z%;CB$6*z`8DJt$U!bHcI*%KW37-ze0ZOF;r<1 z9u&uI*-+(Ca z%}Mmy->|o*`{AK};0(St@n#4iwbb_yU5a)IiCuZ)E;+aq#1#p3lH(m@&$}(2n(+WV zh67G^JVNrCAc^F7S(`zH9yeKSFpv<^v!%rGQfWnc<(EU;MKymzpgLHs%Ix*&2)J^AbLU7X~o&zD)0+EkLHtDX<#+(Mr$z+jL-F|j zz46zIWFpt|Zku08;gMfpZ&707jO3ysq+$CcXYQkrP6!}o*)6vk6cgh&@#yAq9lSE!d7^|{Yp8&Kz0fo_5_T0 z2F(hg2H_(V7d61$&m=_OgB_D<>D-=H=a!vCAa z8>WY8m@NIVq0xcEUuCY%asHE<;(>$f*J>uk8;N4NJ`!9Zd>aX2PXGJ|@*rIq2_a0k z0SN$J(67H;?LXQ6cao=hEfe3vMkPNA$jYN_e>k?sEZyeC!mRf^5RqX%Yt;*z(CfvBv4F} z=L+%ZRq^TNSfCJEq^Ju9{zu8b6A)}a_30hlzqb50^ zFDdSDJ(xh9f2{hZ0-e7u%0J9{Cfa%?DAsyLVwpnC`KF5Ni~s+&@UP#>`XefxJIHZSL+8KIj(CH11w`n2kM)=Hx-*K4QK=u{SdbT5l&=e>nhmGA z5u|&ZLg)eJncu7bM)*IL`X@pDvV7fe{RmJ=K4|&oE6=yk|BSLqV)uPu@B2XgCV=pz zHzZ?LC1aMu%uC4pCiQJO-L7uJt!}~`*kK;nA>(e!=58`<-*W2wFG2eAGYGxmAkVer zUxfc!YL~ld)PDcqc}0)%1-RXlq19g8V+t+-Gp~xyEfe&Y-o7q|cI{gx5tA){AK?xSd2TJ69vZC5J5pW(+yDIj%5D>Ap<~DKY5xaf^Y1A z40tX7rXKvVT3eQ+fx%(bG~b>fbvp(Hy4tC1zPtTk+ID_dyMm^*-ow_)cL4_>wVo-d>J~2l86KCws9Oj`q0@~KV@XMoU>$)ob!FR zk+r(|-zxRLITqdtExxM@j++a=Nz~*mm_~n?jsB3ijAXlv7`Dqfcgi{6CU3$0M?v)% zK$D6!ei#@ekaHW1-ByvF44F13Tw>{U=%wo&KHm+OTBZ?LPn&*;rTXpW5t z2!DD^0K)aSTeiXd^xQvXF6F^0JXyjxMDcd$J{%usvqQJz3Ud{*dFq93(Yndfp*;&& zjhDj6s2`yS!{OGyYYDo!P97-jy-fkYK7-mZL-<>=--!|2V;?XnBMfu>AB28OReGuc z1}2ee&i5OJ&d?--_#pr2dk+ekl;jJq5kf`T1f8&Bk2q;?my=(7HwdAD7OArV0@R`S zPK=8+m|StxKh2IfcyxnBuh+}$+|6*!Y{Ykq=3jh~hmy8W+CUSs4F=O@>u)CP6 z`TF>B{&6*cZ6yhKt`U6OO8S%IGEFTsJvJThtOCwZiKd$YH z*7QLyb?B`6O|tfy{PBu!P(aG-e+Dqp&n{?sX6s>c-Pd4wOX>cIBy?_MAHKOnhy4s58j$3Uz=w{O6zpcfdEVm zHwX$DMKqYpkcCo{<3A=~%-Yqk*&!a835#CkBpMWtzG0Z&H8e%9(#rCC$Jp#h$-1Zi zM-1?PQ|O<{Xzm_TZ<9W1WOybf8+$86k9ZQ@GWoO-yP>=3an>voi?4Um%VWAa-*km) z|74aH-qqu*~6t4xR4OmY4b^Yf76)aAh{^Gza4 z&d5&AFnnU+ByHhzI|gXLjcLIAcapygj__kn<%cGYo`avahe#9LUX`2*4wqIz*H(D@ z=~VYk$~mmX9GYMrnjjN4%@#H_TnKRd72p_hSR3>IDggfTBL7@MV>fE_mgU6&nnxy1 zSez)OTyOiu6x#SZ6}>okaIFo=_&W|Wj;Zik8whQU<3WKVCJih}lQTbm)M16ml@uBN*=L4AVPqTm#|Q=xHv!cp+F4Y^aHxDOe;zZ%Bz;SmhCZiKlO zSFq2q22%iE5L68H!_9_qId?p7RzalK1qb?)9Ib5YX2zX9(LFqyAgIqeJ^B7KATChk z{@l-jsKzW3Lsc@+XGIMs|7A1?(NCP;1m=I)sXB> z2h8g%rM51Khi8*fkwz4U?%}|TBTy+VOimNuaEQ{j)4YG5Q3VXVPywD*e`-7!V;;9* zr}{UZWW(;=ps5oR_!4c%8hh8(YW6~ais18}6o1%`z|_v{v@#IZdGWZi=OSJcm|PKQ zI*aMIbZ&T@UH{l{;lSb7fkQ}tbxeOXCg(6S=P+6QKMH))*97u@9e|F=6NA2-es}uP zwF)4vpRckwf}DYKxI3-COEaD$J<9NfL&M|mht^?7m_;92)(0Fq`C4#?|E&7Fuag8; zGj~v_PVSCP;uXL}F~vxt*0db@(PS^GWT1E(=An^1xMD?bkG_X^q}zZI^9sIS(T$3`(z&>I=97i|2%JpcrjR(kZ#NN?rj`{ zhHPp<^IfFJrEHcyNR&VZ&kSyqaROp9JP$?Xz~E-^#%pKagu}9JL2DoQ*5|2$!n-)z z-78`|s&a2X^TFPgZsm?F}HMzjg z;>FUr&VKeGpJG4*kpWHd9h^_rA6^#dCw3XM(iEk2oNCx~bSNR^Pm0m?2z%K| zgL6KZMh$T(=Hhi*gk!D^t%c5iG#8^$iCdu505i{-TisoVZ&rvuzE*Ij%9+=Hc7|kpGw|7hA2KNht z>(tu~W!nuOE^sg`aNOG?yW^vL$0rWQ2a?`|g=Y+a3C6jQ4xP($3T~r_^$9< zBx|YM4>a$KsgC4J3_P`m4>WIgjrNu%LH&m2VgTAPK<}JJ^3DbuCQ=(Cy#pJM9v~+g zBfql&!9+4)BIPiU5us-cp=Z)kfkaY)Zwp$Z3tH9HP3gLuJNsy=racXy;|g1Nc*7zI zYRfwl{ZOpTCQ~j;u`e^cw}?9ENMw$pLrC*XI(L4WVIQ>(Um@A+A_Jd6&(DZM*MgK<6i$0fhmvy(=fW(y;gXBBjG>n`-izM0{XS+>ytJmuhP@@22dA%VtRB08d=5Nb zLDle`cDL7_B&~=eMN$Sl9=n8NDP=Tmf8if=dc#K3MEAddc3i-6peli?VJ~9|$H)fF z!cL39qS%g2uh)NBe5Kv)wU8v1Qkg5epbGwZ^U?=#@aTA@@&TqtHY6#z@C7>x6lO`R z?wlVWVY7!9CA==ha)HmZ|LM{dCM3b`KYSn4KO(e+A>9EN?>>-9y_JBC?Z1=~*0TSl zW6r1B%=xh}f-33nr)@|pX|{Su8R22#^=|&h;T^bBO-3|BBIc--cwMHsCqb>V<9e~j zZRhz(#lmt1)|Kw1L0kJ*$EH_j!&jF}km?r6FTmwWUlX=jqj7W+>hLK1BJ|3sX7jZ% zkOT<($dmN>^MbLaL?vGUJ>PILP39{N6grw-xh?B`3RHWuFnW z9D%Up#CyD&Ihq1;KFGVCTTKV6G_@C=yn9%Jb~19K*UoktY#EgpaJ@rI@A3M%`y zMjI}@Sd!M4d1xNL%)LKz_cm^+zT;x!phF8MpOI zRW2w?dXx?>dK=%Ow|~z{h0iu_80xk+y}6CHVPo~U(;PstyBxikD!J4naKezT%>X{U zZP&}Te0(*L%k%d^N!=OSw0Ulf+(r+;2Im;(&7_&n)6USJwD(`ZMmMP69GqVrKYSGq zwy_`HtX)a&AaD8VH)9ufvn3&X8P*EkfRA}}L%7obHD7X-W2yX$RAH;8(d#Y{*!}%Z zWXS_O-SEXnxYN3KyPod^Hb-U7pa{BvXT)9xq+-XQTa5^OI8g=7`Je22z-D~=8N)m6 zcGWg9O0ba}{UkZ`x)c2GpK-@~DnSjz<=ea^EMUJ*1s%Z^^I@gOXtYE;h?t4PhdW`W zU5?{BZ!zgyc+HEWJ03-qCPL?Y>(Pl&auR>ShW923s$O5LQC`gs|b zHf-0nsD&VcUqJ*N7qscnyLhzen0N7bfUp~>0(kzf1WLOvBH4z$5q4@Z6Za}-g9!oC zZq-txp;=G#c5n0=?R=;j#k309J|1JF4$5QzS{{8@fM~i5iaI4Enu)*`C|WRf-Cyot zwP;&-P=Je*JR3?>A!^Zt$++{R`+F=^$li_t5GYB-8>ySfLIUv2M2J&LSk@QXkn%jv zT!7r}dVbT~4v=G5?y~mo>ys|+zh><%EvGDnLSpcMa*KFuT^_Q?b8%gs(4RdhcT!7p zz2^(8y`6g-4Y8HnFi~03r2^JoDeZVhZ617$9^ntMuF*HVj1~|6CkyI#v99W*OTm!| z)e8gwux_V@yUFAr#1M`o_7W8MY+6mlacgprXn3}z$CeLH#nHLW1ok;Te2VXgC2%N= zK{)mK_zBi5%i?)0j(m88xf9d$YxF}0lU|D&!)Iva&qSgMnv~f@B5!&Nn;w-XF3!e) zKcrb6qk>Y6*`glZKRTe-MQDW8!iTqm94)ehFMfiS=TFA{=+PrO)#)}S)#)o5*Qxsn zuG_iYwoQa3+YeNyBZM7UT&Fm@ZN*|ny@*S;(+3Ff1k;c3@hG^CK=Er~NAh1apgJhN z=Kon;DF+U5oyxnGr(fdZ@uWKw;L)VF5#Wh{nEe2ertkk^6nZ@rI}|w8$&&%pL(~64%egRfFuPxUDUUeb!DwOCE(5Il`riu$L*%I z8Y4x5U**mXGb2awnaW>~d85S1;!Qq==Wr};nY(5|6;=rQANGh1)11ECLe_1a{hZ!F21}@3 zg$%Onn{tUj||5(U97H2PlZ?EMxdaDc8j<8ufx$0*VS-i63zIbRkRDuf+Y9bEU zHFD2Hw12_I^B(I-?8Hdge9*a;aog~IaW9ha_Di4GSODGVwC7=zaWs^B+*|?tpZJIp zb&>8DF-Gd%KRF8CHoi4}d3nrru)YiHKdctgIOS_x?bb zH?{Y?=RvZ;=y$~^cxpPI#~@k^giU1^V#7*g@qz;P8lx{5@-HpF2uObsXeD<`Ado3P zD@?Xm{~{p!Md0BwCBri1J-54e8szUZMB+4HMWpKy<8cG%GUcAgyvT|k(u#wt0_Ko? zLLIFW+U(YTX!A>j3?m}$=JM1hmxmL-PqqXMfIpPEe<*2w35c%Wq)~eh!_T?{!asyR z!Nytd;j^-+UZMq=tJ7r(as*a3a|is6s+dN{nh>ZX8wA5ph(D%A9n&UWbVU_j{9Z(? zFCuyfx++JzD&wLoOKy@{Is*wFAHYeQm`j^Tb3bu{v1E;24CTS#W{rN4@}6;rq_s)E z@izrp=`^3)?nujzR2Hw1E)3mf)hB;sJipmGdvP+<8$gvwV5TVLUoH$pT|Zk-XZNDE zaxQ+GOZ+yU>*)&kev^u#PT}?UXI&k>99CG2;o``?FC2+)ijcYsL?`Ouz#6OK=^NS1 zde}@U-aSVz4`|7do#-o=vD-?Q?se(m4rerL+u;Jb8Xn;3Z1v4sdJt65U(MNnkqo$7 zd%qfx{+`XOe!|L-d8K?|fMf5%h;)>kos+{x!W$>vD)iIUjL2l{kDK6CmTE zGxN*ny2tH9;gvTYt}_u=akyL((NX&G@ZQIW#h-EVUsV%c4ULwOVX^t;y+X<_e9J9y zGfd3w91mRIM3 z5gY{_e+Xvzu`}_k(?n;t5?!sR+~jq9F1BkTH;xW2*cIrb4<{u7M^^V^qe|5f{Tmk} zB3n{VR3UR;!{4S(GEO!V8m$MuaxFu7Y>N;5ib2pUKfpW2En|q(2~fF^0MaRvf3wv6 zyqKqfnRM?tjIu$v&{Cz4A zaCxR!+bUUO`~@XDb*jI82+USS5aRtn z4s}8|hEVg1qeFa=f@bIB?>>7CnHMH&cMsLqq!c>nBrwZKm z5{Df30xYTaK2AsMDL6pD*6(>w^y1H5tcCbR&Twjav-(0#uQzJgWmvh31$^gyr;&kr za_f>`h2lRwWd8I}Ui)4lYiu!VtU2k50o%7A#6Z=3oBc%R{0W7s`;cjXr9X)M1&NPZ z_zOugcp>t-tAE;u1Q?DWK^$xBJ}bKeOAX3zs@d^AW3916!blZ{^yhGlP8V{l%wdo) zcQUF5ZF&Q_Es4w&D@m8yP5!?57m_BQxdmBri7KY`{T1O)F`$w+M+pgyGW$M|yiv;N* zgR&LmDEn=i-yGp?S9xxL`E9(;rP>s%`^+yLlH{tsj}!CM+_}pzQ4uz=&sQHU7`;WV zUIWA+f-?2jba~3Xta@@yd&Un-5u$CeW#E||ZLo#ib5(5L+wlF8fV?m;ZKISKj^5U- zMDCs8L0#yKxtYX4)u;)-_F(joGzQsF%)6|-HYx@{W)v-j;7eoO%qW&gr-8;G4gz!O zs{nwT1pM!pH0z+&*uO<>D<3Mb-vMg5GHpzG?XFYRSnCT$T}Q{|5tN|M9|?mN(_2Sj zY19x7k)wpPoN>;?tHQoNZI}7^FQ%{@`FCf=q^k!#-KCd?q`M184`oH%^B!7%*HnMm zaCMYwj#g#;C(}l^!`@FNqpOyo>QL)Y#qFiTaE?ENkomQn%ORZG2KOrfH83~1&tv3j z{f?rmbRNUK_lVQM$?}TI`+(ky_$5Og>Z*Xm_r<~ZaTkQh$5B)Qqe6j6AUDGXB3*i@ zO-bcDZd%gzr|0oE35RDQL4TqPd+(7XJd(djX_U{~e+6kt-Sg`$Cd*P{@OqsvL5en6 zU*VgQKyz3ajmeh90Mx=-4D#e_37hTm>}t6KxDUhGHyi5s4L~gX+)zt#{VzF)_YOx- zX&cV!H470!6Qcw@H^c9(k{T=c1JFUw4eo#;!%2j@RdgKC1Auy#48^iS}*;SJz?FHaU&})F4UFJ=sj( z-6PMdf8Fox(@Bx{ZCfnE7yB7%)LEI3>?z?<3vqa$Ug*gr)Vh#3Jcc-21GiHaxAOyr zxmGAlE0kL!lsg?p1rC+;po__>XPiPACwbqa4TN}95jHun7}rkurErya5;gr|heHx$fpq|#A_4>_Yv{HD?(G5th2 zvdJtzMVU||Kxu1lTT~X40+(lW%%&Tn^0X7$cYR)%0#^xm_|vAyk>tKHs59M-ZItj$ zKqbD$k^cuA)aFRI$&DyZgLVWdZGmZ7jS?o_Ht@u?A*k*2by-G7Dp1d&$nn}9SXN8c z_Ah2z?d=S^lu1>9vPo65bp5{Ykl_KN@7Jrde90kB|7I$nZ%KnIu&7FlN-B=cylv-d z=d|rlEmgIVU&u;K>!b9l8k#;MR6h7Se$zC`Z*GGLWO?9rk(xgBO4A7dU*yHixKDj? z#mYDZlq%}-v^t0QTE`wtFEI?E9ZZ$}Ka{-%SXAHlFDyti(lMyi(A|PCfHa~E-Ccr+ zl)}(MONuBssB}t$AfR-I0-_SqDM)uS+zozz@xS-J@AJOTv(KEp*IsMwQ*&nTwLWW2 z4(lgg*!BlQ?w7tfF)6+Q`Ks%MeIpM&mQ~lM7|G$a^{>D*!JnIMtZ;27w(H^65Mf;% z%uDU#D%Zykd8W|AJH@M9wBh<;(sn%Nljd7wsoP8%C~pD{?-zOS&^&mT4#{=`i+7J) zsynO3f5nBPt$Rw_~v>qwrz!$coyqsNWaafIGF#+2yh2j*Y4~^E& zNi@W*535_8`Ndk!PWWwQ@tyZNFaavg@6LXnR!gT_InucX{-g*;}d;Ou9d6 zM{nyhEF49QvT55F7bOX{qZ_j}M=LTyI8hu%BD5bKc%2u~Ls}kSwNeu0ECtRyeD+}a z$xslZcXAOU9C6Fg%;0Tj_c1xxn&)iKy1+K=_90+?Kx*I-m~6ojLvesB^=TV;lPB}0 z?jHBT5i}Y;YpK!ny>qr%dTshvk|oc&9^jb~jLamSED(ck24pE#5SgGc6K}WN6Va1dk)A_@~oh4aQM12kO0zQ*`otzugOFblC)*Mc4$jmT*8kcc;sn0_Mw`y16ZD_hd_; z<-HSQS+;`)Rx< z!%xqwz*8ZlU^juz2C^^jdACE<+DyuBSe$i<-Ikt}Z*v=%YMS%69?< zww?PH-qZQ64Z${>xPjqMX{I@fPzs63+?-y%n1Qo0tSa2u#IaJLq$%3}!@6pIt^YPt zUc1tYoZQTQ5`y7JSm*Al(BQAXR!`l22AvzeU&Dere|9iDv6>bd9I+{|i_8Dpa7Yvc z308#w*=vswHD*8Zp>x%2vs>zInPodeg~sCXSPDG%Rp-;qB#!`BaU9m72Oq;$susuG zqz5Ser`hw7akpDv!RNdL*45qMtq-LCAMBp!A%lL;7FSxekCpfWILIAT6#+piQBgvf z|7X`;tfR!b-)Z$lXX-sDiL|_P@6bk-4*&Do3DYOQgB9H!egwa`Zu&RR^??yC+RCM3 z9K$G7>BI2T-vyXYLVw8L#3tG9Jk?z?8CMhE}06}zYEe2k~aP<#-MJUb^W2|%TK=km3v*cNZMZdg)d2`>m znsEz#gB2oxQrH~D-x}4~9DU`rcDHW-e%(G*-9D?iFOj(~MX5AysdVIUYVdGs+oKT3 zXuBMP+s!COqtWI#xIUYgUW=!|BQS+_?;N*_;o8F(tByndI|*38 z7>#22Z5)4qC#$zuwjUjAbV)L`}kSCE4-G4@KA0IbY0Vg*E`v)`j54j*N$gG)_ZkAwFj{di0ZbyyR#%|Y5 zR;``Ne~ehu+C-;x(9}XrRz<5u+DEMO%G>!nXryBKJORr?r}F6Xc7#o|Sq$HPe2zYt z`=>WsmHi|t@k&{?P@(lr@&%UUSEIY6Ik#%hImy`b)oHcgA++D|XWvSBuyp(GV;}S@ z_RELpR!a7*dh{eaL=Zj24&mbVZ)5uYnQY+1H@b2%2>rssgY2OhvZd;Hv3bNEV1iED zMwzj2Z4_{9LeRn-5FTqY9GjHjazwMCMzbMpvtgcPQM6@|j^L1z;1Cyd1qNNAit$)p zyy?BEYv>YYuhy1z=`4tBJ@Cy2YSjd(`$d=Z+3t`>G|G-@` zk~x}Qqmg6$?hI)WR*q^&|psFD?$rPVT^-7=uq zGQc_G0Gn}0a21Vr6;+dXt15Aw?Pe_0mg4vc^X?++?xOrs|J|kjuy1J`8M1#1tvm`L zkKzx-zzNU1DUNs0#Ux*r(>QrlMK~exo(Uz*^7;3t z6Gjf7ovD9#JW4I8#ncL#RRa=tE_#v&!Y&4?*Yj2y@Mzlpd?xoc+I%_zCa;Je9wMH` zIe^k!(R#c6)o&^dp&hU9U+3Uv;;=ki4fG2h2pxKk2SSAsHLLFU^Lb3*@9b2;t0x4$ zzzkoAhcC#bZg-_p0MWNP@b<5BcSYiMo=!vr=1o7Hc$>Gf-WY?-85lQBEqN!jO9h{R zn<3AUR^mUNPQtxIxhD!2ACB(6iH>{*91bW)wN&Htq3?#t70QV*f6Swr8hd zGV|z^10qc^-{#ff_ibf7?=D%OcD!Il*!wqxOvB0ERJt+@=OU3w+RuS z$dcVDF3L8Vnh)Igf2e33K8OU`oDY13S_e-gGt`%gUn#i@THZNno`@GpKZA5Hf|cgW z&+cD>fAZT_jDKMI`0Dhv301Zy^W1`R7FH-L)Oe!*J^XspcZVy?THyxuskqjnfddYK zW$!NR@V2mU1BMPpV86%OXa%Ni#^XW@W-XB3)9y9*6S5QIN#<~Z8`sEhX_cr7avLOZ z8bA|y?kDiX^iZ?+P_urf1xtPo)*fo*1tQ7?qAd5YNcS+UPc3a_kFur53%P2b*nz zNzVpLRjaSB|5!1t7*DG%GFelP@pX06rffEOK(@(RqC+UR zL#UdlJhiAi+w}~JSWU`UP25;bbi4q>8}-xDafmT)m|95n3inFfoXhIU!3&JU`fy^X z?hvB|l=ZgWuC3njmF%d{x(8=&egHL~ouS4m7kfPCg|>sd{K6d({dTX@O-zw#rZ`wN z-v|Z2@G+|GUejMDFNEcn0zxVP+Rz%J{H$uSie#VbALzvIc7_O;a&S{jzGVcm92jqI z6WM!qjIwHWvD!wI`9)gXk6?*nYIf?`=oCTVtNz8U{Yx$1DMz;|SGOukw@RDaM2Xvk zE3p`sSe($KAK#;|X2-+T`Ll?Hap)ctCnwPZWR=c&tyA&*v|fjYv!sZnQ4rFrWbt}H zg`b^5{)^7VT`?Nd?eU9Rt_IgHeZ40bP$;5KBH8FuDA8YiiFn^ z=@Wv5qYSwq{Ba!$7M{8j=5j~*o&FU1_-^RwVrN#Ph3gqc@-VV4wR!W*Rna^SM%MVt^)zk767;Dn7PCja28QdTFQjnU#ZR!pD1Jwsv?i+Vnnur?W`k+O4 zQ^w#gA8u-}17q{%HLs3urC^EUD%ng63lG3GNE6&Gl>NA80e2^ayB{&cnaV(r0lD-R z5i0z_D*SCMw9PEEv+=}RU2I!jN}H&zFt2%-*StL3ogD7Y%(lB=V#%<6m;dp&5%ObzZP_gR8Vbf$2ULOTDUaJNk=yrM` z+to@R|92+XwL}E#ccvd+#Y=V}PZlsN)*(A}`!&AYE=Aj{L_3`+fMTSm^TaYgk;hRn z4`kuk_yRSrpI-m+fM&Rq#v|}UW>S4fMlM;Izhv_bpE{SJ$Z$!Orf)XbaYw0j1V}|% zq@o70t=Aph`x}OR^8w+_r%i#ayg~gWP}d|Yao`!vRDZAePV)i^F&JIC(0nrFLZTNO zIP?7Th*p2rRCH1_%IJG~PFd!qFVxh%@L<=WhatM62X?7m>orUvNl#G|Dpbk1PGVife8j`RExT6m`DHAd8i&4VQVUj6 z@QEiHJF7XMrU;>?{uwT(U(DhS8)DZKu|nwi@HZT8ZyTP&e)rN`52e;GI&wBE^2r$O z^qH60ra;OXaI<4sm;TOVvW0#Lx4a5n4Bm5^bjRobK7w3oyCP4jzarKPN; zYg<;^#;BKdRVoPcv5;58VQZCq#!60kff}rAr7pIpyqEnbo$b$MDX**WIZBBYco62a z;XLl!p9SmOFRHao-777wD!08Sd_Pj?jSs}Ev0eplgOoa8YZ*ZZr`D=~e$SVe?}7FU zRwW=+^*w8`5ojeF$3mD_)0XZ+iiP)y5kaqp>u1$&Z1sp#{m>o{i^-toFfIjaFQ9y~ zx-{X{u)pMq!4oj_xE{hhM6Vx70`uxguAB9*s0$g(+I%|sPzBzKd_rr?H_u$;Zt~+t zb==DaHIos9;but})O?siOYVRy?uKb%}mm8MEz>%zk{)Cs_3IyiOiik<}*K*OXeAgd{_jo!TlVL{F4 z(@UiABtz`I#ON{x2R(J$%8KRJ#M*NgW>jgzXP!W_6E~u$f4}Cd;D%L;lvRoyFP)Wc z_p30b=-Bo?*GN@4Uu?w8#mVK?v&X@8lD7lC%AEW6EtEYtBaxv9*WatLxJ!8AalU3R z{Q0j}^LE(68_C#qZ(!itOI_03Rvgt%?FK$e=^MWzbuCJ(LV;hiFNyHdubDUa*RT1? zrDc%gf4)Nske3&S4r+rqI;+zqNu~Y!C(IB zGHAEYv2ySwoo)LyrJeg@kV2Ja!H)QeF#MU~OpZy33 zKLcLM)V^&;{s#6h;}It*Us+cxmrGe&mqk|V`)>im<<{(r)UH(7S7qqLgJF@S$kii} z3m0tOzF`=lD4~?}PI46`>H@M#uVPFZ{{z{7B(Bl6L8k)@A|?LK09;|*T$CC*6D4@S zVRh28@k?&g<#bOF#d(1u8_xtc>`7xkp=u2c%nRn#4q&)_Z|-jms{lJE2e5O_<|{%< zZ}y8@*AOppeG#{K(q+846RD5Y>iauz1-vGul{pH<@pueISLo2|MZ_UeUx>getxu}3 z9{VeYdm1w^xcb${2IDf7Eds<>MqH!4oDyT>q5|K%`C7H<0>pITl)>P(>^%2aTuski z(*-Ki1=bRGq7ruy3ldqFjPAV+5k|Y;hAMZlYuazJSVeMEz%eqW!T-!Q7&aVl} zz2AbQ50JE|s$UcKn0u@1ErE}ulP1idn^pJ~wZvBoxke`LuCB9sBH2BWM4m{BS}ELG zsmO_x;E9yBbwu+z!Wzj@UMo^wt5a4hQc=5R>4~%|8*K)Prh9$fkM_LG?hBNJNoHgcCg> z1z|&bNP#=Ul5@cZc*xLwQV{XmfDbTnhS9;o=n`5WsdqkX{ET(&KmPIR)%%SdmqES9 z-6KcULkv^;=kOSYw6Fn8mY|O|R9Xow1BcucVQyKHv)WA;^vM?Vi4gSBgi0$yr8#35 zU@;6-%B1AVq(oWxVFN5-0}7!7AuUT>ElYRNv2X~R>MMIgc=7j|Zr5<0JE?r#Q!?lJ zz0Kpq-bP+qJKX4Y7lls@@}DsEnk6V`&mLo#dy4!ge)&&`3{%<+(>%=*9nN7;lsJdc z$8ZQQ`T!12E%mY=bVj2!r6J;CfPpJvg{sGcyvKuRp@kpvndS5Yk-{l9UWVyNVZ_W7 z(UXH$9F4N0(NbXquAj`xMpF#e&~?%fb_U;5iGS=5OxG^#4|Ho7BhE<_RTL>v`!j;q zGLRdhlS-VETvQuWQ3q5}Nb)EoQF|>xyHwb$S?Fwv{1(6b79ztdPqPk`Xw5nuP8mf` z8BVl@41^68stKQ>Ud($ulS)7kI#XlZvOh&AGKKXktu>5Z6}s81P&ON4xW#O^C0BGv zR&>au??<5TN5(UW%QG2Cz0nqR&=!SkjzU_u*IKswV(Nr1eg0((FxQr|(wLfOqCJgh zWq(Ot*Hg||ceN}KxPf?>5G~rnbgp)m>-t_HBgP_z7f?75?<#s><+V2j88Etb1LeBIwo?9{}ieFnPPj^76U@76Em8z{o zo1Y1?Few#`pxy64I8X)ZE`PEHT@6^BP?gu$qe88gDcIlRIOXxrwCdjx^q6_LQASMc z_WB$f<#qlzZrh?-qu7(h{zzc6ckf=xLSs|E0rw)Teuwv*8bHpnlM!5bDo3Sb4uGj#6V;G&F9|<6+)rtYd-<4; zwRO$6+x0|{E>%`7{03XAI%{j}%Nh2UGs@krS++Gfwl$HqHCpKVau6Q0svLOO`PeQP zKrbaaLk=Qt2spHa$2sYoVRX(35b>=r;&JkWCs!I>38AcfuHVn$VGe0IWIHNcp*ln} zurOCOX47mk1XpM@RSQ>`YrMQf4jI2TK^|3RBvqys(Ew+d16P;>EX)BdC=ZM<%3O-~ zxD+Y56tk#Wl!#^$W%2u2*fAs6s>iT)#J7YvIMQ+OKE&Dg$YG z&WLNJESD6?^p%r9gglh)vX#U1RgvrgRp=XnmY85cO1UI0vIj5%5u%p+=o)zl4GSkh z#s94n=O0blKo-rQ0C1p{^56p_54nb-@th&bo$eX-r<9lp#2O<;`ga7bi51;eq0Sa9#`;Z_;J+z!`(*AdJZH>7J1K$(SVs{&!1uG= zmGhG$b^ACIMdEwtaxPjqx_ye=_6a>=@jYT_Yefh8Zex?`qu;^-Rtt$&yUIBxW6EG+-KIO#@mkJt0|6@?HN@PD-@+dKC^k!Z{ z2^A3lXtJ;tU8U|nMO2GUv;gw)=Cx85vXIMWltP<3utx;ztU_)6My4`DydI!}XcQACLY>m2cjmP<+p&Rl4 zPO~;dxn)_k^M_MQUE}c-DjIocSRQTFj%bZa38(hGuD@z+SpK8TI?t*-HJrK@s=q2) zG}3b5D4S&mwdV-!rURr}i14gJ%@ohL8QY03~Lbd)lLht343X!*CYwz65}f6fsN zR|fNKFvwJ%yOXS8Yv_ucz6+$Eq=SsZDQ#lTYZZyjl&3_$VbuU`PYBP{)$ptClUm-Y zZub8cLEjn!gCOCJg6L$h#Lp+MAsCO6E|Gppc1M%b{!=TNjYrg{4-!t zni(KA8e4U~7Z=ewuy(4Drss7&f+g&;QYuk6m7;Rv7j9TgebHw|0kIRyi|~c5&pam+ zlr-_^_Ojt8)e0Jh9bkwv3;NHi!r}V0=`R2ZLgy1*E6-G=YlkcCt@dQ0aT~2lNykWE zv1N~(vMfU1v*Kscedp`M(n@o5KZkbW{1p|?e`TchqlediZd66gY+#m1dMW9ACs^a0 z7s*f4y)(mqM;_OMZKhZ+;3r%w-#atvccfY`rJksUu4C$ZK+-`AszRty+;2I!#WZvW z{)?x1*$dsb%#RxN$T4J%g;ISggdXcx#Q2?>kdI+615hn?SYD6t_Q})rU_Cp!BY#Qc zR^f-3)0S^)3BBBjz1&~A1V8|g-GwQuNqC#MmENN~%ShQg4e^#ryCMc&;yIPb$`WS~ zK&{X71=TgjZ>luP-BX(girxIy(;*9cI&b)&lZSG`+n1huyZP!xr8Epes3KsW&h;$Kva6P7(GKXr9@;1~9W`8vD z^R;qdb9l^RT>Ig0zh!#)5zSNpOBr!udo_v{Ps;eBU(muh1(EZI4A9)#3pKSW4)+_j zD@MTn7Uoz_kUWQGPMbK|WiG$&9*>dV4Gm4oE*j@hv#kAb{%C~qPeZ{h~- zy@giGKlZa@VZk}D;2im8ZSrRA49k4YGF|O5UBxn8PD5^(AvYB-H90Re5jy`autFv) z$q*5$Q!$&Es#euR{K5{C$>Wr-s(In$M*g8aO!BDbz&84ey+G4(7OiCtttGWUlbS%2 z`)2yN{ME&1GG9KURk5NZ!S|#FG`?m~nG&N_4=Y!*Jq_#9jn2`XAi}th2aOHeh83O9 z;>1q6ZF(7w${&yh6#Zh69RjYq{Z>>npzJH}D|9fN8k&p*SpEuOd<1L(0Yw++;m)_x z+d$^jutEjMT=cZkFZ`R>O7AZ_#Jf~fy;uD`;${5?xe>XSDRKOSHuN#9YKPa9Fg~Sp zhS1(=F#w>mi1ioZANQ~n(S-ZpIPu`;m_eW=`|TU3xmA(9L)oeN1Dl#}HZ>8Qg87{$ znm^1*Cq&uPLsd!1BJe^cJ=@aPvLnJvn#p;RgLvP+kZ!xY1zbpuYz%Q?KF6IvUeV;SE5XzXV9A z0w##k>RLuM%&khxZZ)*f1ec-&D?giCJ@c8q)5Ui2{Vx`I7 z4tyv()AojmZrE9Q?ZKrUSXp|wR{sJ1Y8GU119{DMNUa4eUahMu$6AZ040SQM{P_T3 zIz8xf`Z&gs^tQpddEcNch{k5+9pYMChAl29Jn@Ks;?eU-a=}!30oT-Q-ZTa6a38{h zlC~v&)PBlrS?SXVNkj3dd!fu}?+Wud^>5rMM4gVTGd^Izyz1Of|7sKQ)kgF8fI{+V zKv&F5*|-S(4gBpLmz<-XEL-Mx;vW`aPQ-5F``^|l8wb}X&uK>|q(9cyIv|mbNaVo! z`p@oQupk@8Uw?%ujur#n>44qAM&`i@)5n4nB-tOE^H`t7igR zN3p)GgM|9X!;i+AGcHOXY|S`3Zh|aDurgTxduVgih}v7h8XqS%^^q@8#tG6NIZp;( zlh6R`Wa;mFOJyXm#r!&xqE>1Z@Y9DniywRCf`b&cF0+PWSv(o5W6|_D^VKyw zPbfHv_fy~~C?PH=q0}43)f3{y>`%T&ISShWyW}0tPIC}$JoyQryO}!3H2*)0i zYJ_%#2{;XJn5&<7n;y34({CPai1Pa}OHpo+GSE(5Fv@yy-jSWv3=C+?xN;VufOXsq zw)kh*Z7DG$xx%&S!oU!LB^Ny#<>Dy(k+S}C>8X0Rr`(U((nc~cWmExLaQ!bwq}3Ho z?b(aQEuOeg3k(d&eIcD;b5SlE-E%ElJ?M>7e^akC=EJvB#0|fnH4n#igdN;%9;Rv@ z&Ypf0HT_6?Q;h5IEhwE}QA6T2)Ey9(pGA!wu~gcmhWz(Q*22NNB6Vnx z3Vei83*Azd1Dg3FJ2s>%&tM>h02lp0cImqUv8%~YD4rlG&7!A;bD!j zkfsuZDv*be+p$cYHY(DE19Y4U29q0Ezl7~&(-N}gEL`0DV@AsF8nESL` zB*w)jta)k&jt02$`Pw+Xo0Ot)=1C$1&p8hXhYD2T#h$xhys;g~>(c?HrUgFP|MR zANo8WVR-RPsxB2P$iNX5^V%YxHeA3>D+&6Eww{z+l7xW1^om?)#?_W@JU_{p*8;V! z8jQeN=H$j_$ue#ko6RH;j9IlK4V7nPO;JZgYl_#gYRky9L~rC93UlJnON|&AK!5iD zH~y7YV4`vc6O~O{!(oZT7LQDrZb+fUUBeVE6WuBN0t-MUfOoX;SJGUD-sbZ z>vOP7i45{kzPb{FH)I5utSwUpnj|SN+i#$T_M&BdZB3F{K_2XvBB;MIW@Vr8`kSLV zw|vAsG=GC|ZjUp*(^z0!9yKby(w-iJ%QG@~vE-E}2he+tSb~^QVg}0c;gJUGMM~Ua z5Ov(U<6Uk*-1V^h)XBo5rH?si_){whD~Rr%xDPEM;CKa`DiRB!GyH*Z?7&e29zP=Z zZELR{E7W^y;8yc=N))ObowvR4;Qg|Plm^%n(zT|z&X^z?7Fw#4{fPDNLsM^=`nP<0 zV#xuV24#f+GCdPYL38>j&9miV30;m(`y+66^zmt2scBHVg9~{o2?Z-~3D+xmNp`&f zPQhh+N38FhU>=4vOaRLce8Y<%f(&C+hpPie%>Mn6M^~0a7H==+&R+QjFohf6AixiL z`~EJ~5#dF^de7RK?>^$t_w_8UkQ!izDVeXu5yA{bp$?$RZre+*hU2gc21M9Le7Xh zMXZ(#T!jgV13qfpwi+!B_)_U(X?*5;zYqoeeeU4pjI=g36D&sDFC@+#jC|-}Z7eNR z%%7yskvoX{W4W#Jv!!uLl0NPILdqY@!57_^%#X@GLv;+da%PTC#km`?Kj@jwZA6dg zd-$&tI}+jZ8lsw?1Jophy8~;?fW)}#pfTtMNh(Eoaa^=M-I#H$Z*hcEuX){U%;PhQ z(>-wYzJ-&6n1(SyU>SJ+7)lOIAM2vlja^90tj7B*5Q0?a%`br&d8?eFHV*B}KQ7>f z{yxBqQE|L0?}~4FnD9#D(3n)TkF`dJw*{WR31K|_7WVBP`06V96@@QBHk!Y!Li zHM1lw8+Qs9s^_GkLhtLm7(Uf+G@nS{VX59?s5^9Dj34tNo$NQg{wemLS04Ny zi;hC{PS@khSDpI}4WF|I44-1nD?Iz#pZ|^@18@I>XX>dc&U20kq(Mje_AK1}26CnV zHB#eH=k8eN4w&=ic1yZJAZ*;Ya_QD)N%N({717=<`^Dm1*xgqTamQotEJIBNcR1ql z;~|a@H#8}#J{tLw_B3G;>%SY;0Mqcwh^yfSai2TLTYa=xCZ@t3w~qmbO>ycC0D#b+ zjWu8_FJ8WZgjqKed5Qs-Mye(XAiYBhT$)@tg5_PC0^$YQ&8RV91q~oQM>w|%c;3@X zUJ91E4<(pGir0bh%7YH@v$n)>U;aWdD))=>}qB`3R1;#fd6)Krid$6 zs?3i7DPp~30L)ax0bxBquuftv%&tD1CaG2Kd3GXbWf;HEeCCQ`Eb%d31Qo_f4`Tqm zIhZLZ_qf>i#YW&2A+8zrg`CWU4kh|9jHA+akJ~}B*=A_{Ht9P4h6%?EoS&eW8#sJJ z91DPwlb-(LLa;wv5x~xl&TT@d2c_U2%;hJeY5~>jbK;aZVTi?)x2vpA%D~C*YDINo zCPnoV2Cbcpz>%%2<}(vHCzjy%4;6ok%Ka3DIbJ6h<3p1fL14sxNtFqP;xIIc5rmF` zEi?CTA(Pf4ZI=skBoflM2_`kIWTNLLRF}%HT zu;Q5g_^0z&n_{9eUv}(*3=Lklx6LQgy4E2NfA#e_h2FL-4Cj%j+H+I%pb6;y?rNRwH5lkDhd-te3W!?hBm+TO$Hl{m!^&$wY_MK!ww?Ki6VG1}Z& z2|e0qgb9RQoHwjVnJZCC%?_3$td@U2TNkt<6M8svgyXf1)be?AbYE+8Q~rko2;T!7 zXaN%lln*ri)n$$9`47|oq2^*pG#(hwhAu~!{c`-a0|#XVX1;r|Yqejdke)BEw@U3E zzx~=K?yH=o7}Ic8A*$BaCLRhJ_x<7=bY-h~#rBn5#a>?@L;jQ&>1n&k^+nspVXt4O ziS*>znhKX?7Fm5HRhbsi2ztN-qAQcWEdc&_H78I#J1|zeiCr6PE8YTu#cO4qt*8LMFd5%iV`F#pV&bic++tl~8!Kn@ppiP7+e zN_qHijkJm9#44AFZuvWA#q+59t&BR%t5Ht%-YS^8MZ*h{kaPuyxgv|X{Mp$LjA(cj z3#W*{l0Mx(i{a3(B>6itBU|bD4&(V5dVa4sG)kWJc?y2Ck)l!wz8pVe9>;7k=Ht z3wH?e5mNPr&^RvSBTKl{vbD<5Q~1hc)yUU}Gfu))W6&)pGOU><*IVRQ$y+o{qCsjV zN8L78rSX1{rsT=h+>axH4JNIjzB7KQy|`O7xtY>}?Ug=?tIXl$e1Xpw*@dd>uFC^@Tgj<9xqDjF#+ zUeAoOk-L{|1}d6bO~cjfvXQVB>wou?WRyv$TDcK~waXDjD{%6XXdB+lE|YlKGK(T3 ziVmTo!RO+A4hW$wvrJ*_%$MzdWL{j7{tok(RNg;j@_4NckWNmE6E`h1FgK|1UbR@$ z5=E!{d-T6Y14d)v;{CskE^p-qG#}=oTCC5jsc7P4@97@oXdUXS`K5GfUw1Mw60pkC z^FGVuur73i*$D7#1T3?T4o%$~c{tcNY+FeLuvzr6`Z1tsF<9lb2WDu7i~#Gf(CL$7 z4>4FZIT8dG68}FOcsR%z@96OZvo=?i5WS|&r~8f((_C|UDyy_!_yA3a3Wz6F;@K>` zCn9nPGQ$*h`lVqX>ou9{xAt$cdfjj9%lm1PA#4a1?~;RzZqkZ?eM3Z zj0ZuQWLMshMduF?Zn5p(aWZwdGN1zsmx|OUZ`Hv ztX>&rJU~3(iYO?;`ozOmG~T#cy=Ev@X*0O-(Pofzto%4`w4D2+jo!d>y?pw@D9<9b zHN(Wwa!0hQ6@&+`=VzlG4xdEaLtv=-63+wy}cnvW%p; z|2O@=73zWUfLuOW4k$v$SwSFZZYzLORdz+1^%~4D#6bOADD-2Xm}0?GR!gL>K@A5S zzO$}h!ku8->?r*tjGM3MR-5^?(Zan1+K@jy*K$kcckmHDXC4`hIOY{4x(?()_$3y6 zPh%ag8)`q%<1;kPYtj=leVnocW_y#m`m%-EdyHao4%{M++#&skVMSep8KMST<5d7!dbb(lUQjJdjPq>fxGahu6}-gQEu)B?zcVMVu^YK zm+h$B4{B(XHF&K7Q97~2H~*#jL8eyEv`M-F@td%4p*z#WjHY~6CmT3=+;`G%_XZCc z1?(3qi&FaZzUDFeQ;iETZoj{zYEtr4`T(877?aIdpy^%k={xQu1zF8Dw{D(e$x~cX z1a#G*ENaAG*h*S)I&@{D(3I9r6WdO+L4}zvfs`v`i=`)>%uW->PBTK7Ggz2Yk&Y@8 zqLvAXN{0}k5jGGO9IkdAMRb`B_$9xaAosVBC&)4Pq!V090=qjk~Ewh&!Z=4tf9c(qDZ>Pl5M<7^`1YzjVY96s#`8ZO1? z1jT47xoE0PrKofzq5+uFH{fxNhdIQ{SE@1_XR{h-6BuWc@oD4oX-CrJ|5Yj%t(&P- zkWS+GB}BIXnyvlth1#{Ld0fbkUBPByODT2IR{bl@qGo<lA^zh0}e%`k7EFVF4YoiH|GjA=NSXg|^b=pxFwZ|wX zf9T~!9G%**B0izY_`X1aRhEq*O_d_gkHv(0`^beN&vfKp0axGxN-DdYP?3*s)T;L}fBRFmC-d$Wzp&0yHV-c1dj z;gMsM?0zvrSQE?L*RwKm`^+k1c+7HHmpqQQ8kYeQw&(20KzKm zsUv8NaRh=Cqc^Sqet9+$z%yIDSUnAq6(B2?;Y{ox4)R~;;) zkKfrFhe#dQqFi|F53Agd(GA7P?R{xGbM`yuXTyz{oyPNR|AnK4A3FlFzxVtzKsLIw zSpBwuW|X+`wW&Y7#?NUQzWKn;Fi}iaHIs9O{7L1O3wGzlfz46b{cKV zm|$Vc6O)fdzilQhY?Pf)JNt^ied_F-P*Su-6jhC_C}JLVC)5wx5*e$Nv8FOotY*qk zdUeCH(Y#k>zGCo2kkM&J%*w@EW%vIG!2EaGx!ha(NyJw#A}@9Ld@pj(freaRXi(>L z6v%4Q46>@ow7!;pu+buk93KGB*?yA0_xTJ!hwKYCh6He~@<`u`Dsxl#IrCA?O%AV=DZx#nH9(w$9R%bd`) zA7PJ3x=QE8EDo`~sx%s}$ONFaaW|cgh^IN^Ev^VSp=^#7mx!ms);9F)=L7<2s~Y{) z^|8jLzIA{nsIl{6k$U!c<9P7!vA}wp{2}0+i8{sI1c}IYXq$j7KB)1EIdq*9g%zW} z!n#e{tPjF*BJlTZFpC@|wm8x%ck z1khA7gU=knAZEh2@z}Yy4{AJ9PY=vKX_VmQH1uvQV3H}gHn6`qLe35jriir3U_KQ8 zW7b=IAL(OkrhO2y=0`DML6qq5tB5WW7VM%qz5gDDU zDTj;x#B&u5VV!ZuH0*{08fC$32RFfxEhW=CAc6zuCskyEg4AKBF&36Rv5H_!u?lbyy+Ff z)(J&m#_h(jAb_R{oBOtXv)SiAeNJL*1M95rP;B^Ps2)3;QrDv3BS zKyUu)n*j1(vapmwr6aivrhK= zjHy!)KmJF{REVEYSL8@(?cS5PYf)W$*ZoPcLzpZ^)VVC^92W=-^_O^iwAmk}a*Mdx0l$dm(Zuahqmk#HsRT+=#8+?CKdGz`wEQil zA_V{gT1v~eM+x&%1gAHo^s0PfJcAF|AlxuB-7%l%p5Ii+gSgdc2OCqfQkJp+!V!>8 zNEU>!T6q>7@DaZ;?Kjte%RC_~#nL0?s*2PS@d`>&DKU4^fn&ApNybyj)>0`ZU1Ds! zMCwhbVj%F2&n_j;f&SUMtYwfj{;jVbFbKILjL@hY3MJq+* zQM$q!tk=|M-02h597P@yrCM_wA?Lxis@RsUO$p494q*W1V=DAB92=m$mhT^}H=@LT zq6%kqSdaFR+&pI$OPK!hChPE%2Tv?~_iEy!=|GfArnGAV$ox5Zs*T?V0(&1yg&qucS%&{`)U`@s~<`^UqPZ ztdYKo$?GMz>pyvZ(ReC{BR7qZ%iX!5LSpw4zOS7!b&s2D-Pd5K`kotvodbsrw~G($ zkpyAJ77?mWN`g$#piC*67cetfDPkIuIj;?7mhLO?~OK`j>XZOW+nWfo7$#D9{QuC8d0XQ?#@%yqRotW1f2|% z!913y57>^gEXGH+e=)keJ8@4|+3eNsYdAl8wza5vX0>b}9N=zmm%S|E9^-O%$|3Nb z`1SLT6lVh|#S?k{`1j5>RPuKMq$UMDUcnFbxKn@qF#wgpPkD1*t-I>m(+19kEbV{`$L&IYX-%m13v_-&CMH&Vp-iTSVIQwya zlqc|vXRC5ipz(C7@eq8@(HaEoemTc1$kv=6E}+lXzF1(kRb*>@!^&Lj2|MSWmNbU+ z^GBvAFF&d&%Q;)SGsyZ-R?X3HFzJ0VIDxAB;cRlo=8Opq;hvXO4muR~lHFiBMddh;3Q}Yis50fI7otRa)t*v`qtSv;Egtgjf+%db- zoV-60&_x+fha2}Skc)#PWRE0dg^E197; z|GK-Pk0z$TC*$5WgW2o-g}dlB75;8t{xe#qkK3sT6}I?D&Zv_bQ^VZ;0g8@n?kLLk zs@Hnpn#A-DTwD|HG2@|N=?AoRe7VVQ-m9f7rr#Hl3w!V)! zfEq9ZJ*w=1bI%0buk_w=xm7s z??p==%1G)Y6U&H4oCi25i6YZ2l?r8lDF&(!uu((7jmIv1H;3Bx|;vawuwHKAUed8(K->tSgSLimW+lW zHbs9+qx>+%%kke&6|$|&iS?vf4~}MME=D$WT+xwrd?HDkn*x{|uU`DvRZ=u4mdRNW zJZZ8LTYu6_CZA|CATpy?&HD+mi`v%6`?+>NL8#~UD8U4;f4nkxh;h=<(>$E2QK}=z zO6=!batIx)LLQC~>+6`fe~>+3o&8rfctES-+Cj=ZCX^l=JP^}}n3n(v$l5Y3k0}Qa zw7JEEq8ZF%E{hc<1-mB3rWEK5=#w^;FjYgWjzzVmP@4s~eZ?|j&CK{rbh+i+aIrrt zdXVu^mV|fx(pb6k0%f>QI|P&@z6kfX5)$Pn1S%?bzFS9tW@R6V-n4(&lbrGsj*$|W z`1rzP zZ!5viZxn)U2!2O!%eg+Y8H=zX0KT=!qJvMS5j;Ub<{B(G_>D5;WU=}WEQ_8Mi)auh ze&d6nlxR~3g3;roY587&=9t3XRZziZ?{9ZY;k{=fYqS~u(S;{ZKFRV$gUlNftB)l) zZ2M+ovsa1?-v#e(v~!u-TXjftj(6j!nK~>KmHi`s8Hs9It0=Rj?)2R3y*JbG=$_qx zaXm*HAY}sXcGu`R7HdrGDl4Pt|8z?nQ4&h%bQwGOA~-a2llr(VWuK{Q7w1Gw8$JE^ zMmw*Tzt(wEe?3@+=G1Qa`Y6uS*>#f>UNF};vP=WDv~hkOrP*a+iMn!7g_sW51+0(7 zBv2d-2~drNA_LKGI5$d(Fv<(C9&&P`A)#CJi};f7cGB;c3HQ`n0-)P9 zS0fih0l&^C%XK11Sg82QGN0OQz{jNMf$vZM%#cDJ=i@+6G05^H8pigev{B6n1C-Cm zey6jE+T&RVez015`3ZTyF#}mtFckXCRxBdSPm30ei8bJAti@tP>oLwl6m5` zX#2_+XbJT6jX!u1B-pOxJ~Sc>;!ZGfYeZzjP*>S+EdkIAl5hqdFp`~Gwlpo*A*8D) z5=M|223{975Zn!VCTel_doEO`aJBY8&gT9CW^=CA)B82C>(_hZtzu9jl|J1D+DWNH zDb<)H?iiP`y^4U7)v+?qBF|7u$u^jvFQ`rMiObT@l$QmaV31xu?~;1)wiYt+ z*SO9$!PBQm)zg7O1~rM;c+K%F*myq6^VWR({ftcyBIxVCk@RoPEY1rs@OEy`M%PMO zychg;TgCu2zx1NrjXw8!_sxQ5vH$JLhwqjTyY~w=420I|=A4UVL%tO*+i3X?mEUSD zc5R1qH~*M^7F>oo=_ns@II~maa^#NucJ5}4Oe}U>T4U~YSO+n zjksF8&tW>1vCI#jf5RD@Ke#tf-#q`*^SYgIq&q41yQ;gWCVmT^i>Ry`cG-F{{oLUkYmypRYN59;fy;goDrY_YKDipyv#mP>0t*_{1Di6{V z%80XsTATCl=Tdpq%z$$wbFv9SI8Ia5c`-L8=t&OX{g^K6al8eh+bJ191p!c`o*d0)-}s9@(!t zz@g-F!F27sJC!&@_thhp_sp1mPx>AD|GSD2dciSctMCjxzvnAH# zqyh`m2c4zEAU&-DE{t%Z^#qwY{@1vHgj%wjI>WI-aNew=KQ1bw5Ix%$hS+aD@bOAC zUQK?#=<6?rLBGNpI06z(uU-zwyvpKu=+GWDTb(Ff528X;=lpJRTQqRvS541gD>Za0 z6hF(TnF7Gl0vu8pR@ub=57HdurVmY_pirL00dd_~y#cv3E06BT;RQ9l@=lSzN~5?2 zuMjl)Q0)X)ODewP8tL-HQOcH^{p?`7szRWcv8+0efSO+OehU*Nm<^qv;uZ(X>TAGf zZkJj67q*^$ z-Nb@tg-xX^_4|FGg8wJll)+c+ zXmn#GK6Sesc`jwRp$)2F$mimbRVL8?i)`)^Wc=;Y@_&0kTo#!kAf0IP5ZEs~L~#TN zqx2OVQZLA>6kK4Z^W_lJ(2|YQ@VB>Q+YL9QLm*(XV)Pv>jl^wg7eXPoS8fZUa1 z;xo4;@c3W8dY&MGeV9yI6oL~Db^nq3*%noBV|(p~4CSQzHZG_45Gp`i_K~~-_9uTZ zsiM<9djv?dvzj`n(-9DWf+tpR zhxxQ%u_8cIPhnI!^hM0=t)#zv6rh!##=l4@2w}Ji*MyiPXUA+K&WG_;z7PFs<9LbD z=tJ*av}`$^xT(XxBPm&ZJ-&>%-&vNFi1+h)oqpa}*W!15IG^4k;lx{gxG{cqSI*gd zJ;)GnAKH7=^gS|QY4xzCsc!GL++~eoZ}Bac1SF|}epP(^HPSM?ZeKq*aIO~Pa22&;o)fky#PK6~q1W{GWo ziSwo?w^wd&*fQd-kFoQoYjRrde}YRQ5YRtP40Pod{j~J{cUw+)*4#*-X*D>%zW(=? zAVm`NYk2j;D0e)cUES}<(7x$}r^v-7x)t)IP`jeapf9sXMBrnLZoV>uzOxj|V0@Xm z=wq+rm-$VQ0}OaI?3eCjE$dd@OVui-OjptGnfM{v6 zLQRy&*nB{5Nm`z%*7M6-bF z+8~%fLMq_%r6pAXA2X=oWGhF^u7WA}rfyG1iLnU3;l%n27d#71&o^x0G0WN*kEZd3 zg_Gs%Ir)h)Lz((vkw^eVDZ03;=WyE9dpO#GNTFeQqZX{mCNIy)ej)=O7h$!x((A*K zGIQk?g72;#93vueFXCK2&RQK6*xD5;#Z+ff(2}wuO|L2&6a(v6pJWVHhL{TD{mtF( zT1wi%R0CO^_^2?-c{+~i3ywkCT>a^4>wl)S50#Sm zkI9JRu8E-WC_lWOFnGx!It~M4nn~o!`Z!ijs#+g@@=CJaHY%NIdm?0Ztgi-GG2) z#f|q2R06|-qC!0pCS*EWyCjj9W(ZB)s4;rCHP$FuyHb{|(ca1|PYEeg8tqimbFKxB zr9Ta(z|4t#caSpMnY=@|HxH;4P~#(f=^Wa4_6W;5Crt4-(@ykVD+6fs_Z^|!B|dGe z;X5|w$CPdYS*k?PNU8XfmJBWg4K^oNKQd4P*bKVqzGV(P&yQ;b`6+Y4A(}l#5?vUd z?PvR$-wxb;YuX^zlw7;UTMLEN$3#L0y0TtCx&+>FyWT&t#^&_1zPPo%vbig z&YCKRPQs4r;^A=@-vLJ<$~2kMyd0zx6}g@M%7pJU+N%C7;SNJTFsD4N)9;9#8&_sL zjUV)Aj&VbYGWGv59HA*wn;P8{Fp~j>8n>;Nh-s1hMijn6WPNK$8UQi8H`HFb)awkD zjq^>JXk&vtwublVbH95k#;qr(b?#Oud$B`B&aMQ=z1o^*J}#J}c%(CT`PCAz_Ljt! z_)NvgcFtlKN4GN(ZF268CVU}kpQhenwi4(uCdeeW#Yg;K+hf7j%z3hav$_Q8IU9QxXgRF#EMDXM+&^PE{WirZ$NOoS6~(}Uw62fb+3FX zr0HwjaS$P}0-o-fD=O43{7l)n(U$-|keoG{zi7ruoG|9O6sNJoa zLgT_9?|F;)&u&JgNp0(i^@?YPVpwNa7Hk^im1r?xYh&VexoYcUt2O~`a-mQ3#M8_?wyq>+`UAlF=J6^tB9(J;IHAb^P6L%;U|Mfd+fLqV%XpF7| zhyS{9(w(pRdPXG8@lNTb^6Fo|%|OS9Im;mcu0j#&GeKOX65Vspf|J(^2$yQTH)*o% zn|~&qjEE?pIfD1gQxigr#O%z@Sykz5!*rui)Y4b$yV7#oGGiZg&Zj3uGE15Z&C}m7 z#HAiFedMOWBGUdsBE&HFG$a{bN+YE*{p;J3Yz}pRlbqeoGt1^?>3&9a{hiJ?GX%_|IrWc1$HQ?2-C1HS)n%`i%J-F$c_i&GKdi12sj)pM4EvE9XjZ6y)Y+0N zBsJG*RQ*ciQf@O@>XodLoOTNumCsmTyydCu>V!wTpriQdQ;Z~1ATWk4DLr_zqH9@w z*y8zD3Y?~RVe3BK5;bKegl?)lAnoM7xj!F8hzfm3&eeNubS-Ga5G*L<-qOP0{Z%KD ztLHOGsIU~C>9OL8zOY;#(T+k@l-*^heDX+xfR&ZH)=GDa)GnD?MepB^ zOg&e#z_y|!4!1B*V|#FbIj82;R@U&dr#ZH4lq6%G`NfPml5!#}b$RpC5z_KA?-CHe zl62G`zO9AGxu&%>ie%;$Dw@XK;}#;10%PW~Zdh|{^L{Yq{M9&=->e9{)+jx?OCDf< zT`1}Qpp7@+ep$+SsjZwcIGz7|{DdT z`|Ds?k1Iwo^%;%X&|hq^gyRbft^>~zAHpj$fXF?H;OK>3;P=HMK9!V123J`V{y7@3#EgJ)f> zX9r*vlL>!c^|(LS-~nL{i@NX)%RGwCthLU2;;9}MVj6yXKIUdwej-5lf)`lgiLBGp z(k(JwI#ce!uykkL)45y34;IIDX?%qWf-#21m5B^=X#QfMV^Tp@t>PytKw7FI1Le8c z0jWM3`>_?LfXS_JqlX%zeQ91(XpH16a0g-V{)(l(_5ha4ft%J%(=-#DHNb$+54ps| z+GH(4-py9${A$u`a?(r{iVHrvhX37bBM6D=^wo*YXZKUk|!IV@a53w8Tqg>9u5M8QW;B z5C|6RTo?VoV}G-F!c`-+%?&;I_QN`b_+#?=dp21-A$8O3?DRp@hgVVn8cq0xfs{$M zxcC4Y5i*N^EXFj7Sy|A}I4W1D*F%w&7ut|$)(xV6IGY&I4dO(Mgth&4tNEmK9IpeC zvkY3MiM8wTB~A>A$akS<96ND&!|cQEIL4F9xl#LuN`2@yhaUcgL>wUm31mW67L*_pQrme??m`-p$cD;;P+x9Ra`fp0Z+1FS|GgR#TF? z|HGSJi?WaM`?-X;C6v0nCR#Y#xIdMWOrvltIv*0_q$M?^iIY_JoG8(8=e{xCjW1R- z(mSpB6M+_h7m!9-Hw9Rzbx!pi4ra^O_U6ohgK^0DwR`_OuPgF50C1~I&4>R3+V$l> z67Q)Ie_3>eDmYNfWkbk=_(t(UdOKs@OmMtUj~vvlRF>dt8Z~1D*n|y<{M;a95tnys zqUT+S9yhjEn(LTOwu5KIwa@k@`Yvo6${rL;?7B}wa(nq^<9v$&sGgPYIcYIUSA8#C zD593O7M1+^Ixn3Tz?W#8>S@_UY58IYa>N^pHoil-@2W7vPPLlH`98v=#&6lsjjGgq zrvq~CQ>OLqzpH(Mk}jT43j{b4-?*o_8;?8Zxn4;2i`wq`!lBUNp7(4FNuit_YlZ+B zhyOQsXUO7g_TqW}fT=`|vxWwVos)rxYlUV~Xw$ddcw9i1c#c=i9p`@w#1aZwKwzd{ z+=AkpYOTM#2)p8He@p1J*w8vGP>i-HCf(NizLM}X9}4wZ8}yIyX_s4LZ5|~>R_~Q9 z)leW!D`q{k!EBtOYvJ6Eb&jhaXTnm#44)rBG4hB+r^M|Jq!GJ#6-WwU)O$!4mgw{YXUn1#_IhH$6K3Qr^_+c_^W{v zvxvKSaXM5ra&>H$0osMo!L2&rLnpIZ+c{$kkWSVmTk1mDP1UUph6V$k%yj*xT3>`itC<8L@45yBRg5%)m)n!K-X@|poOrC6`!Ki z2Ni%4{;DQ29_DcFaZ9V(ETVQ6?o_f_pA;Uks;sEaPNAp3t$wNm;w(UhQbt`(##$g_ zc3YM!_uf#ky@}4ZMLY(F!Zm9+1l2r_tjE2GV>jLj5%l4br^^=SD%HbhoJG|1;xGjD z_|*K~D{+>C1&dQ@er2}NZ(w^lb`W~Lus_7*aGw5CFc@fAn%KJVOf2}Dw>1Ad)UK(g zJsMS=I+_nnH9Y%GUu`xw#PMQ>xGZW%v0d(Iq8lyVkT_p48lgg>Dwdi6X3kQY70v^i zuYl*z%^xAh;u!+_28q+V_NkGQPlF9&oW^e(60Sbpe)!f!J+#!bT$`STjWX@aZ-?Gf zvfp-8@I50k@Q113{GUMRp0VRr<(T)}Lln&AVK);LuMpMymEq(hV6EGtT$tyj?@vDM zJ%I=`sX3Y%!S=d`cIX6)s`|M-!S~^is(d-{OlkoW8^>HD-3T=sdSctDs#{Imoz^49 z0p%sJA0C#p`YW=t%0T>Wtyr}X@Wd0JaCf=kao-ovKG0O-l2Sgn3R9fvGRmia6&~S3 z`SL4$|682H!OEXU#dE!Db2T~qN_8lS{K};eTe}v-c-?}ECbU}hSw-R)#-e5ac4ZLl zV->W2{La(XKW}_kyWS)?B%2TS3ca~uZGUUOrtO-(mnwjV6EM>b_p4@~%?V8w2ob>$ z?4L{Psn@~lM_WOmEeqz#R?HlBTU{mzxzE^*jvcU`=JuA=P!6Ohi}k<#oW`ZlslQNB z6CHhxz)+Km^?+Pdsp&b4Y53}6+t!yeSR2kidF|<9AzH2Gv23+JEI|C}3H-esFotId z207s_dI5cWCwng~Lqk>m1nCX|V$BoFH_#9&I?Jhf$I7bdVn4(;Ugb&;A(dK?3pdNk zeLGF!4G4$tDV+VnV|7KWT)!V+`GYdE-jBa7C?mQIzxcNs1mlbECI-)zgX{O;PNhM|qgo(sLFF68VRLSeS~s zA;v{2R%9TdQs=HtcdR_Tg5JMhOYkbl%_1Yr*-{znSMVGU?XlZB>(<$6>nyJIi{O@r zCRb1Tgy5FdVr$eN?8~nmjh1S$mbUQJI)kKMjHb3#_Iw^-T)g43n2tkwj#nG)?)>;o zcYftP8JJJhv%&mY(~OaU3J_}OY)LkK{vtGMdVMR!gUj=#D7FGF@RTIoRMNUhgP3yw z8S9j!tV%F{Hu1xomp*fRWl+rv36}Y7(3mN9w9|ZB;^Pru(fIjk3BNDxV-1}opQO() zzO_vS14`HUp8Y53Tu&mMPA%W^Iv~X3Og3RH$|J0ryUg2BPgRj?-bkaVeu%reyy9r@)IRqbc8Em*1FDjr;VTP~ zN6hdki6C=e$G7}HLO(Dlw}S*e7Jp*2{Nf`aYiEmo9(KdJLUV$*U;H`V6-i{vKu4HT zj)YF`OIXo7x;(FPHe#Jl(m;07|K$8x%>U!MZ>!rKzSP} zWnoEaU&qoS*>el3h53y&|D@z+(Fkjt6&y!h)1)m5gm7*@I+F`i7}5E{TlB7wU(MMz zO+D;+n}{ZC>8It)i}9SaM8ww(Q5L0>cVFr?t?3&bQ5sIurfSZ>mtl5;#KqsH;HAw9 zP@y#t)ap-d_6B}Ch)hwpf7xgXDEs|IB#*wwImPL-oTfVrA2MiIH97RE?F9{H+Qde+ zj1b;x7|hZqbbdyR`^1mKT}%SYilNk~y3N>uXC#W>RW(?mk-1l!uFD4;5M~ptUWD zWOzGJ3R_<=WHY7~caZGEmlmj{i|)E^HS09~^O#L>kqvr?-tTLOto^1c9OW`V3E#xh z0(%7hZOwxD=z(&V5PUk33oJozS{LkVZ(+&X`2NCcZ{W_EJSmtrj;MmSQn;%O#7#mm z*`L69cu>W?6WBZ_&WKk9ay4jqK|-;ly3WmyAkM!0))BCljW5vIexx4d^P}i`08tZ_ z3(+TkNJyIEV$vS23g|B@cU$DDo9sa5 z+(hhA&`EGM_h)498}eFRpHrvH>5)W}Nf6`1z(oH^AiV3yyV9WsCh&wS#l--44I5Ud zQ$hwrHYkFL76B+CPs0uTsV-f7Rk%X6FqF#)8RX>A#RA{SGc?LHhR}w;cYxN%ji}(a zP88fk5Kk^0=8$e+0K7}0S3Vl0$`X)Sdp92%e`K|(&gZ>g8suMGQ8(7vvq%2%0tI%Q zd#N`FB1-E4L&1$(Z%EPUodbo`YT0n*b@v$yOUN2e?+dWb5}sFU zr)BySDp17qTR&^8Qq-r) zwGo0TK{n3Uo@9xQ3rDn85c}@V}X@q3`2}C=$WbI)G2}DOmPe3-@o&CU;OBe~PobOPf>}$FCPz#K#i-;w9 z>zS_b^Mc0JsmwLnX{9xBFag#s=l(X{Q|WD^qdsl))J!TacCzBAb z9u^`do#aVuoCbjrfg2;tkff$Lf_M*B^amYjOo<0pu1*a){1O{AvlSKjtP*k#rZ`OL z5Yn%^5oN`zg5Sk84a1qHhfKBa%&rJpXt-GA86z z*V9#+b|vz0aki8sqn8VkM?tuGR9T~Z-mYNn^01_WZv%`Ls<0WX00$xVYoDn+m8xPe zk|J}08d>-mB?yuT;w-`|N6OIsx)m-194WQ&VcbxR27q3=D96Z9`((;8LYpL zMd5%YQ&L5moIi>8N#-R37ogdVUhScL;1y%e^F~F40p>`~6Lv^dh-f=VH@a>BB*D)T z)?<~GKg=l~?a+Ed(?8qjp8t428ga)oE9IQL4y_gJ$R&#-hZRdtu{ zepbXSzdY=3qw;=v*Y!gD>h)P67|}it7&9Fz|5~HCVnIs@^zCogx!}g})KePv+W`;5 z2Y%s~?=mP8_)dttOB~bsNW+HauAS4`k!*i^Yhir*!P$`t&6o$04gca{f$}-QsC=>n z_U}{ESEJ7_sRhL!hs`DWRrCOw#NH!BZdy{o5jM;IcV?jLIRABB$G@%{1iEfVo;fiz zt62=<#S&G@)6 zG%w8jt6{_5-kECpP z-5qfaCJiShIwU%*cdlN_g*p>HQES4GOWU&gQ^x9jeB=x#@-8nS_nxe38J2g}ke3iF zsc&{O{Y;8aI;l_jmaL*%8yD10MwjC2`B}a+hXks#1^ue$hmN~CToFSj<`tArDE|zx zWXn|+)-4YMKl$=CC-(s`sY0BT62X_inwpkxBF3Cwmo(%&%(ntmG$+T`b=g8 zwBd6DBtVLs7)HlD^W=!IOlN-nQHiBZlv8 zVY?sw!g&3b=8!3`Rx95#Wj|s91e99xmzL`H|A?dtfiK&BpdG;O@6)$u7)k*!PSvU( zD>+r_75VYI*K^(5^ne$y9&@Web8NevPy5ntaPasKs=z|3dn6jqD9CP#PstrMDHLnp zX#GJYJ;X~)$tDH~UZpm8^#~!V$pHuMF&?;_3Pz879vER>$F5}mQ_@sETtvyI0ys*s zr70h=uD?<39GtwTUY+lA*d`C~LjOD`eB!VT)kRG@0oxBMb7knqiciAGy2H5o(dgIv zIe_1XejQGM0e-w&HCmXpF0?*BoGP#REP*ios!I#X%cG(I3?T$p_1E&(czFx`L)IGJ zmYY~MBp}l0njy}A4lGU?z08$QT-WfBcQ?ceOlBzgb*~`d)h8%^-Gj zv{8Oo7N!KHHS}S~fw7^ds5hmfm7uJK`^xRQ?Q)ZLmbBXdVPaIe!WSLFnc@0R$X;6k zLe@^U{nsAC*{6-~fK>xZ#U?tot*FD_Ajr@Q%xTp=4Vq1E)7`R~5aPd5Yy3RTKQMiaUZNf7GiL+{Es!pnQ z0QvY2fw(O+BfxoSbM6-77%!{0rCZ~_c&d`6_#u4MeQy_7RlOG8oKNMFt!LL-1#2}p zpU^kg;QIez5gWI|jh)iiU(@Pra8Sq*W@&bmCP}k{1e|4#Dj6uSz`&815NbS;ruMQ3 zg+GHftUTGVUJ&Zg{{K=y-cKNp>wog#f+UHfN`l$3KY&XGs6$2mr5OK93jSY-|5w&V zf#vXDiA4SzAi%~fyjJqA{(o*(T3q`__f+4{#OXB6b%IzfT`ug!kU93Le#aXrh#y51 zBTAXDL0w6nx{@e($)^!q*MK+!JqWKOs1v8BcDRVQoMr3XcVlXarPEhq%h zJSKgDMfy;Z*>Nk<*EvBsw^nhU0btL&c>?l=6FSP0KP6GLXY_RuN5gXZVEVIwTbdiJ zmcjI8@Py*cKtD*FxbTV{TUC)+`00Q-SS9BvcgF#Sqr{5P`;`SSS9EAVf8z@UT#gJG zE96v}GaSh=tw4|G^Fi{Qw4HEK#MC_O2Un!FeKtqr$d|rPxWSEi9R7}iE`Dne&lHAf z=dLMhsrP~wRC>OL80ikAgK>@KmmyBwauRhPR$sSV4z47fO1ftDOZugYw&jA5Z4n$i zOodI}&ZsEUc7WkV_njva{{a?$wsV3Y@HXC~G9PbK0wy?r*?QsycV?SCvH5xQ-|rwUNWCxv;UDQ;zSpblA0GAFb3YK z>ruiXLB^^i?o%NtV4D_HdGtsbr0K!b3zkk0TtTUt%R)sY95q%E!m$V>`^%$Mg^EuWTPrT7r$<2?QXrTyA)yeWRQAF+OGL-@ml8l$RsR#BY4$Hv$I)(=zCx zWE2GO-clAJvc3Zqaq?PURsbOL4^yye6@X9t`JxJ~5&BS}b;1=Kc)`!1HPpusR*!sB z%CmW%@f)Jby#CN2!TBa|@&(;kmya!%ljr;FiWi6H(KxiC$~uc`jYXf8bCu_m09xN6 zAEZb7HoGX%@~d4tUA7cI9@^r5ZP&AIWIX1i|10dMINPz3WwLqvxX7-N(C96aGr6$r z?~l)?2t#Bq8=k1jm$bEfPdJfdg+nzFt_}Ru&@d{_9EjT>uQ_|EM_h!~<$<$>BSTf9 z9&-h=8Q1|%f>HY^xcyUri`6R8KqQ~+=t<>QA^HHHl zF@k` zrRbkGmPpPBEVvEvN?1}JEejc*kMs&{s1HByn~4em@pLB_483fJ4nW892cg&fWyVTuku2mqx`LLb_r3g!~Qpn!HQEUaEYwf{86rzSz>)IRb= zOPeZh2^208eD#vH=8wQ`P$Q;2G88??Xnx+xrx#cXYIn;-4`WK#W4C$1^r5yn5A^_P zF-#rsdXf?0iMBm7$+{5K_8aP9&{oUgL?W2N@JXR502vA>c@9(9*)u>T!}zk{M4d?3 zHgHmwSK0*p-yNq-yakVs6TWfSZ#(r0Cbh6Fitp1)+QFbUXyocyiHXn$3lB*-h0qd0|Z`ik6+7M zFNTm97uu{ATuS!+X0qn^?5g?wYv|NZTJK|{exi7iMfkq2r2GAAj@2gC$ukH*2qEU|_^MDtSM;9-IF|&pPpyBy z6d?Utx;3G}L#p*bDmLROAb3%BgD_UgMh=C?fE(30*X2h5lZ)BqBBx^XUch=TR;dnRR4@(CxIs_yy;r7;F2!FVj+35?q)yAJY_LTyAo;2Dj< zCj`_G)xy0AnK(by)jmDV`=2eqSPWRHNkAf~PB0*PnNt5|m;WdHX^e~FZ0`!E`fgWV z8x@`1(a&X4OVJ;HuQdy>9rbJ0wk;{I?Kuz=GEbVZ*IqBLf{g1$mikEaG0E>ascA;P zDHSz#WkGq;3{3o%9Gbj9EH7z`eFIgk9Lt^@_6x5{&c$5I3~$VcZ`bY#y%gOROx(e7 zk{H*WV=&8@`ke2I?=FGWz;iVf_quf0uD?qU60%-xwfES4>sfr5VFMMKyPA5BnpC?= z20G?3Sn*^@ZeFNgE0;UL%0_z!1ca6u-T(iiwePeou^Ex=+TPv%T25{h+h@}i@T?}J zR_;{e(RRYnDnrVVvE&O{1E%_O+SLeH&RoUhyQE^7?^ILYZ|&Hpu)IXzHhWl4%2aya zVa#8Q1v-Sr2}h_~n!i+@V0z0@>R_HS*Lp_Pu#rVz$Q|!ecD_OjUAt-(j%3>TeZ?re z)jA~*T89uWi!7y`sR)lfgFHPP*-5cn^evYEl~}GA zRi0m}4jrheOrwwhN#Dsaso(#h^vaFVdx9?sF%>Vw@)Z9?o3a}FeMA^agEfvFG_I_C z^brWNai@0U(<>Rz2+r*MmsGnI!xy9Su}H=KggWQJ-a?NHKI2dQ^)`Hfr)QQ@BRGNR ziYZSfyLLRoeS2IZm;x~>Xv3k~FSuzghOdH_#HVgb#iql|m(iXydZIS@+~<63LlSXZ zIi8U_nj+P>Znkwh)MW38?KRTP?eDs=Bo8(~-b@hmrZ;pq`6gOnm&xtzp3^#f{2{t& zU6X{XcUl*Uf2}pJsxl%xugD2sQ5mu0A9%w`qFr_Pmm-6*cQ^U3PU5&NZyXryMwwz| zO$Q90&Hq!-OIN|TD`mzC;XB=ivZ!lf0wtRV90hh zci}f4f6PTw$ccy!@GfM?QJ89+wQBq>3PRM{i_eqtcMi-wO)X!0Q2_Wzc1%}&0<5Ii zxY@mYbv>0EEOPO%oaDbzm?bi-V14Lm#ge&8LXwf}q=^2rBloL9$o2g`LQ3kpmJif< z4$Zt;jiyp7qSNOe&(iBD=8Un41%ZFg@o_JGvN53XkXcju`hZ_=%b6e{Q(gizETGmz zUjhev{hlc40Yc-38-3gc>Gbx7)_*giULt$(+xAMf34vwk5-^*FEdjNTAjc#ljFV}p(kVTLMyMkXMj(D~VZK%T{=HW9PU zasf^vW7M$iaRK!@0*0i5SD&NQM&byXxZ3r0_=W^dq#u|G0K7nzjPSoAbl={^&SKSt z7CIFv^54G@NVW@2_;zi)f|H5y;GT$};!l8W3&FW$$ph>F;dxm36rv^(@W=|8);g@ew5nyg%SfvGPrDaxWczQR zE9w$xIy`H=YIFcycttLpy7?WAx1@DHZmN;wb>H3DaTR^|VEed+nttc_%R4;iqvyqh z#_5FCztHc$536PxZoBrQ?MjBZNhfvE0e?b7u4Jv6Zq&|hog@RIUGge;$JL+n`%Ud> zT^^Aq@ywOUGR5ni`73ccdw;-@FX3vT;)x5XuG7vj^{<+mvSReqRUqtUU#-}!}+zxJScU;vu{>y6?+9yMlonFq2wsIP$M>jow zH#)VET*+HB%;HzQjSyX66u#fSN78icw)j``+%33-Q%N=~Fk_oI61Pt$7^~f$3Ejr` zb6fcBAE#dT0B61H9*v6+E>eqdc|dNRwII1Bs?q|^=GlB-NAkiE1zFQ_X{DO74!HaEfgKXoC%m&$LP! z-E7080Hldbsy?8X;yzRQBhl%Lt6x3~TaQYzBK8>44sCokn%AbUFRIfyyyfqGhFu6I z@8&M!)Q6JhHvD?0JUMs#lo`xE`A20Q`YG zNT>@@q3G0)HSK<(uq?aUxm`Xp3sfHMx@t(lBVd#96y?kZAoEJVj)i0X=4TmNEJuzP zaOKFdV}Ap<6;Q6Swg)p_-g@J`-=H_1XeqGd)HR_ zA2^dYq2dQ%=ALe6Vjwm?DdK39?*wywq;6G9Di;MrPfb#k{#WU4_|N&GEtpx`NiM5?!3SLBFVLcG`TurTG0iWGF3}o-VC*NKJDw%1?osziDBq zAY@B)`CV*M8YR7F<5_Z2n#3F2Z}MGg!~DG7kE~<)Wyw=ZG(ZUMKSI9;_)fjnUO)~y zzFTLpJdECloo+e~%ZdCCHyZjTQs)jCzhLPr($k{RGbj2-Mf2y5Q_zxl_i>`N?U3Iw zc(-#EVhw8OR%EV$$gO;TZC^G18fWy0y4LueB;_ZGY>EBu@{}SD?C~Ow$ASH702zN` zad6I)8sfHvkn@|&B|WTDWe>RdO2hs~`T93o=#G^v@z9MEB_%+Hej)$y`9bK?Ezu#p zaC?TJd@&A6CN%4s8c_Xr*a5v_M+{J*i$#EFx{D=zi(lH!TfsBctJC&<2d?MOcFpP( zGrvaL@ZxcPB{X@_p~g!a<~IKb(iDb-4xAX#)bt?KN_)V-!f!5or%f7R@5gEY*CcWI^H0RG&3HFy)uL~s1DY}*KE5*f+VaY^bs6!xooc0n5&va2XH>2x*JZdO zh@dl1#BgL(cd$}N{}rvJbW?~1eonlb5le4Ida2)^+V8!!C~}r!Hl1{LYwfy;IcLHHE7c(b*|_YY}p>aN2HmnK56) zR!T{Cg6>^$#~t|Y&E$N7aP!CHm$*m;x`XKQRYH*n&N#-_6T|OIv>@G{R>wVk%x;7rOX)d$$)_BenIl~)wdwt0Fcab7!hrSMwuQiWbG{SX(SxSul& zxmCFoy*WA+cu%cQJgT~H*si8mA~X_6AB(o33>iO|0OspCs?Uge;ANQFc`?9}^>}lE zL`HQa0E{d|&W-SS`F?u?@Hxsj8W4L$9(Jm!D3(N0LU%>UIDqE2JFN+R{t1LZ?i~)! zc>=vfKUbP&q~b>Q3aPW;dtCyQ=xAmA-_$15ojw+YQ`QSEZCamQdwcQwspk>Tg7Dj$ zspZOGBNUb(1Px$f5d};v68yk8vYQT7CwyTy8Tf&n<8Q(<;meccv#UDggo7VV`z8I3 zrDvJS38N%`^Xno+_eYcTWkaew9PqmJ8t37dc95St*sQ~*GdGolkqS2g)Q9~x9Vw$B zEn9R~R)Qps|IwfyQ~r+-y|5Dewej0Rf{RY_21b)>YKn6gJ{_iOu zHGq1$5MlUJ0FEbOnef0eVPC?|Hw3mng8D?YeiF24=&ue>I!WgF5I9DUR=&6nKh?guFWTP z*SW#@eE&N0q=NnM4lX`Lg8g9ay|jSp|1}9_6+0vi%{k_E)mYiRYe<66C)n2P!TTJI^LF#j zwSd4~9BzSjldcI!dx*AXEBKV{ZW#Rrkh=8i*i+Gz^F!AfR*z zNK2!X#K6!nbaxFg3NnPGA|WLph~&^9-AIRYDoA%XXYqai^S|fZd(U(4^USl?+H1#} z*?X-we(!I+^SN~6pvYidp|E`?&l_#-?a zD28E?Fa10iXwoJn4@8Z2o0pS!Tl&jtDwORZ?pO?0fZ3C`v89B~1eK0urN$T$rm)+@ z@lm&^G|VmWA6Nj|h}}Z;m%Ga@;@s!`zC)QfU4zgv{?CIql&nHOq!!w~N_`McZFtf> zz1Z%SRCF*-KphUn9yT~`u+W_$JNEy}fbyfZIMd+U&z(JA-04Q9Rs}o$o*vhVAL4u0 zVbn$%7qySKYOF0?-;$DI7Oy8h+P;>J7~%MC!+@e@=OuxqhBU4%C%}eh%rEJCe{)HZ z8OZlR*#0z;H9tBa;>@(bP6>W~w-HkF&oVS@ZE9Y!)k3kjS9KO>7lUVaB_K<227e&D zD6y3Ml>@!f(JBgm7v>hDue3WnNOP{iNY5fsUbU6Mht2);5PeAVuV$_e4BpJCUV&@S z-17b4zg^CywnZ*iLu4DI| zsjGf;WA(;FE>|X~Vi7?6XH3x}To&=FdJ-JG)seYsZZ97aMSGhs`t@H zhV$dI_U0vbBF`yv)%ErTg2BZ*APOeV1-)OQSfKxoy27jH_;GgVMVt%iZ%LKgdXMbm zMHD!qnEy8z*Q4Yb#sw&DY-;%s4J!2-#wD_js~e?@B$op??#7^5IRKlDZR(}SigH$& z?|zX1IHmIau{nD+U`s96z4cZG6RLwQegy!GPb|@CGT9yYV1U$O&}q!(^O9JipB+SU zcDS7BXBQ&)%^0-Go=Q1OxEiUG%dvGYtxq$tM|y z;1|k^=70yzK8>q@MWnia6hI7Cz#~K65gWr{GNbor&^*R~X+Qu(=xZJ@Wu$&`L27R} zSwoS}raXbO`8qTIo1d&p(gqjYl!?V6@>az)k^Ic$nS2i7*BwiMOn=>!P@yA|K?wl8 zsCwW>x=72bVax``7gVeJ*`-LKm9)O`S{=qmEBP3y9IyCe)6-# zUW4F`yw3jK!H~u3!BOSrkh{KAS5t-UvCHn7W}d^^LPg0iN<(C`s{#Nv@Wr1-} z#6K*SoRGQIFH;P@Q!8=*crid{3El(#Lr%GE06Wh|5gQ7!7Zu$+s%2};UrfvsvR8ct z``Jy>?>)DEkE7!+^%v5tVH4NQv){1H!Y!e)_&~+{z@zW2&qvlAs;ciJ0B|Rp;NEj9 z)sO5QL@j;wYbVqJkonTn1z{Ha10KyY;Ad8ZM=c*^=d*$&eHSKx0E?wzJhqz?+!um?BWHw`$-&0Q;e5k z8r;p)>6VYsRpH+)vQ+o{ISKM<#1%enKhzMdyIQ-fq%Ry+w{n$rT7jw332P~b%V#pk zbX&yb?gBoy*xE`%Y_xTkW{Fubn(R66PPCrTvx+=mC5g!Jt1 zyTsajryd{Qcjs8Qi06O_(=NWq$EzAX6;xx6{@xBYEZsK1JG*EF#HADq0L$o=9@r`w z_i?`lL85)S@oUP&;hngE$gGXlfKXd(GA~LEQ{L8&2+MBI7kbWrYQ>Zq3yBgk z1}JQ@6Z6;6aiEG#HvZo;e844LU+4=1LVwV_xl8tH8S$J-BdoscrA0c7zq}FysuQ-!zL;!19JT>&VI{dMw zt0jy?jLYQ5O^G~-Xj+iUUsRc$+eggQ{Vk?z?qnb!Y$OlD*kyI z$2D4C>3;W79%}qF6kSzqh?|E>@bK>`yEjdVNqH*|3&TIeC^rJ($%-WpSY5tDqIu?A zAZErc`(_w|w(2xyzfA;d5W=Rn#MR`60%wr#4mu5W*T~o3nAqMfAMaW|=eyDUN2VKI z-8yNH9?(!It*pDe!(hCX`*>gb%#-$-ZB#dM$K;=mM6Nq>tT3QrL8PzBMM<=P+XlsR zLtumgz;f6IIb@I>a?SlDQBr^}XSgN_z@1gO7Qp7FSXh5QQcrs3h`Qx=l{?!<(Ikud zt)EXfb1|8_`e#mEEOq+%!KVm!oMPHil@xS8r$x(Cj(=bG(|fa=en~^6iaF_9(E&fr zx}=Bw@c=211eROp^tqc$qY*3K*iyBc1t6Km`wmd#P1$+$cY)?VJzp;G2Wh{v8|QN& zwk0Bx$yIck=tY5OVk!i@<{Yg%QCSjPn!p60vbsSA8001BJ{Vs|vb9c_0+t*}qm%4K zun|3MpayS8L7G&wm9Q3&o6GJ@fimshxgAvJ-7=q|`u!pWtXZ1$pIiqBcunPE+k2r2 zx}TZ(a%+}%wFMsbM!J8hzH|f&QC-d_`eJInI-?4MV8bVSdsPMQ=flmbqGe6wy#u2h zG`1B+DHD_TFW><`tg+N_qm^Z z@M-cO0ZfjcbcENIt-Hrl9gz5|0{sgxCV#`&Q^2>ZD*)Of?K3}^0W!Y;JVvV4jOx$L zMxX_;$nl$}+J04}JZ@=eBpTIFAf>r0GgYBQ>wKv#pDA$qxB4`7wyMzJey6yo*v^nU z?~3P(e6G5WH@7^_?iMmV6wgGi9WR-T%(@i0k*L78R380rJw7+wN1zBc+P(slSxFqtzFnLISKwh3^Ln6^g6 zzz&YfsQoDBE@`)4B=h%fw)2vVc8T#_M;6NoqS--oaD9;G=>`iT4qJ85BooM%ZrQH> zbeeG!ZCIJ;I$!H)1mGcLNRf+E;m{#ZAig4QmVZz}Gz3eh`OD0%jVPgk5*09Zs@G0_ z>D~mfUO$E7Xyd3xMWI#g5hLocI~G~fUTUqGJs}xa{>h5w6C14-zEX9SQdG%H_e;+E zP*;2HltWoisn>U1iJg7{?l{e7h3i={C_u0$roohMIcg^-iW%nl8N+iw`+myo>yT_o z0wPoY*nK0ZF0NJ-azEef_z)SDZnpeVV|Z)-?n$=c7aA_Mgm7*puUUM#XB&WTe@OGz zKNb!<=%rfJUcYlgLtu-1l2Rjk^<7GV6~sfnAb8ct&aziaOT1su0umoVu7)X#dC0T6n;)0(bL!H_J6S7S^BhyE@ zG=AG+@s{EY*Z@Eo5rnZds?&m)wWbse*D1- z)x>o~q>>%LB}>lg+yt|Mt%;{Ek@@lL|EP@2M`1FN z+}?q4lq>h`PXvYWk%D3_#^dwatIMY{Fc)jir1>o8W#b-wuD@2K>3Mv{Lf&QuQ%nA zT3(b5jSeh&!|T8&gU~EYCEfLf; zLx}VN(1uU=Au}{4oq!LzI9()N^z++jsq`71_;k3AUn*^V+vkLbVqYnl?BuJlT!KbA zxU7mMSn`u6*bqXwO62%!x#-m&`47dWDc!BQel&j*ZkEqX-2_3z?Ui1xi-y38ZouZC z_5JbwL3x(1*8!f+P=S_sfF(iJqmP#Yrr&{Qh&a$zrC8T->pt?_cvnp-g zkhWF{4#A0u0#(!)8_(;Evj-J^va8#?W+!}0s-D>ZnMq-}V;+YL*XTCg`AKAJVhxBn zWd<6V+$6(`jHwNHK73)4OTRoNn4114Xn?JRY-23QeUFZg%!>!odm;D65hIf9i2E<%5 z?>74SO{{9sORfq#-Tms9)8=34qn^H}mR@qZ?^(Es;ip@1nSlce3qZKmp4}0m0l>5z zzt0da1cqc!MS(1>d*fX4qKgs6LwL(>^m9ssT+K-mHkse7eP(b)bKAMIvEMEO7iuF^ zytpGTC!IH$l|KD>Kt3hpW6arfUGlf*qaFczE29*>9<1y|lisrm^P9GR^}A*tFW)qW z@#$j8gYXc3YQq_KfNO1f0A+=W8&`etsJ&~TtViKK>I+g!UN|szm|{&h+r8GZk7vAU zRQTf;kUFoH_xnS^uE+WrHUfo4*F2mGJ1|pgU-a^nKIcd`@qapsx4|aG>AC%ccgHgE zkHjbA;=UpR9I(oaVqsPOq&iu9M)TBPd$5G&rkjYc%4zQG<)N|4;ri(3RgL)s?;aWU z2i)ILK^5xeKW~kM)tafpn2&O_|1?_31py$|EO!?K7FQsqMd`U9Kp4k^*=ggMvt(p5X zH-2AC;4du=ihirCoL1{6OWJ8=nd54~U9qK_=6$9TM1xqxquq5LtLAcxBa$?`?E zthz1Dz<9X8h%VEfplGIx1Syef>89!%_2g@c3SQDGz@20ZtbYMDICczTP4AMf?VdUw zfZF&8Kt!qRMegysi=vq2WfT`(+hTn?tjyD?ya)t)6g5O?xE8@Pa#+7xNQGu$8~r9o zEs=V^$_}tl>D#&X-*Nnua%L}@wutKHzVm8RgRAe?9_uO-UUN<;LCNx-;^G@T#zGzJ z3SZ=q?$yeYKyCP4AH>k)@zea7vqL3^vOv^9R>ksqR>dF*9K~NN)1ElfHVaUT=+hr7 zJv>Eawji^y`o5)LhK*#D6Kp?{z3a*n=#sv^Vtw$D zzmfy+^$FH1@iPmf(V!C|DmDJ08V@PRI1SeM;Lh@-UeLTXLQe6me2|x0I;gk0Z z&^`%L{qS$AEc1)gvvSV9->gO$t50ep|+WbfLubZ=l=p}OnGW@4QaD6{ERZhX%F z6fif9ObpLGU1?kF)@VEnw=m`-4QqYbf#Bg5`kDM{>wI#;x3?5C&GovK0-xl=)NdW+ z-N6F|xv!Upqd(5{XN4nD&kwl$e0yVR5ba7Ig!P(t26@@kCpkUB=^M=JV@)D)ZNQJUlOXYmfuX-tLoJkG4p^S{ z`b_EflGTj-W9K;Bo4JM^Q%jAPZ&oIN)fW@q7Wc1VJbt&2|3uESQiOfk8@T`BfQaEr zNB1-5&1o%9#~yuC)`WaDta6{z9zOQV+S#Z6XN3;^d7Z3#2Z_OIlIu={TT+*eAB z3Z3$X8l*m%=oZh74|&BD`Y*&FV*x*P|Gs}2Qk8hAezjtiy7NXw@hmL$kax3>!ndrT zU8){Q5hC8(T`hke&0qb#h1Qu!#Bu@*^XIUlas9`!A^Dab(Qq{CDe~C{FCs9huD*AL zQ;$p3(2_%tqh<2WP;AI51}}@9WOT_y6g|GrN{nOw5j|Fhu^s`yG#4#S!0IIjWRu0+|!4|K%QBZSqDej$GlaoMahT_-l@J0 z#m(>-)YBBn?ZcNqvZ|kcwZ8kyXm!$+NckLaQFgqHW}1SfNu7Tn-v~paJCcJh0%C($ zeG|$ONha^+Kit$ZMd#pil$v3a`?h(rg_L=-O;UIPY{pUHlG%N!33p5Z#MK49#(vmVMk3K%5jb0L`K%-U|Q0uTXn4mR)$$#&fhzeCF;83murS1b+Z-q)BJmaZBB$-dWj&-#bU5A;1BgpG2@ry?9Oi^ zFaSGe_ox141=Ore)a2X;cL2g>iA?^8by5-r%ODZfyN7b~m3x;R@J@f!RT! z87Wk&Rr#=s#a6;th2inFBzKEtQOUC8bC#jBGgt-X&9Nb!LdRE{&#VWP29@TM+BULy|V zl0=9)*Lv!4R3?S#rQ~oi*4C?%nD; z^5XGn)e%1b?$PT+FTT}uh47X zurHW*|Nq>zuZoyVSgV|Neptaf>QtS$hWe*o4Vu}({$C+`3ve5Of2$rY4=Z&4y=yP% zU}UZG!oG0+@C^B|!YrL<)QPuo=}m=)_rr?W(X~qU+?)d;d!P&S+U>^gID}bMj5<*i zgB`&4u+A6*T3F`EP;;(Is;0sWulkj+1kgVrt8yH*eIUqqDSV= zrY|**Zp8I|-X9aIUl<B%g^E* z)NbSE$5My}#)V_#He}-RN73hknHzg;-b=t-oqyiVA<`wyNXr=(3WsG{9_i^Yu`r)8 z9ZX5n!-)D0dlZANu0xQ%UO%`x0PsZ5(A=M{YzNPJI{K2BY3`;twvr-P>($)-NDJhX zb%y5ARXt;=xzA=Y)mtavEMh@es)-Ue9`x&h>Z3@u7hYR^UMzW}^=ErQPnRlk?1*#q z-Y?Hi0rgVo)6D%mn9O$z_mv^lPnH4YQ=aOV(_P=2zr*PFM^nxW)00p;%)44Jqae<* zjuHi#tJQ0@nz6dJZLvxrv7h&RH8ZyOQmF({Ts5HtTNUfdVLAJyNt45Zg&HMD+8`Su zI!~}lenO&J*_`*hEHCFUriZR97WV1E)~!RZnBvta^+=`;Q%g@fChkB#%8`xz(M?vBQ9%=pw3Kdxw_-*%dK#!wkXj19kHx=%*x zZB88VlYKyKRL0PHHbspip%|Qc3CF(a^-g4erohIgA znn+ubhA_$DM_+Z)S!Na#^k(eEx->;L<~CJ#VhmrvOfGlfJIjWrFfCK6!J5C;{iI2yx_3Kq)>9;I*K_dx6}45+l@==bN&V!s$z@ecB=!3f-lviCqf0JN+dB%( z)_*<^5=efEI;<5>G&x_(12o^+O&0@&7?VC!><3LIxvyCP!ajx<2NCsRhf99pnbr$O zBxYgrBH~)#KI?Fcp4f2Ap1drS(ErM(j_h0#`nIi?JTdf&@mU+Qud>Z%T zQKABmqVm`{oPF*<(WYZR&;?8OJz;k|ABAJBOe&4AGSIKB`;J&0ejQ*{w$;?sEH=ms zJH;55Fm{XhrPpq?^cEM^4NDqox)0{(ORR0}6b1@k9*oxCvF9V`jEL-gbbiparx~Yj z^YWRiOw>j%L$%@IkOO}1szAdE&dlewF;tI`b*ESnlr=BsiOcbZbug*yaD?Fb5C-9p z9fG3LglHES7eHf!k1*Wm*fTHc)@u~8tv{pT)%UZsGnV{;Eq^l2;b)T7Sj`p^5AT56 zSh*gbFt*xjlGr>VHxbCSqEZbBt4$lR^i?a&%3^kHc{%uFfn)4KEyVb5ctM3FJ!=}js#k`3bzwE#r&jomnL>?6E>l^R%ITFOCTUpg z;whD@u8QoXt%$rhFHM8MQa);ttbON(;KPvlw_9XK29erfUWG_H9vY1n@1->lD1p!+ z`g6<97n6?Ad5LyR%X1o62zw-`_TxE;EJKl`?EyJC*mc&vlwKN`L1sHxL_E@>sb-0o z+;|4>12fhh%_k8$s1EJfb_5;k;qQmd#3r;e+@)fmDy7mQMvXv;<&W>T#2C#8yIeme zGurj$Lc&wt(`}?X?it7pG$K~>#8ZmauU0*cU@QLUcZ;>Jr|9^FR*m z!Edo0!@2>MY~SNKhc2lJYztAXbEo^Qamk)SQpBx-FVmm@p9|FgdXVzN6Xk!;e)^Jh z>2b&($bSn9`Sjh<|7meA zK@bNb0?9r+A@!CDA8=PnuZ)V4PJR})@) zkd{v=wN?Z+6DJeBg0G|F^h43>uWx%zLB$TeQU_nLy3}o zW%1-GZ`l4Q>YLhgv&GYgYUZSSz1Sv7+z9q>&aod~WpHSznQsw@|*?D79WQP<|M?BtCU6)&p(aA=r3Ko z_mICp&xL*r{GHU8cj@EUKzUXf{k?|uGTA2GW^vy^H5(`|ZQuRf{yK-^1k zf%u_ZjsBMR6vYqeZ@wjR!#0wgFw%=%?%8G1b@SHTHc}vr9B#!0Kh=b95Yvv`C+lVp z>Uk}hbn`*lJENBvd={tzJr(%|khK%bV)vY1 z6{8UXtOne%6*2{wF5N;mHevRgDaxqh zmN+h&pDwr7(MTO7$E68=j(5dPco-dC>ag!-UPL!MqlKFOxdPS_(SfKxZWZNlHDUcb zQrQw{x)!F1Wr#o20Cagt4pDfweEm?z7_n>!1|G+I5BO7<*obibE~z!3J^L+Ka`oZr z(CludfZMr=-cse!JdNXuiMmUmLM8UC@JR3U5;~oS)DjzJP5+$yH5gZ-F>o38iG*(7e`hq(_tjs1wY z)z=Uk0uR&}9y&!@^Am?0ZSuhfiW*Wyj9916CVlpC_4!)J?)`A5;)Q0rdV_CfRkb%7 zKL28`C<$(`#u0sAw3|2cp#iaOUZ&NX@h++P3RWK7D1dufor&e+w8w{PBA1=o#*H#xAV$IrTeYq)#K{e%233c3D zF#p8~Th!_$QKMF0!eA>P8fWTe{HLA4z)(_l%x{Jk8!6F2V{zUi8vBuOay&parW7;T zRKlBqJNOR1b!T0y?kKPD=~TqU?byIbmyZ6;$mrb@4p&qtndb5(>7L44+0?Dl zGZ!rJ9;>4zm7^PKko+S;HAw9X+U2ijYjq`aN>?~2@@nzcnGD7vw#~~^x#x*K@w~@* zP|()TWD2grX$y5n9XTt2hNwW=6L2@vwq0T=EC=GoM0ZqJX%bGvYwyml$mz6Px05t-TL)D=XM^X2p~x68d z+v9xbnOK3a96%lE7K;;Ooo5s_Aw_9jpIM;R4=h;r$ z%dmMoNL9x9KAE6fdq8zK4{bi;X!N5t3Hp0GR^vv}+6+&~c=88$ZH zvHDz*K}3F}3}ociHzz(k*ou-Fo1Bb!WtwOIPPa;U8}-gtu>0Zbqml@#H31I(%X!A==V=TNt+Ww*n-@PbHq5%Z)ZN zgP^`sc-wPP;cCj}39-*wcGGv)e^w9iFLQB*$u-rh;~pMHy9I1%_!D)39ble#ur!|E z=ppN$!)?Q~6xON#Wkk`j9p825-&|-J{WiaHbzFhEKwTXc8amihPqyh#tm&=!zADwA z&%+#wp#QYp{K__~Ny!GJb7>q0ZZ81}2c738id4W`%#^5jfdf}ezGd>-dzBRGT|FAy z3Kvv7! zIKBD=f7nQFnukf3yTkgvcTC8iY$U-INe?foTScmegsDC>mYVw392&q3 z?Ry~EXYB;Zi+Hh*tBHbp7pT)ei7ra~q?MpYqy`PL>U#t)60y^%mUh~73(yo?#V5?L zAC<4Mx7+;dFuNj9yC(I^Q?cg^`A)?OlYuNc|tP^}I2 zaF(RlQ;qck_+;Spp-4*|7As|%9S<&BBarD#zVzSVMY$>)s90YgSMXoZeSbq=l-D6T zid`f6GQk*LnfhAuK%%SgKp9hmSPsOZG0XETwcmN9fcYRkNsje8-N?am*#3loxg>3rx${1b&)3b>8pcnRydDZub&{TD~`}aO1x)9ir$a%RPv#6p&HV&H;8E z&|(ZeWdQMae98y0AH|7o61?u>!k$t57)=4MHAB_Zh>P9#EC1_YNc+yZ)_tODxV*oD zc{OqkC+_~1)g=EpAHSo6UKCI0FWcqDJ2(yGZ?) zv5Z*XIK4}@xsen+b+e$xvU>mDC3ES<;MXS;>R=Vhp^_ds^YT-)(olo1%T)W?3Ru2v zmV#gmPT+BflS8y;HlAQJ*45ytL-K-RH6bDrb{%8ePn-zf#-Yy_+;fLv+WVpF5ZLo-6zb=ozN$sz|$Pf4bn5pgC{eWse4uCFy`fH zyI7(2!wN-A+{|=cDvU}DF-kh|gz) zmfkOd2E?KV3B9~sjg&`Ekc_?F)w1Yw4^Atywl|-={2nLRJ^VTL)>?0xRo5<|(f{4BVNtJhzlylN9rZ`lkZSBSy66SX&lihqvwVxee_+q=F$2k;ZZWN zTD|mZKxS`GzloR0fNw$&$i(a2V;nid`8Mo0Hvv8d^uwrMEY zXb>AuYp3>p6u81T5Y%UAq(L28B+JVJ)MQo!xcSHR>z3H#7v$PH4lhA2>Lu$9!&edo z5_!JK!DWU2{|bD>M()2SlNBAh(T~(&|F_@+?Vv%qWn%Qve+zIJ1{$7>r0|p3)VCcy z8YzGqhropyP{-8UhgpaXtM`ZvU~WITZogCH-a7Nzq*T9kg7a~387rDi+%p$wWkhmf zXjygUcAYKD$YvSZ!$G~s{peA#M&7jUZ3T^@!A*7~qzQ2%Mm;ANe+uY8@iaNh-s zr?*Bu|FCFgz6IjzkKHj5U4{ewf*ss(qWA(f@8O>lHIXcS6Bt^l1wZ9Um1(0lWb5X9 zZy#Kn|1budfNa7%OiuX-b~DDvUQl_3&Rw!#VXlpS;N0qUXb zXn3$?)C~X)>r*D#Ffd8lASNA6mBtVS=~-U%$h>3__dXWq=hDE>aH1k;ZpIPiE7Ns+ z_Oo|>8waTx(yrpw(A{I_TrU*&$Gl4SpR)Y*=@`R5Z}TEr&b~ep&egAJWNSNTV(buO zXmK!2XX9_2c}-D69KdbbIKU4yUk!Nxcd5vX&>iiPjJAIO!H%5wFt#ENr>6q1x#~rO z*E-2RbU;jmSXyD}-QU_aO$LUye$hsmHB@G} zv+iwEEcLEdDz>T@N)#{4EIhkLVAP}eCWArDeP2`bzi6oJYFEElsdn)Vclt&sC@${! zTdm5;6y@HkY5(`<8NBGzTH5KByOeT|`0Q_*Wb~X8&qhQjD%qiIrT#*U-1HfK@I&(v z|LMFeE{SUxg6U$@(*fTk5T^2AgX@aCo4vi-4h*F38sI`2%>V;ATwsz5P18_q2Xyg< zJJI#nJ8&oZcO>b|#@zaH@to&WG@qE=Lil&t{N#kP|HktmK2KySF4ZT?4L!Uze}q{b zYRvYFw<>OKNvGC@a1F&Lp#DPM2p^t@r5(6(vH&Fr4mJ}zK};d}-`=0$fCYbl0|zCK zx~r1DF#r?;reY23nQPnvQrYak8o}Q`!-dP zBpTksIRU(yVN+r5#Or^fzoW)_a=5`*yT@9=dz--z%+w!-ySvA*ZuX;PvldF4sxYIM zGrOT_!!$CXX?Gz$KgW6|Ccev=f*ZiG4kOc?9%u|E%EPQ~68SC3^GdB8W#d%Q+jtquMl>7oHzJoj*8l>4pRTHSMiY@qTq*XnYcI(o9t z7Dl&!~|eF`k2Omjwa%z2=)KI{XtEL z^yfB}C}akrS~MGogmOZYW!&ZQJ5q)8@40R1LUEe_B!Xs&LvjGz$WnZ&I6n`sBD?8=9O}Cn~ zI@OKl?$n^B0x*qj0rico$sRSLsw}P+0t{qLhx;H<1ay$rUU+JsI`9bbyzV{%k4uIG z08J5kT%|J=!=zFwVU2-=`^$nm+3iveAufYLVlfAr2WgOK0qMK<&8pg)JbOoc{HJU3 zGo@~6%Jt%Q*}clIn_~v%kN16SmA3wZx|>zHJ-*wgO6M`@slBL>zZRJDCl>Dq5`$wl zYvu0z3NLC})s&hBmTirrDe)f&^&cQ*=JbhxPjjTql_!e-=Up1J-Jh3{F)#b#CHtOF z5WgY?U$*qLRD#R&Z3UK8b(EWhyDjwY$SeT>U+gtk>uzeIE}@@n|KL7Q1Uf%Rthh8T>v(PIW`X?xi% zJj^p7QT9O&Iq7>D!}z|vV3c{0meZPapqc<;tI$%30P+P#21|6#DI(Z=2V$`Ak?DPz zREmu`9eeglashu}j^WUhqxOTr5Ady?=J1DEax~1;;+2G3sFU@12YH_ zd~HS$pDGblQpuG zS;U85`o`v79vL2~ZrJglA~VsZVb9w-C-;$e$W68jOi_4*Ps3O=Qg)`zATwEE7dK3{ zWzBr>pi<ihGV}cr|AZaxgO2V%_g%*7cjQyW;Xb%|ke#wmuevjNP(%1FTQbj7ZSb zYItz|vP~?Kn{6j|e>~YT;n34c>bgx5oX*I(nWKb6nPxlx#WaJJfV^L^@-VxI;~?pKg6}UdXmka*VX##9~e785y}zP4m%h8K|5yNy_h(ZqWB;K})G(-r zO*`o2vY^HNLD!FhD$JMAp{#9^vi6PYE!81@-H0q!w{im(&NVen;N=578hFSz z{z?%f$RJ4fCN<~4Fw01sk5&d8E)AMG!(;p9#CJ?~(90x7Ffa7=`VOB5va_7+wPVOj8| z)8BqjSILXbwo7heL{V&;f9YH;~NE zc(yq5H2-`f?c~GyB5QDYh-qc75#*G;SzFu$(1F2fD|6JCn^dP|k0i8v#ID%92xDIK z^K)y{=T;^gay%RI#5`@bJZ;;54`9r>wM)iKdl1Gwh>v{l8$V}yMYhp2Vcf1SFW;xI ziT#AN+xLyXWyG*jc3=GJqVQ@*eU_j?-D6sUb*ernQ1s8U@kSM62Qj5O6S`c($E(7} zZ%_@&UQJu@u*L%KR}4hDK!4t4fyT<8oxe-_%ke!AtR52ufdx|dny4t(R8Cb^Q?N*h zz}2{B&*;+j8I7mV@uc>>*Fb@{^Z3rWDX`Pw~9smjO`;X_ld>0m3E8_@QnNGG?D+}8Sm9;av$Lt zr_ya28RHo@*KOjT>g(h;Zy zc~v^R$9U)V8ou}*4|WRI>LqSK1_N3O*TfOhx-5@pe0+1%0sl25q8+ZIEJm$Ph-i4z z35`Vu@S0dw5$p#4lPJUZIL(_U6XW=-O<_&yd*kaLOM@vY_cK%TWesM177Nbi>w4i6 zn|BLju>$P-{Qi{KW$Ayo8-a@aT9C9m&Zk^|b$QT>oUH0_#QCwA1f^AygSad1;DqR3 zxWV30*=W7*BhI6KX}{(MOg{pS(NE$9u^j-=+<4SZ+RvYR0cLUI^aU^fJrMHx9{^KHs=V+%91+zX07 z{7IK3N{yIc4vI$|ItE*%f_gI@lUzgYwN#Y^5}@?1EEMT~*ns051V!eJOg z@|Jgq_t|@j7F8xWVO2^VZ>ZxeyaPgxZ~cW$v@ZF&rwL@QjjV9fttc= zZAwem(qDL|%>uete}cFVdiujk${iGHP?f2A3p;%=I9)5$I0RJbm#(X6%;{K=(taYD zLQ)r8D2J*{VT~F1!=8!0^v#kiW)-8_&-OhNb)V`ddi*?7Br$2>t<*0z?RVJDx(}+# z)}+6>Cc%DM1WG>#HX#e@B(J~r9`M>(Z34H+AliiS;H^dRKLPOv+~8{iOYz@8s>g#3 zcNq|9LYKE}h7~pCtio8`EkMLn*srah!<27>5tGXRv zEugCEzP(`s0TqyVs6$A1A37zZk#6ZO5or*%)S| z_rBx%#y7?ud#|crohvW10Q64Rpoqa-JyAWT^e}Q;x(J2e(nrfvnKW%FgVp3YJo+KkFU79b&CG*Wn zD5Z*WDN#x{rnm8U0xgU3DN$T!Sws~$Ca|-tau&&ku(Q}?IkXEFafzM3lbGPF^#Jn{ z#wFh*$?}a$HYC%_jZ3hk$Qq5i!e9~{vJrVQn3P1X5jm=o=%}Ttc4OGE0O;rMd7#VN zqCX7hu(ttkaw0cEU=oAAN`=9C^#%7S3#r!wbAUXh|N2rgFY4h$*Y$BXJ;xcmh94k%(vyV*86aQh?Z3V-6sHY@oeI=Tin2jr!nm2O4Wsgwoqf zC0e5oc~Ga=q2m?cZ+#-#LsOQ&Zeu-k1i+5?RD1|dTbVdz6AKPh)$S$pLSwuW6B} zs3{pD5Mj~_s^@roWc|lbvTNg?G7;BDlQm-El3n003=92H>p+2L zi%;2NImM*R8Ew&Z)i5a)R3*FOfD?2XnWPqo{TZ!<puybhA~c>r7Lig)b2;!VKw!Vw+N0(; z`k9oIPdUm7iIv*^O=$5g<$^8x)Qj}dYYqCu%n9s@B4YHKP7IV8P$%7puZOv0b%~;{r4gL_i;;J;AmAgLZx%?{5I9!5>epI{phuPN^YC<%a4e`)eoIKfx8-d zKS;|&Y|&l&DzVvUticl+$b*M-if#X(zd~2p01W7$O$Skc>mX5EjL~QJdbr72`bzi z;;QW^?I!4S8^HzqN)>Lb{~ZhZH=6X{5b%1M#%@C5@5k}~S7QHX%5#p(#p50z-MH%B z4@gS$T$qIA6I=Au+g84H13K2-c|#u#lOQ;7a)^tzqkK9+cUJfR;(>01Bt%Q(L`#$o zoLE&^M3LoJQreUB1Z%-KUP=Mh^2+`6W}Bc#?)BdXj?D zDncNlJ`9WH2;GT?TrcC%T90VeD1H$$$`DsHwpBg?o29u^9Z)>Wa&QnRR}G4nK`o&9 zna6W>fEFSD=k_C8CIo=hw1Y0#dginJV9d{0D3=;g#iv%URx&WiN}@jBj*{U~zx*iw zyUfpBDa?<@Q_299axzPmS5MOa4?zOj3^V>}%<=+cmF00ep!F<;L63{mJWb@XoH5b?8H}eaCY#S`~vIdO-r7|tSB!2u^Oc9@QO3KuanLGr| z`mbVK0rco!gWqgvl(nb@ilh(BdE(k^X@dXi%Kxgxo)xfeaGCJLS^OtVHls-TjZWlB z8LYeNZvgn>@8{6%YjfSmmG0XlZlg(D6JEq{vN-)s;J~1%`gj89*C12+i{i^BM2y84 zb_FRgjwE=cv`PQqN0>t2C{$}G60Z(8b82c=M`oP$BSVu?D0xkz1!{!3i zX9*GqM{-19|3=FANA%C@!nHiWQSowp87qJ9us|W?FJ%X@w}^ht18Ud;s&m=x0RHps zmWFwqQ2`4vzHcdPz!TVk+Q-E!>yN;;4~~EVK{BbQOE<%A9lKdo@UaauHN0OzN5^b<^-BIjsxZcUPwfXin8MMCf_vOEZ zPe{4tJzvgmJERa1vzkw7t_xVk1_odm0cH#WfKy`0=-qZu5lmu2Lz+8~Nh0DecY#;| zd%kX8E7k-s$$6_Ja#nA5R>nCP&Z z+({>Qps4V(gLs(4>tETM4~A4xzZ!SC0D<(HC5v%puoA_2mDG}RjY-%ATarWjs6OU4 zPi?dYMDnczh>}lGlz6B&kd6V&bHlfc5kt6K24w1|eR?bX#$g~#0;+Bv1vMKy^?{gg zwS_%LAcI)ScMrheu6Z$oKLbX0%?p`Z*8jruqy#20$<_Z2BxcfkbV>t8@xc4_lYF2_ zOh38^fJrz7hTSrup(R=6pHHM$9NXI+?&Mhjxn32oT%YT`t&&||G) zlv(Z7UBK>>3~z3{acYFOuc}rTPVV27TsLH%0Z?7iRo&&iFhdpZwX2&}Nl)l_0m*u` zH~V7&x)7^OYkJhCr!-w^IaDYz6;{179;FqpU73HSU+#8ka5ZK-?8_70v4C$k1J_rQ z_WZ9;J(Y%=bB<-uPY`T>Mww zV|ix~6nyY#+TI32bMqae%chg&;Y7;irkVfm8_`&MKHZ6f5r?F&XvZENQ_1H*cekNYshY;E111YCH z-&qK&Uf$a(z0Mdlx)Rxhw3m^hmDSx0rQi1v(7N08M?%q8()!gm<52bdQND7E%)~aM zjKNT?;h%g^JE*bvxV5lVkA2p+dfw&IVABS)ExT|};feCO?e$kJAzA;7; z?9h*!iZA$*XKd7z#w_C6HJ`{gUcUQU=bAF-yI{8!6Jv2#q+IR^}yL+4zT%0`oAdcFt<2LfGgO}sK9Gtffga6|@c(Uk6jMU`M z&oS{(dAV*wlc7Q?!eo6J%qMqwlZ}bc2$KD!F-h<7!joT0V=^WSRZu-jCV`=0qJVVa z$scdu-6cjN{MSn)jmeO#B7+ILjlXgmmMDWseCrvM10j0e1HZGuW{G1eU z0X_;Y0UioCKUXrAEGENk^fDO`-B|YDgzRrUpa0`Ik^!l1%Kn?`7g^w8mHXF&a+}3# zxqrXm2MHxt{m1k6*7FB=Nd8yma87;-IJW==91fmb$*l62jJJ6+fb8xHB;(w_OLYIX zjuCR0^vRcp6d1|ga+pt;|5p-RJaABcE($I_J_*|#VacpYYHwd4xZ$}E=)!!noh($-Mz$!)2iIWT8cmn$z&DB@;K_yPqvg6#R(fd zjd{rE1_ z`b;$XQlt}ge8D3NI$D2UF`WKmkJ4AD6rQI#?2~tPxHmD7bABPS*L@yuz*wlY?wNeh zyhY4fCXjt>l(F%2r$lZs>`bZuTz*Adwpab>?+%8?+~sJ_8~_HyiE?*FTM} z=8SH}7B0hY{y;a&weXs^g1e5b7qtt+wF_5mwUgHy?l(I#Vxc!jGke!pwPI&;dsn56 z>RmE*dV(3+5r?G1WQ7TES+U3zNs*S!d@os%A6g_@m~_aLm5m%|WzVJ{L@u;iHebO* zo*QF3v2^l!HN5S;T1h?r(dKXRy&%3ESe8b^2kpoGh4I;4n-f|u5=P1tHP-VZ5fc?9 zf_pf)8l2lm=4chu&^{?mM}hPFO$}fyRfL2+DCe&KBL}+PvZ~o(_OxNtZRDG%Z3Fco zE*4cyqZA_A?{9CQq;}aoT4_0%MlCGs+~F6$a&ygx@kKc5(HO{zLxaJ;Z6&d9K2y=< z=j-7yKL5^tWmleGRc*cRQw-;_6} z3L_A0VPhFt-oWqp%&doAt`*g13s$)WY&8fSEz>=X*I#nULb4xp8e9J(~-i6 zFuI=zQ)$U0L|hW@G3G-ITqR^;=c@<=-C=VF#h|q)F^YxF3=ucC`@0j`JL{O)`qEj9 zn^iC=c1*#9>}S`_9md;X*4An@>k;VO+wwGbRyU3zd+gD~rD*gYx)($N6mFlzQTEqs zP*HYdU8PaZ%6?oF%k&)osV8SV#`)76EPqw_eEz2K7`p(f?Ye+!$*GU`2t050*5W^3 zPoeDHj46w~%)RK@LEKaiBniwG|9FtGNbogJT7^|@Ce!E=r6EOBe~lO8(wD%i=A~jL zt8+^RRHuu2+*2Hr28~s&T4i5+lIi7*dAzWEH#(`l%Je`fIw^cJn^t;)%clw$M)9dy z!A;$4n@2K={xaF=G<(U$>8ngA!q0}vWX;(7vHgU7L177(exy?Yz-N7wQn~W)>>XI^ z2+m-u&gp;?*3jYkH1z4RQNFGFAe&jH6Cj%jjc}YKZNiOS?5IT~W}Fdt+on%{PkmB! zOcPBtf95Tq%K0$iAALQ;P=z!MTe4_$3!H$%;Fo2JnH<1WdnQM{l;wFsX!Xql<azx=AS=!lA0OW6`a9&Y)hg{ z26>2Z4bkH?Nw9cF-JgKr`7rt0jZ^rvP+?N~$S+r>*cE_vv1!-6{`Lxx|SAZuN9 zaFlrHkl*G9S*-2PC2rYX|K3@OVtDNXDiQY`j}&VZxw}#`U#kQt9F$Agsk+ES_G(-E zl7td`h4hrImrpOCk(<*PrqWium8;fpcZah`KsAK!!~#{*3CsG;9e+03(mSB1EfY3f zX2#nOw_N*^VFJloQE|R7fvD{!!)h(h&DqSp*35nV=4H?RQ;sAaO`B-(&9h4OXjzh) zxnjP?^AX8252@>oxsa;$;yszhjA@50a?JP6jrfT#rVpn#P*}E+C#0U_=~eL=PVk~a zz42f-zuEF20cC)U7j&|b83EaHuy9s=(NsY=SOj5w63?MN7PQMRx?JMM^0k{0W3n}?5$o1 z@oM`OfDO>OSng96;_|MKSe6ym{UfEK+4|E|aCdU|BC{^#`p;ar4IQ*Ibgwg6jykwm zkzmBd-t4>EIDO8tsX?NC`ipGSaSfT?xXL%72v^OEXbRVSWon|_$U*6beXfFL9qsCp z^Nn(reL3znSyzz)2co1&uOZhcgvrjpc*NAGFGocC)bt;YSR#yUuWD~ePE|4teSnow zR{cq;%`k@6sves2$%0&&PERjGN3YlSa!>378#~;FQ%E_hzV_CUt zzn!iVqsS-Tem8=9BrG~#E|r@--Oi-PAS2K{zllVqMj z^udq&I@Z#ms89i_G=$pBo&Q;!n_K(S{wML*hJu3J=u6pVk^w65i!&lr_NOEVBsinG zGC`=#_7X$&l2NNhd{iGMx+k#Etqiw3UZY~8S)qyu1h)wXtSLlqaC27Ve(p^QvTi@Z z;>O_K2o=J*hY3p~wL!PWwq@%wkWxv)y20=l-2OR0!4J8Ug%G1~j#8$J4-ypJMRAa~ zp!Bk#x{RY-=$k7g+wZU2jxoJQ+C$83RF$q3uo21_b%Nn_j!(KxeAG@RN&jbVn88Y>#~}&OXC$bj7+Wc=5a7c<3rSRUvQNns2G` zkIQ)>bP3Je7^-gt1R0bEX1h{lvhAYFTwldNHy)?=k38pwC&on6^YRw%@4D@!IvDG! z&wV=ihbqy;0N=UrY|iUcNEtJ(#Cj|t*}pjWJ*!1(zMAruSG9RhA-81~Q*&>@I?g%X zyavvFP33%;E)Q{nPLvxl4Vx2JzE6o2M3FnKd;&>PcIK~A`dLRwe>84z6YrnO%zjq+ ztU3DFj$VZMoqchfmWfb7pi zdm<@(N#%_khjJV8!76{HR)92a>cV3+uDLI-rVw!tu8L2hOcU)t{orAO6l))btLNe(qJ*4r-iE4QIe%_iFoiGU-Qz8vEr(7e@#aH*a=w%>x^3S0&a1VR3*?m)Hlb zqyb9@L<5RM4=fEdf0#ScA^gJhf{7DkS^F_;m37-NsKkl8K$Z11Xghr$ZY9>;L1`hi zT4gS8*Z7qPS?g%y>!N)_9_Eu4!v<{4^bVbzJ7?LpMhwkv`zqDl1p%I{x=~R~mIkiU zeBXev-pvX#(KabfuShKX7!XCBs5EQ|nXdKoXn-3d2blM3W z^JV(Rzs;SDK0RByUbzsvxei_sGcqI_$joHh6La~#cXPaOGw0}`>w8o`l*xW@Ne;Di z{@x8gc>Rw}(XuTy+|#|e%T?5f_=n1F3lpX$V{OXBHSKTX{!1Z#HMz43&IL+D;SrCL z$7i{7+%IPjR!v?P`9@uBoShMv5t9~*+y(m}0^`P6f)~uR&McN1}ZjcYo1%;I?>Eb}3N3u1gHk16G2N6XJmE?TZ_q|P~J-4Wx4Mavr= zMU8?5U3t-^Q|EMGPdn&ZD+V^hp<=Q`gi2ducj3Kq56!d?B!`U1=i#!LR_)D z05jmk1fSE|jwyCZK|OGIP4uymd3b^l|Co7J&()fm?L{V>6~DZs;Z?zXLrVzZI_7D3 zVtQ+W*`$}G+JCk|-`S8(IaS>Av*}5KOnt;>W+Y48s-h(-Q;)f&vdxa}>V=P)P5iUs zUU+a95FlVN_aw+}jbU+(*%zm;@jLfD8McQNR{1HenmuCMxF&o&Js)9X_TkG0+vt;_p| z7eix`l?Zj!#LALrluSsvErZCo5ywwQEu6zUl^2%gtEpiHT6k+d=w%a^vH0g1ZZlHXu9s++5OH5wrM<9GSwl;iINc$uR=V7E}7lO18EQ`r86b{!&{tW4VyI>UG9yLbh2G#y0Zh ztVb`0YEYXYCm6-s)veB49Jos4wO)0VB&bYHl_U_TZml(_?p`Hmc?RkfaYecBzj7S> zXbm}OTddgq-Q55T_$%`@o%RN%m6`G0GQ(1#$RUyO3|(yl?ccOfUN-thi^4nVc_u1U z7KCty*oyGf{2r4`LCpL3^Ya)1xK35Ch(^gfmLe#yKAHrwV)CsOYBhZ8aBSK|^9wnJ z&fe#R@>iB@9eVX^I;P~mS@OWcdER%}FK+Wjw`)k57g=JwYYFG4dtb`@GORo)Zj;!Wv%w^s^-59lC@H^VL? zzRPm2#w?On-Lji;I7Uw!xNSjEI6N3j?;OmC+7mST45tZHOuc0V?jMUqw%eaS?RDuP zKM_mvdsMPAk3-myDT-oyQ?@R;AS==@MA`dOhXYooxHd#~(Bcm$ZguOoW%Jum&WyVm zFjs#Zvfi>1dCs(pF8mo+L;!+kJq*@WmV7<6s{%Xs(5g4Mm8Mm#OgMQ>1^A*z$HIgxlMiQ`Nwa9fK-b!khP=3YH5+l6X30T*S{` zefXSsKWXi#9bc$O;U)ok#5 z0gFfQkb4$nmC3!EkU%v3!x4@uw9>uchYXd;*Tg(nfYX>p|I@R}KgrP|-BL7q5VV^& z;Z%Kn&Vl5lf2a{|jE*rfrT6DsCs+E}1NOHV{(9+Gk<)Ni`P>)cp3Yo&6JXULopQP{ z1X$^Pb!Y+fC?1|1avar{ni*Y`p@1%4Vgd30yMpSTD*|FMMzM;XGAELXt9Uo1p#Kh- zoJs842?}A5s5zz^gq;2Gg3VbHX`VFHeyT$^QCy&MCMC#oEW_R!E!37+< zVP-i6tLo$|^=CF5+mytw1K~0rKyz>*IyM+N#NX$thnZEkWo`Y#_b0V*u49DZ0OLzdr3IpbToISj)hel~&y~@x>`XRP#ZJwS$5CT41kkW! zrDM43kFR`Al*lU`1^F}b*}KJeVw~$D?}ac-;~zt$F7nuz{X>MlRiC~dOFfCT{nLbF zqif~8_8zYaV;H8+d}pYV?m^519s?U%thH^XU;FWMl(d!@h6+mxk-cGU8WGBt^W(c? z_0GJJcRn-A*KuX4?XBp)ote8NC9ylknybS5G;t`SgN>_tRLZo*5Mo%f!Dm;={_vi# ztqkE~h*AWD=N@G-*Hg5iUV>{&pO?DTb50zBJW|dg$3GJOIe350A|W{fU)oX#Es?oz zouF}{xUDfU*GW;VWVDy5r9U} zzcT{huH1PTN{5(nIS)kS=Ha^DJ_trO@nBHejGJ7b#)#3DNaSg z_oZ7-gGClow_XqslTAs01MdrRf|-FI4GQNo%umVEQSxSV$b^0_*Itze%}|sH_JgWX z{%<@lQ8HQ%HiX`$le)JVp1TkFM@XsvN;By-EJ1Y~+uAwc)+tPzB!i&_e~W>kCgz!O zde;j!X_gvZNQgIQVf{m=Uro-5Cq-6#+?9!v}n3;X%-hTM4x#O+C!+Dscm^q0o?vY;-6W+T2#kA&F~^=GT?>Y1?A2awJsu_ zadYp#?hNf*;~&K?;dM+1{2IIE6oa$rWNer!u4m><`ix!w&--I1i^MlhE%1Z&1W@+7Ku-Ns3UAvY6A75m&^q$5jF*X6qzTO26>|KSav;csrwqikq9~ zO=K*_bNRm%zgNKFC95Is@Jv=AtA^(Dan1*d&+AI{&o?aM-I}#SMQ(O4E)-iwOFvF( zdkF7+h^x;VyQt_N4F2$$_+1zkx1nRV1%ivA+FFhNpbPS)~G&RqgWcc)c(GofBKQpQke`A!TKKJp=9XgGMZuAY! za<{kOY`&7A(3$ql@678_ zr+h{68PgDlYB$&0|5DYdzfmLnx`_Izj~~Yv z)XkyW?BxZpwTFiCdJ>5SM14O_lhAdBSveL`Z_IdtX;x#N=c(9z{PJ?-_$sh5652Qb zv%rVzYU(#e;v@qrWT%v_U#8)BV`GF>CjvdNhnBddjYi834Cwm}B`x53WZuv$EN1Laq2WZdE@F)Xb3gp?zz18m^+9oydW0c+eeRt|Jo)O$nE>w)HqFz z<5hpKP!!M3ZeU9IKqSOI&G76JBi;h39V^V_#nNV8Z}P zG@)@|$j}}QPk8xwK~sLAYUao<2lBHQQ7f7d)?i$w8VnCsozN)HTtYRcL-rDO78U+b zD?*YX*(b#{w>zd)6x^ktjWn9%HFZO>M$7lqINL~Hg6`XyOIG$1%{R0st64#&Ky9>2 z$}LE?#ol!KA!LV3LdVl;Asd-qY?8&4w^N6?jr2H)E^wJ5qQens3QMi(&uve`QeR39 zAQJ`iyi=#?l2H!b52WW5@8rQG>#T;4zO6%I{dzUd)G>vn7{Xc}j#Osmila_%&hYre z1#{in#c}xrqf_O-KojgCmZ;FUs@wVfjU&{nzOOhY);h}8F$mF}bviTK6w>7+F%WPP z7}iP2YfB>uC#?f*XLHv}gG15uwLI%Sul1ykY35HvbJ8SzOK9ePL`^$x0`%eT00P8r(I<(JA7| zMAQ~)BBm!frbs@E%-3j@K5$bt;dR4~MH}25O2`a?axJQo$O{dVeeS>&PmugM%#`@> zDYAelQTNW*v?uR-zuvCD1PeNy>3-$t{w`kKjd*#A9lA@hDh(40#tr=mtZRJm@=8SgchU8p^V z?*&0Y0wZixx)hPswTis48#75GV`ix=HFKoQjb_KQ3_^zcu^921Ay$q)YeO*h3mpXc|c+7$q zh)4_d?xxR`iUgJ2O-fDS`n*X^`yTH?8MaKwMS-Pn51Tw{=76es{-{cO7Lx67@_oT- zMFQlUaE1j{SW16efrUY$`6>rMn=X9Yyg1~}Mny}<)cv>wSk3F^eL@Nri|{Qp1)%To zF{F&=NhZ0j>%5k9PLt*eZ>EORdM(Jo>|py-gT?bTc-h8ts|O=~Mm1RP>efBd*GlYC zNs&^A7%r<+;XHD4FOkD2#d^dL$br9?~A z&Mn=W(Tu5-l=RPrF8{r7^8xG2>!_i0;!n}b2YGT89tthtJ#B5oT6 zDp*(<{-PS%XMW;V2Q3b#jMRquArrpDVNsx7jlUK(?9kjwZxFG&+i4cU_b&PRK{c$B zr}n)b=9k{Y3rmA}w#Qj;W*z;9n=A6lsGV6vTmfE(r6qZM>avyT{c5ibn}zTLSsSm45fa9Qj#VQhP(*fE?7dKa+G_Vi$CgDuZPv(GWSJ!!GTGU584-uc1clhHagH-VZ|OoV42@A(pCL?LgP87Ev;qT%f*LcRvMqx9SEw4mMAwcO zra{K^-g|KGBk3<0%m6_%Ws}L?)lm}9nk8wb)LDc8)QDbfn7IYT*y1)V0sQ;77YN0> zAIW=O5>)P6Ohn{`M3gqrV{T)A5irrJwR-OSV#Fv_nl%S{lXcNWHMszzGxCjQX+~d3 zGugsY^0Xrw4cC~{BQEK-IRLt0Sy2ijLTdDYIQrvH3;DO%SS1m>8QYu)HM&pEHqnDz z8s1*e#G76;Sz%Jm z4>UDjhJk(N7IULz92516sZk#YR|v_A5k`#?-8eZ15q8t7SFZMVcP)iP_fu9Mp`gYu+^Mixqn&=%e zubgr4Vxw1++wZZPfV7g!Oq6Xr?&A5Ks|j)iIOmO8qB_yw!>zHI_OX`hvmLj%NGC7s zcF$ur8CZ?7hwQfkC8@Psy9W;%craL=)nq*z#uwnsBS_&s|6gM;C*V+KOJdEb;v z(NyJp##D<_|6~@;ND0sDm~ zFy36wx;$#oK#w9zak|0Y=|Fs!33fb2&Hzh>W{113bXu zlmdyLd|yQh`Le)6w~^D-zQZo6l(&e2WdWeIiHz5u^qFsoLwp?^x8~dkFmt6WUq=l} zII^q6S;)1$vt?1y`$@QS2i6vQ0Uz#cPQ0F5xZV-FPSTWPJn6e~@q{=;w=ciSuq2tv zn&Exi%pEPpIk%&6(a}?6MF899J7FE=Lq)O*Bu3s1#A}tDekQ#y^PoQdmTaG4D&)pv zGbTpVuW?~e-{j9Y)Hc{eiejYDS9s~!6nbx&1@kED_HO4ETIe+1an9#~c{Ic(UnS9b zQ?$fFAAz?xNk+w6Oow;^$+1MX>`fE}JD=6lwgg_H@k`P3VB?ZAW0+rK;QFta{Bm5o z6q{aC-L@$7Lwg+l;Y%GqMDXe)uzy+;oWg4{McDU!K(rocKz1%Pz^`@J>4rK zOAp@|=ELO?XWD*cEtlu8+{|`RBeBx2t_o6Os;(EKP1D~wG)&r4O>BsqEp?nj@=S;x zXmEOq|0)CLAyT941|l>HJ1P8C8FESr)rGx3PKcaK^tA7oro3wCF78wmvohJH9E!G@ z_3DFEg4PEx6xwvaeverrM;pVto-)FAETF2*rRAR>Vr{F*aU$;3%ul|?+aBfXuHsQX zut7hs2!1w;iI-Re4>+ODB5{9H!_g)PEF7ANHr0lqX=Dw@H2rjR>l_;HKobD@ zqh_s7luK){XhCv_iA$%G_&tIcwBoZ2k7Ef?^;timhbvU%xc_N2KoRxkI&~o?i zDr!`$VI72a+4+`mHrE167xWlyW!xLB7;R}{tddgW+&%hlqwp$^{la);HT)d>R~|S$ z$E+;xI3Ufo>Q`v{-9*8T*+v9VWu+jMy8}!J6Pv*q7=kBw{##jcZ%HlJt9C5z`>g9ogd%_5*TF3pG6 zVLrMUgi@S2c8A~AcKX$>k4mo>pI)Clz1eTQ7|4X=xaqmyw)C}L0$ir2*N3ea(Knk{ zwNgAs0+05swY$97*voGSNG8v6Q9^_lwCihQ5UEY{by)ldZ`GeEYRKFas`$4k-=%V- zeML;$uD`bOqZYSaO7jB!Q}qUff9&&!QzPA!r40#wZ)MtJscZk5k=DsH@J{7Wj^bYV zhfZ}EJj9Y^pvjbGm@2rb;NF8c0B@Jr4)%uF&mDO%U=F}uHW6o=pdXXlMMze)m#>kj zOIzAkDf|nj%kiW|-wcXWOodD*-rBwcLpq7Bl{v+va$F=vd@b9=ssi-V)o93;Y?^0N`p%nB;sOfhRFhDj<4X&9QVhF!v1jwSy8$LB&n$>)xGW`- z@XOO4Zu8a5n@*^I7v`dvgh*!OCRh1IcpxVZZ2im ztID76lcHrmt6%F|@sWp!*28JJ@H9DxgohcI(fX0pWN4?%QivcmB9Zp&XH<@=^8VRB zP8r&zT>mL}x>5>gZT<@f3r?0f(Kspf7YyoWPE;rUT_&M@EB%W6N^`OK_e$(;xE=|lH-@W(5ed0L-IR8dl*j;~>(%p!uYZ2l34rLqq946GG&sgjpR&g(7 z+h^h61Zzv=;n@3BkF=*2=E(6G4pjpu^CdVV;lpt``~tctb9r&;Tp5pC-(G0yAIg_XuMkDd0iQNWcY_iQ4NJZ55k^X@yE-II3Q0o zROh1>)4u^m;|d*pAl=U6)6AMkGtr@XSq)ClP|{sTZ|Hc<=Y#g>*|T#$`B-exSgVny>D17Kr?!a4LC6NuYuR4@QUdi0&KW{A6-~ zy1`2MIXxk2QlNu_f zg}z+!#K{=J6hRMX!O=$$kCW@C5=$?2Gf=U|iyRg!%6UBT@HOKm9B~#Z6=(_O2RrxI zqNm)PR&+?cmlvEQyGFrek4H}w>_mMRtJRimq{}s1Otz;67L*weLk2Uo&U}kGOO6?Y z)2OH_U7=O${AXMrBEdFQ+2XFtZv%gH2JY4nV>u7$zOs(N3lcgjwq~xvlx}@WVzeQV zZ)FpBnsvS*?MP*cju zL%1Rx%DQ^0u{A>0xCL4jxa&to|fefJKsAe z(U(v-E4%5q5tH3EUWpIgC2f_BT%7u5UAsCmB&|@lczLIO;KKlQf)Axj(*S|U!$rdD zONaII)|;n0(9POu&yaN4!uccUR|}EHwQcQj3oM7!&o>eZU)G+!n)!3QzWuY+Q{FLj zF8IJYyZ&e1#EH$pX46$?+Ra&J>uLXIk*cclpQ?Myad6B7k@_nF_A*1eUPI=4MR$k) zDJLs6Fk7z6q8P?ce(Su){(8KYqgP7P>t;e$u%(n5D5_iTdy*Yot_2~`C#2}Y1xp)l zFY)*kF`}Bi6E>rRP$fd}kp_R|PMdlTw`+11L(8T-@zTTXp`r?#r5+D?8~g!gn(xm~ zjBu6EOmi9*Wc9EAR#do!yq^zbSah{%3G%rcGOvAwi$4|Ph4OeQ2Hh||bwf<6loY2O z#*|%3{>o!wi(6je0g-^ehEHI*Ji)WH{#H+>%u}Q}8yU{WRr-}(`#6tVnvbHbJrn}fZ+uq!y_e;o9_*YIZOLOk5 z9?!nlQXR8ZV#Vx!T(N{@XQ{b4p73NdO>jUn+W#~2bb#BQWIk_S!&Y1|I#8oDmRiGd zC^y5di}!h5Eg?FjXRdaEaxWLPW?eDetOm>y9UdCn_hk9f3X$W-wC-6Xlb9{0<(<$V z3-?`k;Z$ha@$_JbgRytr&8_}w)cX16x=`)5diu=1QPimrU%ffI!=>k=WI7z&dTbd6 zHbrU6H>r_FKzmn>L>9XL3oqbENKuz8bV(g93fRuZvz7BI(q((0Kb8mrH7z&bO z9{m%w-x({{!d1={-sf9hcDx{a=)z4nPo5%fC20W;y}Y&LVKOaL=m9#ji<{t=AD?=j z>FTow3x#cU-=lg%9LW+CPSEYFYqVQ|`W(0MG0THc2FokT2@Je3VfrqfHreVok?2XN z^_D5^khEc~iX9Om$7i#QE0e#d@6?f4>xWt2r$ylowBW?EZzijMj_1qXY-#(Hvct62 zE^0BE6?{wA`)kRxc$W}~Nv?@cwSYMrzM`t4!ppK@E*oy(RMdE-W0RWSR~8q_bc8ha zr`;@`;3}$~?_h01FEaFdD19(5bujMHXp#e2On%dYJz?fyu6km7R*tY9aLO>DNdRZJ zs9#lh4vpUVx@=sP>N*CC$>0%YfjupFZbF;yywc>U{Kk3 zr_gLgiJopDG84e}xflxxMXuLexnL(7dI^^~5Vnv^>d_H4+}@esWfoL_ov37}7`!b1 zMKOkMZpJfIOQH4md1rBn!;rUt@}0K?$6MVl`5SZrCs|PgX3beU&bq3_bt`4 z$R@u{eWhJTt@#RJ+6NF%qVkntS{jqge&J2=b9jA!#u&B61YU;u%9w=r{>)Dh?QbkZ z{}#p|S0ncrY1|qar;XWJLl=^2!6ELg0?c9d;>#f=JgL1w z{%u*lme?5Ksyaf<$pveB!sDd_AMBj=hCsSAc6)8X^fD`YtNw&6Pak`4`>|Nzx?YaW zG_4>)%#~Z>qL|OD&2U>$_x@+*3dL{aoejw&gTegB*4V(Fe;M)GLYcqd4H@l=HyX1XTLqk-TRM}6YDkr17a5k{R_wrSFW-9(f!4%%lIqZ`in|?(8o4|P#Y@0`J z&SiAWZPXIFiz3Bg(jw>bfpWem6je)Y!-AUE4uc(?{r>hXx|JB8+~@qY?9Ah zP1^N+&~3HC_3HC-t?+|HnvxK?1zy%Sc56vonGr5Y-_e0_6}sVQxMf-m{pPx}pM}9# z<~KHA8WloPDcAD}X9LZrvXsI>Dsu>PByGF8T?&8k?86rn`GzW)Y7ASSO-*xRsXGgI zz`@0<`@Ig}kOuxm9xEF-VWy8~XEbp~S3d=AmjAM5RCqZ&b?^nQj&?D@bNtE@Sfh$M z8_`J|-z#b{RUmr~7w>X)aH#hC(U?b==y>-LLtygKWP(bo_A6CC<*FF z_Xk!LdC2$jr7YPUbn~wUlqAs)hFvk-o%eJrkD?Et1;{|i^66U-%CD*yr?YR5CK^fb zaz|g3dEn*VtPafY7%r2NSL=VBj4f<;#&|Zr!#VM34;{um>2+=3jwpqosK-3GJr@v|iQa20zsrF=4+9}5n(B-&LGB>`3W z;5^!HKv9G3(RZm&b+QC_-L4uAm^3P;eS(>qH+5*5Jxt#~+~H2d*;r9=Ts9k6V!+&nCEt(iX!q3ZI93nNp`o z6{itD9-sI$q?&V<@pITn>~vnlTwpLyH2P+-QAC}AQGp~`C^`jkNb1Sg^@lXMv}7pS z$>**s{U6Raa6C%}>e7Wyot^KOsMin!e2?SM_-L^dVvY>2;Y1m{^=;hJ^5;+o-@=er zwB_Y1D~o^PkFq1m^>@#zGdylhfgX|w^mu*a!mv$<#$Nbf%z3!;@vTq`(?XQ;>de#T zOvgMHHwRUnfZW&fSMZmmyN9v899=p0lPJNlx(}-x{8mB0W*&Krg$|YPq!pq%c&Zc; z%H+QM|IqdpP+2WqrnR6;_!Bo*mykPxHwWKA-}kNmTK~0p&eWbgyXG*lXO55foU8tb^0Yw-J~#vcO%p}vxY6}w8QdB@ zNx{DLaqjq=?==&Ax_IWs4@$kh*QnHDdx%R=ErSN{0zc#o+Z*hsDvL_{yB z(Rgwrrd7KdXp?OR3d7G%)ahlVFM=M1WHdKX=`09rUGu00-fUSj-%Tm^OLlTk#*aRC zMva!?B+rHtjm9M}Ww!;*~ znN|qUuX|68*y=8N=>(IO z*?|xvV#<5iv4zZFa7b3F4@`4KfYfmAcp7|(a99sq6M1L|FFg36Wq~g~KV;tQr>~^Y z^8IGsHR_Cd)z@E~KRbT&5aK!T3&I(D=W@?92DU8tc~{nmUKn_dzdpslY+)f)OL0II z#W_&!DrML53A$k!WNYAu`6ikOao!Fp!7KHSJlZ{9S(&kjB=hXt{<>EiVs$>}%=gDO zJ%i5$+lD`!l|M3A2OK3j&LH1-*3P;%+LrZ)vY+sF2sPn>cZnbH7sz2*|H`XVjH)Er zCLJjpU>?L9{iHh#RPuS$$Y5$h0~ zmFYi1aFSN}que2NyMBA;&UAR}?o<|>B11bJ^!6>so(4D;7>u(BhQf_JJHfaxqfVyHyd3a(ex^6)dizbeHk zLn(FqdhF@*JZkqO$I6SH=)hOW{8XuG$9F@HyOdgrAcG7CgW>3kyHy{()ty_!NM}ap z1>Bn-(%jqLtriEob$@8l(gQXSjmn%k#GJl)HIVdScq_!JLe6cIgQSKztAGH@ieEaQ@W+G zN0-kJEv+JKJ6){WfQ_{4C4cn!&y6Ha3feG;BC@~bgac3TdEAG6=?ex`H9_->Joj;| zuXg#ya=O)GuNnQNTo{gHEtS|9h$#Vs+q|obv|eqUV4OPG^{9D~VDrHRt0;{l+`S#Ye8J zkTFYWzS`(LqarX;uV4*t@e8ov?=i$B@_X!G1#A%HtGTrsz#rU=R`nC;Pak1Zx0+a^ zL;LvpEbrE{UgZ7UvpJs=<|hO9pdU+tf{mji$X}a#dkG%2ka71n56WZ-h!X2sGzMns z9LCe1!h&OO2$d1gv|-&NdqI$~CB&1BjD@8Te(ZwI|1r95PYcWS0gEUOcz_$0kpc)t zhy^}I>)-F2_9qa(uj~+hJCBVx(yV${T|Cgs0_!~=o9*44UB$ZKax}$WC`;VF)Ea+? zP9Uvx7c1)FqwVz_HZof@Y|~WYuF~zK1DlRErX8D(cl+RXX!GgL^xW)F6g{{ww`Hgy zK-rjKpXEX}Fd4$mSw8hz2t13+kbF%Mw)itn<`Z@dRg_!|l_{%aj}W_LkI|?2h7PK# zGtRI@_d32I#vZCFE16GFAe$s3QP|?V%%{#)sw(cV#bOr8Kg<46goynrHTYLJP~(5d zEXy&PItN_K6_U-};jkjlh2Mh_RmncfTEQAzkXZw=0QOh&G5j@>NNOS#f<~O`jmA zkz$gW0S!Ve4$#X>$z(^R{K&-M4y70j+4R**utxvDUm5CAh3nW-|HY zi#5l$jDgh*!>)2os`&A8V>OKnDZc#p{$RS5UIL34p5vtRjSrBcRvL}2Y1{tSwGgtB zg^stIl_NH#3*J0tf%k3NZkBY4GlP3kuD6uVSa=kcENIAdXMqtzP@Y+Eg1*J4VK)!U zwzBAvsR>(#)Vr1do?=v?q+-FFATrl)eA2ebs@tI)g!;K%a#bJgj?as! zBf%?YHid3Y*a`;>1_!d~M{|BFN<2>P2}V)2dm*>usPz$L@YQ?euA#p7V9vzRmimDO z)pC2YlGzd$QOL8g3NQ*U!(vSUp_`RGyl#AqRw?T%;e;8ET3V@b>a`l2m)H67_3e)= zH!EM9<2Y)ql{#t#CX?gj_=PIx%miDqa6lav&11G`#a-nmn;*K%aTT6A06rpeDi$nTmhyV;IMI2%wxr5OX7|ujnDQ~_&2@PcnQefg^ zv{^~W;;7}*IIe2bR)#ND?AaG=d0f>~MZJPI?wG#2u~|7_4(L(fV;q|_)UYX=k0%*5 zupsq1^*!60KRd8LUqbNL*?^}|!qE3&HmYnvLk!ee0(Ay&t_5-P<+mh@kA?tAe0I;( zjj-7V7$+hi)9;n84tW68N9o~7TZRl=$}3QLL_IiG9Vk$90|Z6K#-^`#tGp-^*Mu4P z<+!C4J8HqeoW&Ke;WKya0*QE4$Fq(^ zl{b$>wFV7A&QNgfEJP1Ou#q7A7(V3Z1Gb$v`Bh~5`;aUy_o}YY@c_U=u`3E3_DDJr z(DDZ7MI=!PmM6;RR>wfA*@Yykk7t7Ea4g%5m70hAEr^M%vr0?#yTaZh)R!prK#dBRKBT42e2nzAGo4qpm4Ue~l&u4p zWSx5myW?0Ro44nnP?SE*9zc^dGc3X_!!$wibhhk zXs$X&-cMp6USV7zjy-^*rMJozlxJ6dh2~d_$4UoBr(`=vCvEr1^EMAb$H>aqSGls! z$~YC9wx>C^D=-AXl@-cYa-9Kky_JLD$_Fd3Y=Q8Gg${x>jJH==nMoj!=a3L;0SyM^ zDtCr_ue9eIDyX)TY1iUbuxX8eZ!ijOvHSoZMLuRvb z^}L(?jm2ZbwwAW)=_0>x_j9AOQ-*nY2{rTsM)}DQIwZ6P!*5##zsCqZB3CynAzb)` z8^U<75Db0*{qFkkAR&<9JV$rxqXWNe0vv#)2&e3$e&>V0iFf{l)(RW=i2674*g~v< zR*Vw~fh^5pR;+;FTHT-aAYL=&U+^YuJ$~jbzx!Uf=ds9Y^u;MMQuxvZVW&UT5KVD} zSTv8@GC&#PO6jbqu9uw%VfCtmcSzPb`><3L!g7={5l<<8&^;c=?;;4lrNCWB5>@Zu znd$@@RmwYj0!WqW>ebvTC|)>OJa~0^QYmQAc9ZXwKr?v#k*aj6E(3!rd^cP=lS=X# zRgsTz0=NN}Er7R4*}^>5G(@TbP2;%~`XGL_mx@`y6RAuQOi#dd(D~oJG=MX; zmBNQV*j@;;BmVKRb`>sx@Oz!rC{e%O? zk_c{YGq#|zs8-E84@Tqa85X7cm`%?ljV>qHZ01V z53~;T<`#4958An-o`D3Dx}p%TihHV|ETV{sN3o%lWna2yh{;;!{1e;0G?8M1k?eWj za3gEknCQD3okRKerg7;-Be?bzp_N+#)gZ*XotF&)iGUSY3CF%>&waT)(14G-8bfFu zXxAjy#Bx3JGsDA=LD{;mGd#@=*P~$BWTR`WTO^&0Aq&kivgh2(UCBBzvge5?)WL0wZ2WhSV>Jr{hkVaCV1=Krmd< z!}AZKf3eC0BmiRnU<52JCqEyLI}v6~*CPi0$=SLcGeb5kg{7S{Lm^6RhJfJZFYfme z>#}DJH0&(bkujqi^0H?sCi&2TH7}#Mb zmd*ORn2O*n+4JNiSBrH-ASLJM{9AGVq8;cj^>FI|@gcYpq({2a-^kFtt#q-*Us~kY zhZCOz#k%{)JbP*xiZ4`o{a)TP&t2WN{n1gyFea8;GF1m@nFU%6QHpLdR>&T>ULgh1 zn7HpVi)J1QxMk8_i}U7pD>4nq#6eYyl1isre#Ytj)Jq@I=4dNU2lgMTUZ|O1oJ#1F za651mtO5H(+yN84R5uDWEZ8LnswJ9b02=&uqhg-EHb;TN3l{9*)nmlsteGtATubHp zW?Kx45~JqGp<|ctJ_Dt>1-aDD35_-^> zAXb2((guCM$L&OL@SW89|HGPwW8)hg#)DW1D5bfIG>%QbR=m|P4|ji~NP%Of zO`Z`yBljb4^iXA2Yz5IYT0>O$#OeN6G)Lgk{upBD)>P2u*QL&Z1_9)y3*at}QA0zN zpoi2dEQ9-FS`=*BAwgxAtW2Z3Ty9l~i%YH-eVzMMAh}ViRUqeDr9<}fbzOYaM%~U| zLBg*T2sUfAY!;SWZ9uT{zXi~57$yK}U8{u%{!_&qJN(FpbYhkX6#q7P!S>V_oetCZ@#HgGd53Q?RB~ zg3&X>{KA|H4UUx}iwcKgMK=ij6y9uf0MD-kvSn;7kFL_UJ2@iWG1o;^!0u0l4m@$- z2OmFX7Kdj)7r4G*l!wiq1}rf~HesRpsI*oSfp@S1GpaI%0-U)Z*%~#ic0h&GDoIsn z`wx$ELgdv>o+r9QAmIKL{F(Y4Ku>gam;ONW<6h`L7$uxv+)%}zw_mxz*{ptpL0_H& zX7qCj%@$WNH@1Ez2F>=Cx+9l|0sZ7Oed_!5`y&{cpWm@HtAl|ufbl75s3q?)u($(% zY+}I#2dhhQg!uv`#!hgBM>Ka^o)$+x%TLn~CAJPy=Ckql$?M=jiaXYZto#QpR#0u_ zu{|;fG9sB_1{ou)N_Oy}43jCru?&-s@}_ z#F8c$UpIXGxHr&d)VPH_J(|88yF+#4&+GHZdnhdYkwm-9J;Q8l{KIT4{e9rWNjju) z+XYcLe-qwjSt?totc< z$vfC6jbOY}?wZqRfC0kB5QV>iKnNkA5kD(`N+eZ7{}M22CYoRDB%FuIEZkNTC006j zCv)%sJh?q)@#Eg)oI?fb5RLaNDFkh=%{zbv36yWyVsLxm^Bh{n>~|AF9{F6&>K~MP z0Fm_lfVPazrBh8gOMp7u`5d$#)~|i>IIYJ0;;v}CJ5)^mTo7Ov%p(t0z*MA*wzXpS z?jP{u5C^$E2Cw!L5X_cUf6WA9%j9S_@BRh|022dH2qp#qsdy8hcMwR6&4$7P&Gy54 z{JmrV+=R7K_kGWg`?wV7iOEEb$;KaP-_@V1*$Wn_!VY6Q z_x$UI{<}tYS}X^C+#kDbpY{^XKj93lLR(_RR0e`Di&)8;!9XrP%1!}D=skOeLHY9* zSzL)I#8&9?OIJ^X=09y5A_9c0Yp+7|Y{XhVu;QP_;P>EpfAko~ z&Mr<8%{QLLnfr@w+hp9729}IYw&B=D7|8^>MkMPo5RTeBM{gSt`XmrKfd0RPh405v zG~hsBwHewdEi=qzKKft)8kvF%v0AAQ$)92<k{yrkb{A3N7u_mHBBJqf^}}Z$$%E@dv?3oJ^$f6X3Q7L}#`vx1s{hXp_=9(XOrG8| zAi41^he-0biAm^qqLj{W_z$XS!TUqtDXUw7z9L)qfPeh4%oLH~Ke)Ono2;-d+0zO<^jKM+$4eC$5 zWg*aQsNm!`K5&2kKA$#LwJK&$&DDDQt45Wk z?1$*J4x?Bio9KzyS2v?Wq(YP-sVafe%njX|G? z5(Qfq6U?J{w?B!#|Jhk7tu$l9KsdFkWyhynE@R>0+jfjISII9qF7FCP!ar{#Tgj^9 zDk|bCF(^iA!KUvbkyJmhT+|BPwcQ5+3Tc*+O(t#!|2 z`Df$Y0gn0Bvak0u=mWE#N>TQN8?%&YuAQ=IDn_=*F9E!u-_v#?`h7<+zF4Y;Vx;hc zRDk@6AS^O#Cj6#yiE=K7asNO^_4RmKl=9h$)xNmmWQ$Mhvg(D;cLnqTV*E_Ir#&&n zdR?YB@O(7?%RQt+D-Ot06zmB+Rggl`S$jZBu5TkDqDq?C9J&`AWHBj!jel<=m zZoC>N7dL`&a+R|pZsjM{z4u(kUhX`c=L_FX+WJ#lVvF_6%V~z>2r_VK5l=_D@Hp_|i!0V!&P#Js#9hsV5@P|8tC<5~Bt79b zU+X_D0Bp7A+8@u*SJmiFEV{iu7R*!TK8^OuK`=8~T>*mjcjk*v%D4b6bI+y3fMU5{ z@^j0oGfO}{;Y;xwd2L2X*iqjqIzM{eR5ME2*qW{HlK(6~rDGT~h1Y}IVJWxZ*5z!r z!t8xV7xJff>t^F1e4x!j2HWDO@Rn3f-*^4FhDDD(9AAXK#Q>&{T2FqoG_oprZNJr5 zOYJEtM71oiqo)T)1yJ7V6Lx=3jp3NtEn_zKuS;eq0vC_PZlE+4!NK_iShRkfJjdaZ zpDTm+@fVn`Da)jBQ)J5BV2Aw5T#L{IDQSCk$UT-`FsVydT_&AQ#hpA5xU0v$%15}r zte+iZs#^j7(`7&KDU}w4V{jlE{LvE~f{gwy#@KpgCB=|!>Y0^_OWqN=vx99+r>{wg zlE)Iz&#gB9(;UNtCN}e<`Y;*E)&N{EVhhezVFnxY3fguZ3Bmnf%IdDf0XB=E-V%WM zn`nW)wuAOdkyL$cWt;fB+)7vfxO>k}1K4t+B{$PzU=lZa?`6P<{EED3H~c~$PpM$P ze2gaega|kl%Z>Z}V`pAjl-meEo-=gE%wCe6)hoRy{wuHtb~;7HmR#Rxw%IY zn0h=?YON;Rh3I;9MXNlEuC=N<;8xAFMaLaxZ_Q87q@o?>hehHq?tWw%uLL`n^2PNM zQ4XuK`fXoPl@G-~SL8a_%WId4tn$0L7hs-_3&-iYTo85~cf#mTiX$JL!>4z~>nbj} zM=sZdXK4A>De_>Fnl;EvSC5cVxKa6xMahodpr+6$1%z$pz> z*=_`$3b^A31Y65~0fv(veIwswl)Ok^KP#ld&&CHFD}?CddztQu-Jo=_p~x0=&AquL zoBPJ1JR{O?@uqqTm%mm>1X8c<%YfU#rG{9ee8c>UGg*5CkGe`)9uk|RYS{XKKqJ?I zuLBxRfQZ&46e+1#H3}rl$wy6DsZyht41A-%Dt2d~4B>(Ep8L~AvHDrp-wDG@+1)jS zDasO$19}Czetcgecpoj+uRA%cJt?;bwq#R3NlIyf$hZT0`X=Kw*qsbgNr|n{KWrP`U>j~5e zW)FOeW&u{Pz;)RUd}UV2V)S-@WM*81-r)>N43Q|c&eP|0HwAtl!0p4s2rL3Y9!GD` z8Up5yk0mm|3hG6uIm?R}i43rUia;bUCnBa|7>= zIvR0rf}FWn#73^*0NBVa$6)*5d+;L?;dX$k>HpdrznpUx(Q_sZ=#G3@alO7bzQ@CelE2lf|V0EUn?N=aim?03$wQ0MX0Tz*d2mN*CA<1t-wOX=i*ncaRKjrjEdrI z^v^J=9R= z+vtihYfxc*!aY=%7zgD$Q9M{!%41D0g}9EM6R&j^9zUH8p!9ectb6HDtb3gIHn`U# z!5u2C5#(7C6}r~N)Pn5!T#tqD4j5YFme8&G(MjGB52IlK~<4_QACKvJ}Z+bQp_iSCFZ20Ay#NUjqJYe~OP`aG-Do;Q8?lvmK>p z&yj3}`TfE!c5d|A3Ijb_fG5oYFWaEpOZS7WXE#HQz;~nLn87i-4OaN0xi)s-E5|1U zbv-;g2*IX^+-K>Ow)x!FwTGqcZW>%8zH}{(3(&_V@8tf zni?2-cxr8$-Y$tnk8LyZ?1yFeKqed{HZ}ZtdHY*GdIX;f%|sW}8VVo4tu>f5g7oNH zgv!5e*yc5i=#rgm3`S{tZXA?GU7W}dEx!pdAQd9mea3RRs4KLsXPNAjO_qDSJF0p- zq}z)dY{n5Mn8=WMxe=1YwcMt0>o~xwij$^l&Ca;Mioh7+*IagK(C<4PgyM9cSH#5& ztvT9xHgD44Z8(MnI@PG7Mp~L~JC;OOPw$af=S_AStUC_++tsMcOuqyJtLc|u47TnF zFEh;$&)NW=KCD-FPP~d=WZ+y{K*W3Z_8p>- zk9qIu3#2NSIdK8wmF_T*q|bb6%61uihyd6Z*@gA`t>*yYAQj30V_p0JQZ_4S=S80jUV={{u@`j3ZbAaL|nn z%rF1!Nn?28Rro%})%R6E*AS(zMFX+0_x|k^EU+N0`Ah1plvGyyBHlp$KrwUtB40oT zPzZ?qTi%~aLV*9$GpUY*0<ab>)w?5rgDgtiX1{^ToHd6eFMm~zo<0rk-tu@iS+_m* zea5MB`V_swZC2lL8~P1p_|KIV=$y9sJe#&WzHZJ$0YualZn_iSXQBW><|S^rfSb<% z-~U$l7Z1pe+inYK?Y##jJ5k?f@IX~tfW^M%5NZMO{>>S@X%K)CuA0NAps6Vk1v!5L z{f7CU3!VH|9k&DHrcYCW7G8oV;JtFZj;VV3bRLN1KNUtXLDe4f<&Xc-B7&5EP z+3KsZ)$@|sx_eUYJrmnA$Yr{2Ka3mPW(|_1fQkIsQ9q4CbxhWvrvi1A0#gB9_;=s^ z6X^VG%i}ozTS*DQrTR(W9vt-|l6pRExHXYrC#FHC8s3*Ft*BqkgmDs6$woVFNJ*ESfUf1j|rv%*HE{_ zr%<~A?$pP_Eqj}uIRwMe!~FwWxji35ArD<*bSUH_{fbIWPWg9KCQ ztTLvrM9szQpYP|8_hkENkXafOBsJCHXLq4)fmsfOXeXhmd$SW&s^$zm?iI{XHvBUQ zWxzM1YNSN}=rx^>n^vzRUKpf%s{!{qmaVSBgTkoCrk!@JF4%9a4hVq%>2x->v}h`y z=j*(fwXGE5YbNR5W~^|mB4cpNfcc@k0shU2fNV;J!?4ge|2 zqTAvT1j~3}a?-(MW^HnMc5>|SU`;h2$y{#6gruFK68(nq?)@-bq(VMk(Z`ACv+pkCg8 z3P9O-0g}3msxkZgh!=eZ9sdunVl zC4dPu@80Ca@NYb`23%gJ{@l&`adE^e{eK9k043rm=3#MbRWseZ7>Et+5wAj%GSUL1 zZyyY9LAkiay2#!+rr-5Oyv)qmXn;a;a?92>L zlG}TVYhTeD{*|+T<`XCqY{1!%cFlO_tit{>l;r7Oh}#;Z#-d^n1y1QVteXt zw#oJ2$*znRAW3FwBNrn?0K!ktK^qBH~ECxbOJ*8gj2O^$!leAnP^;#8tZpq28wPhI7qhRuDp+Xz^%VU#Qd8)veHdj8c z%mW}#9YVYYbR)1<;qbsm&m7Ur&Cd>d8&B++9>tjxU#kI@CNwKCT%ZfMs6kJkzBR@B zvBjR`S%dl*AY?6G7VKN?3T2ByaC_3V9NHeLw}_1^gaKzGAPdD1*b}HHK6T|GsiR84 zgmjRLKUA3rY1Zc?F*F#Ew3jFjR*Sp`*2X8;jdNEV$GITBY~tF%TZ&XV+E;RfopbAS z2&y)Jeo#O_o$?4Y1I>~&CZ+*1svZp;87e^P_Xq>`4*=fBCN~0fX2gc_kyvu99RF~e z0ELJREEwn`g>2)Zzydyu=J)_SU<(U8)!9^?`*?r{N5dB+<-qSsjwH8pwGby#m^YqW zIe`Hq0Xtd!yP)I68OtIgwy*%-eUEz;Kx^&unSKa>9lLx_VE>CsQ%1J-2nS`$t&F9O zQLjCO7Z`w(@8%%OJ^|utnl1%FI-ye!P!RpC!*WFMtB)fo?;v_QR-iUA>+PXhKyRS% zeZX)rKL7cBV6n<}dy0ViN48}a$Njt?D^PhLSCaOJ+tXwk$k%H$1tEk~JiE?F@{yVh zgB%`=J-pcCUzXEJ1LXt7Q!u0^E%l8j6C4&M73H;D8&7WaJ3Pp7W|M2TIHa4I>T-CH z{?v}6 zEe!$br3_xC(mq(4I>@XzSuR%z9kXh5s?;9UZ4S_!3LSd-#*^e`==}X_$c>~u2FJsl z$CH+B;(~_mq-qJaI;#5F=qVG!3uzq>di8$kK-g*&#jnt?_O;6100muao?0Nxj4`yV z{r&Pp3GQ*v6gDhHx#xZ2xtDr>+GaS8Km;f$Da2LpH;O22fA2T&EP#JWS+q;hPa}z- zy`Vn|2EIQQs@(BD@or?s6>c+9-I3%{B4fi~6cV>No_zd{TeqkOTnacwib^+HSo>s)v@xj)Z$=op7E(P&ij}xS8Ezm;{yXHkw7%oq` z7KLSKNJp6|!)wi|hiam9QibGbQd7(^Z#l9Af*=gbK5U{T{V>1!r##2$O}7;SU@4IP zrn+cvK;n_3$=1c0f=JDsl}45rJ)!&L)=zI`umrc8rpY)p;N+q#RP zuBk5_S72I#Q7d)~A~ohFTSX0orpxvBzjkR8GB+m0#spF)e*ckavYa|Rq4R{zt_W%l zGyN0IFJ%AiDPmS3W>(?d_Yy>|o?PUmniQpRCd>0jc{mXOvr4AHzgG_EdkOyjp8wB? zpuh0_9XBG*!xojlm@XV5$iaqpwxlyfw*4B4r#$J3sZyJ8*#6X|Rk^{?0@(c}8|tgb zUn2Q?gCLG|B_}`#Xgofq^SqmI=p;L)irXJ3SMYQm^lgd0WCTz_f@W=|k#aVZwMcoVGFjom{R# z;@kGUox>J&$if6-)Z&va`b(^UX^SNG2c>Zs6G3ct$NWD9D{pTe;Q2aMyk=|O{-kw| ze0!78*AY*o1|?-06)pC#QL1_)fHSOD*Juy|inmt|GQbx=5^{G^BPUb9J=PwYU zLR!>MQxUg^(8l)9iVGy)14iEi9gGR624lia*lb(GZ2M+P9ZE_a-tUsX6+jgzZis>} zIS)#m$V(5s`)1x={bBzzJfaH4?_v}YH#`wHrrVo|Now#MSvU_Fwo|&CzSZxp#Q}%fGsqH z^=)nUb_F>vc62vK8;{Ii9M^(Z+Q1Jj@Wv}`r}Uuxga{?6bJJU{1R) zJvTS_bXe`8ZvAcuAba?4rgvp+5W*T80{SjmYNr|~OB*i8MNWCeMflR^7>pG`AUMJB zP~sDoucMTnw8oFG;A_Hq35v0r;8xk^<}W1!V@*Z)s7@2wV*1b}eKufR)TN@==X1Wv z-r4-vRjJ_yFa&qRhZ@n1c=z>C7vuUm=A28KwPBj{J`v#ycgC6+hp?$4M)Jjs$xG9Q zyZXs>pJ}|hY9v0ajf%l}ZIMM1K0%j4QfERdWrp0VZrVVbLc(u4yqDqYh~GB69)~qy z>njtM5C%{)D!iE4lxjH_Oz{98^0a9n)twC8kaMa%-^tnCNOzpsIj9o+!B47N7cwpy z(zz`X@M^F=2^uQ3oXqzi8)6>|4vc`$Q<=Wp5eX}UuN#?u!m)%~{CuZ}^aX~NTb1)V zP%;Ykw3`yORZ6WnkcLj}MQx!8nk7j=y*ixqjn;HJiONIl4=^<%m9SEA>f(cIX#r&w zk~BU$hP}}$^9+{Mbgo}CsPC`1<{u>ESiT#UtP|6HHUa57yuN6EU#8D-Y_`fGNu*-u zfaxkTPsfs+ZA-R_yliBHAaAKYYLNdWSsUzd_x+egf;I^ zK?s=|D(0-NC1dN8csct%&D0uw)?@EQ^I{1njP!z69Qi3V{g2mAZc2L-K?8*&ET*9x z-@P~vo9-T@;|UN{_;Os=`EYkir>FnJfc)_v1^(_n=ZGUY(*-s^=iU!k!G4)VZBd3)%uAOwv4YG3L&ZZwK$%Ih%=ZQIT*h%VvzC?q_}D6 zvCmFR*7pLx2uQVMHSR;bHl;^|Uq>Og1nNfDg#Nzk_Hk6^NQt;gUl>e3fSV@dyQHcB z7(~N$-sG~Hlg;x%dzsGR)C}U>sfMuR%tmR0fxf$>j*@``a|#l7M+mpVCE=uFC`l7g zOXk6sOvfEs`cMvHaN^5ym1PVCmV$He$Wo0W7|n7~mAL~p?74s5wl_Mkzjb-ee`zM@ zp08A}Aw0N%8{FZX-gRyJ=#ic^Kl4`G={?ONPMArXhh}Uf+6jm&r=hJy;A|do;b)4nv$rGjrOVwT}OrfkVWqT9p<<;@h z6lk+h14}OUFeuR&J@(4dLx;Ody1TR4T~|MHo|r&JOj3lA2kHi`XTV`@8S4FBe$3!8 z>B)EKGU0@L>NZ=?r&?Xny~0Uw9(4SwAmrjW)P8<4gg@rPSkFYEl;-`y*J@gmoAedr zONDB2dd;uHLGQSEH>4sq9WA%)SeX0iK;L5#NF9!4$RjmUcu+)4ZP|zN#=3W6IPQ(0 zsj*r+jhOnWe^L4Xuwiij!S#Pn0j?}WIFVV^2vV8g9h&u3Nt;QDEzdEUhJpRw<2KUgkg%z^qg>n zw3gev4`o$Vvc@$`oXN#@G)S|ar{$mpP5AQVd$a>7B1Nmxq=~!o&^~{Qc+E*d7;E9+ zobR|xq5)E%9dD51p0tJxb5X>~G)YoE-w8DXO}$x2Mf%h3-TRX-zEH>&HTBqUz_c^R z^rC8%;rWVIg@!X0)}yqX#1VFU!60qK;nN9<3!ClOYn~TBcb_QS`FT;%LoK)G z8MK_`1`HWv?Rd~9Tx@Bp77dvfq2Pr_Q`>I%$*&CH*^B+WdY{M{9BKoJAMwhA04H;B z?l8dl%2&ooT~wX`iXjnG#4^Ul`QtrJL$ILzF1C7M6<`K9 zxOGyZ#`zgZ!n80pOZd7KEq#YHq53rcR_h~opX1rg+j%mppo*(a6nvreX{20Ksjw#dbXjejzh*tr?`k>HxzCU`+%bG#a=xw zCX7cWs!TuP3&`n|0XaUMIHRG0ucOh!JB@i{@Jz*{y7jA7L!L!5TB;EW)4qsiy#E@y zJD6u!n{5}pRh>6yF)x8WsLh27J*IoNrt{u+t+@Q9Byh2Px0dX%^Rjq_d0JXhpI4>T zI2^R073C1sNl5=XIygd~T)!G7`#=nR&^QS82a%V4H8rgT(6XN0DIT3R^CB}x8LT3?%lLzm;YimhjoLFk1&3jm5=}b&t!KLarg;jP`4~!N zUr5<%x3(+x6By5i|&=wZ==#; zM3(nLaB}Rb=Z`Lh+Ct^xjw=>!xt(wE^Y$F~I_!O~s3P1vn@%=MfL8b~F7JgO##2v! zbCU0cJkM7S8OF1yH7&m0Vik{NK}p=3h6ws!3lLM8-=Ts;BLo_j!`gW$2jl znC6j|P$R!yzipcU@k#m5cBfgFFY;G+6KXdQS#$Tg#4%KL#6fWm>J5Re7a7zE8qh#C zc>b5ixf}xzE?GWaK#1;!*~sdf6>g&9*9Pekl zZ$|9KmryIroK3Um!CnI!8yP)LzG?1Yc$c->0`Ru(%6856{E)kFUA2bN-pH8P)X#?I#32;?DN# zQ}!Uje)Uaz5J6N1pS5RYLqK0exPC{te@A%!jIcBRjOwx#?1a3Gy4^0?Z4=` z&dMIuBzrfO0DMdS3g#}8@XMDr_I9B0D^g!X-DpLlxz5fNrH_w{6ZN7MjTn(wZ9#h! z72S$Ph)5745>tOB=v&cfqsZIP2oNRdh!Uf=UtnX+Ad&TFLJv`*QAL3gr73}ij>HOp zk6J<2Rwc-Cqee!%0SMrV;%`HHbd56#v-KCgkL@7I6?LuUSMoMUMv>>C;{O7Eg+P$2 zME*CNrHCxyw(EaFyg{Jj`U_n%BFX(1IvIctpBo`OgyQ)5A27)nzcjTs1G3;|i|PZV zkY)ixw?m>+uWr${0sJVkI=A|H)q*fC6{Vl(0IoMT_yBp4nXNc$i`W~V#fnUeA^qCz zEFHUovct2NGQvlWLK_JJITAsKO*cqT5_grL)-*Ph35CzqF>FPA!#E_RRF zm@e0)`RmVikpEa2mT0?|`!wK z7d!Bi(UZxw+=L2w8&BekO$+j~5?$yx5ZZk=d$H_vc|vy4D+EcJ20c`*KRdZRnr}Ee zk<;xtNz=WoV7i!(zD^e#gJkV zy81#EEteO2cx`EmT+7BY$3LJ2!fzh_riP0Kx7R*~K`upZbq({%XdV-FTQP2RA#Jn) z=(MV%{Dii`q(Ulunf!jnsZs(Q>pGpWk0Z9Qlvpft+#OU?pPHn;f4ViJ$g2eIFBV@u zHnez?EK>57wbXI=2VYQGPweA#of(e%45EQKuKId6Ae|-6>u@2I4|CcK5FL6k?GQ3u zc!W%BD$lY7`p;Z>~lrlHU?h1wg+sF{2ApXk=Yhu9zPy`N&OQr&9G%E7BnuY84T zt}D3q=|>lJOT+}!q>@`1E%!J;MoeM4ZRrbZT}UJ|jjTe#qw+GC#)uM+y+qo3b;XE< zRdtkuXn{F%mZdH+<&9eG4t8uMv#N5`Jad8~R7Jr~LhQXax3Y~_WtAY7K`jMM*C3wL zv~Y{H=a48Fqu?eyfzilnhpzJVay>y>{>~H{OO|EN6Yc9hVhe_g^+aj;Rh4-xx7i8h zZh0|u6M>I=5r1sBF;|u1)cK6P>0z$$o_wYc$xZMDY*NlV|LRuVgBVONlSr}adJ>%_ z!XH(B3Q;(lH2uQr%}T?`R?}yu;~caL%eKf-1&dgu58r5-6ezQ30`^y8 zB$C6{#(w5ZH&)y?v*L*fW+_3h~W6M;7g*Q({w1 zNm(-DEfw3=$PkqVOXDfjnnoEubull9zw57`GH=~oIbLQ%BYud4vlwoyS9Gz&;9Slf zjn2eE$bb}>e1hp0l@t_oFNl#N^CTL@E-WCJu|WDg20A1104A;_#u_)8hfPfIF{5ta zJb`%^*SJ(!!Q0)}(a^eZ1;ajTfbQ+X4#fgK$4+iTFHAZwOEOtQ?_m|)T( zBh6cnA5BMkJ$*zTDZHLis(#D!4R;wTztm$BI@5MitoCnYnN}f1%ce-jl%le$Z})Af z9ftAq^pPB~P&Gtlzf<6s`k@U)7=kB$`mO9+UpgL?-BdU1BV5Kidr( z47_b1k#u^_RgyxS!XaVjJ5rEznQ=ZU`Dvwq#^s`>q?vH`s76vp%UDZ7R`!*y!Pa@& zJyk*>4;Axv=E9L1EGsP8w%REZ3In7Iyly-pJgtwydaR8upvWGkqIlX67yQng$3yxb zb%orjBrGcOh9>AM`bCc_B|Hy?C>?6|mn~d!x|P-l+_cMR?*4V$&aVQ6!q~U&it-_@%+JdSqL2}}jwvNg`I=s`b_8nCbBDVb;sNUK8f@omk`tqmV zP~}Kv<)F<9d`Qh0Kg+_YvDcp9;1406j>5>3k`>!33(}fUSCgX;`?_q}ekl#^0h;Iz z;yHAA#0KIl3Mywre%VfI8prM5i=Gm@89e>=GZ`rS%VLN6y42V%T1 zsdxsOFmvvadIOJjvH!=`S4PDVEa4^)EG!V*-GT*K2o@k{fZ*=#8X&j~5*7~J@8_RFQ2yn3n4v=8wRz7+_luNZj?VVlzVESzTyzg4R_;VM3c z2*uh^2(E_>jg&UlWBl}}gAP!uads{sN5F)rWK~{^7ziq}B%ZqY($oSc+_fJV5)&vR8dXAR4 z1eRP%=7z1L+B|TbGAB(+#`x}D?h786?ZrTGmYk4sZghXHaepC{rK8aMD0|24)uhJp zAjO83$yFI5+Wy1B!D;>SyJO)fL+fLwa?N`uf#@+`VZ#M?JKu+d?0qd!XT}RMAgy3Y zQ!vUNRr%!wDaPKFOi%QryQkgI5JbhUg@}5ZU`Op`MRosLzYV*&;_w8c-8R|e*+CyMH^W@qBtWGj+`W`3 zT59mKEmO4rcxD`GbwhsV2@YN_Ph>XTnI5RsH)3xOhlXSeT|RS7(lwmRPq%|vz>|oLwC{+PaObKG{i(If(Whywo{J#wTLghk8drV0 zeoviiHYJ;R=xIlF3G)X#fLemNGj*@UrtjnT#eN=c>C{{XRR$zm3|{9xF6A473C?Mw zrUD*|+?U_`!g|T=zwoMcy`My2&MYH=up(q=#|@SLNI$ZiT%0>;10{ASFjrc1qq zPw6P)dQi!@{8S7Q+LnAgPj?)6FUi>fVTG~gsaNw6<+rxl>S7N-THZ5T z!25}Q)|JQeQ92CwFrnj7*`7MpnxJgDVE+vQRTV2y=QXZ7AW=0HIUD?qNr5VVM9LpuJp z=j**v7At2Z#2Ta<`!PjyZP#FcLYHLe<8)*<6mJ)|cEdHo5-4kspP15NDCx3)8(;9} zAxx%IPOW#^Pe9jnO6{8)v|rX-6U=O#Je%RtwgswLv@JLBcp3im=MBd4_-6dPu;+Q^ z=y>H(ZP%+ASd40U`rT#4wsvBtTlhj*m^zW0hH7>OB5*6jF*k(sSbz}KrYZ6vcH z%BT34WelvKvG&3OG)FJKP^1#_&LXDT%uJBN!uH-ZB!G<%^_HRc3P>pmykLy`mhB^o zkn}nvMYM!T2Ofa(`V=ly|Kq4c%@JqEV0VwXg|Mceug8D}&ZFL#p8p&Kc&S%^{{tyy z27bIw#PIk9@=fo5uhhg4$5-Qk1xS{eK;jjV0cTEfYAGWuZsD%@xhpg!7j>3ZFj4Q{ zwF5NwVtG;Jcsngs$rL?Pbp;f{>wdxAIa4CT-4?3}O&I|@0+K1Uixm42FtI3AtJZ*6 zr$plX7J5Q3xESzb74PSSg751kWnqMk-fA4>p1!O?GHU?y6{Y#m6j^f4dxg1Udc<1cdE6*k;yE2oYP_%cEr%K;=r<&JVtP; zH~Fz~RAe%xw!7d3hlTZ7&ToS$`T$NR1zcq$wO)x19F0G)u&k)@1U9LD0%q9*{n?AZ zz0D>p!r=HkAChwgHQS@F_0?eTe4Rg^-@8saeP4NUOiW17#5(FQNdF$o3t=_6Fb4az zl%}%-cg0Ie-bHUVK}3oT@grw_=sWHY)RV`@qWIIwy;E=29Je>;?wVEkFGpjfSb#RhO9Q|j>+;Wx!1kpDxcEC~ zt7Y!h3}ox9XHmSbBYw65bI|%`!+rg)=ASAA5d50s8Z|9*W$k5q7b0d%KXx|n&aq`` z+FiK*ZEI(*Sc!`{`Jf%eQC4ho^#kejlRP*yCIc|ZN;>&2>?q%vo5pIZX5{d6&O1)qck zC~}r!1l=3sbN;cd{&SE+EoQ8$vLg0Y=5F&^-HJH^nIu%>jh`8*a183Qq#l+m618Bn7zuW zSHv%dqe;Zz?SPVn9^cF4YZ;Cs234#dEFPhm<)Dl_3S>7OGh4`S&bcceIDrIHbLlfh zTQJmEE4`#2Rv%Lq@URipLrcZMOe&pCogC7FQiaAVC|_MVI|@O1t(zc%*5*027YQmr zm|_v@-AEv&kO&ZRV@g!SK?CvLS(HiCsiqF{5l+VgaQ@hIQrtpi382b6Sa5Gn?-{z5 z_!3g@Y3$e1cRa#mu>~=kl~SE$#d~axO$=jrZ2D1YB;W%DS=SPU71Rz11y_Q^={7$( zDnk-ejAPu&h%j`8JA7yn+VaVaFF8CXc)qJi{Fy7oC&Q(K37uQIHz2qu6TDCJ#{O4R zG5}W9Sc?Y#G&yRU^d8kxIRE>OS<#!}3s=6mmyVc%ovD2{X8Ze}ZqRY?=U_Mth7j)o z%EN|$7n62X<_nc5r0t54b-3%+0<7^Xc|Gv_?RuS7cq#ipykbG!UJL%MK%L@cu_XuE z)JS}B#W;2l`8y)yt6#z?#`2SG?mR&#K%|GW9bd{EMLlwr6_;0DG=Q`K zt9D_Wps;;^`2Dj(np@LxFUOo0qBc`aihNnA!wngWIxGX5Jsj0yMOB5<+sYuj+sA9z z{*n&-1q1VJ~N==VmG*L|6aurso&Qs_FI%p&bp_S63 zV2;e6v&w~FTW$?p<~_t!Gepza!So! zzk=xkoT^U`9BFd-*fYKo;Yi4@yCggkCYXbCY38$FpP0{9COU-6(fz$w!+{5|%XHgq zqG{XCd#rr=?xFqV>nvaxe)VpRNqZ?vDU+xGeO9a6?oBw2z62&?kIPHqlFh7Ei&B)IiAl6_NnN})t=Ox4GJEsXP}&&TAiK!) za>m4~Z2jcd1cvL%#nxBx6i}WM_y;6hUw9YNE-a!zEfhx``lmf|F1efa_H8ZIeC2V9 z7(@xdTrYK>e)Vwa-yq=%Ba4=(q$%t6=bG)}&5!~SDSYY!sm$bs_L5+7ZI-RUK=a%Z zo0mc~xqpH zBLTm(y|cG(HnT+T!!}ksU44tmr!~k9ZO^h=@0RY9+n?G+o@C}dyXu2}6-G{yzI&iK z`;p4!wHs9*2?vdB0`MtcGRjN}VaX3Tjc;UlKbuZC#>Y=m*`)6OF!Qv}w9SL;rA{~; zMAhw*=Jj}eA#)w)l`1I?I=bO!!>&uvF$8$yzXfo2SG|zKpz?KgqoTQ>vM$%TYMA8J zH1r+!*EPt(`Ec_|{{!bss@x)ao5j!4uUsQ-Dg7qOMGcn3^GW<1By3nFIhVDv&0`AS z&d)=Id6@j)CFRXv(u{W1BZPtbR_;Ub+;|@HU6+tc$uVaqhfTyd)$)EbgqQ-<4{gA8 ztPZ{5gRbt`1lE)dep4@_So8%g_ZJDg!|&mZ=(!<04-Pg*Yym;udqtJ6#^#k6UX9V6 z`Mwe=J8>Vc0sa2nqQ_n9+?&Pf1^F?yB{it7@)4m6!t{L~5sP%g?Z4GU*IaN)tB$u_ zT|p~M>|&8_lxRn{7;}1Vv4!woDNg{f*(IdW&Qw2Mp0sZ&H2jR@-&^7l(ZaUgg%_Wr zxyqx{m;C#J6pS%W@N{d)d{G-`xX`e`R?*cw%&mA$pKr}Th%;tcSVomEEWu0b$=YyC z91y-=sU1~htMkk`i55qGDB06NOny%;Bbb-#!8bVzzBC|yo+Pv9cb+E(o|-oNJkfO`Mek|Qe~YLn`AO8{=% zi>!amieLsP4uR3>^pvR`FU|TT@X^0_SXAR3dKLv4q(0n^_U(M@ z++pMr#$qqiwiyyJU#{K9& zsFATxEZPQm-IKnPG3ff5tn&Dm#HTE^H!hTPpGdvDESf$|=ZDUi);t-cIY*J%@!{oiBFlLWt z^-yr?tu`al>T)(A$|on6LG|$`5yQG5(>7&b22zG6*u?QC1YiM#pFU!^Z?r{pl(6Uv zuCi1uV}P1J6$)_}!;B-0&D)O2gI)c2Ue$8Y%*54-XyK*44G~=?ICE8fTW$Tks4XWs zXKHWcbGX}@BK3z}krEhV)Vycnc`<cEfMoynE&$W!T=;ezlo%d!c_PB_rI~ z{Rv!#OcE3$MKAhBD-?Nmv%l=yf3MAF%X>RsKeWz1R56f!v+;Lw>;;Flw(Z=U za9lwU>0s)U{mP0aCh`Swv9lJqAwFrr>&PyT)nv3SNs-B#mIdw~`=};6Xr2X4;IKaD zkXD7Ljw0{Ica}N@O$3#R=JWrow;9HwY;{|zb~Va2=RM<1#|~}U`aJKe;O;`%7s|$S ztR=QBeLB+{dM;d9K0qa3)rgfH!t?0h^;VbK>{8)VGVd_H&BtFP&(-WuFB-Eu43CH= z>=Ofzr{GpLD}#WJGidX%b&(gv>EDuV*eDIQZ z?#n(zF`KZ{xR`=ulfW2Z-fn`N5R5tg3g`N3mQDLFS%4$`j-8=L89$@rT&-61AZ%)r zP9DW9Tq$cQ&IA@5uQV+^8uTknLRCB%+O0REGDndfV^y7?@tG>8{3N6oRZQR1z=L#x zhd;FgL?T1j>1@IR6JiR8#L2^idGU$F_C$tG%w8I}3)q75mglIw()7#{3XbxZ?^mKl zw&f-*0Ez^DI!fpS&Ne-bJbTXz8efN~@6yK&@*~1PYliKct25-D{X;b2doMvX!>#D{ zrA!xiF*&hTd_$|t^rmWUF1x7(bL?$EeO%a0qS-Kx3-*8K zmOiBp)7FrjKCp@yr}3=_dN!)gKhH_&XIVX_B+*m z5v4thc<+qj%|yY^Zc7}>-Svf@^G^`9u3ODIT~;E7%-8mluR(G+&AKXk(=LLPuo6BQ z#DYwa;QlVV?z^&vA_p#zsPWrY^VL0&=yHefdJ|rF9AU@wyX~J=B^WloK?|w@#?AlH zQLe^ZEvv3g?$yoWN)Ii6Y@MK9Fxo8(RV${1wc;FRpbEXcJ1($p*sif7MB)i0OQpEE zfy581xy10j^kVPaaG9wh^Rdt~O&FkCsK&D9ylYN^uC7DeRFawzu}7^<-l zgG%Npb6uXAnj}bJF8@X~j7Ls|olb6$QDyBQ$y%u1frSLbI;kX&NTTtyT z10>SFGZWsJ@znWQKjn)wEUGA$Q-X}I>6WoHc34JQs*`k6pEGqVLPKc?=HVda;w!rI zx-Jf!NV0}try*&T!}+(@c%CpZ+G8>?L%oE-iRW*5%YA>Nrp5a>VUg8?}x}scvzuIb&y?W*Zxm? zX22pYh?ij9g0nYdabgOzq?ESSSUPgXe@--*EM=zr-u4S#94svy^Ls*XV zi-B@}X<>ivXi}h2Z+?o~K;@0bFjGS(t^8r~aLxJHxY|PtZ0+0m`>UC1w~CLK!*q#) z8+6E(J2uf>Gc2c)v@?R6T`XCCE4xK)K*bWZ3w68Jb&wxi&`@6Hs1K5hRKm(mo+|m)@+fXel6)jap{Lh zhXmee%Fjac+`V|Wxf(9%@yG|h{##4a!@Liyg-N|3HopGy2Ua1rlaW93YadFNE42j^`lnk!5-O-D-EFYSa8hKuDB$MR zAHCALf*%QSmCt3Ab^vXLyQ2OmAPYkNte$2Eb= z(~;uS->hXblhQf@G>Q z)%#H_*~Vx^H7IEzUCFj3cE*)rixA2}gS_kDhQ*ds$>!cNgp2>P%0xW?tHkpkm*;Zv z+AqH#acHU%8baqJwG@PURg84Z8mp#tUrZw>jPJy}ig#Bj3@Rf#&JW#PvzLDJ?54S; zX6*$O5g!(33>bZ!f?2%fCCVoa>f-qOycjMt;baz@Qno1k5-+mKBaNs?j8ALq*>yG# z#QM;TjDE4reu%`V-SjW7f5>B?bL6bvtb8N#O4Y0{FWd1v!tvJ^zioapDx4dAVn3yZ zO7kxN?}?i(r+9M;8Gf#r!CQC)&I(MXmd%lXa@o*7f_Zta0>$ARa+eH*bl$`VT+i$XoQ2Y@*{x*KM&r^KdIe z$MCj0$(<*Nr)0GLNk&$Rj5|3Blif`C{woA^bm3X!A4}37&rj)cKb;cQeYkcjbN}77 z@o+Y|gjExsVi(WK*j3XSd$d~MkV!!agu&yg5N+XMd!Nth#dDv<1!~*O%uLTBhk)wv zdnjm8{6m7g`+J2K;^U9hTeA>-NYf)0?jQ3$lu{_fKIdL{_(4?i{ZTp{;z4rnkS-Jql5)8acPXgxd%EXUedof zb$0|!d`Y?T_k#rqs52b_$;L5D%I!*Cbwj&~G9+{{#kNF5`;?8z9B2f4y<08~Jk|wN zBn*zfY8ry)DjzXLlpmtA+gsUHV#Nb^4mdA6uk_47UJnB8&JG#^K&O*x5f3)dfr2+S z(2)fi?}&p4%xmtZf~-x$+dSRVV$`_m+molK$G;V~EI^(86Lxcdm-6pCj7i|yb*=( zL6bO~2{hfaZo@>IdgZ}~-f`~y_s|7ttu@{CvjSeK8IJy#``z)5}7@*zykbdq>knuhmwd2wgASK-f+4FvX;rNB&Iu>*F9hQ5Y-+fO5 z?``w))8p{+sd0?Re8~^c_zY%K-((lA?iuyG_Io)zGgNdaKO#ojz?Uj_bqyx1TVyX< zCUr+wv3&^sI3MYp0Wq3cSS)B&{N(rp7^N$*GAxi#`5c%O$eLpGF~d0A_IM!w2e!{C zFc+l5WbyXu!rJ?HV%;<*&LU-zZ+{~uC5N1$ZpH^)5A2<~Ni(cJopj65t8J3;Im~x< z+>zOJdgZ*9Wv(zL_MukK_|u^YM0&FQ-I$#rsWRgN{)qZpzxPCz@f{hz@0gX?5E@Np zeC#D38OF*Ib%N?Fza!4!+k;l4b2t?~z`4j6c9u*mOxe}v@l+!_=3y&AKgQw9(#>pf z3q$W?!}k3+amn6l(FSIBKj(wi!h|hhOeg>e%-}tK4azkp8`n6mdAgTHeku<#_PH3U zQwcwdtK1gTc}L}GSHCPjjBA_P42@KxC4pW2A~J$8^?=r8 zJ8KVK{btGKEg4c4YgW``fEV;%yC+@r<&z(xE|E=-WMZd`AC^T$IyUSDpx_c1YDcLrlOZdDBbV+$75bL}! zBnF$-koeM{vF+^F=_DV2g8IO@oKii=?Z-Kj+6`*mA3QhE!0f{YJPS#R3-oewnS^ii7zxJVOu z*u4TC&z}A&W@U9W&LR6Bk=i{TirnuWKkXiaWcFDNw0|-3UXWh^rB4rwiq6gAeKMFf z?R%40+mlN*6F4WEitSGg>*pBv&z~x zhPVfeFUY_nxbtk@R$qG^+M_X>!JD|~IU61irn~3jtE(1ANbCzkiYHc6VkD5WP@2t9 zi^LYkzYq$|={Ai7xt=E|Z8t25JyuuRP`Li?D7!@;HHw$~*fehG?F2uc)*_C(A!^%o zgfLTfF5VK=(pGIdkUO#@cy*hYM^1usyf#%D=$A-L?@E0eo0KD)Cfz?mqY?GCo;yQ} zpG%zGYTc69OHF-w65672Ja7^F_(Q;3^Kh?o#*^pKEvmG+z11e_f~2Br?W9j;%C?28 z_&M`)m&j>DqP--ct@vtWY4xv!A`fl3RAYF)fh`*2rD8R5YQ8&8J9tT;x*2pQ&RE3? zh$kwTYQqRzY5KY3S{2N-VFn|;to;*d(_4cdAJ{4~zrtn^PjSjXcdYP&@aMHjFnweN zr1Nu*4TOMNKi;T?!?uKP{24Py2;eQ>UTPC3I9UyEcs;}reinXD+%1u6a!Z&y1Il6l z>u{4!iOG=PMBT8Qmp%?eI$vJD1z|2&%63Fv&)51mF1G4CU4|10<$DcAf7b5c_vp=` zFsg_6$?f>9S5(~W-&dh6Mr&M(7MO{+pZ=OIFsm3TG}|uhnEdiC(C>{;F#q%BQ#T;1-DK3<|JKb-xH33B3@LLCiT*D;e62-3+3DtXod}>anL^@sdaN+`r zc~^@aG&_;j!5nU#mnxh=KxUWgc>J>n+v*1%)oRTcO^@~U3a3OVcOB+ZSDweW0!yn$ zj_joo6-y_WC*R1AM;3{HdmY|F9`*&bD(q%Wg_&&q)w(B}la0SaEXVL#i7_kpX1SCv zD)@{iYrtM!p8mz`-LHfs`J1B_RiB`f<6^{|6Vm$ZxgFf=Y_6pk7&JUyA;G9Z*`U_~ zox2Z$@-w~N|LbF)Zpd!xi}he_)$SBzB~EetCEHu_CiI|%;#$txT)x!TQ7os^f3d^3 zbvxVne9Rb_cTZLDKu1#XGp2BGigF`>=SY$Xb9GLv|BWT~dQSSuVsFs)Y%7z6eQ~?& zng)IBH}JM&RZo-hsl;|+{nR6RKWLu7@HsGFk?He|2M^l1zIWHaR(>e&jYL?kc;rHm zb;Ln@YiFXh=r3#L$NE0cPFwXN`1(1CN52HU>W!2n2qlQ1tpD@xE9=uwg$P)ftc5zu zs6CjDG_k_zr9KNoiT~nLXg2*!{S1d3kDV?6N}ti8pY#hMZHIT-6U($Hee&f+Hd_Tc z;-~&a{;dS2S=bo+to-yb%~ig1$^fqmTjpYgEf$nP-U&muXm*TDwVho{jOOLd-xrzT z(yDUp>#897As@>*G4%BY4~}FA$Yyuwf}v_#iO7hp{1qNJW=te3w1ret(8Lt&!~#V3 z-&ukA9xaZ<=Rj3_xi6))1EQ`(gCQPK*;r)^_ZlDv1!m^+EXO%>3EUV{`Q5AW^xw6# zKSPz-eE^qLkt^4%yPoaAV7l3gUtBEjD%8<%iszb|-)YDUXhc;4Wkd70xDCC7=-s`_o}eXU{jS=nd09P$7dhfOZZxo+AsSc ztyXZgd7*)Sgm|rg{MqP~9`4el5LA3H0RIXM+R1Ys>{?jxSFjgoy=Ef7Rl39?%IfTG zSX=0eM7De3obVZ&Ht8TU4QZ!EZI*oLsawKWaG$D#x96V?9y zbTdTN;;y~vonsVx;8*Oqrgg8N$M9^vhH_ypDdP61^Zn~l!z)qQrwNPXdo)ZkV9L~U z-DJtF*>6Tyls`Rt=}#j;lWx3w#x7fPEsv+7d+Y0=o;-C<9$#a!OpdVja(+zh zgVTpt%paL|B{ggBe3}J@X1D3b+|@;NPl`9^ezxuXk#G&xNEEek$TX4Y;LjB&qi6@D z_l8ryzD-m@yk5ry{5C|t+egUF07y3`&GggLnP26^$V(kKL6C#@5edI$hNYIO{+W9= zNJViYSP3q~nh8vCnPZ?ytR(fsey_mG+U*`ft4@BIp+OH}GvFntFdzLkQV_d}7Msm8 z*`g~;n>cn}qCzshEn5s2$bQ%jPw6)l$e&O_Ba`b>Xu8#-EP}@}n+XB3U$jIL=psIT zRM`KFV9{!G#fGG`7aN+J{7II-#!n}Zmjq0}+WuU3Kw{DsOO^*(bn*vrKq)b&%>+gO zoyzQ@x`@j<{<3Z5EtTQcR-z!teud#WcX3aYJZBu&L_2`<12tg`n%S!MTYG7EE;^~{ zF9JT)I!>QzutDU0a=I4qJ0+iZI&DEq^o9PK8}c>~$2ukv`YaR><~PoB`No%+QsFq+ z_T1nCFPlZ;&g7e(={Z`7OkbqzIQMOi2Lj0XxaX_-Zg7A@Zra^(ef*5|Bi0J8r(4t0 zu4e(p)gBW=wWw@Iyh3>!2?0-h0x733mbJq?I5-#oWcHC9m~tcIGUSMgcB+1M?(;cTmfYR9%*TQ(H%(w( zaoF*x_-;V4FsO$)QJgRW&~#Bwo119wZaQ3Unb&)#Z>F+uq|h*jIhTL7Y(pb>JtB_pLYHkN(=piuEW zt#mmH~D>ZwxfpA~x1H{0QMM9_hWr@sbq|Hs+k^#vEq>Wcjt&mmj&x&^^ zhyLE(KJ&UkzX{*vXK(O}ug1Tm}5|a3)XNVahjG`vSUGeo_h=Y63)HXeo zHQOpQ0IF6u>h-o{Xk<;@`@fh~!~R4WZ`vIs$}?Gh=y_55Nzw1l0kp3q;L_Xp5^QsG z)$R*;Iuj#JxYqSeSm;_DCZg9Ehni?PQNa`y5M~rktpe#R3@L&hRCS-%2w12etg7SR zx%z(WK5A3x9*}k6r@4LPe=QK0QWWO{_yi_48_Ork&Z!M>=grd8#Ezc!vY69WrOdK@ z!+X^wjWmf5t)0ny1T1r>;_shSDe_yPezOhWxb9$k~(MzG?Fpi*MM0Muh_ zcsobdN@&B3`f|#7Ag%9xSxrU+Y4D*t<)W7Q)?W+_A{UDAhv%$QT}fZrzfn7Juof=jrmSEY>?tI}ZyRfmUjGPSr3gJ`b?jVjM-Z2eiTYfeY2_`ttRycPRO$+aa-nSThTo0h4xusM2xnok`q#Aafz~R6!{sS%8h7C+P z#z_RNmA;_kloN`S3KLo>KGR?nwTORD%Q?tXuAQ-cp&$hP?lgl7W_UF)hY@gw zz>W7D8RV%NwpC5_e}NK-<9)%k>y_W83^rjDgh1D#^2wE3SW&oefV<+ z?3i(>iAtJ*inQz?2YJqjT(R*^a7(0;Q8`fGUPg22ulGJ;;4@igv1P$J(d7%M+GuDZ z_~Tqtq5=w+P+~8Hm!-x#>MGcsWVvuESYu?dB`o%=Od6;SZCWv}A-pOkzj)lb!K)_~ zWdA<7E*n*M4~Dfw+FQ7?@PolL7r-J%x&yr>s2a9i1qUg{V&JMT^P;Hx9r$c)GuR}a zlRMone2tV*9gw$QRn_lIwK;GS1(oo=YC>8q@i9CXL4(EHK`v#Cr@bl1q}aXJ8ZLO% z1@mp%48qRUx@_KYL|TZG?p;To2ENoYg(g?iA`hk8qk_NbWb)i`z8YA_1DkiGWnV`7 z!Lcy$;ophPxQ46^Q29(@Fjogg1B#Oy96xEvu(6#thv2B)oJ^@?RkB+%vVU!G^kNjT z(QU$oHmX)ob8h;5GnVj7%SGE#09VT8jp}d^8cFGuSf99JO+B3oMu}9_JFHT*Ka#7rFXZ=Q~UEyrn@7o4FV`bf{XzSfacdCB- zhzPIoMnvr+L2LTOfHGIj{K1i+1cIO9qf(^ynAQ>V)PQ|9Tu_MNA!?Y5zpLzcR03?= zy*sy2Y&-BZ+&g7&t*gvao!_ZJ!kEu(-!oXDMe#!+s`4nc*y30ns~Rw#$(@g}po{X> z!PG2v7SY*7Bp<^CPHRe5W|2o9={x-zM{K$9U$tU0sILKWZ}LIoWi$J@FK5vI4hU;`ym5% z5j>g%Mu2EOM%JDGpFGjJFQjYS@Q}$-zn}Y;Yyr(jDljeW^Y` z6~admYOOaKOW&r{XZbmOxjk>--Cvq~%Jq(jnELQ{%W5056dqu*ETvI%?-fZ#CF8A! zj)>mu)4_lD1wMwD`C#~Y1?Zj`;v990+Dxl=k*m!xy_s-}N~w1lFkBqs9CwSl zQJ?2ea$FcX*_BOJsXrv^A9RZ{pC77Pu-n%9&*7z$`MhxX{|^7(tMwVCoZ$cM1$#{D zU08YlTXe3;Fr5Vt=iQ>Z?Y03ehyRv0Ed+b2?IZu)HDY$C>R_IK%D)7O!p%kR8CJ89 z2XD{I1Ggw-5a*sXIYEI+*BH2lAe1bcE3+-Sd-M3~a&GW%_$;KtE^QURhS;F-zs1C~ z!M}a=#0Il(J6SBCEzaPTe?{K3)kJ$}OSL^ra{#dL*aR>AD?5Of<}EzTmmw8MbHr4u z(9@PoJ>L}vk3+7rx9-Rn*&?}2G>xS|a1{A@>8X@FX!xLG-cPocX!-Q_{7&_2Nat{;8Gi*w95k?N9y9 zwTAw+`?U3C{vZ3mZAWLLstVcfP9U;BbxS9)p&$M`!1f|{Suo0s@3{5xaBW$Czr202 ze~!B3o6*DAy8c6pZ3Ew<+v%~wzrW8+p~# ze#onOyz{!Mq>z2n%;$4|Oo{9WAi?30siY1A_z+|gJ+O>OA= zbaOHy*e@{N6nuz9>jqpZFH@NM79Y1i4YVSgodFMf~B)WJrg6JCZnowF2O$*UWn_fUzEyCvCd!@hluo zBG-BuB@O<5g2yicO+r6DBN99n=Di?+%Uhk8U6p8e|4k?=K61S_ImE1A90gC8Rx0`X zoFWs$;1`LSWE9K(p!xp9n$5n$&GFgO-Am^qJN_&qHaRf9uF-`}8gxp_x#Cj$x|OV> zx>8zLnj|VsUmF2^NIB*FimGj>LK?^>#OnS)k8>32fswB$>R72O<};72h&5!TE60ig zr6AN(=ee6Gw4%KO!s90OK0S8fb~dJOpHQ$sxRu8U<^I$H6Q^Xz@YCZhF_ z_^Bw%WIcL60z1=K*1ho6&DM6_j~(9JZ|9~0C*G?4`5c!}6m$Yx3zhSV+G23!n_<^u z+dunpi4JJ?QNX8efTv4ea(9wNBXd3+p6Rxy8KOTe}G z%KSnRv&;c5GdZcL(s@acWIYMGW^`Ov8HkdsB?Hrpv|z>46?AOkiGDWr#5(DQXIwuM z_BBC5AHsW$fc<)=QbH*(TP~FLpWU3NBtMlIJ4vN8j@(od>W_EwHvDIz6|$@R>srjg?DF0yjhDp9eWaL-K-R(@ z{bu7b42L;frEyX@jG%gHzu%>SkHObmblK+o;bG%<#8(dCiPYqiW-L4Fv9>W&E_$9~oY}r5V|PxcG!p?EhxU>QP~(0+NT{sDzJ9(d?y`BI(?rS`OaO z?70wYHrw9~SPed>A#ov29(}dv!p!%9vmV5|N`rF$+vm6BFow|pqxLd3g1l2OK1NYw zthE)=>1f7H!WdR^DjBAgyemk1hW|VaKeEN{+1aMbzB# z*x~3^ikG*``XZ=oop;aQXIoo3&`*7S;imGEL^+hI_2D^F>)(OV-Y~^vMRH7jlxC6p z$LMzNCvF3lOFH@158odf z2cN8;ol?^bxD23!A&P$#k^U|W!|*9Hl|+I>+Pj8*ovwKwSxlD}2+BJxbrD}^JxN#% zd`dw6G)AOMsaQw zh%jN9ZsToohM+X9H#;YGJ_7NnCm-%v=E`6z623BDS;uOB7#F#}GkiE;IZkcq9ONd< zj?O_I(_Bzreg^nKf{Sd!myZyOfDL^1QqhTJ-;AL-u?e2GLy_mL^kKgeCW0{NXII%+ zsMr@*c5$3uSkJI*&lf-2xee{deVAabL%~rm4!u?R689ZI9yRqv5vTlvP4cmx-oZ-d zU3QgOvyeshw+sLGxYorYRF#QVyS!A=F1hp@{wuSb?Pvj5GN%38pWe-eQ&(aMX5W2& zG0YQ4nT4+GD2t^#gtlubXNRslZ8v;``TEv0%(4Ck)FtTdnU=95lL_N(pi z%f_{%EUO1xcaabK+qK)8)i;yRUDYG5QS6Lqd3XvIfm3fk&oo27VRy%~#p9E8`}=Dl z%+|{{cq7`uW!mzJJX-1pXf8SPrlXCe2DxW zLazy=1Ei{vk1aRz)9#CpE0vR!RexZ6tExaLZF@Cyf7Sy$^i|JWOG^=*T!Vc#YuJC? zd-Jko=Z@I94n?qhrdx{+>bi9=81629q;t{(+))dCPKph9#X(VHkjQ3oL z^UYU+{hN)rbeACAUQoOX_a}=Wo-T^iWUE2YNrya>xRaR%!Y+KxiSi@V+JL*4V?3zc z=rsl5P>gI7Wag&nzk)>;3JXOOx%0U*DX-mFPA&0pt;4nbsKP-5z|-xEJkZ&9!ISm> zdinl2`8=Ml_f>An4+vT2!OwP^S@vi?1WyY48N!6iy@GXbF$?x(AGf?E^@q~h^(#t> zT#E582!U+_ZTaN949AtxV)2o2|U_vl2#CvFLjbHRbgI)0Fc56c)Zxg6KoH-0t$Pqxa>T!T@Gtw z`)|$y$1!ovv(I{$QG74%Q*e{zK=GN?K`cGbiqr-xuiur}m6>c1wL*YEc^wk9H&eW2uV{oUK`|`m@j4z^# zLpgHTc!d?GlY8?M&OiQZCOU}`oODqWq5=|y7n~5GXN3_&Q)&QINK=EdaS)+Uv3ut+ogKF}*${bFjb+?^do8?l zMv-z9D^eSM1y@dK7ixDy9hxxYjy_uq0aj`wA?>TL(z8MeNku()uUZVnKQ&+)1Q`E| z+&xpmAo2j?DgCTMjOBSQ%NQa+Pb@`iV2~7Oa1PO2d6tk;)WTmK6w3Tb`s)j})sn(l4+6NMygy0eLZpx=c-NT}QR^|LJi=xw$@kPbKnpVTv}~hh388bVcV;AeuXH0`#M& zRj)KuuV^l?>q<*-I+Nw4YXhp0-@8|>*!rb;umWiUr#QAw30!zA>hFz^r%ut^lngQY zw=YGm`!X-`nkHyv7X%WzQ~I1KT-Sa-o_m;u8>@8l&wsCyQ#&h4G{*>2bLtF^xq%s* zqNe;q&1~U{+RkKi;8I?!#Z@+%Ax|l7_S}3-Jyj;+xxUbOY@#$-2O7lf?%x^y>p}A< zVUs|70s?Lets7%eQ>ha5k(lhdv_5@b{ce?_KM?~RB*juuJF)!nbow!fC6hY?9_~dn zQ)<;tg4Qtud+gTFpv;l`P>M(SaBwSL)U<+f^kmK z+%wAMHyPG9epLgYrm&T)NSksGPAYp?G`Xo(EBCNM{c)rMmdvn2;y`PL16?!1RY0l)22#bYrQKS-DrDws4k#t`Ervkw}h+tm6NN zxc7i+qI>^+UqL~-QltthAiV_v=_=AYA@mNRgeIW$FfU4xu1M%bKw9X%7pW3DNN);4 z=tv74?)d(G=YP*R|I_ZecdfhDFtf9Fm}D|Ddq2wj+VUd$u5%0_^fs>1z zNu{!W>=A2pm~!vBU5*^Or8SUW-%%7RO&lLNpioG1GbJ_Z1!E6TIrzN5KLH#)r3`53sE$ zq0(7JmU}vkuBFxMa%%ApiYKT|9T8EZ4n<-N8WS z!K3i`Pa&DyQTKBaKj$=gmQ<+eq{Re8|DJh23OS@gCuSm4p-=T%*d9@Hpp9A&EMn*v zHZ^kgV&9i_nZBWF{o3jJIrgucR!{$)Bzs3k?&Iv?K;QYwShnph?@g%^;zxHH?Ry2^ zo2pe?-qAj}2mve?<~v=dmT28qW(&VgX?k7%%9X($AO!uALUA5>wCoLh;T7eBg1&V- z#IDqnBSWea>L$EYur<58o1*;j;6pfRh2+IF-G7RUO}DP4ruf$nv3ML@U&^+gj0;>Z z<^{BFEbrm9D}U~-1t;*%tk;0^r(uPKV*cy>FR8ouM}e}Uf~?I5GK>0p%d7@|pB1vc z0T8R;6%!-nYj^hmVUDu7IlzI)&@h^*)P{qUiL93S2{Z2Q|T0CCc*917x9ckxF$!#Z%Y52lb+=55$_hs z1BP(=jObQKtV(gmXI9MLXw5VDV-a^MdcXt)14Co^iD8J&kX+(0PKC%o0dN{xrNtje z5Q%l7HwtA!z5!t`L~ZKj8Jr29Ip%twv?6a-CuW%O{?flm$8 z#MV`e8@hF@pU#6aLljlz;cJ1um*ccz9qVTCVTe?{T*YI95cG^!YH^*Vy><*R3+BF( zr6{(1YX)ZQ;gyRyV~jya^`}0dDbHnysQshwn_6dXsEAW)exzeIvYNPA=eIjWex?d&v;DNMtsz|Jfns6GP0NB zaV2x=ijuCT_8=D&Z66XX3fx&6w%x)Ld^hOUy#oeto>#)@jK1tV6;c!T1g~}4;q3n5 z?0ihh;z87+;&QzHvRL2&>HXk=T$J$wL#_W<-Ab`rpV)tX5g9dmQKK+c z&GJRJFk%mNSGVikFGf^uK!9>^fgJ%2Bao9$pSwAIT9b`Y(S_&e!wmN-JFYUWm#jOk z>c$n;b468V%Vg(C&&Txaa-63!`v3JpbW2mLg*MCqeE|_L%o2)xPU?|N5<)Y&;a~bEz?kCK~j$W zIjKp9aQ7+Qev5onT;kU<@sU^QbfjOY$gp7_5xb>1J_fZ5rkYFDYQCDt*#{U0Tl*0J zKpM~$#L$?J_Yh}nTVI~NE7faX2wyxbUz+F%7ef}+9sN_vjiGTpGjQ9q?n;r-n>#bu z11oA}^qSVKyNdt2rk`L_jf`I1y7e~5Dt?bHi%LM!Q@TEG>sa7vNJ5bNZAU}P2NPO) zCv?bO0LNC3w|k@HZKpQuNupjFm5y{|_c~h%hB3Lhr_iHcfh(ggU`3*P>YOcz_r^fv zViwd~6rEv?DdfLIiV+tkg&VTp(cQ(cD6wM1oYA=V*nfWU9Ubj_RN;)zY1(grC$#kC zv9P_Np**S4gc86a8f?@_Q zX@nDRJ&d>poOdf6`$|&Rn=v~il>6OHPl}Pm+|y5ERqa%t-s(u3@hn9A73|(Y))C;z z@h;)y%A!OZJLQP3)(bdbQMMKIR*t3D4Os}d-ZR{ixWs?9yiRMVY$mPyL>*yXcU z$Q(z@bDnqXv4>6VPMyLDuRd>ep_1m0t4|mdZeoTbIGjG;-df2?J|iH0nAK*WKo4E6 zQ=<3SS>~ZMdbVmDWXbf2S;0fAn(|05Gunz$LCCnkaZx;6c{j?cMj*;+R4^P+rpQiw z5@|Ks!TFdhJMmC3Tp27$gB4(DU(e)hPKpg7?axl3+O>WdP;r>*wQ?I-@Ue(4_(|W7qAVN1!^43tZW^Y}CPUR6W6t zW4=R4z;nPYZf>kBHq?X$>Ox6`*WPdmLdW+NoQc(R zixr405r=h1;CG!!&c%9jToUAqD9};+H+H-Q2e=-_%0!QvDF~cqcNNR8mn?4iT?6`N zj0sxf-%dYEn8KGskb5JlHt|blXZKi|Uv~SSuC1U$O$57|qBH9sl_#EyYE08+kcIW{ zE+25KsA+qw2|xJ=hb0wjp&${q&er_un$skjeW^Up4!%vDFC9GnsJ#NNgt|l-vx3Br z4N>3hZ=J}n`@j}O&Z3>FBgl)nf}jsWKgkuK!S0Qo}P zFZ_-sol$dQJUL(SALg6X_*COnC(S`ZT|Q}-X6+YLmC`I;R5C)2{j&Ov${S-J15fQ- z#SF(5*F#;SPO%W9jSlL&QvMA(-e zdW~2QezhJXj1dPHW~o&=sa0>_ccS(0#LKVXgXFD8-}QX?U$1`%o&U4+yz5~C7Yb&N zoB!+#++SnQ#V@-guaqXN<=`aY({ufnzB#dL;);(e8^*UKW@{u~7cN?gwz~=!aGP7L zqA0Ef^^<_KB!HrN*O(FSpj24IT%;=w>5B57-(}N=B^Br}&+X1SJWNHaBo?SVE82e9 z5+`&_xSzvYI2vz0;Oc%@!zy@?;9Mc4mD8__r5|N2hwy&AQM+I5%qM5b>tOVp9yXcY zKoj?{%ZM`ekxI{g(qsQURXma}e&4f(T-kVb5=Kn$w15wZ#W5Euh3lMhVM@`cB*gT9 z@#!HZKZ_kTLTJE^`QXQ84ll!#T2WMWJICbIAun@t>MolP$^y_1i$?Q0j)9)TTtp`K0cFN^f8lZ{r7nf*7w4V8<9Yv2RrGex6ZLG_w`iD;4`2P6)5r zvIu=wppqn@VIPj!c>E2r@KfKieP$JpWV3e{=nD!XR^`DYKB{%(6!t?+Vo@Z5n8YP4 zBl#?zsxwQ%E`nvz#O$;P$;)$?C|!6?Z8OnYk_m6cY`zCcoEWop%5=2y1T>|}HeTz4d(U3(Sft*hvqw6m{ z_eiY6w>-e>p(4VZu1K(tKftmqKQ6jrQp;>{4BI3YaoMZTJ|n?z=X5_o*omm|B`lh5 z`InQ;n#ao=QsiKJjVZnF-@(RvaYDfHTzFn2_vxS!9kg?D=0X2yozNf$>@sY!9BbO7 zKe`fx7%A%n0%FKAGnxiyO@zC_OxFJ+Ra}VzPtH z?$-G1dT2)-8Rly2tM%X04W5RanJDNUJ33HUfFCtD&m{~?TbC&5*k$k6124IDSJ~)W9rE2~ZUk+n)ywe8U5iwl^;xy0g%!u6c82x`b)CYn`#@6I+KT5|T#N zAB%To7({alBU}k2&b?d5F29qQ^?Tf{?WI)PU~){0oE|gFzTEa}Q4oCcu;h1dKFbbW zvQ5wM;Q{`P2Rf9iS>(&*Bp`b^kRVE`-s1M{3&D%YfwAP6B7q@tkJw?z*qFi?pxaAt?6=*0*_afxXrl}ixM$e~$ zg2avjCZP zk}SS8!Z;@c5nNaL&0n#W4K^oeG_FH{V`|+35$fQ^qW-`C~qo@|JNP*!2;M>{eXqG)|p0OPyXx z_)O)Y*7wEtxuPbLI3e1%C*rb;am}=uD<^ea#>P)SmL3$NI}8si3IS0iRNTIG#-{EGLV!USsdm9RX9{f#WBXyGXQ8z<#k@!4{G0 zz|pMw`kSCk*@8tS6=1YAW$RKob|exM-nVal6q1k50J{yyJ*tq${AtSu>}hVQMUS}Q zYkTbSa5`zF9h4>8qj;^y+y*dus0|U9^$wivQDpoF?WnTJAF7CP!s~XWm-MRVqLzt# zh{*Kiho4xpH!PV_L)>05bbsYa81W>h1t^w(Jc)caCP=OUwlH^pb)X}R5J3rv#79E* z3V%jCir-(;mz^sU?hQ#>Z?uXqaqYf$dQ@00?{Cz~BGm4!hw(1Lh$xWlIV=`;6KbIZ z7ataIaaT(7-P_g4ZNcvtq}9kKwB?TI;DYW4YIh+e!OlB70sN};MC$ao@H1d3_)cv; zZ{W=k(-&hMW?cCjS2SM~-d?Ix9jmN(bJVFud1X0NJ_`?NdYc=Oca2FoT%W1D!b~GisB{OMV zDXt14bC{OXwxD3!x8tp9U)S=@GnG0jb3E}$o3yws61=YqnaZAYL z&5`;Ef?E;E%$}O+9XkcStU(4oJhI-7h%1}jTj4##2&O@Kx0})`9Ahxk z-wg2`RK%|@)f;JU!M4;(9V<62H4k{=YVxQKV{qH~yku3lPOta#M? zyj|M{V0xYjNB1LG@CSS&CtOCt*rh3<-=P$V9`M|m%@NNsuwSC9%u6Hs>4U(^KEU3S zKmUThTb}?q|8U6JXGXUO?$OGczvWhl|LI4^o*8l$#O1=sW%kj_7Zq9YdB2Pe^{RdR z(Q!%W5+ZK|Tg^cs6U8rN^&MyxYe>^1g&LrHIjt*=42MyqHf&JV0*! zy$ZbwL&3+UIZ5xVQJDn0gMG)Kf;SV3H+;`y#DcqDmF6xrCl8D;#G|aVxhg3fHp67S zGj138&lzvMKtXC&eh0VlnvVzgE5Q4un*;>WQ&e1X;e>u7@Nd$N1{P&E9XDmZuQ#U) zv2nwTYooZh{d{^M$HfBs&>9BIaG*zLNN#4PIocDC>YfCiTq!%+tjBkDzA30V9x^*_ z5y^G<)Q1O*7Q^mq)ZXc!lkMnUYel%J8ll8)@PoD|-1obvel| zP&-&uR517b$P0^f4;LoZuVZ7Z`0v=)=L1p2Q5}g~WeEv6U-J8Pi=%oxU&6J*isK%d zYeg;hmVUV223kS!bf0VtIP4R3btEc7*#*^Ht+>%3ahvN)rJIoVX2^ijru{wO_~N_d z#5s2Evi8-{>HTB(j^2v$@!M8;rrRPBji!7n#2D`*a~X9f{^`Xb9=pYf-~b2x9#qHa zFRxlD!X~~xIAg%T_tWdv>sadq{;OKY^u0!3)b6QYWAbSF?l=G>=u6K?Z(q0i`L4LK z3v7FR!|t|Tn9Bw_wN$&v~&YKScs*c*ltVD15eri5mdyreUK6EsbH+F7mse3;HXv&W)*y|I8 z3;d$I;f%+F^lad(G`kIsiS)|FUL&_qWf0HVEiX0gq$A@apV21YQr<{z&Am{K%3+6^ zqm)!z z(FIS_R@DSQ^C;~nkW{_8g6RgL0>{wnL|pH3rB|f{y%@@0Sm>fatz~0x>TG_`!5%#Q zUMm@E%%gF6vo5Zp2maIBS7RK~oo}{RZ~2^7ZT)DhseIjHb^~8{{K<4Bf3Y%EEDhFu z+I3&B9++1&)SGv)Z^e`{7RPAWqTdJ)-GyOR4U&sXt<(Emr4-rVyDL_YO`1QF_3LiJ ztNo6OyB?G%F~ihuLuaIA3@!TiZm9D39bu*BhJq4v#t;*k3iPUx1zgta!dQ&j8(vSZ znP>E{svJn}Hyv%9JW#0FBxP{J46n4nxHZ`o&{rp4Hc<&jkK6*q=Ggi`Vf(-%je(3({p+O?=raEY0bRBE?Tb zV%y9Xta_W{v{#@vPd}lh41b=wyG!Snl+1+ZJv9F~9nqFA8;*j(}fVsSgmMj{jAt2$RugUiy6hxzEfB9P?l zL(eQls3heWI0_Vl-Aqg0~buihSSP? zUsNac_^hD|c%ENou=j>9;Cu?{>0nh~Aev;`sdrYBk8n&I+o!_>sd9|3q^dZ2hSQlK z`(rw8;|oSS|8!0BayzIb03oePf-lJ_Jf2EGp{GEjstDRs;yl(n>q6&RW5=0YQ*se* zk$gilh;i+N-n6zIM`Y>xjr#}ud6q#92%c&6%&_;^c?ldB}cn=7Gyp z7&O&>)`uKXpv&mBMBRP~uwpP*cO5TY<`kupAfmo`o`w}XVE2xPecW#6%Eg#@$5UYw zxwALg3MxRCJMdB#lX!s7(Z`)lW3LUb;)GQj|2MH`aY2x^jD@db(7hH);B?cN?Q_;WSUs|JD2!#ZRav&Q8ToZ-4N zS(|*meNtC#Sn6X2X1#lfGhI!tKf99Q$r(2vjr*)lqu#LZxK*=c@?$i)*xj?N%>Ako z_3KRgBwnoH+VNw@eBZc<=r>c3CD`SxNoo334Nx@338-2CR>g0j=H->6IYmxil-N4l{K*@UvZk*NrG9=Ht1z=A*JiRL|Cl>lD z%sLRpM`z8G_NVG2osCX8WHTcWr*T%_2kWzt#^!SsM74Y<`s3#F6(2Ob(b}5{6rX%{ z>Edp6`&Wzp;^^b;kpl|*S67BuXpKZlGtBD^4+h4b)UKqmcQ<_6d>-7+w@VThqHDX3 z0-5v=;K^y`a>)g7m8xQl1Sf`Bbv3OMl8_!y+KjGwnX|RB6I!LDrY&(Jy@tU+Z`>sb zTSM>|eYM4=Mn?9^Vl_NxU%8jt8o@;OoqrLQWW5ltF4 zB==1ap(=^6A`bVd=%C=;GS~i)XqDC{M1D`F0uhviP}S2)PYKO4*dBgtid_I&03)n* z`4~yO$63SI1PZbMiX{HcC!?@d7sJU$`GKednWGyoKrwjs^IZO}$L<%fb1J?h=Z5DZ zTIIq4j9T^f1Uo8K4hdZl6^xHqnF;L@g*#iqehK8%%&TQ+IY;WWJUcOgXJipTe_H+sKHgzz@!bSzLTC8 z?Ru!PA|v4^DF}CV_itjvw%k&#OO*xlQhu`AN{!cjvJ!g?QfEsfD%ntH*GX05$S2e*vD;uTaI~m=-G~tIW0y zWRfeq2Cb*3Cl8J;`r=vLP}X&z(4UsPkMiw!J4uhrt;gu~@MNORu*vo#)jO5yhIR?= zu#mXVXUkE~%u2qANiHC623*D*Ki&AZi;z9}mtQYNIg|fZJj~8#0blesZ{fcG)LmVS znuzO)my))j-gb4s&sU$?)L_NYtR8s6<4%hHX-@+<1kpc8Ky&&#P~Vp5_kFR=BCK)} z|6$o$ebhZ^KO}DqG#|TkH z$GTs4qtS72Si0T7wgCAdNxxACf)*WD&KD|h)AkgNTNdWF-ua0Hz2S5vdL5~$LN2)- z!w$T6?Bvsnl$(b@z#=nTPgTia)7d&4V`f&-kKBwH44BelRogR|s62VO*eXkQ#rqPGUj}Ly&=2b&@Db6gSle%~?b5X|@eb%{EABXx70zF)9%WuD%`agFT zoR5d^g8t@}zI$mAaE!Aeq>NPW%mU4nb!7JggK@JpWqe`S{qt;g@<{Hg@;{2nJ-mZd z>$!(FH8o>MN#nnGfn%FnKlM~!pN@{M-V3b>(S^-t7FuDzKW>6_us(li`#w)~jQ)Jf zljm=|ZWtS1oVN_LD`Faj2Md~|?)Mqu(%AqX9fk1#E3JoHM8oI zOf*IBV*$}SI#zIscu*F$u7CTODpyxUXKW)U#ZI}8zqyN14_-wD!{jsU$K{&whu+zv z(mz@(R??*3J^JDfhzHq+XK#E3puZQ{L~h)aNwqj?`_aKQ>jGmbA(ctZ)OEbB>i4SvvP7i#tW;#)O2nHagIo>2#dx^l|xx)(E-$pt~ng3l_7h%Vt%zqv7MeR5`Q{8ktfz(U(r^9kc@r~hALv^Kea_B z19zY%aB?cvKlQZ+e2{Fq!~$Lj2;|*Tpy{Unb0T}ekeLuS38~(XrdJkaoY(_AKe82= zF4oAd<-EDXA#seHcc@TJmEPLjObwJ^CEmUF5rXG(YYzBqgZp&3cPN(D_Hw-T{>u<* zfu_5+Lgp%~K(}$n*H>Ou*H#ax{`_{my-CHw@Qsl!+tCYnE?R`x6%p9nWP?mMc+Yv^ z%rBT5atz+39;L->Rew1)Ln>_xmk)U?dEptyg-0YUwT5v_d}8*tfHw0&TWLr11n80XPmbuX3{?-NKj>P1Xx{UoRATqdibdPFIi4^qNv<*4 z(e;Wlv0x*4&QdW@9|eHHLsE&f?GxXU2N;u@WKy}}|(|6=lMwq^uyWXYH^B=JhJJ9Dn%**R0l za2A-pZ7nQN^fp0N>L?lMJ8KPcLIs+J;j9R)3MPr#1F!-t*KLJ<}@N zgacx7T-rynK(A4~6B zvcKM#CFXbU8Ntmnqb_4dCv9dwRh>C!T?`u}WmDA=viLB}H zy*XGg)rB_$g@w(jCLM85fJS`jvhPmBsdIYW)NprWI*-9# zXLuDJA3E|LGI4I9S%1JSE!AJMw4rsfu*7@=cATj{&*D3dT!S5ln!N!_mtX~00sycE zEavF*A^*}TLjL_rmHzP^FAW5@+z^`Ea?Q|d{cBKW#_WN3Vj<20C3JkMHo*F;MG$QR zekio_m*{N|e(?L)2ftx5Z)4er49$a@40{mAX$`tiKAj)dYes4OUIF z&b)^Ya1LLcumQegwEO!U2E%$c6==?e+N4ARWL~s0Wml6%YErN>60GWdv30Z)#O2M# z&h(USmrgopKI7r(LpP^?R07A5YGFOU1f(!IBhWXUL+X70U&P75-M_Eq^pBtFgO(>$ zI*Rfi++@O?ToBgI+qFUD-Tueoq|hV*9%i%%ni|r-}-NHlj9gf z(vtOKxPSnx_jE?3!DkhA*&%K6p3AE7F&mGL^Zf!)qG|R7j^dQmMrK}sePj|k?eS(c zm!Nhv1A9=x$TIHh@!pmm?wVRCLU-Q?ze92e@IDxu#%nN$X!XSCRA!|U!t`2gOV|C zD`F_*rXkdc`T{MRtbjM;U&F{pQS?XpL5t-c35l*$FK zJGVTqJjgN&T+zCighMiIhm-?TC)?+R<`%|16dw{LGtLAoy7DPDL`2zQ8!=iE)y29NG zmB!ODZ#LVfVHgIpyMN^Q$Q+Y+j(@77HyD5^T@@4eoxPiQ+GlGw0ZMxXgTh}Ft>8~* zwLEytXD1-k-LbheaoTUT3yh!B>lCp+_UMzc_=ndzTg2@ zIdHMH^+s8dUgf2GQNM0P8jfGHG?E*%IFNt;iFqdM!w7iVpaE6XljJ7Yz0Jik>V!r5 z1@Dow?NXMW-l_eWz7{L>em!3t%(Hij>9ob7xa5xZ_GF}a&5$hiO7i-`Bc6J5_a#h^ z_=6SeW?fAubswQ8T4%M8TWs%=;Xw-^;ZbWbrCk8xC^(cPH|o^4UHTWjr_~b$n#p%y zw0S3Aw|mY*F$ZE``SD2jKd1{??5bl}m@gwXjXqejMrCzP+$ZvfF;0avRSNNY8^BZ` zDifW2*nakrW1ObHTA88$Gmi2$6?)pD1|;T}Rb@qTxi^MDD)oJkX7zt%M{)(U{4C=u z`;K!vEy3*#te}QwdMaMTOjkB&KDWKxWRQTNc$Z~v^+P7cyqrDLr%sMfR_z7w!JF7wW@U&V#gL9SH}{Gz}ZtR?y&; zQKa_}Xgmr2N?f_ML`x8%vd#&b@JG_fLBGnW3@5gt;$`>l2{-YM_%c=96#0PF{~?ZY z4E+C#qnrc(k8l*+`foC-_QXf4H}I`@kQ#d&@vNB0nUugmnT6fgvPW-e4=8`ssKs08 zU=22H`qOdDP9o;4bjf%0Pi($Zc#*1CSlgeS-q;pLb)ZX*H9}9u76E^Uj^R$uvS163 z=N7p$+j6@RdkQF&Qr5p{6hqz7>Q20$-8bN+ElCPsnDR}W{S!59!&&>v&^d58(G?AP z&mO1Uwf$7FGRW0bX#Y#r_GUMsHrM7X@8iWOkbuqn8K(261H3e{V-8R2emo- z&yn0NM%vxfLcnVftg$0CgAd>tb+frBAKinOW~Qp7#~X1Y{aj{^ge<8_o!P#oVY(>| z4q`F_g~xwl4<~*fe3GVq^GxKF`JF_wkQ>We2o&{jsPo7l-b8y$0BZgcFo;`5AjFtn z0AEy_tK+O5l=Fl0Y(b$MO{&DPqX0&uy;wQH6wv@Cc z(WA1=nE3SK;CWu@S+AgZC+XP~Ec4~MYwKv`!yOZjKN!jTV%k59ipSdYU}*X_lW)xd z2(5r6f3V_T_{zVVBBfJ|UeLoRq-VuzGBq#Fx2AP-`e)y4Py6b&0W%azOk-_L86ThK z2Kr>M?_J@6;K=ly>54@pxaq0FzW!$;wI>cjFd)eS?!|x`J5~A6-EMoDZt)=RyjU!o zWQXP0m@ke2*KJtX0m>&vUAk(!(9MrNv%P;i{#m!Z1=mUZ>(@crUCb{*M29)(*Z8p< z1bmpdP1V~&vi7D7ZBzxVise>i;?hF9Oi0U+hgnQ;eYZ^9J$sw~7a+_3nqzTy0%T?J z=Ta(;A5}52h`}96I4DIwL7phvylSNA@YLl{TDrOT`>X3h2X2S36}ZI4^uVzg>xx+p zqWwTSYog`QH$)5`RC64t0P$YE0L$D4j3r(RD&uB!oFL|Qf9*gYVG|hQ70w48P&)dX zA>cg1$u^5y<#82e>k5uKmKi#LJpwbsL7@1p9s)HC8zW#V`OK%BNU17M@1C~A@zAW{ zFnQO3ZQ)$^;Nq8YsLnLiLh@#9%IM~8IY6iNW8BpjKy3Q_6I(Vtm_cxs=#h0elk6Xe zh4(S3x`{+jxr)THfxIqecv&?ZBk22Kj;BNEC2yvhZW$Vk$7bqQJUg$sM%lf|<7+SZa-1SD8C9|8-#BFr;;|E{6{xfr_Lm+|#@RO5GczX)w9r-5LLftJI$ zSFX?kQB&o%?4OD#pQ@Nya}bw_^7(#e@32h@uU95&PlKW3YJ#g0Z(+!8J{L^KG-- zr!7Is)y!J|k1vUE5cS!Xvl4#GDOwTft@PxOdFWV2%L384@8&!U?zU!&VjZ85^p;q< zf2*6{QfPA@74Az;1%l`NbFgIw>PtSUWGVYGri@XQH#3rZ#}(R5jclU^`BF+91=Zh? zci=!3Iu#_I*gL6FIC1by4Zz)Sj9WDAuIL5*RHdk z3Wn3Pswq7bwCyVt@Ri{?DKi~Z1?3x?3TlCv9z31+RreuJb5@1$3flCQmvPi^-TUti z!7-ireL_~Gxa^%;1^VJ=5!_%`*MJCr4{%hPT{iIVkMFyyvrfJvR)ucbia#xn%q!}Q z>h)|Sihi%RS}(zo@s#w+op1fSKS_B3d&*i1QEo$A5~BC=hdSM-mx%>VT<0y~@pved z16oH%GM)zYe@DB-v9#P*c59)18TE08yy!LX?^~%F(B+H_nmQf|_5fu^7NKO&kWX$8x zgN4z8DrgPM_YxTc`+{)bP4*R5_PR-jFK1;y%1gBJ>U)7#W78`8Y&{g6dS3antGhtzf%70yYS`2^t;A<$Eh4Qv6s$9P|x94K6|l zW_r)Fc87w6(X_y`cK8VxM4#KJ#y11s+ds;4|MyawyG?qb-~^YsU*+?mF|!o=RsUKH zg?fDpLDx#Sj%5_me-Fwln@vTwQHW^ zlMBl%pTs=X#AWUxw?jz!bx$*O@!fg$9bNgWn)Ur28fp*LH7}O?Z!snoY{ku1Su@=S z!)(Ll_jj1+V000;x~cb~@6dU~97nZT1mE-`FESQ39Z6ny_nUmro^=Hn3ZgDlS|y0O>3=f4BvbQpwsiwAK~Kxh8CXw zl+;ND8+#C~VyJXLFe5a$e>W&Kc6@bY{_^^7#*#Fo?x%jT%5IEr`Y_B60(&6~^RC=wmD0l96g$&{> zy7M^W;yMl|7VsjRbZ^H63Yoi{I~NX==OyL}nFl6uqw1w&TEMdjw)!6Ce5*k4t9PxB zB!G0uI;94MDFZ!>nS=|HyLJPBuv+gJKjCMudaHO89(m7{g?Hl$%*14t-LG4%`_T#% z;NzbMVL{aarz>(rQ+$dzB1Jq!+^_sB%j^L=pE4Toidbmn#1oyFG~=hAOiO4+J=1gfTRI5T-$(WD;4T? z+cN8g2MCn-a#nmRDwp1Tp6uilEYubA;^*Yuue_{pPtO%@9vr6;HxA@9V|sLJTbiQp z+TxBeMLo4QCS|hqz*y1}8=BIV>mEmv_A^iop`PBl5dP{-M4O^vU*C>#&is(sE{W-g*ax87W*Hl@dZBx8ju5R@hx)W+?Z73G14 z+$o29B$t-RY!u>PPoEnaZ^>X3vYrV~42cJyDM6o`2?zd58(8_GPf)swbZi)+M#0w+ zBmtzp13?8vqYxOy^nuQ06+H0^Jh7z|{M&t9vOumzSNKbROj&{ZL2e@Avq2;@&eGlzbXL_DwSOc)C$qM z2Ox4BvU$qe=y}XJ^1lTNi98_=6v9G8BgxbNtCn`A^74%dDwJS+^vPSnc!)=7B=f<@ zx=GG8!p_#^sHnkpvvonrY4-$U|2<}Oc2z>rt^8VN^*~eVEc95v%~v? zWzX$=ikmS?M>oa16ClSaDTl&<*&~nEpGj{&h7s>tU=p*cKD8(M`7qMp+MyY8DM9Te zA_DnAmNP#Y78R1L9PU0j#x!+lt8!A&6rn-#C) zmb}gsSNG|qL#gDzDBZcFY{bYe^Le9ZZZ_6^YxlxQ30OM%S~~$Q0O6t$;Uf5!+$j|i zoLBn6>V0cKk>tsvF{k!K233Wm6B<8x%b|dyj)0Z8fR&4uKYs^wGk#BOm7QC~JossGivNHe;Q6?(b4dw-p2QG+3ym`vM3?QWEj#Z|~7;hew`V(jmb zxAj*O*Jza~k{qCo8g=RyxoVcw+LI6Zf$XkDpm&Pau;`aoRift$#4ykmb8}nrKHMV$ z4{FtnUK-0dXkBMKS+VG5-s;wBZ8pu_n1ZqMF-Dx%mob3whm(1t{WGWNyd4)OmcO{m z^~!f-4>W&(cm6yrHlt167Tw@DA}bIb$xT5|O%DYQe?UJ99rsSI<7d-c$PIs+OP;kD z7}z#FrU_S=B7ui}2jf$-LTiPGf!eo>L8e5T#tR~m*$R4yZXDJ&x_f4B9NC{ZV47|$ zP2Ln{O$WIbgr>n)c8=YFAM7XxRmECT&skS&Wx=MH2mM4qW@uVm$>1!{j5aDS}eJEsym zW=k2KU2|kJ)b{%Bd==vkCX8@|cl*n^SL(dH5!Zvv8#Nm-!V_NiWA`%a&xbap<0>vZYa_uoO*+&$8# z&Cxh1U9LWK!*X299gugqpPr>-%k3D`Wexr;;(`&(RN&EM5JhsIsDsB=LlIf8C{CcD z`Z)-sNk-#A&8PsAZlSC_O~Sref8D`ncJTN%em#FZ`z5!jEAkvio(DT-k+aRM~D@6QsZbAk@I3Iinx6{L;vj8pq#324NeYN zJfjEafU-y{D)0BilnVM-3xiSl;sT&=(OTNE&Obl9ilqa;xR|N z$jN9pG(Or2NyPxPLFqFR1BI(fVO*;f&bL@T5Uw8o3{_{#&9CpE1wd@)6pWdI%DHOT zI+x#1ha?Y>*}Hg~Va%0HY)(M{PL}kqqNa0~J@DXxm)-Q|h8VzfF}~|czHFTEUl$xH zogEYOi}sY)hnm-$J?d7)@5hFx1}LKDo4P`vpjSr+^e;?({H&d1D1^!kO#ZVjPsyRD zQb0A@+Nh0ql__@5os;9fSp@f*vO^4BHz-6z=dhxYoE?{$q{o@0s=O4<36T6%=OWTE z130s8rI=swj80B}axlW~Iv}o-nosj;+S?+xVtB7M^u%r%bY&?D@vXz1n6h1cWTu~T z1KBjc9o|?}>7$jm53@XlmuLSb%UwV9*t=NpPQI)Ar0w^ry&YhO<`!zG?>uQY<5c}}5B!hyhA@_f zKYanrqMsJ#SPh`Cg?G;)DkzDXR&Q{_!Tw}mrv&j!c_nm=+{~XqK&BCU+|jSh`((+5Ei((mLL57FBo`+=8{Mq6QwksF-+8_onvs z1e=dT=B-tQOz(lqoK&AzbCa9WXN|@uO*gHsucggR0mHGY_|U|wM)yBFcx&>Yzrc0c zEy?2J{_E@e>!?;#Q`Mr63~;^DDy6U($1(j&S=1+1J>BNh|FC$zrMx57M-?+FpqjqZ z=a}s1iS5;~ABq(y?YkDuxG-(zB|7elExc0QyftguH+D$fC$xxgnc4jSrhFMJiV@yi z?)OiXXR-Ua%zO4ULS`^4rt-JjJ!ERqKTR3Z8svH;3C=O(41mU7CG=wJqN*OV_Hr4prwBBld=sd#kxORFo;PiS|X=tKZ$ zJfP*Ss{Oz24UhsPxw!#CAY|qjIx$QyDj*;ry-P<>5kVjjx*(&X55YeQ^5lp}wG)yM zAQ`Ik?vo-#KtPZuC@2E*kpBPd-?ZQP?!2`gYenbo*{AKZ&+g~U;l;ZSgp_y8yY_dj z$F{!bFiHS-gH-}WE#KmYW*^=nR{@ly|8K6|hG=Dm2!H80=&*2RZyc>nnazO&zb z+rM|wOK)!Z+Q;v_@q2$dVC+q=jC=O@TW_5B`ob-{A3M;=uYLTq{ntJ8uov#%`mWDC zwdZ{gAGUbS2g1W|@3-Y)^#|WryE*&$J%6)c=`H(=dF-^k7PYp!;_p|ycyH^_xyf4> z|M#|^+~mFe_8ed?a`_W0;aYdzZ9YvEZtx97j`+J`ru+CJgI z-FE)u&2O}S5dP;A556?%{x3fG;0>=WY3=a0J(v9bz$31G?Mo+Kvj>EF=l*$*et3TC zxz=8b4!_{h`G-IK;U}&+<>o^_cHYGYo;-HW$-lm3%S~G^9`MdS;i(gr%>U_E_geh$ z=l}lu!m#4o=PO6Ox8H|1f3$G_Ro+`Ne~+7g_Q9MTPkV5Wo9F+0uMa=_#v`Y_ z^v?UYoww#byMF%W=XTz0(T|UO;G!qyxBhVRr@uY-klh$`DSUPP;fL(DaNi5AeeQ>) z4c@-;kVk&MQEQ`<54+{fQ$M`-##i@g@4N0>S3GsXqS{lx{m0UWfBybvr);t0$PX@j z(uRDj^y|GITl&F?UwZqi5AM6}CjEt;@$8Z_PdxIr8*3*$vFc4VUpU~x-bbKE zob<%H&%VX9)_Y~!8#ioze5c3H`|}q!X?Fhau)TO7Yfre~ffK*-_UxTs;215wxNym4 zFaLSH^9_5$L-%NxHaPV8C8g^Z?XvC@cb1mbV22+)`2N}-ZFcz=zV~jp?55$-Nu@?P zSIEu%{NWR-#Y(*zHviGY(V_j?Q_q{Y!I)OARIXR}dN=z}?R}{AKGb_38odwA?uSO9 z_o3MPQ0jfK@vOr}ujfXu=SJG|0TT{6>Wem^Qn=zb$x30HOIJE)-BPKMb}(V%&5t^2 z?s3Oj`*iqCH|?XB8)0Pn*wvQft426@+Spabl*-L;@Ml(@HpU=(g^BBj_ijp74Et@f z^28K$A)ujVIA)z*tLHj^kugK757T7Uk0!1+Tq%TOw(a(DD1fl;k0-8S-_Ex`4)6Z) zn*Fh``$zc#{&=JN$BFhw?ZQr*8|{y;cK`V30){V!&A0CMzU7b8zw`HW|30Jh_h3;E z7@!n}R`1Ps(uJ&P#_g^nw?SKgx`c+DyKlIbq$%gmP$r{sp9nbs;qn9(Ie*r)^cxY()Mt+P^vol7q8jn#P zEcX>_KJhNb*yx__hHQLMI>cbb#~PrL8MEC1Y(Aq$g7>GO~$8DEP++(;}wLfNsk6&uv)a;LQE7g>VC*=5V z;7hBjD)v(iL6Q|L`8DG9^BL61wZ!-Tz+F!nG%3C|F zQkYZYAXG}FIhAHDS1DBIl#A7{>yPtChiCser7~uZ-L?s@KR#(@D7-#pt8nRiQ#M+z zSt=I7u1Al4tiA3VQ!e;g`=jmin+}Kfj~RWT^YM%|jvwXURQ|2Pzg79ST6>M-rxjNS zU%zJ3>@!|HWm@~yQ&#`Y@6zs;uT`qeav1s7A#@DY)@y>XzvKIelwx6ryrcMo$o1-f)S1pt)Ve<)V<=VSU&mTDy4%#kP zYyWZg+|$G1)E#rx_D2sPM0E z=a!DyvQ!MWZ8Ms0pYvAk?4j_B-RbL<&*uI%9NM4FRl<(n%#Cf|u}kj1hue?sliO&_ z)Ou}B1G1Kz^*N=qLk4Is`fl!);qc+-a<%aEDY^0O)4!B^U^rC1KwsZFEcfJa*!oMk zO8eX+tlM1<_OT3GGK(xvhu8XTOuH zP410Gds<^?LYVlhJwY^}e55JREks zfZevfo>2-B2VcwvrhITAYm>{?$AJKvZayZn|rY`@h`?ahBWcO>VFLM()o;;l7)4 zo3(d*%&4)#ExFqHSM$xZ-{;;Q3WeL~|CxuZza#J9o11gv0*K?=d;G~@SKO7Wwg35a z?q5UU(R*^WrB~Z%lke~JJ}%5%nj6>N@!H&rLt%&CG6gys-v;>VP-y**j?cNy@PG9X z`2Y19sF(iCrtruky}x(5Klc&m&0h!qkj4p8+GMB@fGA_)NNm2l*v!6Hnx4|lh*60FM`U1 z#eyfBRvZp9U*W5C6V7$jI&Xq)@O3i*2btao$I42PS?=d10Xe2^O&6MnZ+zOv-u{P39Y z+N6AC>CF7ANbV_lWMF#cOO2YH-p+~7l?#RNjf3;Yv`?9k-)%VXqoTFdddIbda2Oz> zDo>q0uYJR4e)r+u3Of~SHl*o=C2x4u51%7+jO)XJ{p_fITd8fqnrwr zjNlLVt(C8b!YE6gv|JuR^-4ZpJ%46?Y`gg{L~Ho>+N@~Lw@suizdl3ck~~7Qu^~gO zKKB1O#207h8|^vkFt)*iER{*~eIZYf_2Ypni%>~q6v zzCNwoBTTi@kz*z^5jx?R2am2&=1>%a@14VfB1%X)*uaQ20qRUuhq)VgB8r z@P|*ZqK(UWc6y~v^Odm6J2RJSKQza%YM+7(MaZzl`gz#ukS)NxaCZLvp>X-9SwhNz z^FEb-le4`we?QcOfR}HP9~usCeTH@HeB$J>6RNd2MTAMYQV*B?Va8GIU1lWnhM3kd zFHNm3-Nw4T{|@+Tzt2zKXiC|X7qYlko6~e{!guamd8P3Fo6|RJe`-@}m!G|QI)@4E zU)606&n$rtKlktH>)Axcw-2x8;q064gjwgGGIOK$!_7R*I`a6Ww9)wXs-L8jp-MP- z=31C4Om)RltvRRO9e3ugy}1sTDj`31Qf0KM? z8P@ztegib*XmdC`ay|#-#v}7j4TteRrse%dTgweE;^X7TT7TPKny>!yr4yLjYd>W| zGrpStOvk7g)8we9Cf%{8n9MShc^_Srk+7Y~Ia9sn?9q0R8#|ANxF#d)lU zSr74Xw`=SW?*0fLzq7>7>o*_em|27oHy~$V4KW-wa z^cG*8_M4gG+N(dAM-}e>b_aD_d&{ToQ2)m}^g8*;{Dk&5p2=e)-1#1V|MO0|y5c#* zfA0eu^;=WMwSWIS2kVDxC*41nf6>0)Z#b#8*ZqqT>2l8L? zH|uol_@vgp_0>G~LSaR|+T=~XdgC9~!9FX~!RYIDW>25QN510Yf{_l^l=jJQ<*`&A zAEkpIylowPv@i!}e{!^<|+j|$%k9)x)3o6Oj_L-&RA46f}ylK_;mHa&% zUcDEw`rz)9*K5CA19hk#Hot0SwLPV2v-w1rxMFzvFVi;)=WNE5w*CZ^8)}51zfOnj zn=${nn;0!e#;jIte}A)-mV2*KYu~WBE%@QT&Zq{U;{#aZ+HY=Y^S$g?nD41^uO zMxf%i>57?*Yj64)TkiokGM(Rid360Yi`;p*T*0kRlG^x6sZyvEnx#fNzhm;{<%X+`_IGzpPFu-7taxB@*BJZo$ic}o zIN^=<;;$wzkFyUKo}P@KXdixYZu0YKQ>&f9%(36;kduzfm5Mc_&=tuSp;P{bWHija zAQ@Q)Xd0_BN579ZXt}vHYlf?@NY)9b{5Tm|e_8nTPC(0g*k#@!C(xu`2p?XN(Q+tWu(6#;=z{;mTyK_3CNtEuGm8 z2On!I$c&WEER9iweLl#o5uQCYSs|Q%Wim2M^Vb(8YpehUswAv^6?1>>%4CXtanyn& zKc-YDhp%6iW#MV%(UzA{-O)D|VH4mS$n{ww+B+3q)METYZ(3t;VvQR{Pyl2yWXS9SVZ zv@l7+ISZ0Wp}mOl|Fw|+S58*rm>SUrvUW>0B&?Kmj#+|@~*qZYn=EmKRbNoFO3Q`GBe(07Md z!<;sB{eRam;jdqx%n1M4PF4$dU(cT}U&Bg(O$jHq`Sd?+C_2%O=#}>8p4TQL>9wk&%+xYgij#M2;ol-`U*or#= z|LoqCYT@~xGowA)$;j5e$BYo@l2_;0p?`Lc;rYGk@D}L3j49R!BxBjn)gtWuPcYt{Jtte^M!xst>3j;~sY0fx(BG8;{*gdy)o3G=Vmz&xg{IzY#`(7|{rSRTy@XyS> zlU2x6G0E0#$y%$Fn@)tW7>-O=f@b-lvn`ckCCt7xnOVu01RZY34sT0sbk2R|G*-gV z--4v)L9<%1pR4IwFO_0s!c|J0EzLGBl3Co34qm%8ncVapkW~|gDGdfO>J?LBhSPpB zaa!2u2B@*mZON2nbu^esZx;uUw4-!s;d>X+(Qm&^M|Ul|qp~W$N@kAMQK>Vdi!Y|5 z8*fM^>{Aho3Uc=s)!l&7KuLCIN5Y}EaOPR zNM$-m-fv588L9n3=ToSn_OG?kxIU zdRzw25I^g&akt0JtkX?d#-bm-m_>i-?qx2z=oX!sv~@@>-bT#sOR=-)N9b``eB-8= znY8s-^ou65f3P_MpSmZROqoZ2joss1ag)qU+q$e2!|(1()(mSLilDjTo@J7*><(~c zFw?XXKdap8kR@R(?0#B%vfiXx{o$9OxQ!uaYlgwC^rh^rC^hBjx zNY!{)dSkN6`S&F=M>A$fXZRAD;)-NOBQguRtuqv4X3Nqt_&8_Wm#j<7VBvk}xbEb# zn_NX<-05eEr>y3fLjSg0}87b3g-A{8W)=NLd|$Rh80T%535@gCC0N_sFnjZ zP0q%M<NII-nOwq)HG~g)*tD2P_ z<6)P`q+88;vtHC$HwvY?lCV)|RFoGQMeJu8q)}{|!xIhMpj1E^xKS>W#%l>8sWIi& z1`I5-CV5tOywG3_w~%VH=q6aBac_dH?ul&F3ud0gv#wX0eZ(GKqgZvTYt+pIi`x>m zbp16OZi2050S7@EH(_k0LbF&c>wY#%AZlCOe;v44CcLP4<+^QgO8@?OZ&u1~kDHaI zJ5J4N#T}<+tx$Fgq1H#Y4tC4HS{;Gdtk+FoMImy-JK)4k*^+Xh{ACu{s{tQ+% z*E@!_ibXk2AQJ9!jftbg69li+sKi_itXwI?6Ev8f2w+s48Dkp#yW|I0Eo4YzlrdP% zlubPA8j&RjYnZbWb zvDj)@yd;`+5pP3hT`V=t5smT6RY#d3#*$KoDp*IEVl^$3P3hk!f03lOuDDpM$kAaX zwWi~RV!i5kq1Y%E-9j2Qi{kVb0{!W*ndV0Nzz(e8$VHsi!dwv^B0v;Yu}~^j<;Vg< zDJo@%ZnZ?Tf>sC)wIcqgU{A()EgIJ;R#HR^*%%Q#v-Sk9S}ZuZ0J~9J84rwU;F!8vsWob` z{Z}kht7=#Pv!Y1tuu&9s3?4?S;?)W$ZfQ%LsxJe&_Fu7pOHff>sFBxD=Bjb(RE*XT z3(6lg{41qut)l)xu~n#5)j?n~7(T8sh^ixMFuEJKUUSr{;dQB8z_vy8rS``_2H+|= zDOGDW9dp&;2BiXKtP@i8V$BJpdZ{>&0Ui4feMOo?_m0!#&M_KQydTqb@W1RaJdJf5 zGhw}oE*Dq}Ste!^9oA}Mcmm@zDI?YE7}3%p^<9uv2FAm36Ktj?c}oAj25guzlE#ff zt?#XLoRwmsQ7nnGVlXeH*mg1Nz$-N~HbiRw0mQ{phGQXO$%2OjQ6_9uy~1i#>yB9) z934GjjaIE;$u0YDK!tk6ajP+_!)sI>vo@N=%<4KO9MreCbu>m3{b>s^`u7KJLPVtk z{);b0Rw|qZ;Fsg@kAY4f0eF4>f3*Yh`Mt#RDEE0Wy|Aa$Zs9iWYQ^~ zI3|WyhiU7G;V5u{dzGG4_JW z`63}HmGi)ws>INfRksi#`u)I0&;G;dty%IlVx&^FB*7-5exu*GtB#9Qph#2%yqev+ zlKznBvQ4cb^$2R~0fW`GF_+UE36W7cNSP3b;*|@{Na~?;uzD)+M=JjYBABZ_YC>Bf zvjos-%vCy_V7aV;Oz)^&!_C1d>H*VOAB_>MdhEFCM1^=v)-wF- zCR4|tS3FFl{=nTaTI`$}kHs=)Ha;dyhTx$xCgqW8pc0Tp(aOLCMJ(CUS#ft@vc`5~ zQ~LKcAk=buM=-`6PwXRiwlRX8kRmYW;IjvC?Y74mxmCBc8~pf^ln1LYz;x;wnKT-6kATC%8y&q?WePzfb~LsCJhfEC~;O)NfxXXCTFW=)+>94BlVnM@6-r<{)L(Lfc_yXhDc zyFz`%Vy)h)sKbHE@%w~7dn}qKq;@yq{=086QN_mnwW3RToG`4Y@FFu~ElR)InVG0R>p7Ii4YZ&~i-E zET4=7CsP}^^ zcTrH{Db6D*5n+#I3h_UH@Or!wL|#!6QI=J7d4WnR4os7csqLbUCiYA{BW_cNv}E3Ucfo!84HDg%JI7_SwMt9J~np^S;O2De6oFh--P>YXSdI$z>{M9DE# zq_IWLMJ$&fmu5_Wp^3CDddS0&a9zBf{YOx>qH%m+Xd>+p{i&obnI=-aDh`^$YRoZ) zwa5X~Rg9AB;?iY|X!$P{!PK&%^%ai`ZyuHwK1bYN=2Vo#{(~L=yJ)vulzQBIo2OUajtqaf{Hc#_))h zdQx*?eK6~e4F`J=+t5MqbX1C>V^zOU_K7fw z6|(lS{*LEqL#36u znK4qlj<^|EXx3p(j=dogK!^sh4hd97FvCNhMli#}xrtyYUZg}B-w<5|1s#^dWa#PA}Qz_!8gx>13? zg=UC|$!Ic$sK&72*}Y}!r^hpf2iHb0!^6srU@2a#0a}osIcxlp4vA35jZxlIx6m-GXFeQwo{L+9bIO4b+PUYFOyvFSZIb=m7Jp?YI%s^QDo{S zs9`|EbDE$2%EHuz3Kt@20BqC7^Ac-o*;<}1fGMXceygW)hd=*uXr55D2a8GK?n+E$|P4s_|ldrhHOb0p`#~_ z>2VKB^dUg#AhRa5sF6$*+92!H^-`^%O~WGCF&GQlU&c1-=|hSsQ1^;RluM2xO39^6 z$lkbWL|{l&?GF=C#%K|rtc&G`%~FRD+8ArZt;9zoIqj~vl(IgTFvL|LavAGGEIc9> zBI&R}G5%ySgrLM1qAg(pIVHf<-3E{9m_D<1BNilEC`{8qusM|p*-giA7)RnCF$hvz zDTr9m>`1wVa91OW7?&pg>5zD$qYMtA9x={{m>zJJ>2_Hh$HbT#e{3M1%yb?FoygbSqrN0U`nT=ue?-|}u#&at=LX`=z zO;=(I%&B9HUuVhyi)w#td}c@j&8-9zLAjqRIZmb{y`6-!B2?v>3Z-wZKPtu?SCj3E zeO8+ws!e$TIp{<+!-{K5-kCCXJvnxdDBTj)-zPuy0qz`QB|CFcm8`I@V>qknabq+~EqBBS_PG_qT5iP_j#9Bq z(8aA7$1Ga0Ef{mZ8zmpue;nhYh7o}IBZho)drU2^+v6Gzv>t6j%khG-|F|SYVqMNB z1yD}ukVVk|F&FTP>OlabC|3KUOjG3tY1p#dSVSt2eAE4`lg3g3g2CH&s(S_qK8EII zA%R9g83QHVU2xz21L*AlN;lmeQwr+NG1oQ3FJ=%te5C?G1Yv6IKk~`$9Fr(^>X_mf zr;f2nG&obHh)g2gj6ud*d7*(2Evo%T_T5=NR<5V~!CahqWl=ueI&M;^6H;za(bk(x z-~PjrQm=+21vzD5Btlt^k*Gs=>X=P(d}LmdNJ6WaA@#3=5QlUFbN|CpfvAMa0L(v$ zo1i(#6e|Na$)ae+ic86e3z>{nO6kCyCJ9S02o{K}&hpq2I|UE5*dL>3|8eic?J-8H z+hgwKIRlk)aA$H696+;}aW&8{b}dh3Knocl$scBjo2m*1zeK5Ei74d-><>)icwlao zy4qffozmNErvLjh#0HiNJve)4ZCx=ItK)Qx9Q-aF*j6GiYAAcc2hH2D|A;C(HH(Er znT0my9)<`NLWWd$DIP%&hera(_1A)v9AXpEARSUkp!%JQ9{9(K$F)3Nu?5J5fl*-{ z56kG^GeE3fY`~eFtR!`jPRe$JI4r1a4q%nJ5L#J>!y*by3t$-!_l zMVN7lc~V2{zbfHGy&we4-AXk-Q@t9ln{#fj-Ih?Et17xmnq2ES5ssKD+F#x7 z@0tD?h+w2Q5o<-{lDC6#g+n+Um)^w$$&u(2DV92QN++;{wyboa#k45%QGy zA3)@uuB1ujzJnoF1V&6s1sCBI`>B<9i-}BUBw@$?BPfD~Qbc?Z2P3fRVK`pe7VFl- zToSKZZt>d=BEJdmxNtf!a=JPQFClmsY^``Wfl5JMMM^Cx)a^lVg|5mDGg)G+t!ale1Rvr|ATz5N^;JVidX)K}bsDKolDA{N&VGqr5$&#HbL& zQsQEWID!-W)vys>uHH*ynrLd3>=(~hr`IFgAA|(Yd8UmU9L_tB^$2(5SSLbF$S~I#bH6V)Vc)d2pyGgV$&rY)MEy+t}I?x>OxiLs@v3SJYghENUbVlg)YY*!eDT z0?b8q*(H}SLt9dPu9K!Xrn^mjOAgP$y(s~VoRT!I6M6P9LNJPldEsD~7crw_$?>k5 z8q(YxcQGemuJoTUcKWpPU_aRst5Q&d%B*^&0&l`KczZ3w4Az*yxNKWXALx{i(D6iDqVvg?SoKBBG-g1O*_5s0dV$j$yzJ$+ z4muA)(LGC!7ed@1gi$qkMhz;5RtBa}&53Fr2XMlS$_=MjTReu-XNGQYgb-}{q;162 zL=>E%#5$bz#z%9;7&f3fWCQ@xdkCo|-8Ut;;;;TI#X(wPOVLczy{JM>vn#$pdhx_SpP zJwzX4IS&jYA~vXi;rGP@;}3MN7-cLufZPph z#;1h-$g;*#)K;ujABI=dwwNB9{ zsQGNeQ?CX*YO-baXR9gBjJuB4^zeFH~o?L zj$wrs9qI)T5Gihr_uU58TMo7o^$$`!ukFaByZ(3vrXEM~Y+x-Nr9+~7ZjbRd;!~31 zNi4x8sIPAt9?`fS&rC=obRhCGQH$4}HFc__K#S;^sjNeOC(q0kVyRkA{AW0io$U0 zh{4=de1c_a&sl|pt5x8-C?QHAKk;kz0nq-nYD-B-(8`gOYqM^3_Zpc0+!YV$Ab{S?ltauwn$?h@zY6DTH|53m@yu&WbiVm0I?aZ9^A$qtd0P- zSQ(I+I&VFmmRNBxijQ@R@g<3JnEcBQf>?2g7LL-%G+ZSe{-Ap?D|Pd9A@nw&!oHnc zlGVa#SvDS%yE$*(kz+VwXzpxdpM-NaLch8D6u1#QsUqt^W@x0|yW1!_$f-R)cHH>su%wfdRT51Fzj3Sf4IdB7$k?Zn% zM!%8icL$9qki-(OzvAAy*&-5E{co%tr(&7+Veu`H)vW=}Rp(_XT zxd+(DmA?Vwj0;0%v)xSsvk$~&U|^y#Ju0l&)lnoFisAVJ0#Tzu;PW zEHcOx?kM-#5bcosYy>!m*u?`0 ztc7jXA;K$~j1dKS5y1=(`y_(dzzCZ@tY|eOhDg-D4>Xt!Oxc;jinTCOo;4bFsmz+v zg7xBuw9=l`DzvF_bt3A?M$~kZ;c4t6#S^8*g=8#$`peRetPN62kE=a=6)yoiyVVy> z2AExEQy98Wj}RrXnFV)J2RO4DqoP9|=!^*u43>A3!7zyF4jzU3@#Y$g9FI!-W3rlhL*-y#;WM3T+l6{KW?%p73?yWP1@++b0N{CT(Q+bu;1LkhwLji~&rrt3=V3Ecq7Fi+*^wIE=SYoFE|Gcq1EgDGzlft$sGzXb=)^YC)Bzn* z{1$V+!TJgCJ_S*8a#+Dbm<$eUTHl2$(5-u5{e#97J}3v1*z=8{zQe=#P*PLMsE!=6 zDSktd@zEc4PgC{@YfJrJRGIsMo;ob!c!3A$HO|5{9cMiQqjW^~1g}!dKTvSQ`E-Kj zSUQ;Vt&y^>ypH64FQqszSOL1%11YyauuxKyQ*qR?gQHZnkWqBPFjz@pYkCNn zdn5yxzYqwFWT8wDKUE6_(IKur*KS0Wvf!E-I-ScyHfL%z_v(342;v1FkJT7~rpwH;t(h&?P%}rx76AMs=LmoLf&n-1K z2y$>RNGE1smlK`#FW5sA^ zU|s>zJ+>>AZZi6dP`J-*t)z_&MzKeqSv&S05i0jqE-)lRA2HS2c4J?K3NW6JQ9K-D z*OuaR5oVN*xjZy8M87yhd^ZO(a>>9ryqc206?8Y4$Q5*Cit&HX0HIRM6-wIE$30m+ zz@wl+$WO_Y`{5TJBg9713EEGT3}7}sNfaUux)NME-D9ea+=W1{f4HYl@cFrpG8m*Y z1{rk+tGC>xeFAmP0I}Sy<~XR0(_A+JD(v*k>e7K>1xLX$LMmM_>+h4*Zf`3UxIU~o zFoYvd`pD|IxS8EI5z>$t3^_k4K6S;rIQnBc*+J6& zn(ht>oL!_gLI%eEQ5i%bI=4v`4-KLd{sr^=S(TbF-DheeuveFs})Xa%jIo>xf@ShB*hXD@?&^u4h)fk1{PNkpmT0;+}wQ#ta|;$ zYkpOZ>k!Hx#J@BvjU7R3zB|F5D@c+~YvkzA>i%^l@Rl+czPS@pyvL$m8d}h)3UfuA zQ;YxP?tcS|gIFe1xVEU`7MLp#F-fhVV@m3gnc@lp^!v_p;*JWaGDihW6RkqQ{Bb@l zmjW{{nRi`5fbM59$%neT-XyFL*0LpVhlZ1Ji(Cu~7!eL>+p^2q3dFi_D@M|B(8ey70WACCV#gIs ze+@;s+7zIkW1c1UE5;ic_?D>^&aW!QC2+>9JOz~vf}5MbFNkm-LK_peaD!lfs`-!8 z?awD*S}utUNknL)iG} zDh>X&`?ls_aiq`UlVka)mKI6?w2P6^tPRHbj9_G*+_ffP zyiO8ZKGqf*YFi2))Bae0cZP;YQq&=Giz9-icv7Xrz-Xelt>I~m!tmna38O!VdMU>c zIo)nxEylGK>nlj~N5NV=8N<^8Gs7#Qf1^PRQ6Fbc5k==4o|c9fp1y_%9+4WiC9r>I zOZxVoA!_WwI^^*Wogje=-ys6r;c1S_`jbfS-j=WBr$A!vPZ0%Xcx1w&K@3l=Ch*Jv z47-1IRx*w6Rp#@3r5twMZPqGMx55_)@0iPN0D@4_pw@w2-!?qNo5Rxt33yod-P5pt zm-B64EqhE6Wwqe(dRRP&;qic#8@LcQ-<=h@ne!@;?||`L2b#~ffq3-N;puPr8eTu$ zv(jLNRvKb6@>A77v-Loh-Hn918^H`u%ag70#XSH*qN$(mAK2TFOQg1SSdz%oX2zDCdY$_EveR1#zb%`CP z$tz&)r_I?n_ogSQZ~wDHz&r`@;hkB-trE8-IM||j@HFww<9!E)lq=_UMBnsPo&oj# z8Zfp_$%<94F+QICtA$G zT@Q}C@*-x3lm}1+O*kt)EC$nGDgaijgw6M6jeVinlPDx+suCmy9p63XQiG96j5rb) z1s( z;5A(_HT04!#?_-zP}@>1C#EfU&VDu+KlJPxV?cYD>O~r3KWA5B3&BKJ`A!HzYd*l^ zLUD)f24)ICwU0o=>Qu6lyB50#JaQUt(%8?+3wYM?+2^t&az}q48D+t_h|el8D*HT) zN@`(3`$Z=Y(<(&zBd#Go<6}S#I|8G;Nl9%rUdkV+NHOqf%Qc*yjP7K;4c3pT_S7ao z>`8}Mr{+mlM_$;ij##j3i~i9GQe7is@G~-GqnsEa@#Mq^87fJcgG1e&PLTA2V@&&T zJvSMi{gh{G>9FGJ7}2;Ij|b0Q5fRFmeXp{QESN+WXo~^RqhMEU0z~(@l_17--|YwT zbS2#GkccsrAuhQHjF6>_(V{4Vdp)ue?jJa^+7p6qG8Ad(z9VfVp~S$JW{@#RY9hYP zP3xLu3rn35` zIV)K$PgGW2bLu5+4#fL%n#RLfHU>UNd1mD?H8j*d;p*EnfU zIz+5_dyr3`!2rgSO3`dgQ`=Q}6(akdnYI=L(>YlojKMMogKRvW zvm)X~h;p!JrDAO3s}}xi}mn4I6(1=4Nv&U-ufU*D0Pu3qB!hyNGvs`40u*l8B+#4z0PEK zT5)duRT>hTmau>aEItV-UY~J$CN3T7#bgkPyf_Ae2r;lUMfOppo#E-b61Ly^Y?k3Uw+KcvuLBt~5HKmChBr(eNNC3Jh*3nV zV_?#BF@G4IvpyL(Q(xIJaZ^Nprn%te_L#6vOn&19)*Ma7`g5)gc>DmB;PD%dT9iwN z?p~#J=*(GGOu|t*#EX<<8U5jPC@+||$wE^44~~i1dagrF4_JqUM;xBsBsDxlo5#x> z_cRl0h^nv626ny@E7ohuhNr(fEjUXB# zCaUWYlRScfhj|lozlr5;lr+0I_pZI+4K+jY$XQeQfv%`q`wIOY!E7kt5sbgx^P(wU zU%+-#+O4Ks0xr{E7y~9>n|?`rtHD_!r?}T$yX5FslU2!psJ@ zs9FRxm{kj95e-JUbbP1`riox+_L~{nmViclz`-LqDUY=PB$=zTMklBC^67yLxw>610k3L9AX1DO7@GkAny;>EQ95+aHB z*od!BqyvMhZV(t=NliFI9YKNsTuYKIVHp*TwAs}$jUxhcQFM%X{q;g3*=FnMAnutG z@JM9!_^4-w;7Mx@FNg#vbj4Krt4nKDlPWQQxyT*Q{r^dAO2)Ah%e<} z3RB$4;n8goRn%?sV+`@W1B3rH(gx6JZb8Qhb z{e^TRZ6?=6&CnsmX(AnYM|yCtt1IDvMUveFJ5_*G{pkeFXcicEQ+i%kW+epMbxXi< zWaV;|hC%H0E;ngXpIR(n&r#WFip&r@?M}k+NRksY5Kyk(*aJx@e_5SX)Wr))#dju> zk(wdq<9i>KB#UxC0#5ZHdV{guAS7snKhQ5)Hp#do$khV}5o;`3iP6*rh#1%jAM@Ab zl%#E?OqxmCZ`LtJWQI_hAYQp4LTfh}ec#gX^!FKTV11><@Zi@$f9d{%=%P>{Qsz5A zw4(-|{t%#bmrj&z)}af$(4m&VrFeQGz*B`~c&JaC z4D0V3;jo)U1OpG4n`tVWdOyHZeV+ZqhrDi>_2;CMdPU4 zvXEzB5v}&tf*SGN1WccnrY&0>ZHW=CJcrt{^h>|Oe%J7(3tu6Ali`TRN&}@2}HAVDd z)Qq@j5W~ZWh+xPRp3~?J(lJyFPoHim5r%Rg5n? zi+d4=p{y}so@ga0q8F`P3W~{ZcvKKXZRw9+P>PSD;bD{a@VfRNh*}J6h|UQC4~Mqb zxTEb1Pi;N$G=gaT>2qNv-iF{szIg8pQC~~2m1vqa#nWg%czWIqj~Y~&G!O51`ww4H z%_c;T-tFW#Ayi`W1LHzQymi3bJ0rlD-$1fZ*T=jh^`=tUIzpiEK-L}E>AREb*n9JmE8G~tPK?M5)OMiFJJeP^{7(lO^! z7m2r|JA>UoT|&fnjLJ0+*+J8ok@J-rMQABMh+U}E4#~qPbzulqACOBcf2oMFSBRxQ zluAqEOJJ&W21Mw`4Q$UlX@?Y3>Nauv&Iwq;LEVK6j3VyixePW>lWDGvxnO3wfw6Yn z>aZ_7jB=0cq%HqunF!Y*hf0n(Q4nX10n?v%!~`UOpab)`mgM-rqcqG_Z4+M-aStL- z#V8|jC0?X6c#P{N?Sv_K{6tQ7oBBo(Hk;}X5XrGBWhl^gjLFS!wMmI&uqRnkn{jM! zhD0zcvE^!~&YDz?1~cqxr`Q*;D$$3yL%ThyPsJEapWg*$wQI3aWI*iyXokRe>q}Qc zjMJTWiYvQ) zR8D-}VL~`&kk{+x=D1duz8{#G48;?S8!JMCd4WXjrCRj_BlNzwwIvW3lOLl9ODP7X zi0*8gdmitx;py8J7*FJZ;ypGzf=)6p*By+iDgArNAwzUIc#;sZCDx%{o3Y`r~410 zt6Qc+Qh*T&twWw(ieT2EmM9yZi^xMkm-7OzMg59)Xw@_k%sO;VEfdrW?S@AcK-AVI zNFqOifrsmxj=}o-vYOXdMZ%?sPNqW`(TavgS|b{SfswYc-Y`70QwERmLBwfPK?9mlbCHA?>2Ytak)}@Niw%vZsTo_`qEtclV$Pe irkhSU_PB%R9e2{aL%uWtLl4KOG+~`}w%U2?3I87`s-ZLh delta 882312 zcmce-Wmr^E8#Zbnh?IbI2`C5>10y0W(p@v8#L$g&vjt=*2_0ex=^PrQOB^}`q#H%L zk|wPnWV`PU(v*Ck30X7M`e27mIU9c z%HodD$TESgB73}(36`o9t6 zm?G;xK0USB%t`gEO*e?W_aIhawPwr~@5q&GFW4fB^OI;VApMcy=z{CdN6Fa7qoba} zYmyw>Z@Y;w$yVLQUm|JWz_o5RA>o#67#P*-{Y~gy3K(bKHBYr7-WQw*5(~t)Fn_OtmX_+N#?!o?Xa8?;sk(K~ z0|Y-GW0F(NMJ314;fmuDF1<!=cuM`pgfJ330uhSAlr)p~0UQ0FiP z`(j^*)H_h?wtqGQPn9wei}=q5KVsP4y32^!+z(%ouj!}ruq)N*zZ{ni^ zvzJZ4%CS?x&Cg3;OH#&tz66OV{sXF&p6lc9J`#HlSKe4+R=l)8r!?($`;5Cc)wI)$wZX zA>JEM3^IJ9U_d9r7x3a(q5wZ@5h@^V3K{r-AR1w^UpT`XNc0lNhS$nl$V1iFY7wvB z7W*w9J%*ILE{~x8BcNyQVMm&2>Kfl3Zy)RCpgNXRU@FG>ba@r%<%6|jHkzIg=?)MU zVGdw-wP--0YccTUhO5_!xh#z`~bjKdccRT$ylxC8!Ld_|aMM_6$znin;z_VS${>G_kt4$K~4)!k^K(Bapqj39jIK(-+L!+C&t!4@6oi29TQ9vwUsP+GcTej+kLGxSoIAHFk_)Wo z+DsV$KQnl%TwBC$)5c{lbhOn-mE%4~YRVx+lp9>Mu36Q<@Y_Jgk8;d(jOongqnP{Q zibqZNQM|~xrI<^z$C7F_lfsUZ1Dz9v6&^0cY$h}~#$pm?9yX`4Wr>4bR4W5`BfQ$~ zq7VEHH=_L_2yxpeeIQ5fQ!bUkc-TcfY7~t&++C!IMwHs4@kLW|X-J39E!5&e^*iN! zD1K6%2i}%^j85JSi>vxhmDQJU)d4Fzr4z~&Zh6pY-J5^ZMS z%3r#_zS#Wy;dk;tD7jNL<+4c?ufeOKRs=@0#oeU!SqG`*pp zPb+@xpF5**ph|Y;Pa#$orQzGJSB$GM*3R9#G3CnjjjzB)(SNUH06IrK_m|>Fu~h?~ z<^Xh!vC#sh{D|S6A;ruF2IcsOhN2~!{l%;NlZS=K1-ehuoP+2x-tSOU>Lc0*?2mLu zVp}{hbkV49kLd0+>!IczDLl#_5)ycyocoqalgax~9M{Hn!{ZtyO!5A952}%Z5h(k9 zxyU(2lFqwVv~V-H`z}>-iYa)ZV^(ngd7mK3)J?H(Q!VS0DuYywvC+P2uL+@Sj6&x2 z)q93zWH@KHmTv!&`4^3E@K<)VN09$f3vE z_MtfaH7;dTUKGcTpe8f?dCWTer19xw<^N!a+7}cn75i;=loAyqu%aLBV>;8*(E&OX zJrAYl528g@?JNc2jGka!#E0=yW$D0A| zqh~FT$W(}$VfenxN&;UYh0ITquNDW}a0OIWNcwOE2IAKZK1*f^dCX8g)Qk$4GtEjU zIAY1+=){CdFQjlnn2(s2UuF6V&siUTPsYsBH zDcmVbpUm2^@8%glJw5@>v7Sj+LO-ek3a*JMfvXgW>ssTh!zIeHWY&eYdEitKINGGf z9uEMgwN7fH)kl(6Q6gRH-cmpFZ!6Ay)XH>-o?v>G2>d{Mg?-#Hxx8-C@NR}^KYY@9wmIzo||qo;wIPH55RamhLtd8lMi zVJA>&n}zBbEaNX(8!AoEUcWJs+qxafFIiW7>Ie+X**JyNKA=QsnQvR83~8C~TfxfQ&i1ILIB z)qjeWe%du0=hOB96BfQv?CJtDBSMY#8U1u^v{bNo5+MJR_C4~`pI;g}Et=0io2t$h z<@m%`*xc2+diVFMJahV$#^5bDXP`EtEF87mAok-m2;7^6Ea3oLvl6qa;hugMlV-Vv zUwxQ*iV@1ORrRf(dupF1U5wcD$I1(8Sa2nOP@)vKDlrZ^qpCEgpM@!&y5Z6ziL<>jVOl2%af5HUkv}6R7o76Wv zi8!Gj%tLnrruM!=_I-p^bh_nV_|_O^A}V!G`M*$+djHVwYlFV&Sbb>6@p*{wt|vHY zLa(LnVcYR!G{fgI5Dr!cw;7Hnll1Js(bPsv3=4lG2p zK-BU1k$(vvI6H|C5kgi^3dJ{mEy4~6?HCJ~9DexxQG<~@M)?v)`y`PsS0G%>zC)Y* zT>vrmI)fm+Mjc7qj3eumS0}5v2?poIerX=JEYYcp#yK3!6wrF;`?UF8!grK*tCdyk zgyds9*aG3(d7P#$cry5GlqRD)P0wc2NNv;<_{&8!q?9$kGI+eULl?N%wGJ~v_hB3! zu?}c2v2E23WtVpdK9NY7W2~mJ8M?sq3K;E~H)UII(AO%%xE5_wBqZgN^|WXzH(R)T z4QTF9vW>B*lylxMUQ2@qzjbs-e<52)1$7bLjQ7bq5|I+quNqIo*yc|fhmJDdkKryF zjBwd{TzIVVBOfpFg8UP;Z=yZUU0=za+rQaq*ivd02mEV1d!NvsB4SeZ$I>k5r~%tF z$tIc%y>So2vFeAqI^0E=d}U1)3l~ztBGa_oiYSCbZ4ZKNoeL%Qx^kAt z*ST+6l{p#@9mcY2xo4A9FrD*7RvXu*fiJqMc)O<1x1&5wpY6hzC)z40j71vysu~pG zsdqh`mM-HSEhcL-C-bD_@o@yIfDlxp=hw;BJxe%+Ih5xN6)Vbdnu^G?SrxTp3($>A zz{V%AH@@vL^JsLLgC*LVrv%CfFi;%2_AI}4-}5E3;%hFoI4F43PrVkmxk4aqLh`9l z`r|h)R8{uo6P|WsW$9ryN#^THW!1!lVA{fVF#0O0w%ovxb&2qb-FeFjK!T@TAJlS7 zPjf7hNg+fm(FNu)kC)33zi-#yBg^LPo9396^m!E35>PPKr!!}tFmgfFce%XVI&xB! zPpY=i@%F;!h(&JUIv=>+mT0z}~R2=yJSpQF|rODbiu zg!c&i#9Fz3F57-;SR7;p#AlDUZ~A?tHw)o_dTDbXL67>Uea5AJ$_%pn2YT{2RWPMK z*rl$~lzTS8M>2u~Nys$nLXG(%-3x-1@8!vi+c2JoeVt%lhD<+g|5F5;WPX03!(rO6 zLGhX3^|fJ(zH?Ag;DgA|2oojBu`l+ePj-MSJ$p_SXV>5PS+`f+g{+N*L zcUdCAR-Z_cBpc5D=M9#*ch(DxGctbe=(W5a7NPYRJi_Q~TN7|2w&h#yJB0d@LG@N? zW2m(JmkR)O+xvKJU+LIFlY5Cq|KMQ{*R(MBNcdRAL|quX-yCi){?vHbR85ChUoK8f z^A9fe8+{=1&z6@6bp=H>6mH{+!m{(QePLr>s#!lNHV%72U!{wOIRhyH>$Z$-WkI>aDy!|yry0%fe3?Y}Pk{xx< zH3aWRbddNwRZ%2jQB9LCxJju0tZYR4latToBg+%fw0JnkfqYi;G9h?>d7(EUTkeUj z-UXW7zlV!DP-8Y$kFW)_AR8e-6zn#L3BNU;rg*>VIJZ`wAy|91{CG_FjTtAZU%Gl* zlegRtIw-@u^Y$&J1$;Gs)R}kJ^T^!P@?l;`G7tt3N!zL;Gz!EvMB6qc+0ZM8Q@F znwQG3eBYn-0mSDfpV*vAnD|8b^Xy%=ID~r3k8?%7qn-WMqeY|p-EB#_KHWb|1*|x6 zKtGN~#&^R^q~vh85O*!9bExPb*u^=Hi=;p%4GVPIt}}YXWB#P_gW^E=9CghADLc?s_MnXn`(vj-_D8;a3)o zxA^uxzPH?9F0!K+eC!!pYTX=VOWeZzJ6J-|Ku(aGvffwJ8Rt?CrJJK>i^TT`M<{d@ zb2r}C)m>3Lt9>T((5?ZI^4{|1 zI1%4bIjkRh{sLSBuz3%X?Yep=+PXNv>tbjIFFI)|oxuR8C*PMCCAHHK8hm54k7;MYa zaajd_LjmWBQtB#q>P;t4-^*XKX_gpHu(Libn{Z5_8e!v0tli5V4MRi?OWT>u>h3Va+Qv`f9Be(2d7$-N$yj z6@;o&Zg^*M(!9W*pWg{bK43KOiftJz(`N=3GuQue(jL^X+irx2bMrDaGctS{2Sx*b)UQ|WOMnnO_e%*-{w`cD=BYF zz}AWJ)k+L-IhTHY*n0K1%WPDaWOJ%Dc)<8(gz08K{pMHt^~_9#?fgdfzG)=uxdN-{lSxKshXnhy(yj{9_qILRbo(99i@TgK2vsk4Bg`>$Z5IueA z^>R5Rq#Yxbf`4khF197)whT|5G&O@RGb^d#aO~oUKGdAb7qDC$h+Wa-ee#*LvAr+j zwTm6k=cgdL-q6Wm4@vP!p}`Ev^+QxL9=OXn{e%rH#OYW4W*tmb()3*&IUr|?i z^uF;;QKFoWa%G5B_&~ksDWgflR|Hkhv~C!D{7E1;C8@wXI#BC}a#ll0Gq1im z8^*k;o@qJm*d_sUHR@_UR?of3eI_Kk zSNo-((p|o~K`VTCO4nLW|G4c%;qM1zbao9fk5ejN%}qQtyD#s_9_MITY3r<3l4IKd zvYRye3aQWOKA9xLoc{!idDEwS#5q>c5l_1+%gg0r#rGp7tH+93>|}>`W9r_a1>dkd zNZMpspq9nNt$JtmkLu$C7fQ@_Q6>?YFKF4Ec>V?!gPDQHe8R_%kaLR@<1UqDR(2Ta z&18f%sci`Y?eh$U%w$*ZP!@Y|Z0aYAQ2%V2kfibKuC%#@=3u^3!p=#&Lc4jjj<6;D z*o4pRPt{8NuJWW_7z3Cj>Uhoc4OW_>RNLhR+Y4pYqdMhq)~p9Ww;qc%zK;SHGPY=i zb@bBd<^!`M#~ODqVLj6&)G0S@EMU@wves-PsRvW+VAm{u8VE{MdjAm13L#WkY=s|s z*v_RP?WpB*{-D&&gb4y<3*wDsHlK3ficL38 zJ~$@WR3z?@1}gL{e;#dL?Aqi?kWPt(oIj)>f>pcd6`FhHY=&%1uiuC?%4eHIyNQufZ*?NnOKe@)%uHXnag- zfJkuaBF3NT=xAZ9iqU5(>C4Rl}JREtuYP67>r@- z*nd#4VBXU+2PHg~AjHC?0;rLU>Q1a0yc?~XPW|}R2Rq!uH#d*f?y{!q9}2~pXIr7c@BjEb9k+=1HXVb+L zv)aM5?sW~+%Cbp>Y&}c7xZEqdIp}i=IBK0gKYge*cfU%4B-*92=PK4m%+E(&yel7b zdbpDhffKplBgS$Eh3(YaI4$AsW6upZrv^(USt8y_{arnInea~61KXI&ae@o9@x#XM zQhR2D){SyqAGB`h1D5>TF4J_N1CdM0l#@Kd>2ZH^Du49ovA1?~cn8gPiM^q%gfZ5urW%cj58A59DRQBoH8sLBru+ScdZjDWDq5ql!6PzjruIvxJLI zer{Q#-`~0XfWy7~D&dzd5-9v3RK#t%cDrB9^7lYmm%0%lIea?D{`C}88TNT|u-w`Q zzlW&a+wmnZxNRCTPK_NU8x> zjj>woCrqu8%ez9sVMgo9oxwfywO!?Q*(4yae44AKcf!PEJ7{*Q4)|W9S_#&dEiPi5 zYS#ve_$F(FaTl~n^0vi`&^89gvFrX%rrA2x1<9pt$?-;xkC?lzT{JI*(KTay>d%!4 z;p$REQjvxBf3MJpjS+DqkdR50@f1oZMoYzbR3GfH%Dmk-G9GjNY_}5jE_ObTTx#r| z1A7%+S~O-{Te2Yyc;J@|s}_z*mMjfX+_baPD3GRRJO1-po|6=5gRzV}mbMT8+VrQS zZ*#D)lwhAp4n2U5tiJAHWr;`=whksL!irnv7BHeXAL2`Iy|xoMh- zNHq=*?hc0|vNm?_I7cJk0-Gu0Fz^{Pvj5r6M9_Bb6(H8`bu(axvYmeoCylITd3-7L z0KnguJdb$zcEekRw5#V|`TC{DE_A@Y1>Zg5X!Jj%z9iHl$?b$31to}G`8V> zq;{73ScPso6_%Cgdx$W~j+)YyDb~P59KN^zlvI$@!bF-aZ3)SWp?2mchtsLZeKbnS ze87qT?M1e&CEDlXP*NuQi=T2qjLnpc?yj$$`S5TspNb%OI5;Z~EYVUIm-23ZS%(Ku z89bDzc^*7eFAovfi+@*Qq@faIbN~6= z{htU*e4f3O&pG=f4`o@Q0tZND3Qr&FN@dzI3)mkibK`67{!;2KOf@jFo>!nIDC3{J zvYul@Vmh`j@Zpsz+J`MGLUeH5A}GN+B8WN<-JoiEdK_8$_k(JMFA*H{v%w@a-GmL# z6vd!xJ3}PM%m?|vK~knnKMnYvYdh~}OScyP^qM)mR26tj*P4>&`Gno=^;OyL-wH<6 zm8%ULoUP5@jrD(R_Dp+|73{IYPf?h=$r0un@9$L^Et8M0^sp zsq42SJS$jgwjZ_mj;OoB`Ze*yd-~GbLjC`{wor#6gRlVpOTv^3b;MLy1|FoyTS8AR z(>g3XI{0@fWe20M-SoxpyQ|vZH?dMln%g@hSnbo#4H+f58K&2%e_SFMYnK^x_JcJ{ z#(JJ`WU4&$+ZHEOIq^qXgT99Bj>+_lC^rVTo|03=mtoVT_tRPvZSD+28I^ZITR2)D z_RAH$WD0mJEl4&b!Q-WM51%}#t-7&IZmJfqx{GlgeVBa14E|e^mJ7A@jk@`l9{iUD zwnOk8^XIyH6(-80vV#hwQMzhR@ENn_ELal*#_6pDKMwiG;c7zQPKx4R6ZvYSi%=_u z(E@4oZ5DxKj3S7!Rh8Q~dgb|g*$xOnWF6#AmGfzJ1iWU6cnZQh9qAGK);N04HIPGw@96>7LVq#vNaU-b8K#8vU186Kw%0IvZ+2=wH*=R760% zOr9U2~AIlj0A)WkG`cr@(4*OD`Yi6NQ=_Wyb+k00^6q%hQW4aCf72y~k^10yTi{0;%L(U|KH z=c=V+SrfzIm9FjJHriaU3!YRwQ!NRS@~X}sqFn#)&}eVzxNRu=m7|k8h2PPr%Blu7 z=ZAg_*|7fA>Ai6RzLe*ILt4_XK&?XgAu?rIS|ms3E`R^t_R{a|?WTK0=x|KQ(&Nbf zC&}yeL4{U%d;rPC|B3CIS0JBf;=jy`*9bgX43X64yq?}~Dm#l7xL^Einx{3(x^b(4 zwLj^%;;wFr)SxNbU)rBw_Bbr;jja101+2N@50A;?Zy-n_rbAL@ex*io!104vX>RW zv+L89-87cJWGywH8>)c|dj(B8AtHY98d%hoF6BvI$4;;$Q;U`aFx0zP>iWY!ej*w= z(ytx@HjZAx7$4r6&BTosOXtven(i(5{&v{m?yu@uP+&srn&AE?;Y+q?jvcY@ z(FKxYcT6v}dTjlX-5za>&q?z*q`;9V3SpSQNbsvKCT zv0-s%f*KkOZx8{ZgFz?m9K{EP{W_Nb?fzzyITLY^*Z!U7ztf6{Ep!V*#n4yKy|?GPIbT zx#dGy@xOe#)jP@=+o#$+oImD@-8=N%8BThmjfxPg3h)flOFiFy8$nhop z)4Num|5?4ypw)8|L0yh&Znb)DR1AP%({rQsWH7m_QCu9@+dLUyqEEco0k~T(j2(H- z^!-j+XFYH1_UCR~7Or?t=5F{=5d!Q0<$q5O{^BhbiR-h)(B@@3?>!?$Q#4&(wwbU- zGpmtmgnW^p#^F9Y3vPpS1YDay{4VOed~0`p>Gvlad0fBe<{udr1vv#jX#M5oZ6DKz z62N;#2DOvFcxWhVuE+IjS9Bk7r|a53p{>$CaM?8B6#-rk@)5!(@qiz0cDr*eWS=5v zihb(b#O{*m8as1qBfhzwo4!)?-VVGo{;O(G%1kBk3u|iLzNhm(SDC)vpE-#}jiZWH zT(iMR%Y@n46B*Y9ssWD_;}<9X!?Pzx`2LA$M|*)Xb`n5CS*>rWkKZKuQ~K?P^4=pX zODFlawyKy&6fW;#RDT0JA7EeLW26?cL9l*lcLgreO))6;q94P#Lx$J!Zh1-x(s<;* zF`jWY7e<5G$TjIGpp}Z8saUTHLu}M?4_f5)eSH5Du&gFlTtYnvMWxj?tK2kR`zNH21}wRT$`(JDfw_-|)1y_3jhyxLq;v$vYcrLsn_f zZNQ8f$IC74vQH671uxICBq@`sg(4H4gZiJf;Shd)3;$VnO#e69<@->1p=F*b&;W@) zkg(7uXd3EKytlmmk*Zco`pF&q!gwD4Xs}{0^(;;7q+;UcW=`zH`1%^;<4!581o(?X ze+LZd5|A5RS3I~Gk+?Z#nkS|v7Ruk61UAL~;<@Pun>>5Bb>!A2k4fbrhY;p{;V815 zK&sicE>#bfHj?`Hj#!Nk`IZUN^uLQni?fHCq?5lPx#bf36n#it5mf$*@b z3r0;xYD@+_P2Tu#QJpyaJX*yt#M*5bUt^oAbxaarLG7aE4??)y@UmzB5J8vt_m5Aa zhL;LUI5rp(hrLp#h=369F6Hkf&zsOLR+A2zdy2{P<#_?Lg&+Cq;{=g|Z=CqCaZ6ye z(tj((xVRtQGd_ym=t=S(90t~CH3aUDN?@P7-m4ykXeeZ;ZO0&JKdWDuP7>{+Tv8D@ zP?%&1gpfOE7yRbgIw0yCD(W99Dxl@wXZ9?Q-T^Lm$SIm%+FZvObq)XR|D!M}egbI| zxBJ|rK4A4lE$9_o+I(a7#0P|RBW_cgK9t6agZ4`6PptW7G<|r0b26;-vQptcs4-ej zH;<=Sh$slsw58Vn05*Ay;OQFv;f9UU`r+e((z%2ZJB<@wos%+|xz@LHt(HUy))oj+@rZ)E(1zceQ==_8)g`z z%==jKo)3Vc(Z{ADKyLA^rAH(GC~Qi05>*V-FSRTjJMJ#WH2A1R44NW22flob`dZVy zcs4h~IzZRwcv>`u>ZB8w#*O)x^hX8h{QO$3&3<=C`{Ik_r$3f`T}u0(D?h*d5u8VB zANOJZ$&u%@>_yhNWhAsOuCf@@olVMiO7CF74Umsnd+sZ=J6he0z3`4ij4yp+&+P1< z_0-dbOXkIa$$MC~;E1LPy1*wYHTS(dd{jiuu4ihb&TN1mW|QJbqd(Hp$*){5oBc1+ z;{pSJ8Hy@8mpk0!_X8Cdo=oHEvvG#&uPMC7u<&Z88TM+OFk;Z*8+HBN1e)Tm^>YAK zFNovxr756a!~!WKvWoJEMyK@34wI}0fV8W&mc%)2$)LP6Gb;0KI_N4kp&);IbjF{p zr1CUQ13N^ZK2IbSlf^mNV!i_4=%52$r5~~K|4g=+Bx(uaw*d7hUVxC> zlo+I)&1^tO`+Cgccfb+7uBhyvva?mW^n`HRIDQyu5k^MYs}8*fymOvK{H`+_#pUAe zSHD+pMy(%A>qMPL?+?;Mw*mwS#S}SB1^?jaf30XGv#utgvS17-wo59=;wF-puJCFd zX*P}_ejO~WIVUH4=-9qD_rmJZGyKu=^GJFj4m+LzSj!EZnxi+UEym&1<#8AAcN{P7 z(Qa=#eDC9rqsNlSld6p&0HjKl6)7uE$@@ zq7A2u&cmT8X{P^j&C}T`6Tt>ZPD5{N8vW7uuL+0^ckU#MweX6B zkN7@^`d){ct-LPDG%H{ArCg-ehsM%4j>yFy-{4Bxtpd+h%gpfmRcehsuw#t6@=uKhzZh~!pA&t%Oj@5 z3?{DHO?#DLm9~x1GnSK9x^SYw&G~0QpZvXfQzYfl+oyeyBi$0vyt$RMSir}|HFn<( zJoBr^y;4VUzKpJ+jsNX%zNC-g#FHHNw|dEu!`_m^C{@&*Rn+xfkn?m1NGio| zCiP`m@s$2&%R^O&a{`h7xn%T*SfHcCCvZVWXn-)8qnqK$(5%J|Bsi~Xt&^fpJvYUK zODb|r!xHVXAsv=U_4_*k1ZQpD!21zQb7_c?EDZT<8H}%`1di`OVVNL*CezPgne1Zy zadj8;q~k8~Rk{VYxktH@jY;W--bC4*72_UaCp@kXbpVO0W1x91qQ=4B_a|j#B6D33 zq=Rwoz3sz88mPDS^O+n|Htt$`(;1Y9F{tS?2_AK#LzQ&%_Zpl)mSwpY^7a090RruE zA4~-Om$~CJ*>Fe~e_pL(WX{*&$TywF!96`3Vmk~xy&o-SG`aYye(@D|(9)lvrLXs+ zQK%`2SEvOETp(%j6}V}3{hYXT4N!oM~h*42hPED`^U zmw@`=0iFc-*ju=$V3-~oX8*{+@nIDqyaC?D|FwXwoeMjUvmggcg-BS$ zLdU?Gv;!e~^hY8C$1Ex^9p^O)DK9vCgQFQ;Z%6ILyvD}Y=cwc1W9-qcU6ofRjPLDO zP^An*pvV$VUMvw<^3NAuAl?80z5Db+w@GExw1O_p*fhSxX^%c8m9dlS9+$5^FVyQg zkz*O85x{!^@?=V4D-WQ`s1+Wv@EC(e+1w8_nDTbMyLK=i!_8#NB0_;c8m~ZGimG*$ zpO5P++*Irqs@Uh3Ztzm5v>a2YB&>@)E_P=cPTk;@A6M2ZyEH@R;Y2{t?Qe{$mEj5| z&LN2DkV=dtk$B-1@+s+;HLYo6eCXQGx7b6W@;?b-ZmB;X?z^JrI?tc4J16|OPCM6j z_wHsy@R5*$SPc7{7nIBO^?Z$QRhkBI(5;xVweB3}1dD1g#S-P($D|?zc3Y6@1y@|e zv0_JQxjdMI3Xx=hDYefnc)#Nw{j?d|K(z`EKB1pLHc34L11;yrM>7zGFc?jNblK^&o% z0-!tO&Oy{Z7f}&lAwC*=JTpsa1umc|FpHci$d;BKzDVZ&!*>W~CraFlyXprn&9vd% zk3f`*p>@F5r{~Zyj(U+ng%0n~4h7xiqvk(mKOoo_HpHx?GEOt)IS?s|1Yt{SBBgRW zgcWDSONbVUN%NbaG(egN%B5yq@Y$&4(&l^nU;LW082`fCZnZNz z^dqa9 znF0h<2qLr9(B3Wwb-9JJx-0g5lTi`6@M;?=&U+wbb^_E_J$gtQqO7X1{Q?YrM2Mv9 zzy=#9<bKe+jG7JrrPi- zPorGFKL-N8-#p_(X3D@o?oGWE%q=+GGf}psE_5TvLRdRTPlcKEA_(F@Vj9MWeT#Jd z;|>TLbFbXyndmfP4Umc9FT#*AWP>oxkKP5$|98jddh zWQQ%G1?oN#=;1RA8nKhnF*SXBEap^&+y7F?>19AqN>nf!CbPZonT^nf(}xPgM-6QB zC{}dHR?X|mVv<4=GG(^4xUr)#z;uVS=+FfZ98~&~l5ws+$GwsS4$$+I6kAwpxK4u5 zGl8;i=x%{2avmoVL02z&z-#ZA*I9X9%xBq7hAqbUDO)EMDARXIKQf~vS0sSK5Id=s z;*#kl0`vUJLLi-Zc<20z-<54cOr6F-BWy|6n^hX9C1(!L0}#_0*c z@c*FJBePO+dp@kEuN{G@h&x}8SZJpI@~fKNR{VV%`K7;;OCwar488_G6IK`oy5=dV z$5hZ}!^0yFdRVw&L|Ft%Tqvua@9f&(ijkT}JOd)1lEU63j6SV1m;&9GOd_Km2&5*h zKp?fOnSkg8+!bOi*6tlX181@zdT7dTe3O2BYzd3Ge#k1*neokd*F-bPKf4 zzq|R|`I`(XQ?7mLM1T89<+Er-3|LVdVuBAi3e|3+Q4 zkhckT@pmaVJ&Qcm?I3jZ)Q^XJH@FVmvq%i%XQ{#tx#@0T8Wvqu)za;r((i-g^VQ}E_b5lp!AL$w0=IF zB34gb4Pq>^9;kUEE&7#Vw`*@60+LGa62S@r+q!`c$l8V3l-HUxuOKU6lZ=0^*0!wp&PgyGr=Bin{=}k< zFK(LcFt@d$nrcO-l_~dm7YHyLZiKx(x;^Zhz8id(!?H)cSJ+F#e7TQ*ojE?QAKm8ulm#Dn%jN1t#A+oBKiZ z`T#_)<m$@lfzK4 zBumBbZml86$Xc9YFt{==x}h+)4Wd!qSXA+XT9$JU00YDO)m@+m8fC-|k*i-5(vhBa zY?p%BXTfYU4goL@8CZi^qUU0ZT>WG}JQ9|L5|*?*8vr@!=#A?2PFYF!?3N|sz$1lF-f$b z-3xYi-LA8J;!XC2fWJ$v-a3iysWpAVstXnj`P-GzBc6I)HjP|935bFNL&_XGvj6LP zacCWn9mF9>uR}S-)yz3(-c4_XAv{xN!6MUE_t211e@l=EtX`x22rKQQCFDK_J1cWF z?}Ed6=oN!vx!uU+*A-B9y57ijsACrg4nyaE%n99o{lEJbqtcY9fVxNgQfj9>1WJc{ z8M~G00xrRwLkAsqn}qTGotfDf40x4ZM6j{39(cHH6fTiG$yzHMJ+tcj8tm7d+E=3t zTe>oVbrZs0>05w-egX}$FqGDg+UK_1N&mk@twy@Y@V(n>CjmiR%c`vc3~2)QJ$h$6 zOo3R-zB|9##|*51?Rh)(Z-bI7gZl!)0}aBqh-4d>8d%jab@2_9Yu68YU`fEwwVrP* zP<$bu8Qe3l3aP<3qaUn<9(J)kgMv;z;UB}vO9(QY3}EJ*Z!T}2KRphENi`r!cqEjSw<^cFpXiSKvi9&2AJ z-^Y-NeSv$hd;tpwrMfj<#L8r8Z4Wx3udQ$c_1e_%L16;H8n6AYb6wYEga z2fD1zF_L?4I*r~~%UX-@Icdh`+Wc|HnmZP@Mx~Qr7A!mchO}x{oYtZV+`B@AP5wqU zEWMd?COIqKc?C3yTt}u~A0+N>qGDC$QLU;p|F0h&Fl?GyZFWrH&wqDe7_t@vRVjQJ z98Sd*0&;zcyb!6#x<^4Yd1-7$VQq(m3A|X7-yyJm^=hLaIRL+UcKus1Hw z0*M*1<=XR)Lg@dbOR{@-c=*~OW7P4GMneVPl0%}wsgB3&g+Dr~-ahBQ;c2+H_ys5^lA~v8M`zus3M@d=dmkJtJ zc)X&%S?B33>}eAsrE#ZTMoy3EDlP)fyaFImqw9Le%-*iQv84)rq7vxNza-B4mnO7> z673y-gKhkJIW1{7X(L&W*wO@dIw$;gm7`N z0mn6RS{R7~hwr=cvSS)F3uk0J+!w{jI-bTfsxvJW^HO_G(I*3|W^?fy`UQ_8w$-|h zpSLjp{w4m5g1gl*51__mjeS&B(&z`X&DfvRt8fV{Mf0?vfF8adsK8^Z=YRTg~_WPo{ciAN% zMS+2SWZ&6IHDq1{V(b1kkZirAJxnrwfir7;zs_HK@u4{7s}GkgC<#q5pgfE|DDppB zIQ@4Pm-{>}_anQR>;AK$yP4H_U-ky2Nsjneo<5|QUB$fPh9zFT4GG{U)VDaSN1%Dc z2s+M8{Dv}Me8D7a8yqY?)A+s-G+(X30>&krgvh=xh=MEx8{gNkD;(%)-3Ngo$l)EL z+;B->Wlo({D^*|!U$XshmP%EuijR7rle}Nuf}MhY{Ortas};;rg72Bh4jDH^8X5Y1 zygSxS#7W)`spUKBFaNWM_w{<8wJt^pvG2?Q?r!UB@uLRCvKa!c_@v@DgYO=-1^O8N zjXYm`?f>rd+W#Ggm4EJG#a!mX<*|Q?L+=1g*` zGq^5dKKfhRm8z9kDQvR9#mW{BSq_R-&0DJ8P=*T<=y|@Gk(DDMa zU$~pz=9?N|(1wbiBj_wQ`eEAHqQ?c^*8)O19Nzwd0t%t3q3`~|j9yoi+TbfDv><9P zoL=OA;aQMx2G=`$2iYIRVi!tJ)UZA^!7k3;abZcQbO$onMSQ0}cN-@Io=SUi^|4fPULw9Pf?aT8SRzxm>^JEbi1)wjK5i@Wnm{B(IX67FPV#N>U}v6&(5)SMmm zqBmuPO^SeBDr_a8H%3=3Z0x>vf<-)S{yyRgF#a`E@g?D88gP2N=HYcv84^;^jMa%I zD>)o-6pBX$qF_>Jonyq^Ph~-MK?ZVs-?_ZSY?k|r**}tg+I$=F%c7e2RsIn5#C6e3 zXf#Mo3!KP!KL~33iCNeJSh(>}tw(@!VvZA3pOS4L8|Ka!p88;s1Vmc?Mp7O_2DvyR ziGV!_bMs%j{WXoT(9hio2}PQsR1T1x;0*@mkE1~>yYC6N0Bv>cJMESPx&BFG5-6tx zsH3a`cyAPYDscSKSgglFK}DA{gDGLumdo2ATWz3OX<&+_0P<#e`kV^td4vLv7} zKg-1Ij-?R6z}4(N_?1LtQUqk_8S&cT z5m9e%@U{*$jbBKJS(RgI%yesm(g&y7Ol&mdvPV()V~gbH)F(vPp-9 zc36#Xz-sHoCGq&Qf@OU+ox8vQ++(LMkfc%aWcJU_C{s;!J=Z9Dy>WsU@W8j;xkRxP zhW#~lqJ>;+FJpBzV^vnwDXVVkCWBD`iXauGk}p}>UirDVqWWj?Zk1)@xaOl$>u|&t z{>@kKGpbQkDjS>cM|veN4%JH4sPRWN14bp zXlZ=Ux`NZch;tfSMvHR-;CD!xq6VoC@4^VZV!>Me)$R3Nb<5K%!MI%UoTheOo+f?<{ZUynDP%{P@mAsF?Pb#SXtsc}JU z3?nY2086tiEl%t~#S#LC`?ZN*$9s6<6X}tHUqsh$f1h|%bOVa*DH8(kkcndNBn{ug znV-4C33wQ{O|u(z@#bq1v>$0;v+$#ZeSDixu|!M2jpoVsjl%?FU^Q~CQo)(O{WO8& zJ3^ztX~IC5m`=cv3sPALVp2orLPTDVSX86)j8Ja1b%c&{_`iLkMy^kU70N~Y-&`Xj zW&#eROBt}3gU36Nf&34SPk0IVEr$*KZm?*S*Cv4@&1nWSC~A8rt4DQ z1-Ue{IY+V-m~q1u?7;P)9u#Ok333mnm^s<1L6!yK6=(_)-f(n`jecY1Jb4oMtrLtN z8>21?^cts4qX4JVMt_9469|lBAp$1PgPnpC^Q;LaF8xjQeOwYiS{gGLv|zQyIz~m$ z@#2gpp6e&P{6^#zqu%+O#O}wB6AqCyf@dhta6{6qU)rKfZn0~`u<4S-VCWKoAM|Io z(L$k7tO-%9bumji5x&dxiluptJ4sV`}fgW~Ag8I19q;NhG+@aq6!Imy3b?BQPZOqR`(G*GglTQ}j5 z*%O*I;Sx<#S;(*HBN+UATU=uP;s32jAQGmILRf z{xGDS(IT4HkMq-aBHpdQ;9nqMW-^!629JMo(g7Tf;Oz9MePRBty~PmpkXp2i?$vMh zqD(kNJrZx({jSVqMDejVnWjxFKQh?s7L<$2nBP zYn~~4nxXO^*@Y%w@YG({mlhf4Sm=UC-iN})^`X43v7UW)U;zShums~>Jj7Ml_x?&q zvyLpV+piuhcx#mg80(d!S%JRk)@i=VS&Y-L;t5^WKOza}I3-km z=V$i+zLN{X!HkJ9@h<$7o6a@*t`Q?Ikb4*YEoEmaBs$9K3FXJPll;%>@7W{-1ya+i455$%rP< z>p_EbP*+LDIy{ZBd3s%b{r8OxAB;U-e3mxP`usf_bZfvYhumMzDw_&uD>r5Gmlg49d+N_Ts!R{SkmZJ~uUY(sOYvt|H_+W-c;i%Iv7?jm@ zyfXBB`lyXM1>knso^!EXoV2o=ETN?qQ1)=x+2t&# z?Mn0VdD?T`MR`mJ%y0A6FB1?o}4PeRV_g56jr_1j@~S@_Mw(&Xqe9sX3aB@ zYgWR#L~6{Zng5MGC}F@gA0Z09dBn!x<99mUEpbdWC2;8Urvz3Y`?vp z=@feCSA7oLi8rg@$}C0*TS^tY>HW4``L3?gLbd~QB8vR*=vA;Ehp*oXxvgwa3y^hU(WaCIg3@aB)v{o15a zGJf=m(Gq5A^FZAQ(HrlDttCmZM!v7q_sZ{2=_NvO&8z2moi)Z5O8S zrxpviVRk+`Xtkj#3+~bcxd_5kAI&qO1-Kx|uob@!43$Z$j2MC& zQuCF@gx1Kd|GkKI}=DppwoMBX(m7B24wCJ|h!;AN~u_9YMSUPChrR{g` z9L_6q3$JI|>-mg-36<*21-t8TtD-;@khydIx#b2zWgpWzYojE02*Qpp--GM5euq5(naN;Q70mqz z3~##>kaM0q@m22c^>ZeuPy3p1nKfSkk2_*k=7(F?*hj+r|mdt|DoxCdtf| z1&=H+SI7{8IftU@&!!s8rsmnHj3p%-jnyz%&yH?X?;ZKuT}Lj?*2ui}D;U2H9)8Ac zS-Oe$&inkPq`h3ha^Xb>W(!zc{dpK zTGB#t(n3mNILw%17|kevj2(-NEsNz0ur4hm)Q`U5UrO?$XS+(=yh^OwwD{X&$6{`} zC`c&z^n0ZawqW@9U4|cg&|Fw##dgA3$PBg{bd_jyx4dCAA1v_vG+=!G7L!%?a!#f8 z(KziLCad=F>?B$gvdoco>(h>3$Y$?hmF_g?0HUZo0xaOnKo!$2f1*kcdk=VPJqG!? zPdAd=kWr7j1@Q4&+{uQ`dug2K9-JpSP}844_ukHL*!obs zu&vJm5`8^2(Ux{I3fzxQ_INh`p|5r2fYdzCc~7FJ$vJ9Hk1{)z^CKLR>S0Y(RD;n{ zK8~v*6C-CaK@4YJoZ1#hxN-rDklZBbzv61Jr95!dHy1cx{>uiml!EE;1|(z5&QL7q z%VKKI5A(e?pP?O1Y=@_RnZUr22(2=X0y)AY?B@JUyv3-rsD%$buNgR1Pv_o-_Pca2 z<)$XOq3(k7OS}{``Tv8|%?3e?j*AIcH0Nv7HLG#aei`}yYLK123n~!%aLmlzT#>3a z1Ym07!@IncYUOw!d?6Xzqz+j4iNiwep2T+7xJ{Ww6|?m|T{W+s&$P*XprNR2bT33O zQ+tWaEr%y`awz2$=s9kYUQJDU{E=2PuK271{mw#tT5HdoH8IPfD$M(am;wwR_eT@L z@rPsjdB!(;ZQlqi@USfy3JWyV{GrV=1#ls=CONrvAHdhF7Q_pJZ5`= zAlS+q84WIp+nlCDQT8S$xx#UHzgunK%lqGjFb(4lZ^$%M+^K8LcFbEp^jbq}r`dm!(w9eJZb^kr=3C_jT0yb_86V}HE(ypqGjq)c_RRMacw-?zFz~` z4Yk8)oUSQrw8OZ*@0)Gh(;XtQXsBH#*`&acvyv4!W)pisLN>KODE8tBR!8`ga?OvX zyc3^Z8eJ1-)OjuxT=9+GOJ4st^Jqz;)tGzbuCYHY{wx(jdu~gGGKBxtvnFb;GH^8& zlJ$F9+-V3OdT2|fvxoyQXWL#G{34Bo;lx+46henwt%g5y$*X1}6KHm%i4@rBgE_3J zISj$qSH*mmBfZ)VfZ9D!aVzfUIEmB1T}}LweS%z<*LGG%m7qg`Kg+(-8dVA8xRl;( zId2f?uZ2bJ6_PMl);@3FlF^CvN)0O0hqrx`Glrv?)SLeCL3IQWI}7ts(b7LpDvNLHmI_UW?sT$!3Q_{8XTAAhPkSnvIOe6|Zm01+>{IhQ64ZzI%U&z2 zn>i9(61`+Q$Xribjl8_S1O`;@9SwjB*kx|%^}VOhFH?^*EB9|(+-uqe@r3fO?Tx-j z`+T8=Fl3Z^$aXO;Hb5nBAL15|e<#k`ct}<^X+fC%3xa79k?K=&uX4@QcfSi9%_f62le$z?nqzKjo-{ls2aJ?cezmI6x(-U^ z!zN`(xb|9zj~6_=Ex-d$=@%$P~9@LjG6 zX3zU#{Mka&iE8kXY#`NxNQB|EhbWB~Wj@Z30@ZLXmwdS)fEbw5Zlz`A)%(I_{lL*m zjBPS*b~e(8WY=~BBg$58noxD4a+MW6pzn0hz*o^Yi*IUq(W-GddZX#PdwZsG ze`Gg$Uv@X@PBvq^|I6Gth%Z^FYWlN8@&H)ThJVAie&IJ(PRI5qP<4B?Je#kWRB2 z=JDhMlD;`-%r8yZc<|GJUCmEM3C3XOK?LpbGckes#Jt`5G>@LQQrCE&-;w9G>V*6p z_2>8&P?1O?0gEadn{(0VERlB*papK3aF{igwm||SYN90VlhF{(>nYk|5xc(rG9S}+dr@V8Ye)ab- z=vW@t`p*W{?vcKEwaJ1jtv)&&SiFtIpQfcwta0g^bkuCY=pCx|^)76tX4t6l2q_S& zlop$F(w-BqIG~#7Lj#HAgD!jZ;C>I-D-^wZJ@5QtIDqR3^7ZX2>^Pf)hIC)*ur(Z; z^ju!MFAMS>5HZ4RWn32;D&6y78@E3VlF^B4?TfLL5cXGV!GwkoSNVB}u1l*_ww7jg zDjLk$5C=*(bI!>aSfRIFuZm<`jsrCE86=B6;C-3rSpX3hfY$6@U*C9Kk6wQNtCN3Xvh= z<U7O(}k4^cLw~MeaU5|gmEVQONeW`PHa1=k)y5m0G8dM2jTilZagLlG@uFpT5 z9W3oGc4+JUPxYr;@7MooVY`boWdOFxJvrF%+pYJLe;xlXRp5A^Zg;F{!2|wndK3P4 zozws`aA*h0SK682H;DWJp6h=q);4#IqOH%UM!xVIeLeI31d6); z^1uhHbEbs5WP{3}G&DIsEEj)XZuY#~u%G>JVHPoj7GF~;+Pl$n``vf6)bn`PqaAz3 znP3%9)H46-i_p^3;+IGM^tN+f1ysBlHq=$DN`YidQDs_<<)Z_o(D5>iMJkbB0jlyo z-_cDXPCn&?(dAXj75~yUmz5L-bfL8gaFB*L5O?hrjS+hq4W;=7ZEOnTM|p z@IG<}Kl;$X_#ij*(T60)2No|-e!HkX82rI-zm@Uen;725(aUpDf5r!&)DfA-i=J1E z56m3NtME74juAq%xpTyvt*g&_ncQXlZisIHgRN^j{G7-E{nqQQML!jegaGqneKe(l zGVW${w$AZIkueH0!H}%oSA4P1GksRQo!XTQlAkUd$-mYh$~WDZBOQ~u6jazTHV1GXGiwDoz0E^jCP({&ZM?g*(H<~ zFrZIK!>4ERwRPThZ@t@_Y?M;Fg9$6=tnj>q?Kkn-_nT)~TL>s_pwlWJbzOMj4!%OJ zD+wvd5oI?K?7QR<*vC>Ow&ZF^PdAmvKR*aeuCF$C^^~sZc6Ylw*1%g0e+>=$7pDHw3b%LzSd_#~= zXtG@316eYNJvVW@2&nM8y|;^C!$e6BgJM2S4-?>`4B(1eXiOjI#)-{n5}X{ zO?tz7(!MOt{yM&Ux}GOh;Bf;kS)!IPSFZ0?&&Z|-1!?HIHGs1ME_{rP< zO0u!Zh|0nj>|Cy7jc5zh<4A!GQ5@~pMI;>@k?jCgks0E%yM0Fj4FLqH?Np8ysER@N z>+^G-Xg<#O)eGHo2#tJA_KG>22_x>1=K+f7UJMK{NA-$@iH*2&8G-a6 z*w%ofJGETZuM-`63sJ(Z?aBL{bKq`t$nV&oM9istkiqGwM2st=L^L--XStT8aR?>N z{Tc8z4u<$j@aj}m2+504EyHo3typsLYe%qhl*8F4G+JT{r30*;^uoNFi~!DlP)rJ+qD#x`BvTyqN2P z`Z6UCHmb{mHk1)0;zz)#yRaO!z7F3|2T<7=p(V{!e@Pi8@aOI$D9DT75%E%;69=dk zF1LtJ1!ihAm+9sLVaK} z5}+~@4;w{KmS@TwBa$6RerPbX?QooJ;^Mr>YhuH+biUL%!m<5~Ar2lg=A@eltRd#I zy3kDWFQt{H*K}v%b&V!Tv%49&PENLIh-NnTtJjO74eH5~I zGk4o-%ghm~Uuu;=6|`+Yq@WzmoQa>OYBt809cL1|>2Sb>mgr9IbyB-QFA=$QHs@5< z>ahX4yDHjrh;)s+f3&mf&@vQb?nxEXP?F|$Zn`ytpj z_%C$}_I+}VOL2{Ryu&K=FOkHQd*A`oXvcYMR0UHd6r0ShdgV`I|YF2^VX}< z6N8txd<5Le-^QyLU8A;XzY3l@_O7R1+p-nRt!E(Z=!xg>C1Ypx)dd_cGe8gLz=+WK zBt7ccT+4~m$PW!ZUP?~vw|R1$EV_amx!I_+5eAfWp`X;#x>c3l=gEGQOJV%v?!0^?ZC<`d$z;ta~tf0^OxDbJ%2QYhSL<; z7YkQr$TAhG z>a`E+7lYJx42j)kVUMUr2K0;9A#dQ%vo>EqiBcbgs#hAHTZvZi52q|x9z{axgmyl} zTcV!JLb#AQm2X#RO$EtzvolA<5OtzQ^WfT}|K8CMH7VF=?DvUeu66okjUFaoanU=I zR1&>oWhrG=0C`pU+cpcKq~(1Th|J0SaemLy{*fcjutqpQ^~-DkG9mNzGraDR&`~ki zSb#4jB=a4*R~pQ@Qps7}E!->CE|QIAmb_6;Zn~?LTa38cjx1>WQSaKHd*ehO`++0s zteORetqf_fHFP9tiEfsV(h5Lt)F*1uE}`sMcQT2<(%W(F$nU=qCS#Y!=Gl#Fpjb*i znk&2d-dJ6pNFW!xNkd7tgtmj-p+Yu*iJQOV^EIG8y_7`rR84ah8`H#o`A?qQz*h=` z>t#u1vB#gxY6SXhTd|o{V!1$5xbQkLIZDrX?<(zzuSlLZ-u{eX)+}OEQrn zv!@x;o~kKu zqK)`s^XH4pYYOl$eC{t!*Cd#DcL(nbwI;Bejo!vzyPwwH=ZQ~4PRU&yu&WPr3NQmf zBu4?uDHqyaU_E+yLk2jhyD7{&tnar9ZJ+hgWEaWXjWZnkjoE#qrz?_Q+de;^Pl<3W zeM^*Os9?|@s&4Z0sCq&wZ*_`L{$6({Lsx#0kmtzoQ$Lxn*{^LD&tg6}F_a0GXV1p@e3imQGD;OQ zOGM<6;UM&_b%?4ch3`KI8_mAcQ!eQ{_BGH->4X|!T<#|}T91`6kK4FTM8I6p8`pB< zCFFIQLq=>~0RrH+%15Q}g`8$9$KaqcU3LqhhFLG$$Mhn0{NBV(|#n;tlQ_k4GJTRNO8t+V=u? zL%`yF-=y6fgP_rjf^MU*rrk$n%j#_3`Kt*lhHE!sn~F+nt8pFm?EP{ClW=QaB3$V( zDiJ9xzLC6IzgB3QQ(0Q5l>61XuW0;XSXSmPS3QvahAlVKcjKlYb1uI`22RHz7i*c+ z8rdeTZ3g~i&p*DjHJrsaC;6#BE2`K8u=g=O8mj5=SoD-zfh|j(XFhWkuKH~6XIbLx zp7$1Fj=UY38AvFN^-g{oWq?!EY}9M`D@&%j-@pzvGB40wVW+0EVZ7#RoXoNxno(GH ztic7#L`p^Bv{cSKky|2;Pr9N}uibWjd<9}4_6DXHI-}nGl^bFf&(cBAx8}?W3?c1& zU|Te}JZ9Rl*3H;n97Thcys4S;;B(T~d|E8Pyi?PcTXk z5z2vcpqO4#URyY7a{|Rl{cLWlYA-TNek``eS`5_ls%G4^I_$^%3jH%6WML5r)RULm z$lQp*c`qXPqZ`H`kC0X-fbF+RM?jBEwyk*0QIh)@kORYvbTdDlE|TrehM_5 zf{gDuz%}m96K!+&OfPhSiQ0?wc#Ha1_Z`>o`|EqSDAX#XB(s zg?01~j&-7K_2?5$H_};OP3eg&)^#QBJx}i@Q21Pl<6@O z0_WKL`xI@YTndXeS7;L-gY+Jgx7B&nU7n$>&vp#d_GtGGL=SUBC)xbyD1IojZE`P$ zrf?S~r2B^xKb$=W!8O67`7Nk20aa{Bbndlx)6J&E6y)@qQ~{!bdAwV5+W<7;xyLp~ z#qC4*L@g)#;^dZ7)^k?os8zZt&>zON&X2t56sGgmDemI1{s=|p4J57{V+K~9C)dqO_gMpoj|<3O z#)xql@dffXDZ(CtB>OykbJO0B^+G|w$Zc-s0CU1GSMNF;TH%IJ#TaWexK`RzV(McX zdt%e0dlR#pM`i<4=FJ}wkiXqn>*Jzd-G7RUjzA*3jQ~e&_%A>dGRZas>pV6M0z85&z38-Rp zIneyhg)BMx77v27i`307Cy;g=QEY2N7778D|5`$FCvRZKA;6cvrjTYo_j@0}`-W1N zt4QQ&e&ovqx*wyD&5l)z<(ZNl7gjN_lCfnLo?zo4%1?a0g9zf^OyG}rGgq9U@iclS zeWyf7*(IjTj?A=A7_|T~Xbhc-XltJ>46Ww-mxTg77PvKb<4<2E;rz!M`aE}5GIYtB zHTf7w3;YjUdn~6+@|YF^`yatLry0NEEM=G-Cv`IY7ePI1Tj1I}kev28Jfc5z&j_PS zQo(R){vL7UvjcgY*#bkfd)Q9}j_r*fyR&zVAJ>X}n4Y^^9zVXc$@zmGsdXM1sC?Na z+JaS10lpHRoS*y}Ht(?;bdCYk(wHCM#;9f^1{lJx!)jPe1;$zz}~TInRk4-LnRJ3PHqL#85*Ta>$g=^&hZ?CUs>Sck6)Yv0|jB{V@vJsb65p|g38CkuAkKYtjVuR%X09|S`J4L|fwmVdrqN4;m zPVt|(Ly+cz%Qufr1zD%D%b@`So`&Gp>q$vUtH3Z)V3C>*lV-VX~GHgYDcG}XIiqOqti+ltn>`d+9 z!>kH&n%6cepb9$tYz2mz4!FXy<1O;r^H4mOnZt-7pQK^umkZVP|5D~9t^Skx*$y8%cKbmU~TqzhtF5dF}rZ%ThAw)_Q*tJA+#+iAVf-FoYIqxWgAfg$33Uk7k;hprreb56)B|)=xSJAYvgQ{8v8sF$(~fNx)0j)`07{zKC>yuY+eJs-k8e{$sh;K7bb+m+w(hUjeve^a_>=D7`%x$w2_Z^iQBYR2&p zBa&A9#%&EIGv~bv-SwG-C)bN;x8~D<4sE37gxSA;jDRpIizSRzVB!@V6j6Dg*e5j* z@m5#U@-^~9SAK-=p#hwQ$JGN$pHsZ$WONL8HTj=I0ng$=4#0@z8;os8IVqg}P}g+Q zGTN8=SivnEf0|cU1TwMq^gR6TCfDe8Sx?QOL~YeC&sn@050Z(HY%6?BmklDF%DDYd zaB^xpjk2k6(M&qFwp9?pqpz{zlyC!focb8)%Krp#$~0K1caMxNWpY`I8Ly%Lbp}<9 zgcjb+D1CdpJl_?g{e?P4>FP2o@n~O-~)axD(`h zV1w;!%A7rcwevvyb=A5m!|0nCx}+mE&M$lHNivO+f(xQFNk@(J3n(|gFYZKJ1X^kZ z-)y{|LBEQCjgsy9Wl#|F&9}9nOVgOSmUvj7A&8{3oiD6SWpgU&wFZ=QT06=Uzp^Bk zuW4W)8DI4q<&wxqF!A@Lw6VH3=FOiUXKw%D|8QKn`sDEf7CfJmqVazQFJiNVS}erNIbx6v|S zBhIz~7*bQFnisok%pKlK1EnKV8jPF&n7YC;`bz%W)!KMDwz97XrZ-DTy5}u4p0|_nAMo{g(e?F#q5b@Ed)Q&v zabrJPV;J~o^%BA2r;YCexU=cz$D0A3lHvQC>oh-;H8s~dtGwV^Ha>vlhe?H3@(mHd zXT{D-H+t|0eNtCanrL?$%kJ4KF^uLc9L9tgFexGXJv~Q2If2SA--3tI} zEts!MESO0hART0F^J)3Jjlyc4k#+lu+1%@!L+bC-!kw@a#?;A$BDEXQ2%rk#jfkOUZFK!; z?@*HuICemzcuwaQt!=+nY`*&^HSqc1^oh8*Ka2=LYup zdrp;>@ySwYzoTxOvx?x_cfW1VDvj>e`4^|~Eob4}ox9VRuBfm1Y`Y_7iTK9K?w%Yg zlRb<8;aoT=XxhQqJG8yne#Xv2OD#qt+O)9>^#Jt9bUG-K(G?ZyytHtt$YiEnH}s)V z{KA)z4KuTZi0!je#7Ae_i7-o~on)AUutx}_j{`?hgcHg660AN^p~ zk~`K+^)j8W%CIr<9%!J^<9iUdA(a%NsH#yAI8SwAfGG$D4#zG9Y1M{ zWBn*OY^2bhlW4QRVX8g4oMF(sR~-qNwuw`^L~Eh>Et917Wt#Ab*Z#H#!*}zU zU-ZQBYXkZ#z+}nOYKop{hJL9-l`Z-P*jk{I!mZ3-P(Z!-h7%jW9XV6PXb_^C7Cado0l(y`T9=TQSo9@} z_m$m}WiDe0#bO#*UyyiIVLnr!YppWv^EBcL_w%HN!O{P>{%v0W~1~E$A6Xq zvj&l~sR}qdD<@f!b>IFvKDu_Y^Mar3cX;X1m@Pc4ZY3P?zPp-(3E!f0TwNJ-csX@= zJwx3E`7iH~m^-~#*x=`1!rWmZoQNTbp^PQ~5@Evi{}Kw=|FPRM_~~0_!ih?f32ykQ z$S&P{ccnm0@vteGswEjq$GYNjCCqFS88QTq1tX^@7cLdF2E1|`Jgr%U^jig6l?~fh z1TNzz1_rLK2Ci6wOWO_BjAjkn+y7104co*n#32LFb>+i0vw=t>LEq0JM>I<<;5t!i?H-xw)qH2g)*pX8G@c zwr%5El7BN-_w9OWMbi#}o00<>lmwZeSC0SDgJ`e|;>wyGjV?X9z(WKK4y^XQ&QOQD-!!7J-33R1C$UQu* zsV$9VQEF#=wX0fe&tQYaHe9rhGeE4Lp)*fM?0JeTnR5dSS9!B;>AZRV`I<>f)8w0`Xhc#Rmn@yMkdKdY zi%w{iryG&vPtX)CXqA!cEhCCl0}T+%azjHW5}K?eq(u)s{W&fRhK#2xK}T#i z8_DLw>)ljAPB0m!H65KO z`iC^CNL80C$(4DdI0X>59qs?*nD-PLQkoF}KU?fTj@$SmP>w^-kF;p)1d7gx$t2l8pf()GHe zuwhe+%9O~l+*emLSi8QLtpH)#xqqUD&7H}x=Xsa(#$JHiZOhbV)Ae%Zu^V6Jalsf_ z@n;a^vvV=b`6IdPi%XDFTbURUJ~-POHdwgD*r>Q~#2DGPWx1F??77&g5Kh}k@(Ug8^rUFG8ZSpn24Z* z#n{KlK^CZzvxp$Ov(GL&q@GLu5^Pk(;1fL1Q^QHo;w{;2H@ftwFKT2+EzZf_<)XU~ zPi*;`96oqW&jt>l*eA1*&F9VJ>$1QBAxP0ef?!@r3U+&43DT?c^4j}FaaUPS;RXx} zQoq91FN@TIfWf{?SxRO`xzh+preY|mwM^VU%ZO4{+3a2Rfptu&=C zZYR2?rUS8-x5CvIFycyB*`7eN@`ME>y)bAK@wVkPBXgwPbBA>rgVHuIlX?4_WZA0F z`ysQq$xpUyr-#ttr-X&;vmk=&`YQh~!fdz!*8qkxTJAtdWO;$Go3w|J&Qv-nR@0WS z`UhGevOu~}ssM@vL5;sCT7gFY*BE_>V6mTQFXFAk%XWQ25-lQyeqvP+-&52!8_Nox zZY)$!bB@mBnqX5X4TA)Fc~sX3jBfj;twA+u_RidbgKLoHZw&1=kdoHyD4>$Jq45MRN(Hc8&7=Pe6PKb5H2;R*3zzqw#(JrOCH`A5d` zDN1Cg2*Q}U9q;aGGVW*8{ce0K_u$LO$^&9KA=2P&%$`x~sX`c(0GLM1S_l&gEOW%~ z7N9M-1L@UdIy#B9Gn|+WLCeRPsPQD4T^}#T=%xkvaNV?QH9$laQH=I9D=!UB%;C;7 zNPLY!K;6<&2|G^wNa-LBFS=>K;jiq`MsYN=eYUU)9lzdUUO91Z?i|J~u-*nky^Wlv zs;a@;J)MK#1Y~NMK}0GcHs^(GzediBbsjg}fU?d6Amqj99|xO(E}3q_6mN*Bt=6=wRU;MF~CIn9JZhb}dE@<9Mnm~BIc*|B=LsklFREX6?)Xk!#MoJoE}!=FP$};?Ex>2bI9m-wZ*LD; z83-K<*zIeV9xxY2nzUm*|31<%VX|%a8u%!`Biw8I;XZ3M&ZEB*YcOr(>UEn}rJMJK z(sman4ILQoBbW>VqY>E!;J-C{#el5Ry<5HRO2NJY^=6DFyJMXcGei#dKE1xRB{Gt!nWhRRO|&b*D-dq;95KO~*K34*)wdOvB_bd|jJVG3A8n%UdHh?w=y zGQI7iLP}m!!t8eva88-AC>X2oX?9rB$9*ttz?8i!ZpYEHP%0g%)O zbX5dm&MQV@+nkHFjkS<)Xv#S2?y4NfDpDTHsIbYxO1zm%U4)M|Bb-^(S_w+C6?z3b?(5P}I$(P(4Xfl4q!4;Ka)he&DefPo0%TPD;=^jpCTFMD1v#T6 z*@mt+6pKc2cXVpqWkT5-Y{?K6ZxP07?(XuUlvViG$a0+jz+vkDhpw*xi=unqwh;jXlt!dG zrBf7?hNVNgyHh~Aq?TAhT3Q7bWCgy1?=Qap7uPkrGjq<&+1Z&B z&$*xbex`)EdtWhXq0neugUo6lA8?H;YcVLE1s<5Ti(Ro2Dv2r`-2WZ z=DP1>*PClL39_LtB9J`Y8{da`k-nY>Wr)Od&~`D&e3O%>IiAsu-c9xeEirTI!xTob zpi<80Xn=u2Q(k2->~cGJsz-6c3Hmbm^>@ntGpxUmj zfl#!idh29ivF03~jyl84_l{Bx3{s>~`;i6kE_`=i30ycdwr%P(YG9-(lGoz8rk@aU z=|2o)>$spf%X@>BiEbw2&B zk1rjE14e;}9$)6UkzYa{$zmS|>6qkDz4%Q=+c^Dj8En|n9TO0(clpsY>H!aG9p@P7+$!M&Hz;P~=j^k5<)J6=-AYNC*I87gprc%NwZXk$ z%sTHiPWSAsT2XYAT_u>TNAhs&Zm|`5%@-#-M?J#Ft_usv_3YBq;4tA%r_ir_qo-+% z4xmh?H+PSU@Q)7IRwi_Q6%{cj_w=?hVTGt%57Z`iMNelkJ(>Qd89}d{A2-Ua%rR{a z_Vo4;$iLdt274-C1&U&j-tcY)eD-YH@l=MDZ|pRUr{_W(UdRal$^d2#2pKU4^IMtP zy32IQo-e}X3h0`rUmzuxfdx@au&6l(cm^%ka}$FMPrvy4X!XRzqod^GiM)`!(Ek)3 zB=;YuY8ec(mP+6}dSM|H7dd(%3}VLiTS=B(4%P-`l zHy1oco*ccI?it;hE&;86$(IrL*Tz}&2&wQ~8=r|v7RD`H*BLhYDWc*oTOiH0YJd+5 zs$>&-TU{i7xR>N9h)}oA1*6pgF93TEbUT1UR-@xzT*>66YRudHdh*lKXg3Kagu3%b zrtPPj5hB<(kNYQ-S9D7%calnla^WlZS+9^s$r)uz5O~JKW3&Nfiu?FOgCDKZY;jM9jv|Ko`Y=7m>WOH4kr#l{jQ zzm#{VF>Vgt(m^g3Y4D{Nr9v+s=8iD*#+al;a5JGwfF5N>nv9=YpQ6`7&qj4p*~_uh%xK5d(Fn2i%e}G@v)GLO%6-W~Em={Hyqr7kdtgEi8Kg>GZ9D=)iL1zf z%`C6H>EsL;z$+=i!%bczmEm}G2R02zw=+QUV8uAW!(v`Q^u1#xdmFs}OC;jv#@_R& z+jM}V!IT&TR55Ho6*J5k10GMZ7CFi|mr_QaY~DjQ3@C405*aOYfDKjLm4DqLCPM~H zLQtW+NGP?sX_}b7C6PmBdUYlud05ehfG`>Pq%fsSk{L7sDj$|+;Jnu7aJjEN?1@>% z8FR$n%2mkGA!S_XA&Ry-B1yD%SD$K;N54p+TX^&}z?8puoyspp0T#R2AVt7pR?j>! zgitG~!5dUVb2lZ#FW1~{YSvxV3gk{E`A`xAslgpeU|p2?Y8LFm{GLLg7%t9t#5ppJ z7~0UMaDIE3thl(Xcr*i0L+EW~0_yum@;L*?o%~9-n8B!FkmGwn+(nQE19lxq3&6s8DB)UTlWwI?&f%fp`Y7)rMAy5EyQT zMpEhW35Pi<0#V6ebf{_#bSdSPA4$~cqO=)gNn%)^ecoPrXKl`6$RK>^<5)&1K?2$! z#r3Je+ACL7*OT#^xMPd91{OXoK9G&bqqu%-$m-AfSWhPFgypgQq-A(Csi5ji;m<&( za`5CLcOz5Cd5adDxIOvsUCJ-WOyZft?MXZrE*Z*@q>wlhPNP|arn>q!JT5;82Ri?o zbj{`G(%KS3dbaB5`Y) zwy&Y((gHO7vg9dMVCzqmMjzIkzdDBqm`pA9M`w~u$hA>ipPT2u7^x*A)RTii{Z#G% z-eu)4fO4YT*_R^_GL}<(4M zi2@$cb4QkBz-4r#%|AZmI8NYC*o4FT+ z!wa*+o9~~rq-^GjEO_qi1)QAOXFzEU`iYi;4vnJ0RI;$<%V*n1StfD(^@gbX+ZBwJ z{fV=jw$6=3EK>v)N)B=S*Bgs^L3(ZUa4u(bPcFd~ZLjE>+!tW;zLW@keXQXvZMWXy z?I`_ehROZ$`obI( z0CHg{9XTTY| z)_S(s7>&;R5Z#g|0VSBV@}`6|6An3UPsUa@eopq^TAeneBw?|r^G$JTro1*;_p_vz z*8sO0kENga`h|>Qm9XK0jFSw=IQ#_&^6YVEG0w%4fg?`;yH3;BLTlKXg10Ji+J0 zYF=G0LZ}-ku_e{_;?9(o;}T& z8)K`;c@PBg+ec1rwU|9YX0t{zUF0Usyj^V@tX~Z(P9C+`9z~D%B5eQ ztojL{#mlh74tMMC>W;+5-E~NNmWj4T8$7!O6*>l^g)TYPKXNrhx5RHS7Zwg!oqw9z z+1CPP4@_iO;LdKG6(`FIuA#n7d9yKjvp5^!ypo&Xq|^^h__ej|1; z{%QuWG@gej0f)#SGi0^_>+h{8{5i2iBtNw}^R;S5U_^(h^9w?jb^>>pJtnl{4U1?m z;xN6QB`Nnw&Pw~qTw7ePN`g1_!P)kQWo(~ybQ9wbO3^f-bjJWaRn#fT0}W1#<+AhF zBqT#Gmoz^pNL(Xd^V;6`nflwlM?9CZT!dm?` zb$wOKj#u82>vS|@E`8Xes@nvG+VV+UCRF4>nOV0^xF00h6Jg7{_|A^TWACo}KI zGoT?@9;3uD zP$LK#KP*vN&gf<#5m&CCG4<_z9U0zH~R5Ni;x8;4MclhUD z;>oLCLj6bF(KE%2(GA6TRDwXe{WtX*a&M6x==HqsnEie@^h+E84vda07K{E?%n@Bx zOa|8YkSY5@2kbvZDS2H(IzC$69sy}DOnyJ?DgniB(R(Wo{mNFX#SedpS*I`E2`-bp zm`ZH&HNN3xBD-Px-X+SEeRB3*ky{T9YJdh4@|yvyPy;`4Q3H*uv(z=Jft~etb7RE z5Gvxk2aie->SY(o>Wi-p9$#QSy$Q#rsAV#I%?r)5y4~>9|P&krUF_2 z^N`}hLLa@p{AxNG;ztyR*cgHk`&wVcMB!nL{5&M1n-YxDQHvwOSr$z zQl!7brE#GL4iAwhushYa_PLp~zPHC4Pq7v~$Xp3x6UZb?IBMP~w9ZX)1sHJ}6AP3X zlbnoa7Ut}3K&rsy-W{k$)Y*x)Ah>=yT(;3@1OgF+=*usxC&NzWCs%gsfC0TOwpeLU znwlBXNuF~!;e1_Kfa_<(CTfAx3iA)aNg{t{V!`|4m{aOjHke)66FlyI&wDZ_l+V}} z&4zi_@grRxbeTk^Ycju%bs!ay9Ndl6#XD3ZfMctk34?G{*A%uzJK6Rr{V=bz6Xpf$3B zVi()c55+?K!}Ra`Xl!ge6yQX?1>7=;UQ+_ZIExm?nIVdxvFQE)7X%aBu5g%=Tts>_ zo2wF?>}qukpADa%om}51o;j-?U-L3vXv`fwO&Nw2uGD|a1<;|WXT6-^S4nkXSLaU# z9Jv@a;@@Nl6M0=Q&=HT`Qe-SmDjP4InXMYXQ>dP8G&yVRnlPUgFsJUWF@=cXm2HlS zgKzu!vH%8t^X4hksUyFfk!yO~HK~Vl>33IGdf}vAsON~b8LifPS32OLHQ2oNNmv4r zZM>?>LtQo*0hvJ$y13J&)Ag8Wd&i*;+_>mp5}E_%kpbyhk0sg>xBVDZ>;j9 zbIgP@$JV}k#07E^@+_=xg~BTdnp=CmNYa9q;gF&WhsLQIc1?U!noteQ_wu_6G)s&O zr*D8dA8t97N?=x>GagQfk*|L-viA^kc4B;f{Ju5u>cWwwE4#j7I>cUiM2hWbol`&m zlh%43w?}60SZEfDna6z*>0DZ9QB=PZHiqC&8JsUrNB@k9NnP0MbwxaieH2^6QBmw6 zR>i#yB|_CD0x9zF)`GS(J}Qg2-c!6)Ct<2#_oc!x=lRxf#2MdTUU{h`rW}Y9bWiD~hfR7LELG^vQ& zqMB2>B5*ja;DP*qQOm`46Ilj+zS(}~KA2oe_V_8Fmy1(W+v)lcYwX+~ued7@LZkS{ zdXTzM@Y4lk%MefigipxtJ~$F%|19QDjiyH>^+t`3-UV zexn@XfZ*{4_mEL;_{h%e9nIDMrXj(-=rEp$A!A_NkY!S62^f6PV0 z(a)(9RA=B6wg;D*Yl3RaA)goWeekNwg317h&7SX<(Juqal;0bt3S2~Tv30dr?yD>b zgf23g?FMVI)?^A|-J1Q!hjze>k^|2)=qw?vx|b=ztQ4@y2XO#Go4);?&1=r&0a+Be zQ)Y=~tLiVcS~nS0UYCq(xGpc*)G^Y89w(>CI_yOGlRRd@HzBjIIaYOEg;N9%yDPQp ziMzUAK(z=*Rh8w$&`c2`KSis1^k`2&V=v~On*xKNhMt>3u>}9Z>8HRVRG`}KUC|{GT5(?@E=&X&O7&0_lSbD0*wP&8j{z2+F1y7 zQYnI@`f_DC-IrCN=Jub@VrgBPq+eEjOVF9QU0hs_lxb!wTwL->@{6hPWcV`ej?`i? zi(|2gD^u!!lt7qAKr?V%94MjV$<@0`q1=+#zs@d#)5nt4l%;Y)v{qIOxBXC-Q!b$v z)%(?^TCB(IV?K-V>onO##-HUd6oHErYLdR^hfTGv#uEz~;xSmq>{)cn`Oo)hf8tL! z_!l;Oz8*?9_t*N0!+*^9rQMk%vTZ~@pCsCXr&X8Qx`$pOp$eK)AB-hWeO?M}ctlBN zDAn{Eq=>PwWZuuvC36d{qKQrOZ2~xn|@@eBftj-elnA zg`#1qEP;ZO?3QW%BH;+PuQ+f#j+^81ZP<2BKPjwqKz+V(E9(k{HNU`Ji_x2Tf?at5|baw@&gYrowU+ZL75ZBDyn7wkBys zLpdMDDlokuUrldb0+!-KEBufURigL6p6@RD*%XH>go(@^7J6DBZTn1@1vu|!YE81u zrzVP-Flx@=kgF6{#gye8M5r z_0LcNUeIP{&^&$Rbm71!I{w+ti9XJ@|7B;%kc8n?Hi3v+MN<>7^1{lOI^|%2{#m_* zyx(?LpGYW6vQWE7Tug}%YK=po3JDrSPU(*`#-xXx*v4!`kQX)ch+t7f;?Tb5J0nYM zW5Pyv{Yj7}S(Ki|a3gV~ngCnPSKBJk2E5QuOGkhPWu5wP&}g$yA_TN{Aw1WPC@U=>WmiAXE0=q}&0j zS9wit7S4FjKMe-Ht6!9(etY$^(Ae9y$(oCsC%Bq~pLYT@^KOJd$&-R;S-4CX$IgRDS(YRs)y45EytR6L zo8V(0P>q}SYTBH%ONo;eFe&2j0JW8PB&hsASB64{<3ljbU-G-p&NZ_Wc-O&1XtYuT zTlDjWN05V}@nC$*4YQNYt^+jgc-_<%u5NEOog;;% zb&Y=%;>#@~8(pq)rK(u=! zb2MioE^fLTNaX>k(JqbbAcYbNNtz@UEakBL_wj@Sw*T)WONR|_%kqgq+d^( ziQoMu+e7JHW77X&qY5pp4oI?P?Hs#;wRH>TPOZI5zR{hhj3L+^4dNsH<{wEC*0;`v zjn5CTifw#oM^A$U2A+lhExPYc{;^-0Y-~Oq$!)S)ctMJCvu`y8+!rrI+)>1b_-(jE z3y)v%c1x@(OM(Xzw=L@6MJe<83yK&K8?elsHqXgejkq(a}9q35h9}e{;*{-5sb` z_X3=(vd-3u@uEB&wJl->^%;K0=RmZ*O|Yap^UP}6*+Z|-yLI;YG*sVbgFrB9Z+aqkX9ATDfoD&UzMXE9`ydQV6d0a&TSAajyl{Qk%vC8gANj4HwzS_yGs4>E~)_TCv-6I2XT z7u(+rJ8Dj8cPL%>{M`eh|J}6&kU-57AErM$60Iz9>0j= zM%0IE+H`QI6XJrPiL>uSz|Zkmidq~b_nLMAY8g5dUO+$vOjZ%8zwhq z+e(mon(6-a46_Fv8uw4;R<9?nO4P335S}Y0lqDdPYx`Pk*$B+!u0bS~el@*yONCaU zorB>vSRvGBZvZ-%usO3?uf_2_8IB%RMhHP3JLreh=1E%c;&j*-jfx5!*2W2}?>td% zcH?v?C)RmCD7v4zlk-$N-%7WAhdau{m%-I~N(?VrgwM|5{kF5DGFkSDffA`pOthFO zyN?rzuKTRX_5wQ-;%~&2`<9KR8%rmq{JZ>;Q69uO3_1eC6PkE^YrIkbwN+PhrUe9w zK94kj@)196&mp!%CjlQ!4o_CUT07?pTnq^b=q1^=fA8NoJ|MyWvE@$iqnF?Z3u!|% z#W$cG`J8L=R>k4(V)<63?NZuo^z3H_=ru^bljV0=qVaO-I+f2QMK=;DXLglKEb@Qj z*$LCl61l;M+>%zAipitLcTo|$l+;s0J$xK(BQ)kbI1W->kcZQC7ax9&y?82Ux* zol}s4kGyog4Gvo6tPCne@GxyQ4{E{dxIUmvrls?{`=pfV%hFd$@_BX5oE^0iWI1wU zZQ}4f`zk)M6m70gBSt93+5r(6`EFKVZnY-=l))b{D?TT=yt|=~J}TLIQOgHm`WODJ zQHUlEpT;1>D{DXu63F(#OGn&sO3{&{6DDkh^nZMi}R;y zMNqY_w?zFDrDb|@iZvTQS9J=_uz2L;s6*!3*=%S*%kRA!!7X^cD>^(^rY5s{#@cA0 zpg}Nzn&f8^t!ZBiiUZHnO#(U0E#flBA$qUaK4u0%CP3=17dAz=Gw04a5dDkQ-z2y=Bc*?m zKmO9zB4TU~@*h&DsHQbnj?H^OgjB`S=hMx4zYZ2!cRJ~R|+=Jd%>~IzM{&~tOiK$j9VcMkj za@^lvo-}SHnAF?Pm}eSF`qBHbtO2_8X6Wef9ir?vf)Qufn)xvPJAj`(!s1uSf-1Tx zHe3$+jxS&vwCf#Lmlir%Kv5({ks$I-1xHX@ewz|h3){QhEZQ}+6!#2&xe+PrC-q00BoZL;Q*No2axgUKBE zuQ?xWHGYjNlG?(Ws&1NvK=&j$+L;+r^}u5_OY@U!blwxv?N@8`{PSIvD5dNUYf@t= zEH#P6|2cDbA_zg|5NhsG7PHzGb;=-+C}!N3_QQMQ_LjHcQut2mnfF|)1Ixi7n;2Am z7`)%X4?;z3YI}RKG|q5LfDi*g)nzMrcJs}Bucb|!15tjva-A`?|^}*c4BJ>n37eDS9ZtUAs{zbA|1dYt0h5uF#aP zBlhkR?XzibZccJRJ0yHw*LmT5*2Qox}bmJ|ZUW+J3CVFT6}m z?!sbAZT&f-qM8PnJ%MHQ#30-jq&aBo`$va%l0f`Uz8Rp)^JW0LPC?K~;?8LH3_4kGprj>5na})if4b@a89xD#^aq$%NhQ7o0Ja13z}Meg7$5i3QEx_W8Seo z8tF59YU^5@vp*wfD@kmUqd1XoB7uW^wUo~86P6AcGvYLy_A;9Ur%R@!*;DU;HpvZ= zv0!g@XN|A)D2tr)58W0BelA0n?N@Amgb%1?rf+*tmCsYdv(fH7OG>1N^yuF9zriPI z(P$Xbyj>Sg_A$V)BsTwsW&c)UbV{9efs=pn))$YfZ#mJ~H$feHXc14L@Hn@ICCVf8 zDVczNr9tLh0hOnfp2sGW0xS zl5Sr;Xy^5z8Z&TTMOVNW>%X1Zc{JkePK&=9c4p(~7w5w&1o_m*BKNHbu&bFJXO1n7 zQ(O*HTy4>~-82vxCWHbkUlq8wan#$&jAzS?{Y+cy{x0#Rt=BqyojQDRgHD(JE-!;l z3GuO=1Xu>ri}S!qB!b%{(50&>M;c!UlWB?FKkE8ve0iySFGTb?`|kbny1zRLuRiL| zvb@CoC%KK~rBm()2BC`spz>?EA3Fazah4?mfn$GZZuU~c&kRi}VurQn$U0)oWbb9o z46_w#>dRLb%UZnt{J|Rk!PsV65t!>^+zU!ic-Io?bLDpl^#Lod?`H>0vkwqU4GVT$ zqsLw0)B2k&A@Re4u&w8HtQUkm<=4&U4#k2B4_Pc?^x&e9TK;>U?(C8m{N3-%4-|IR z^-kVP5p5LZ1XySASU*tT`t?OLxqWB5z3^QVd4U~ zR*{|-%oqQC!Iy=95rR+f%4S!VEIcpZS&0vWf0qjj{dBOAmy;dS!edR_yxE}7H$qx9 z1AkVv3E9eKrS>c-ZUx^dQ3o_pCf3voZYh6zD$?j8=Gdu-M%?9khM|&X5poIMKIwv8 z0`mO6cr@&YnXmPI`AU%u2x{aNR8cVU8if?aW`J;$L{d8X>3}riIj(N?n~`BVu{v>5 zgiB6IkWt-Cv*JQe0XUYa;@W__KVJ$J!K;s6*KmL^H7m!Q2zO@%!kQ z?~1#gK<)$i=8!i{5$flLGUostr=Nn60MOEP$(_pj)n1x>PH06RKiyi#V1xlD>o3*`QPzmj0V|wq#>|0w6|k zB=Vm~01sBa&-{M$9Tqg9TGeuXL1WhBq6-0#aws9GFn;0U%%-$!YaiRQ!an9ej035Q zIpE)H# zn{Alx<1M+)yfsv(*m!|#Q3H?KhU))jTzMlrE722k289$4eK^q8nFJ03<-|vV7&m_uaWCZI7zu=VuK#Xn5`b{ZK>e6UjL&ezoeo(zKOz!w_ z`(ED(WD%l;O*cJV>ax^J1(n9CTUegl?UO*QEA=oUCU~4O^ulpE4g7i28nJ3b+lPH8 zbQF&{nFKk*^`3{->w6auu8fRY^*;s*l}`i|sM6FTMi5cv;zr(IL2Y+OPVRf02T^aj(lgXTp8ciA6yVA= zCVz2d61bnRh`#+@L>Pd0P_`hNL+vJmy&-BXr?Dc)Um!*#ZYnhC2M{Fon(W~ zzJ|lX)xXuD>O-?hysQ!$P`QP)xu5Df55xc|JBSOI*oA1|(AM!!Uw3p!p4+YdurU@i zCoEB-thEPfr9`yqUSB~>wd%)m)jl(-^cQ#ugx?3B#^aq-fLP+_99~~>bUiN!lO0s9 z9kDDRGb2&sm8|9nFsu$XmKyVsyk zp>zEPcg*?U$Rg|au-(f2=cnh`4#<1h!((R0!^89U{;#1mmU2jzEGY9`oFvztU8+&; zKkIz7@y?XT^gdMhVacuy$QCvkVEQq5w20Hf43 z%`6xD=7xWYRVJ{Ft&ZCx&>>b4eX74mh9AX(_m8THA}5w3to#A0J*e?&@FI3r&I3TL z^MH0s5>;hb;96wP(mN69D~*z^Rvfil^B##M+s^jZ4?ok7Ow6NkRDK6e_(xmaCFWpG zVk%EWRy0f)J3f)6nja3?+i>t*KAC+HQ3bf}`T!u!bcB*DuPNLb36ipN1`(^o8B4-Vr%%&{}RCT(*2kEJS8$b$imxB{ zT9=D9sJ_E65V-0k;AV^S^*dw-ECv`mYoglUCR9X!lXz$SKcYNQ&dNR6!EnWj;fB#$bc|300; zF_bC#Ta^~<&Q4Pzm!>w=l{ZODqDf4(DN2zdQ$#M*ozs>vhRcpqC$cA3Kbw!0i<5i2 zw}8s)V@kY|GXyL4rCWxXmz%grg+EdVzR(j2_c-4w5cv7Xc?~_~Fl-~-N&&dPTTfu( zL_R|(hJOXama>I1xcKR`Z#olt6SO1FdBxIPX#i1g7 z!IsVFvs4y)z+f8e!B_x*dAD>3k$9iQRLIyOOh4_}I<5sqI}WtxWPxnj zf*tS0@cOwSfq^jS0OBBQNSIizEAksg2rm*g2s$Ho3NR$>d&dR`Js9J!P02|j(b%go#NDySE@ zgyjzpN4EumOiQ^4kQ4`U0pgwMj+;+z?ShKAh*NKR*$Zh(^17esH9#phDgdFY(&(aA zg+gF96QeF;Pus1tFh+TJ1V8#Q1+?B{o3{6ANG)nLP4ISyh-X6yYC{NWk5WGaqPcnz zS*^xb^|isEXF5fhuZtd7{PEmG?*!l%OMz~e|FfQx?Wh#DUTd-i=p0i`wcvur%vtis zdq8aj*S>5H=;O7x5ple}=*iv3MTd~Z%Mz8tBTqLq-#>vtnx_-KQ&OwLEvuh+C&sD? zS_VfG8w6_?dD_4z{O5u49aiL=`W*H2LHbLw>>Qj2{qf5en+lTRdAi!!$pDCU$j`>^ z`p59fr@R{%&T2>n;|8+uchAlBO|_AxMum|k zlknAiwTzYKJV*~*H~1~fe3@1kBlC;ASP&dIq#%lQu_+IDjloIs1p)gRaI$Rfk4#(7 zt8+tn#PUfdVw%8N$A?A0hUdTjFe-XKLach2vY2E-(CI%H8S`{c85OdrNM&XU%C(Y% ziGsa9aKuV4xFYf_@E1fNTYq6au7YMGm>byB$Eh}H&Qor00pB^>%@@D#!BqeV?8P}> zL*RV}8Hv2jc^KpD(+m==oL|ec8*`41l5_gMogS{@{IvE?#{N3U+Xx>5Q;pNK?v}*V z9xz;6R7(s3SCJ9cW?K;4QlJ<3MtmXgkqqw+O6G(Z0cWK2B|8idGD|H$)2bWcLu9{K zPzHU=Vx!Vce$N}^;<@wsO36|?iup!`j|HRfim#?F4UM9os;nC%DXaFc>p%OhLefJf z8_JuK@56Oq4q8}Zl87L{J3|1~!pR1&dzD}Y*Hhe_fkQ6CQI-m#R!F5u8m3Y6w^4%a^~8r1U})0L{&l8{ z{u;n5|7n;4ga`Nk%8g9r&jK1fN%@XEEzmg-$R*wFnz7u7EnhXc?aS3hTpfYa)?@<$ z$9ZwDnE<8IX!pIWY(OdIfCM~wB?-k7B$GJTboPg*=&_|cE^FV$+w*<7OVGnDg&jRWCq}NdtCsxW6Hn`rlz_A-hpzZ=op1* z?pXzIS=_Ee(((QZ8fRDiOw?Cre#x-p?pysknedj#>W@dQw%|Co~u7f~AyZ-b!h0h<> zvU4<~OZv6cnv_IVS*_&+JT0aaW}CjW7S-=`^c$-&QJ84{>Pw=W)$ z7_#$x>9ZJQpO$(2dcpkU&3Jd358d|iRG;3OW%iwU3t$jPwU))^;!_ym)%Jl-Tz?f< zreF)aha#A@$YHZSJr)^SEE`FaK$sgXv?H@kXh+TIfPK~0m3|E;dEqmMGX>?VO@I9r z0ij1dQ?yK`4zsj6UFt5C+8{zS6c0D4X&}Bu*il0un;3OR77m*`K5$L4doo)7py66l zUc|49w3EZp8Q1SMMiO=)aW+>?<$L16rc|L)cJW3ed`(V?tShc zLZ``hJVoNWCfq@ZLV`7}ny*j`7*n{`XH*zgx_5x3S67w`b;iU~BAyx)#-YS+gG`yRBj$FtY!q$$WW_ zaVC~Jt3n#;VlDLHVH|fzYW@;mw(_3ilLP)BSsIUNuiE)tZ)TS+D|}cRRYxH!K11q@ zWP5XbTd2$9zM*MeFxp|Qoy#n5qHY9k(6HBhPf)x{H`7Xu){Ltx>Tzy9R?O2H87t%X zM3R@WsDxtsMOQ>%b!*TA<>0F{+66jMo+L@R?iFcJFtJeiU6;KLwJ-$_kMVxo{{#mKy{`n8j)>^~TVAk)I#%nJs*A4pT>8oD5H~<~D>#ukuMV*8H5%Vt!v9 z7j-7(gUC9IrLd0u)4;xvYQ^~&VfEW~@?>tL5WhX*x@&!x)o)L^$>X=Hft2m$tPZWE z5IwP3tZP*&nQPF+kwW7z)1E6^YEv8FLl2dY*K=6Mg=o3FaZWsn^fgUlGcJwyceX6(Pkf=?4R|K?!cbDfOKDR3@pr_00*n<|x`^gAzTnZj zHaM4)h7B_1&DuAS;Y9pq?d0wais*6D3gi%f5TOofHfUYSCx~~%pZDPSe7EM6&Ay6$ zd5Q0tK+lWOLtRdtrCuIODZJCYF0MmOON$sG`uZM0gJ)Z^9vXbN5E`l(ABQr}^GeGE z_j(RI3X?^xDRQ5wzbl{gjbJoZZ6)IRV>1WbSKdbzEP;n<6_K@O*GSI{BtKleM*l8+ zlyuzlFvu(ors5*>`!g^6efdwK>2zJhWjwEErlt9?l}Eh30(skNrc-n#;kP;-)?c-p zpnf1FqonV*#D|~FQCh%LB>V=#3v7I^IK6qFk+As1VOQpmCyi}b6OYL0G3oyJ6&fyYE1jVj0lG-7+mE>DAZ*8pUDoP7 zDHWT2Uu}~?QKO*YV$tsf^it`2GQwl)K|k|%SlC{L6WQ8`4k*G8liSPKe~{dY&ivwr zA+W?~xgM7pQ3Ttp+$*-~I$BlLxK&t0_UTFpk7=lr!1mj_rm6vx!XleVN2sY1q3;7jI;1; ztUS|P8y8-(AUxfl+vX0DF!`CCYMvd6RoBvG@%p8RgHBK!kBAm=&lM`ZS5($Vo^T0a z5=et5Q`Y{3Hq0bYYOt4)>?%jPD;wZt2r&&)SRDLa!BS; zT;ei*k`hj-!G{cfytMs(#4F%trs1V`X3tH(=r!kZN7SsTJWWRlrQT;G|6X~&f|N+R zk^Sn6n06zDMr*ZObf{lY!L4V(U%PL0%=lMJ6EO{G(}nPiGs_QT#(CXw?m`QT;5{_% zq62NzVyQK6skJT`oe_*)A|n>#1ru$Q+)HV0H!i}-z@$5sEAp*+Djgc-suxU zE=>6j+39QEd#erl4%4aa0TY=oB67G*r!g!=6_)gu*t@cJInJR)%)J`Dx|6P5HEH3i ziMIv0_^{U(&Ocg--yv74(u%G2Q*TXfU`?y;uT&8%fEh&fd<-*0cBs*`sUW z@muWk+@^=BmKoF@^~^|+_f7#E^svwN-qc?t_Wascjp zXbI~wzW<9PLF}63gI^?pf1dx=I-?awD4rePaeU6$`^K;7=e22RMh~I{bE1S5CG#k- z2t>;9M#{}dGxka|+7Kns6D4GURKw_<XKo8S-bvYoU<#{;1DhXw$~0PIB=O zLNc#+p;;dO?qa}-f@yE=wE3DV>ShY2Q%GB5 zjPTKa+8JUEo}?T0XP7H3`M zNkUVuuRFqfUs0qLOHH3(X3RW8*a-e0{_y=Av(QgBK0GId6VWr~zOW{jxNRq8$}!Mt z!WZ^sY3bff9NqLw&;}9H7OPvZvzg{QdbiAa+EY#KpbQ#BJ@ zt&dgVPLqP?zUen=509?i37p8=E>64srG-q-ib-(kW&#P0--t}|WRDVk37$D0<2^s? z6xhV=vMdbbJ!mT{awWUU%u$fHv~_ICwwb?F{iU>}cey&}e@vR1iSXhAoNI79Ld#^o z58MrF`%TtzW}n+nzPSCt>-)&z7xJnZ(M7L(uk|x~7k!)KX(e3w19#$X`5Lgqry|>t zRklXl9XCvLS|fV z@UUS#a?`8dZpU>_DNKCx7xAIB->@0~l}K`Kjo<&&o;QfUyMoj!LBv~^i>-Y4W@Vs{ z#3LmZMO_~;zlZl3H(Du`O^MCgqXx~8J@EtMb7SpO>Qjk_j{l3bw~njo3HL@pkd_8F zNQyK_cXxNUn@vcINXJrO14@H*x4T#b6TbntLVw3CI)D10(84&Ut<=a>c7UA&mn>cG_J>RmC) zRf7nj{IMh@h+5@o9o_k3&%jWf7%N>9+^h=)Yf~m*YT-j9ZxM$wz9;)`N_Fu#$;c8= z)7NUHuUF=ElrqwFcXdrq$fl*WBgehtGv1xP_ruM6ra=ad`h{`~CZL$5zpP5Z2&6e@ zoE_5SMqj2ql?EE^ Y?~hM#;4e-vY`89q4t9Bo-UXG?kVt6NU;W_any)h!?XLtj8?6tz_Ovmh zF^`)niaa^(1+gG&u?<*-Ufh@LrV!+8TowOY@e&Ee`VB{04l>;0MyFqCP(XgKos9 zmHg9p<}Vx@;%lYWneLzzKbJ?--&|#!NKAhuv3wDG;1U_O@w5KOR~$5M!@wDfci>U<0o*j%E(=skHw7Pb!bs0uHbIO+fc? z#SwHL{dyp-Pmsb z(LL_+P$33+YN%oGf`Ea?Bceq-6wK_ws>03Q>cQ>pme)4$eDikZ!Rn6dPfn?IIrJ3G z&KBUmNq*v^aH3Wv#+5! zId~fBVN+ETbfzS7F7Enhqo>43`Ib`l+Elx9i32{Kh(Wv_IdP`ro}2#cT-uFPC@HMs zMQ~poKIKoz-Cmzp3aNjbGr!Oj`A7=W^7u-k+!pp^lfE=P z22su2*G9cV$>KOmud})l?&uq7UN%E$PQQSZw|`(iYLB4Z|8{Uw$rvGfQQ?P2rdp-@ zjSKLF`Vdio_N{9e$-Un{8mx0kP zvOddFqQ1{&9a%mrsL5w+s%EsF8UEy>*v1RNs_x2rVU!qmBRIKE2j12r6`b`!D$?z-X^BiB8bBNd1w) zYUfK5+A+tQ#SeXLN)^kG^v^O>+gc_eYf)8c?foW~yIX_9#v`y#?j;BC@7tL`>YsnW za-TpXyy~+~FED^icYaypr>qSfkf(5vhY3!c-sbcCbgu1I#8lIO1lo9bA^l{mF!a~7Pbrl~+zwS>X9-~5ux zZ%XQ~!GFN_R@_yNxAjoFbiW; z;rQHu|MiR*IX>vI33g0}U%fY++Sw-twP|7QXWzG7bVFB8aT>-c|T1^z3O z{|h~yH5fJoW{bO))5}Ikf)>LC!)fqn9bGzYY6ek@0Dg;r`O*9Bk{*w;d8FMNem!aU zRX@}dG1T*y9lUaQq%;4&5CAYq#8^+n`2TCd)eN{4uFD^Kx*?0$WICz$zc)?~(qa<3 zz6G!08+rR5H&U;mu!g0@X}l)xcltqJcZt(?v5ZBZe+XuOh7y?qN(Fi*UOiC{+8I3w zy?kx$xxdftezz7FbsfhVp~Y*^tedmH=P+PNemR5-x+btj00D`=d-YVjM%Tf70Wu6}qs)LHC3hhnD84v6zAe&xq{ZzU~ z^(1hrSB4HYTRD{%(_0AKVeej3$2K{((oeg~XOuw3L-KON^NEb?9^vT~C z7>6B;??PmVWmJ=Af=P#I)$=M)v_sVh(ZeqZ{P#6^AB6uqjd)fr3mo)Qf^X*sW|@;Ef;$pwUL2~{2Ht2v z2U7;EkpOKJxtl}&+rB91o?E0})nDi26?HGfHO)LyfuyJ}-{d8RA#U-5dvS%(;G%hvnCZ`oG>lkea<+F3sRbv)+-qdo zj~{U&~v3{Ntd({PFUmP&%fq z4wSp7)DSfHS{Xr2;}NhnQ~RnruCzW)yCDH$HS}mF2ARen5Q|KEitMwvgnopM8uF7< zf~HzOL^G1Cq&|%;<-Q@L;gJuhzlxM|bcl)E_$-RRH>6K7Xdf4}G#1cYk@^mJEx3r4 z{luO14FVti@@~roH}Q3_`tQ;u|L(mf!=Z#BW}V*Psh+ zeZ;TRw6Df9o^5bCAmC2q$8+=4>u@k~4p9S0C6h5L1stbw8x?FQ}y4m8> zzA73EdH6(^@PSjXIccX3|C3amcB;+27ufhYe@ruY z1<~XThQzq{77#$wCKx07KWSi`DfgZW$Tg}NyohMpM26WIk~}=BZz%Itj{GFZzbgih zFCY!*w|BpuCny8m(oZ=qQJn-7rgI+NLdPbM2DGaT3&%Z=m&lVf;@L+q4i9A5*+Ew) z%%3T1`Bl>by}A=Cmxn0;q*z>Qi@za|(bb>H!w=W* z1o^pPfqoF=b^i}uFdvHy9VZ)6ko=eNzh(0uMf{WUzms%CvP5S7DczsR%MZ6<0r<}x ze}koi8FYX}fT4eA{|6F+A2e$IeT=^k1U}yX12@Qr@WcJj%#rKvJQ5)Ho5LZ;Mk)O` zy~lv7f8pf5`~qU`J{U2&FK^I}6vbH%d4Paq@~e{+03{^+a^x>91DC z_*ZPtsCa*p5b4|_j}`dIoH7VGKJC>blCV>o9;kNtZBi%1+Um>w=fwDKh`9D8W6!HI zqSK0B`%BMrE)s)0hEtaFS2FT66&H?bdsaiNN*(7`JVjje4!Xt~mVl|tJ*R~o(^+Rr zx9hXc+41@<`}FVF7k|c=JWyhO3wv4tpJeD* zUj^|X-|k4%{($HHCKl?R0C z<~JFFq-3m*zRoktj4DZ#43pG2J{?1~4{m53HkJT!6}feCk(ZT^FXv)qG^;#oX%w!{ zCN5ss0DHd~&aFfm&uxM2CHrg6bj#TwK89-T)^W)C&}xv)MX>%vf!vMHTnbOzyw&G| zp!nx}5}F>D+sSDs#g^`yAKr2Ff(7f0p7_3z;eQs5!1(0l?yJ%fx@C>AG zrdvasm2d9xZvD%i+M8~T`+i;0RBL?|m`pi+Z<~Q-^?Bq=%Oew2lHIVlirS@VOCC&e^(hfJ?Bi{{6}S3) zgR&I7VGGimPchw?IPc@taA_{RzGNS?;>|ixkddpe)mpT?5Z}^UUa6}sF&yZb-*j19 z4|4?(Tng0L8QQ3E>+r=fD6}lU&JORcISjioL#wqI+4UzDQ*LoN&ASo^96cR;UOab% zdi)J}*}D=5Gx0Ow{|$%=iHiz1em`%g0F=TgcG|68)=YHP#;r-0T*%1F4dk~I3Hvo% z7^qS`Oz*+rE*09m-dzrElZYWgXN|RT1e`we*Kl!fa4_EfJU;x^)HP1Wl)*-~@(?1_ zk)r~sSA}!EvJk`J+z1fG`bOzR^t?cmp2B|kI$p*=oq6||^wm>axco=qAK|%@8-PK# zh5VMc2hUUY2DDqgfQC=4UnAZF#OIBr*+9JQhh)Oyt#Rl0-gw!$huMxKAw=rNz_MSd1nw%?;_0ZjB8IeqZm^(;FuOXTiJQA`o ze--MbmWfMQ_;k@b&Ixz#NP&r%7RW(la}>vo_BrfMC=PMp2}b4~>MD{9OFU;aoBWz< zbVFvnPAV+E)}`#2jT{{FkmIH@(=xz-NzIGyy-nY{XzR+@!4mM#q@G+bU2;sw8Fnm^Erq~$*@y&D%W z-udT8$vVdJPtcU9uLXprDIdah(&G-iIFt!;5ZoD%M{pg}#5PHA@`S(dDUqQx{0D_T zTwx!1!b$Y-6|V)9)PzVzq0WX{_Yh-K+x+AKn2J<;9DEOx4jbep-SZBP^m@t+IBA3r zlgQKfVM%UPym1QH??53ZcyeJsbHtpLZ!*>2<18R*zE=OXS%c*k4RFnsx%+Q+$vq^+POAG^>kWoW~r5;fnH+R-ej9~w65 zw}V6}Sv~YEOVgjbec%JroW4TVpH(i1HmN7Px|OQ9Vu2UIP=c zVuaD}Ts?iT$InXN4oWz?Hzc@?M;bhFuYXP@lgRNIN(` zGJP;K{Ow&MMWCyXuE~o$v-v0emd8?D?)9aaK|ob~mOW${!&d}sMqypo)W^nTS5=hnK>a9PV&o((aEoovbxh0(S<|Fh@Fle+je8lO%dwa3fAdjHy;h; zQBr_kg~^#G!2^W!gtTFe!Tgi7+>NQ^{`n^}Pnu9YY+Bi-OJFgEt?^_HH2e_qJDgD9 z+IT*0ip;nUJ()@^7)0h7X7YT7*>L^|TuI~dz>d(k$Gk8?>jm|%nUTJMc~9Qe=N!%r z-`2R_-(Gp${^Yyao+9iw=?PfbOubBfFBf(h@qVJhtaj^1#nk0l#9>a?ReRUAQt3=~Dj4|3 zv(x9q+oj(T_?N=iQWMHd<$HhUrzK~@1P+Q)5dS&81I=5 zmSjwW`2)5Pb+6PqcP|@TdU_2KF86D)9@_=<(%E-B#33Y7!N7v8|%$%$q zoa+2Bc@b4`sKiO4xXtw6Us&Yo%ow4WWWd?*QZ-+iVmy{ zgDUoPBP4i>CxqQhH)w0nSfj4%@CP1E91yr@;thF#I7!Zu}hAo1#3R!I%)s( z`332=F`NpAXP251XAA0F&Oa#S7e^3m|MG__USEo4Br=1mBv!~$>5dx()+O)a7s)@AlK(~;jqS_u1Sb`d+dHo@XQHEoG-oIda9r~-svRa60%lp zDCl<~e*m?sApG9!pIj3i2Fic?NG|2TU2|i=t@daO!UsynVO1|qa3sI8itEAI*==6^ zAjmH)u|>NLbufd}KLc;2(aXg>Ob6oQTtwgHMZyJQz@0FV;Jt=!N-NTD0>RAU4czVx z8;&rrLic8q+r~3`R6E@|3*)dbB}@~bDi-UkKD%wsegx0=k3 zF<3x=5h&eUZJ2W(1-kv0eUT`G?1%VqBHllr0mLAf)2Ep)-c0Y|&-;O&41G-sOkK z3QT7tr*TK@hEtjv&kN|YWgqyc!7|1XBLdluZY0`;vDlx>DQ?_8$pD+VF%5U zbHo=z1ydeZ&l2QE$)Kq~_NUKl-{^rNyumOxn3hy0{H2m(52RGBYy`5N>q-D4y<6oA zK6GAZ;?`iFA7uRXoRu-A<1PS>8F=r!1HFaZ*5Hay{S)^DcgyFq_i6R4+?@e>svXJa z*a-L&Jjxal*thUR^y$aP=D!R`*Q*k!nm4DXq-pMMEjy=)o5kHTl?i81p%ewbpp&Wtez4R$+$opA7cL|YJSNa5RB0ITMynRz;3hF%fAZ$-8XpB zka)_tm_kHq)$A-Ss7BoGqm!Aw>c8g4*)muV#F415?3t&)tn!NK(6$M?#qRkqdMN4B z;2z@nwS=9tr&3<&&uM|&)!lVwi88N>XY^wRUOBhl{LjX2ck6BsCIBRLg5YEIKQHVz zu~x3X{#K8$a2WmscuzDO!*YFTe;?k|9w%8oG}u^0?O!fJ+8Cy)HkgFPx73f0-K}t+ zQNHlr4tOS=FSQH_lBt^bmBWdzPmV?;I|d@`bU~ zPP~)z=n~kxjz42&uCD8+q0NQX_S@wN35!cuuZDHs|MAz9A*t)d_legr-Fh*cpByb9 zdlrvRBX)=Lo7i`P2~$yO z5=_TN)rL;GivsN}f&iGR^rEju_mmkL`qe~YpCEW|RRLd6Si%Az&yatBDG00%&CRop zGTgbqg2$`D1O2Ee%_2W4}U<`c>De}!34V=;mn%ZGW+oshF_=j*m?5v2% z$N0KClwp6iEBxFfUuNkpBUYa&Fum|r6rRBU6i7@JIW*>P*zqL4b@61g(U(-4FFBTqS(~)U!~!X z$LF`B#z^mCI(vQMd|IL6&Gz$+-eA=i{6!bCiazPn^B|zzZilXQ-18aTCXi0zihrD$ zV*fdD$R<+=lR!w}{-*o!hl$EU?i!j{=Zw_kyaxnN?o~}jGa0rrKYd>O!sI8|**_Z> zQM~XDGJxw&uB%VWKoo$S#cpYs-t4f%NtuK zxAW74_A|lzzrLYHL(mPOA$&f(<}=V+Kc@+I{+mW4viJjmHwJ)u*Y#4@Z9AhyW6CW0 z^n#@HkJrt2|J%cf+w~*PQ-g-Z^L)X#s`6fQ2iqUqhiBha z=nInKgnGQrZ9}U1>!UQ>)MhKo(2Cj*-tX73R(+DlPnA^{pz}c%{&>{=5Hu}{-ntl| z42|a<#=L508u5VOEa?z2JKZ;jS9K?{J_J&7>FZ*2jmlvuqwMkR($QyV%UyZUyW!W* zz@U@gP;2n%>3A4Yp*lZm!BC zVQPfr6zHdWv)-#11RvB&U;GRN1|Hb)C16!bk;jb%oP0trB{SRB#81e$a(nc5PV5wk zpT^yr{UF8k?zsb~e?>uE@A&%{DkPB8Z;Q(|wDxIS`&PcngYa50I>uISZJL~|li~v9 z$q}IRc3FDixO9y0X(4VF&OCfo4|p^KglZm`AHF4cTQFe1+BjgDyiJ>p9XL}_22&{6YQ86d+099 zZ3>y&r^J7NkgU5YT13am2mnY+cQ8j zx#+x9^7rd|X+_+6YgHJoz&nB*gLg2osBI#JqxSXr<_`V&xFAng4u_}D?LR3M^=#Z9 zx4CBR-9aTB_mI|g zl5^IWKr2=Kvp%npK@a}kwb6KqtrpY@4ys&%w>(G}5y znqi|&jUL>mDx=5jE0>BOBIM$N!F39veS(71gNDAwe4PJ*Bfws`rkH;mVvPfPeDM`ixS_&Ws`%&5l|3B%Vk z%yS@MFb`r?qCPKT@+U#aVR#0B1;vf2&s4E5fUP+3sUDKU`m@wr`;Bi{zZNVWeCGIj zmI>4_A-n7CZLw~}$3)M$8N$qCV{?^#&JLXbRzo4Yjk*a-P+s>Hx**;0s}O7Z8NqazV@KJBxI zVJRS{;_UlYwqkDMAzdGHxl%t@`uQR4^Kp%i>_V5_3sd*puiY(G$d9yAV)KSHSrTo( zX<+__|%#fsBbeeL?Y5;@dHz1PxU zI5{r1Ego;PiWkrKr9RKqJuGe7VnR@mhPiU65{;v^;aogw@4iB6E2$lNz=wmMgRtNe z-vmLHj)npdQF;PD#~0{NEj%xMq7{YA^M4G$&`xx@ix10Na{C=!8Nl5gb$q4;1*nkG4m==+Z)%sv#K| zHiHV?nQAAW$w1e(_x92mv|La}xi zcQa*oGj@5YF<11>R#$?Gg$`wd$AHSC&b{Y_-h)BKSe=&VWq*$%ETcwyotM?Oz>_RS z!<|iN#_Hb-ky%9B(?mGObKS1zBY_>f+R>0tjd=g+0nz@;TC;$GXVU^QTfe{D;&)3* zEdAiwH2?Z@SCIi{!;yhE?K*~TAmlk~kP%*vl_9gD!HSh(J@-qzLMJkXgUB9S5M(R$?CnF?QSSbyB9||?zW7+C5ns#6>dC!3_>;>QE>{L z=b+dBA3h*mPA3&hGpV~SK~9cp5e+qL&eU|HHZrNz@deSChD~J8>ChKhQz^-UQ5z7s zh~g$P?2F;r9g+Z42*_gOYmeG}-*m?-2q&}ldRg*s5Pp0Lf@+W0WE?o$S5$(J&!uA8 zdf41NQ%-z>F8vS>+(1mnMR8?7rfyGSRC~TNHvM50{}UM$=AEQjQiQ~FOEH{t^oj|T zG@_!J1tYo74^f}N$=>n%G*CZhb3Lvc(lXd~MrUA@%*olY^{=PZt4EB|#XlMT` zg7Wu|9Or6~am;S+t#9mYAf@62m6eoUyF*7trI&gEZdDg?V|WQAwqK?x{gxegs=}EY zyr{|_>q9T8*KD1o%E(bn>+;Sepa(DY7%p>OULJ$jAt&OBcH-H@H*^xfVU67yyL<_E z9r8^C_@{+2Y%lMdTY-?38v9IC_ekmGa)-E!O~#eIrC7L^Tpg9C@%>Gx+zH~r((-K( zxRzX6FCY4b@MDn!RBGj%!>p5Og&@Kis$($!B{f0AEdc)SJ7Mn^^lvmfT$dIz;WQ_( z%AiyO0Vz9$j_Nyi)>a+&-x`{LqOJ_^4v3WG0}%o#D!9U%c_;_b?|7T29wr=PDo8{C zIkpJP`8w}L5BKFs@(F{DEZXrRPVxs1y^ zySr$9Ar`I^0_7f`J6WJ}1~D^6r-tJCkD9M58u3N}5}mU(f*du$i=wn>5K@gdgM!$u zEnK0qQc@5{pm2Kv(wAx;r|?n#4R4&KR0nG?@5=Ya9hal&2S>pv6 zi0=ed^e8=9_13wk0tLEzdO*+yj#bgav^rl%*>Z5`L=C&tedcONuyht{RAvZvS3MID z)=@$556YO{hbuga>4zv&8FhFS+rk4@jde^EphvX3#Z4s4souht>IzTn-s|w%KrgpF zYk5G-kN^%&Fj%#L*&B1j$sFi?RK@-tLkHh;>u!~=jRoqN(+NN7w#CbcX3Iau=FaOm z6`9L@2#ZMb2{ZC~S09KxmG%Nxrk{$efU&<4^d(ns9h|~Ae3k*9P{GER1rG9%gv_W9 z7k_3R14nbf^_kr7ytqh#n)4SyUAJ{New)muwk_7N_r+1KLM=PEXiC zIc=8)JePUEr@2sRb4jxHuyfP!1-vF!2K_rIq_^6Nx`Ad*Dqb;;@Z;Ox((>J$Hjs@! zZ`HX5us({(^>?Sj(6ec5Yi)=dYoYMLPFly1gwrqWwe_f2A=%lH2^q;aR3XvMRW?DL zy9K0SoUKe8>*KVgo|i$HA2jobVW9KT=2ed$fE^^8|6a+#Zo7vL?Kfm^IcLHF9tw0v zukF3p%M&JjE&{7|aY`>mRaX?_k1`Mc+erJF?y%BalwU>9k;}br+2@ zHiBk#_?`}}lR%4DNZ~ShgP0C_Cf+42wkHWr=1gSNn<*3}5gh=2wD~0{4N0Z6laVOE zyRX$b&b)en3A-f8$D+n(<^CO%C*A4^enxCh%Qwah23T;!T`iJiR9d<-pK_^2N}XzN-&4BKlzq?%XOogc_THyb60_B zA2i9%7bxB`GA{`yxe>xL*&Sw>|2zZS^Ufyl%Ay7d!`5V+!6S&z?Elay+p_H)Y;5LD z`)$=qD<;0<522pLMDuU{`FApDEJNqeR*jKyY-OOt(fW0;YwP4HcF0}k?6XyVaI5dt zaptSR(;p>BBWN&vJ~{;M(Ir1g9La=b`Lc&!B&NBCf0I-N1gT#VvpA>$WHcH0jH*G* z5Dj!KU+?}0%vfaWsU4pT*kbPWK^;wo+PifR%WiMvuE&5si3fECZ(*lohX`*s)6CvK!L~00CZ;g68b<_!$-eW8I#=@cq#guv zK~t#)>JmbB7o?edbieFa1KUS&-(}d@Km+mT`w4On=)?xTl4;(1cmACo{(eE{(cJHF z83_`{ae1aqG2jjvUMrei1kIGqEVs!+mc>fGDT&Wd8n|az`WBMILt`gjAR+D71a2Zi z#|VsqU$Xv_E_+}t1H2F1!S#9U-q1s5qbPSF1VDG&R@Z}aWc5(B4@^jNxUpPzD=4&} zKI5y|I^I7*Rd#kyYyHEDb@9quM(&bnUdNZcuctm*Y7^L)^e~5}nzVCCDR0pBUD2f&!FJp3 zZ4QeyxVoA5Osq0EZ??l*ixtoRx}+C^o4H7d9J$)Feo9bjBZ>owXFN{z^lILavz&29 zS_5Z8bY>)n{G?eve|UaP2UF{T_P6vA?ui+6FnmQGn)y&!1Dfd*hXI3NzM%jI8(sO} zy!CzYs$r>zIhZvsN#ih@#sWfT-<-P)6fJ4;IDP?$N_G@EHb9f}swsUW5h>A_Utn!7 zBaeO5L?bgQlAPy-f8?V+?tkF3hxa=aV0r6>-tg!YuoLm!w1TG2^q5c-Q24|=$rn|6 z^Xw7$rL{YhiJNcjJkRR#-wx-#{^moPRdLtnn?QC_ATfVT5Q{P!Y@Crplz_$b@x*m+ z+}^Zn%!GitGXH@711izgka1*Y|GWddRWyPH-eXHTSG~DzE{EDu^|La9l;hQy0?^Fh zcc}!u>fo#?y@5JknGYMG2xpqaRHUT*U~bv!kLgW+p);PmdWofp-#_04w~!24i)0E3 z8h#B7p(D_!pLS6k?>b){p@!DQ9KE5|M=?ctvzb%vw9+7$JHsPtYw zD^qN}1c}bp8@W=@ubrZ<(+m z?O|l$oZlV@L`g)g*g=oRoa;t;&>I@4%7~|N`tv)lxtbUiUn13T75Q1;*%0(v!%$En z7EqG&XD8XC&brJNYP*mOv%$B&zahH5?ST~@DEbQ~l-iRo2T_XFoe$ZZl@heq2Dh!< z;S|L2it*@553~Z-!+J;dB3wW8u~EiTGs}EF0eTx)PG7`g`5mCfG`*C_NJ#G&n2S(U za=?WO*of52Y8bIPd^ek{=e*c%; z^#rhadoyzoe_5XB^*mBkHY;9cqD!;AlekUe;40`BjIZna+x3uW*l$Y zVMjV~@YA+jmc(h#(?_=&F}D|}>%sY9iHQ`_&&?Thm+G$9G7a=rInEeAejYt+m{Sc` z@7QGAnPJpTgd*m6*T1VXXkuE3k*7E=&pQA$$BT=tv-8^CVVr%f_C&v_*3GxZU{@@t zwMAdX_hELqlyl&ai@R*i^g=t`&CIwb6bmjhK-z4{RWb|G1R@G+=vL^!xuS#_@vKdq z5qmOK#O5{G$La6W3%QFRERtoG`utoFrxJ6=ofLD`EE`uj;=udM!5i9YWA^aF9_EOM^ReLDr54;+a676N=04v4-Z^aVSt zRQVI>wc}BVPowF7PquWM};?^roX>)^$u~p zsp^j;Lc7Z8$AUfur|n75oIU8(fM-zinnD_wHVAqti>SGYd`Ozjp;7>WaUEq#u}0Z_ zU*3)97c=y}lI4%w%+ydfZIWMJC||hUSn;0OjomC=&)r_^NNvmZne!BEmjg$H_SzSu zFyv!_^vBC)?;-7WC5Wd5n3+8e%=b4vbGqA-vw5n^$FftnW^G19M2&I<+5ZM`&a1SX zO4m{>oP*v!(NIH40M*L^f;4A70;?c=XtNdru5hp^CNNTR5G?OAm*|j))R}!NyqO~- zs0Hf_T45y9_Bg|$eSpw1^#1!&ncd>>|J-~_F&>4w*MAOLt#d&g(yq_G{duvIu61af z`)BUN%WOv`m|=6Zv+4xRVlBuWQhU8|wrNehvpa7 z54FA72+91iQ%c+G{3^(7o+ny-)?cqQQltc&-n3D|2eDB^H5B%SAo!z|5{RZ+aNADQ zR|q)JPYgGrnfmKllh90OP?BKU2zBhtJr1H`O&)Y%B)e9^QFMH0X&( zm{g*#fo;{$mL;#-^HF)X=HG}ztcW~Hm-^R%V(rhCw3msh?dZeKQV z*&s45l(r&^LZ1@?ZjYLmM-uc-JkLf^-}8?eN&oChRJc^f5E_B=&)`R0&1fj2Cho+) ztG6JS8$~HL8qGgh&bi+QzMFC}L2!D2aD^6J8{tqBuxF71n(@ntJxu*~=(E7JPIqbH zJxt{F-R}fqxWJvrm zTv__i8+EZU-TWQ*WN$y> zr7z2eJJt1}`#-e32vy)?ly&zAM$>wj?7Rzl&E3i`l?NIPuX2eL90~%9Lb%;cF)YP8 zCMJG1_Xz+UcK>RUGgqN}B`DbAW}Kv>dmzaCGc|0ZULOunEH!g#{;1|xk2m*eyti`u zT+NvncKKp7qoz!=KRxWWSvSMDs0-8^hIwGi?u}hxd0gFpFGl#@o3kyxZZ1GHHP!=V z_+lrNl!GE(C*1`+_JcEfwpw6t&M3HS8<^!bd2g%A*4tzoe=pqwMf-qfIfy2UXevlV z)S~>vHO_qE(r0H`FA7Es@>RB+xDpw%Q2!fpukZYDvLeMC@27Y{vKJ}5h`&_;{xwm2 z2E5rzr*zeCtMZa=BBYoPZ5$`Zbk+U+6x$Z?x5jnV#iW>*!H^SsX~rXQOiBPGnt@Tf zkuRDlKIi2nd4imc6raIpkke)_@`W>dX-;0!KFIKI^k44%$ia_N%xi^|2a)`O6ZzSB zq+kt}@juNwROXSYZqS%EQWPVH1f`g1S!TCik*we^=%LqAg4i8$(KBG=Ql)@fjrl>Y z-H8{_b$b(hvt#Ltb|w`~w9d9HMeh75*Y|L65H#Ioo{bwT$?=!wpN%8ic`foVla^kG z6@;7aiEF4NBl9c+&M=mp+t=%C;t!leI&)_Jc$%)YH`1<-xrX?IA&dBfan}&B>cc#< zwSjX@+jvIYG2eXQ7D){2cxMN}QP&Q%A8`LwbrbE)B2hd^6VbNut9q*=^0X0O zdV|W*Oa%8PBz9*0um{yF#kANA?SW~WHXTgYL|WPd$5FQNT{yT2Sas+FPZE|Yvo*2s zvJ$hkxkhAepvcV-^9%$_8lG*VsX6SLw~VSBbO~Es4CilCGoRTwbEo z9gvU6!pgvn$mq+WHE4@0YXibK1}{b2<7GaTuAySI(bmjlRp7O-pBdk65q9P9!tw z;|ELPX*)%>wQ+%IThIdWJ>!_Q1+D7uHT>FSu5~?r<3;=Acf1mt0S%}gW#zQ-n8}YL zm1PeHj z7Q}n_xyO&0bbv}Eo83%Euhy?w1=b!ZAY$ub(H!nHrBl-)+_?C8+EvTP)GFJ;!)4r6 z3(R;7(IVWu_&Ikb9XvlF8)O*$C;Bh9b8}hNO!@}M07iohEt-2|J7X^1dlEzMEj5C5 z8Fe!@VnG|H=JyK1ZdD+3E4z2<$1dN3!i*a+?4#Y7P_>QEB*agAp5r9D*R}k^Mj?{j zEO@LaOC)*&AtBtjF*%y8WRyWAjS23rm&Rlka+;;fw)A;Ao~%*?U#D6Kxc0+MD<1mBs?3$hrz__TIHCakS0bm%6ts6(QoZw%VZ_in zoAkG|uvFhXtjLXGfhPtCNJI$Pj_e-hc^g?3UtGK&wJC!r_@$s8=Vqz>ZcEm0^-L;8 zu{l-!dzI(a)Zkji>T7vkQ_zuGt+4;+w}Q7Gv~n#-eL#}|w1lHtqb(8qF?VLU;b1ra z3>Y<=r%lxzykp7Vp|h(8HXJs_?3S+*uO$(7z+US(De$x85Agfu)dA^=+9C5|;&-KK zMqW8Qx;}zpxjd3jVUl1q1q;UAhzjoNFmLN^M$GGNiinz@P6dKO{``e4=q|bqqJHXa z>h*<4)(JRx=v09?u&nGHxF}fGVGaP-BNIEh{Iw3Rx2}w6Gwr7f#^qSGTIX;#!vD=m z?#I+QsW-mRU?LB+_Mf4U&R>_{aC@4O&VOGH^Oi83eX^&Brx{;fJcwRNplJ5j||sbqjVOJ?aG&YBNs!-ZcLT zJUz~zxBxI@S-t#^jnybMMOGL+=#Z%d165$~Q*BsZxcXl-B8xvtV&JnQ zQ3(dGHI&cDKMX1BF;-M>(^GW9z8&*K2eT&Q829i2rb%&q8f{qd#NfEdNgKfu*;mu? z`2@B`ZMlN{Lrg;l_YA?!JOP9N2UJr_xHfE5 zlqwxV7eX&W=pAXHOYhRFfK)+x1nC425JD5ANf$yF5tI^&ROtdDUAmxvfaM?XoO92) z-(BlpYe?pO=6RnzOm=3^%zpReN5H{m)DONnI=?GlrFY3+i+fy?b(Z@s=OJ=4z}t#= zMr?L{AXNCm;_5@}+3+uEb0AcDmcX0 zYA-~u=43Ah=)BLmG$gr1BM08KzGVuQG~Vt&`i$|^i&sZF+}3fwmK}ZOoJIq^`jJlT zw@B6{8Zi7z_(*3wg!Nh-2xX7bxBa&I=4*rYS3mDtlk2babh0iH%kNEOU804AW`iPW zLhXz%t8b1Q&vfXZW2+Zn<=kT{Rialv&9yptf65ek{}TO0Va?*=(?Gmji6gSlH)hqp zcX{)L4!#br0(QukS@qAmyQ=A`1>QTX>E3*A(doA!%*fSQb?r5aF!3X@v6tvi*@1Wf z@ECl3`434Bs13eETLEBgR-OMp!~qSOtPCL$!Mm=5g_sOgTW_}bGYHrY@2AEJG1Bti zRqq|TJ#h!qgwld){IfA6ZOfrwadofhgUGi#7i=FLs31+I?t=ZV_;Z8>aa;!PzsOj> zBRJar{CUJcsrSIx%T*17tc)@d!IgW?eKY-aFH7%wdq1Ba&}d@}_w~h{DSa!HSIRk? zBKz@Fj&Po#F1DRNxyOc3{j#1Nq5Ac&ZqLQED!<3}zS9z)rs(f|ZgpD($Ge78j6Ew1 z0NE*;Z?hR(@dy7D_|$Nw1LS|001!i0%0Xz+dvXwdw3ix?w_yw zxl(Z!Hj#mBYiP?hn6m5j^O{2wkknAyTWa6Jeg5P~=_*)8TMND$*8XLCwDqB!HMDnG zasRkoOue~}9>n)Pql1Il6gQFH2>GcQr$&tu!Z9G1YawxOe79M)Z+w-EA+v%M&3%w>b@lOpmb~5@7-Q)H;D08 z4&%3>e;00In{Lxn=)vWTYgFVhGCem)u)#Zg@0;mN|B@W@`u787&SG^I`dl*A-}{Ll zCsmI8eA0EcJrMOBDCa1_GI|I74#!HFpMKcoaU|mux!~cqiF5|06jJ3)@umojIhn$_#1>|vJ}tRMM2?Qo>BeS>dfV*Oy4 zyH%)@OvL2tm;LnVt+y#iI1e@O>_~q5HU)0;_ERtPdGsT8+w?#H24-Yplcwt3l}Jp2 zQxC|i%&2tm%o`n!MsLx$;VI6zp$32yZAJY;c%uw>wn1WfQ=)1B0X`*2h0IhLxd zlh)uQEFwu76v>JsdZ^4w%}Udo(_;Vs-Xzq!#!R@L59%hpi^rHV&pnAwi%kdnMU)U( za!`&sqD|VP3iC78S38noqduVJp@>jXQ$Z%^($8k1qY@N53}THEO(VBSxb8?CUW zZvDuBllVx5GrJry)S5G<5kQc4?|MKkJoc&D`|3fAhyG-mM@u#?wr<=-U;1P&;^~mY zYIF#br66;IRzmb13}&+tpeQAH578O10JT>JuYSeKodghsH=@%4(TN0q&k>!gsOSNZ zs7bRx5@a?t1ku!EFy>n5jBQ^`I;p3%v4F4Q9cUVgp7c6`N09j@pLf$9KC)c#bMaUQz~m*SXu!=PV;!S>8M8K-R{}zg~^5>V!C2C zVI>v2e_|hHtDDN#LTE$(Tg6-Qi^Nn?(S@)mxbC>J$n8*w;JYQ7Q7%-Ok4R~(Egx9@ za!976gfM@nlls*@Mdx32yy_aX`T43X*r${S2_85cU_)>U9EOQTwt$ETLq%)E5VU6h z@TxxOm%Ggll;bRU7$fK^gK2B~LxZ~=(flfy_DIqjkH4zXBEsb;oNG6#+6^Dyn;fXyEYjgr z!rqFL7XSSM%T?-=q!HtBken)JZ9HG;6i!By|WvZ$K>fsi#c2r;OxMkjPSyFZS3KFjE0@Su9sp zXz;q=;C07rK8I|+K{3nz^E7tulI1A_(tjKgU$#(R(+tpD8W2HbYr1Ev*uOLvQD3`2 zqF##w35bG3vt}f|BOa{%lKC>$4;9va=5_=t_*~$;nw<@~rY8g_V9;81eN)Kvgp-DsIzEjqJ`IIXPe7<63Rsm3Sc^uIN1S?{>Xy-`8W07oC;uuc7EvGtHAyW|=UhcoOfDlkAI2Qt1B~{B#Lht$#5bqEL=y*+V zhO&aP^WuLi_@0YMy1-4w{0;li?T7w`W#sq@1GjQtlQalldg_mJS@;qcKlWinrGd4U zGoB26z^_R2VnzH&e!o*i(`f!-gWeH?Hvy(i<4%tk&23Xg0<;E=kc&I%M?Q*sr` zuWag<{Gg!ZLPW$C$aj@!d+L`!DY+8xDU0O0(9ds!^@D=j5W3sI(#f;YFA?L?N8zVd z>F4dMQku#Z%BSe$^@3C~yj(JDr|%@dCB%8|eXga$rBC;_@@I9RY|pA3!B!xjf`)2= zi_70f%cP^sMB!^D;AG`AX=tG0R-qgS#z+Cw^j_T$9hEv79}@zYv@Ugrwxif zv?%!YYu|ZR`Z2?0zQ{-KGnPue)Pj4)lMif3Uo2j-vvgFpFX8_lM)OYF`U|DcW$EYq zcA;DbVB|s*3-6Z(OCkLD_vsm}p(D$RLZt*G8TKC*4(J1gERPiIosT91pLk&tYn)yO>kLronW#G+PbaU*8qnLuz z&d+DolbyJPojAmiQo(8d`{0q0;E~U*pFg)cQJCMqpKFgE)P_)_o3$ZyNK2t+K8a>N znmP7_9dh735^QrnSFBkJwbM}|b3!!XHX*qvL1I0GpLl1j+bTl&Fs3Fj;_BBzew(*O zp&(+xiAYfUb%2*jd0u#)Ddj6?j@20z&(?T~ulEYY<`J>SBD&e`u&y9l&cVhco7VWk z=(BnGG>8%?e)j^mLk0QCnel8__7IFkX`mc!L-ngWc@NkxyP#f+I9tDo9aOuo&po13 zsi<5}M*lSRvy)+dK`j~mfZF~0AZHSj00=_dBO?|6B6L~UM<(6zx%w^~UZ&M#p_y)( z+bU^BC}c)xDQZS2%Wp==n8|xZ(2Q_Uia#AWo&Qdtw(C6~7a&cZ*T@l^AiE2Qinuz&l~ zo%;>tmq}BPXIZatA5qJ{F~0QSef< z!xfTo!W&|O53L+7yzRdbmI=A+c!i|@4^$WI;cy|15?!tf;Y8=@LdcO}8Go1mM`e)k zMke*GLh6Sv#5XJCJly8+5hGUdhEuI?C`_*~J>!$-%RlIf&&&7p9Vig5r>3W;ms6g% z-*wu@&HOQ8p3s`;LHW6IA^WAgQ2Fvm#)BI!^|vLXLMiy-omb@_e=YKUDo&|r%`_Ne zlThNjUof)tsyNsynzaLpxKPh#3)QrPN3tsTg!1#)D>usTov)~wg5giGO} zUPTg(2~5~Fehek7>s^tQi9tAHX-YX=3RjKKVLF$>=YQZnno?@?gdT(e-KGa&VvSMs z)?mqmCpjg=C*}GK^dRg=kQ1Cx832OaG`*auRdtAy zWuk~Z*o4YU7nj1>N@3ld4>X()T;iX+YC!avo55CIfJ6SN6L8c773>BF|5u$@-fb*G zIqtf!fC#~X2(QNfTWQV|C{-pQ|F80agY zqx$3z`sVP+FQcqr-&SMk5%V9-;Uf8L%=+>}qvMVa4+s3rbMW*Fs2@?tdJQm6OBO9{ zrZFTkf?tLiF%Z{(^Al4~j50y18bCOmboM;b z80gb#zd`%o+rBC44wTz{sBmF&0rKt9#bt4}TNYZ;Z&JIWyfJVIdGo8X!=(5mV)|ag z*4NuVJ?xW+qn}exTeu)sg!YN^))hp)7 z+8x79&HiE;DXVOV+fQg)?XsK5#LqqcDOO7$ zH`2X*=S=dC5a9gffAWwV{g@mb6j2*|Q$a!HcVXG@4%y>c*;5=?!aFzllL9%t+!I^7 zSMEwGQ+ApLTuy?>qH1x4bjBaqDo*8+U_-i3pUXi!ka37U7;REL1y>6_UVNGdoUYY3 z^@zdbs$!>_q3fhGqe2XKhK-|UyBzoJ(IxY8eiY?r(+JYd&zau$)rhWI(FMArJt>i_hx*~tF z7`e#7Ckt%{!{MU+8@}t7PjH?>2_)=T%(=q1rkPV?+bzB@_`2bPLJ)4-L9zXVLT#m& zJp`I%biZ_VHx5H_GnL(0EbNJQfM|FDhF+p|*jGj7Zs&DbyG}HU)Y1#}JsG~JoCi#YDnvTra2C!qQRkR$d^7A=OBTw-OKuTfZa{CLg6$ z7@UzN|EM;=^3G4iUZ0?XNy=0385cUrg z5)D`qJl(=ND3^S(7cqd)1$@wyrVvV_znuQkIp;9f-aKYDe|J6Mx~*BO#}@U>euT-u z6K!v`k_fBgcgM6h*2bViO(Cpk zZ&L_`B%>H1^YSsr!DhJ8PwMt^ngs*;1%vo6URxLUOb9%~jfC6FCEClm&ag6spMkQU z0c%Scsl_;M)dqUj6mr7^m}C|PcvTy?Rb}UNAOW~)e&baX7P)n9D8?E$3fno31fK%v zCc?9Vw#!&F7UM=?|2G7mh|dQofc|3Xow4ZC&~9cBR=WTC0S2}?$)xd%Gr~_;8J%VZ z;YFU+3D#1^PTtvb*+q&I>!(Y%qzcETEJC$n;W-rDe!8f=LNRQL4T`-B*tSxf344Xm zb4vdIf;@o@yZ{BLgpBy<_W0@cP2#=Y7Yw(6%U6lXS3&dE{HPXdsTOfx+k{(qhFj=R zoPkEDVeW)sZne*puy3)X0bOXrJD_QR$@(ppETD@tKnd@R4>SPx$uPGi=Qo*kMrzq* zMoz4(F5Pbx_^+hJ@5-@zi4;qWoPB>oHa}oW$I7t)`inLA7_cOG(4X&sruna5x2!G- z$x`cQIS-!3&%UI7gij@$~w$CA)%ORY{o1KVX z)HX0$OcFgNDSpZWMSk|VcOpb=V3Ia4?lY_h;cBPsYR4*4LrOax9lsSF@7js*{hRW) zXHUYvh-9=TG4Wg4(eV}MG{6BeYOc#w6tuZQ7!;!bhQii{Ga;r3O0Mpy!PAv)91F)# z*#8Z|+0ya>3ZTDOfogySP6<{E@PGf;4=}Ku$tI0c90*qmWwfRRgqQZLx-gNRXM3d) zcEcRlFg+Abu^3f$K95)z`h^9A8kvAo3?;bj32bAJSlhRpe<&RP3!)>qtwWVru7;d9`PT)Kj07bx?EOwncVv+r+&{9;kP?y-<*rh^F ztg0RzyC(d0rcJhxlK9^cD&;u`yQb&=uwZAbs1@`JOVCdL@mmBsp6~4@cqwX0W2Z^c z6P6I(yP%f6xLwN?YSfI{o|-Kh`s*&Uu;InV`*i zfg1ihBeeys4-)=Z;%Wa_%vXx;K|R#dVlm$wn=PrXDgW4-zaglkmX2@EB7pv4>722^ z0LRE0H0Xc*0K;~zx55mc9Tt%SLnE!h7YKF>v}YV+=!p9ifs zIm6G2JFf< ztcwS0g&?m;qK3@{zNxmeJLIH2FUG&pY8wvD#)(6OOZh;L1dbv1Rt+31xie{h!zm z%J>`lp3a`|vlxlVeqq^ld0N?Jd2VckA>DOT_|3Y-rr}u6Jjx|`?!I$~7GO4aoRnS; z#{%px+OHZw1J^Bkdk8Dle;vCc3{eEUwL9o%pbVXC4k_7Fz2qyvQf`br)*R>24r^+JrH*#Roez$~HF;++?diMenwQzUlIU7{Z*f{_7ynwrrHPFiRFs>K)cx@YYwf57caJ=D zjst|%zD(+V`9He1OM{A{cG#;lQ;7XPi!9~M&=Jo$NZro@Ip8eF(5wj#+7KA8mz(_LH%lk-XKU%?y*O`_A?C6Y+kDFALd}7X|0vO&n;Deq#rBKk3b%2f-tc11c)^ zr5bV4+729CrBOXn^xm?mgTo|OHrvkPNMDS~Jf>mxu!$mcrVf;DXqCTG4&6^nYy1&6-)C>lX+Ywn5i>4I*?+E#F5jg9DR&EeSQMRe|6$Q5+c zT?i2pK0*1X=n3Y97`E94u>U{_m>1B0ya6QeH}NkGp!t75@QGAnFaQ^ri2oV4{9sE~ zDJ1t;Z$FU9ETnsIU(%Pm&35wqEvf$TPIVpFjX_UnKhWAN#Jd&R5rp}XVE%4*s{Tj9 z(-qORObl;ZM%Pr@d^!!Y8A-R>is^dfD~2oQ(UQD3;!GCR{Cs65sZe66^Bwh~4`{vGSIho_NqnN~~b- z6N<9$k)Q-a_K2ScqWh5`&4Yo@ejcW2=q_gn8*=dvzx$CM>T0nVL$-~SSVnz#X3~5V ztc@5X<-ug8wxZb?=x+++8cs;Al;$dsmRAP0RC#OxO3|*rg**?5-YNn-)AI7r75<8&V0$ z#RN|y{C{N6uetA^mTi2*ml6D4QnuOgDq^??iE&#eN?h4=&< zCn2h5BgPLA_7EC+f?=mWaNk8Ci9c}nMIjKY{n_{-n0bOM!7#`oZ2#e+VXmN=wH+!w z4a?aRxNOa&J$I-T=B&oaG1(7XSLxASm<_ynjO4xItOjq`_V0r2djUo3sY`EOheRAk zKIFR6Ys0$|D11>0CimsQkDtGV-<59&OUsAabI%<;7(w+%ABoQeoXE!+vAfAAiS*ha z9FnLbrHQPy6sAU1w(dd?g~FwIiNG&0RTo=NjglM+5eCw<=Qs@I-r=MQ#;Tvq`O5T|M&2^O}Q0&I^%0oN!rQ|uf$6H>v zYTiM5bFQiQdY|XquBjZ}{|7^d+yl2lcJ4v0q66+hh`5x-DyVg8+43C*qBDg_g$ah5 zi9h;?$2wLnKeQ9Q%91inRcU>al>qhiM!VdDz;v~)vb_3pkgQ9|4u&%RxArw(Vou&e zdNr@9xUD{1X1mHF>V3;AQ+0~2nM@*@(VBvt&sqNl&}6t1xM&z?h zlVcXSU_IT+Hbw0$k}(HdXY#NQgmU-f&#binyDkv{;Mn73XiiVic=dR4_adK(FqI6D zjImv=rw-!^2zk4q8mcmT(1YAl)c{eKzU|OD*h2r_$*5HKLVXNG?5|Y2IyWd z(8y;*qMtA1+sgCi4L&~*{$?h0xnSHtAyT;gg+Za?b$@+6({EwP#sq3Ml|czjPulQ3 zIYXN$m~JXX$0a?(H!RM&DStJpw=v1e>`cxMr= zCp_7*64#S6@=W2(1uf_eAw&L)7MIlN%p9{)8Qw&paHh*jicbE^_m3B%FwSs~{9NoG z8NdL?I(O->2uf~h;iMO_V7^JRcv0cbjZU1%rd!bj;Y~M4(Kde(pD6yTQmptusDzTx zGnC{ydea+R7)a-uoqWhiPWppZt>oL75&qN@Dr(Rbdy<+k2!Wwc8R-&LeP$r}O10Pw zsqF`xf#W2Z@%&A0>nGv_T!!ivmJhJJ+#X#AK90L#vE!MOlZx+IKRs1GIQ~}1kANOo zxU>(u$|8Mwu3gzupLn0R8E0hu#;?J@7zM4OD+^5FC#&IN%e}*Lo^)kirU!W?q4VxT zC{31A)ce@4r)b?w*1G0wNV?>cy3w!9C^Gptq~L}yx497#`s=cA`Jp)YGt#MbmK!LG z$uuArE_WEK$Sg4t29WAN8MSaZo3r6SiAjB-!?@x=87r{S!afjQG@lRT29@5pqG+#~ z>UZ0*3RB&42S9K@Q~E%d)p<+LMe-fSQJJY(salb;?qw$1d=aur?YTDXxy%b%@CB`; zFU2a~^>n}2W`1!@Jx`hoL(c8F2JN}y;j-nIMauDzV`b<~9|$+{jGX>Oxo|9hTxni~ zYn_D_#+YRs(ToExiXNbyse5rzUXd%BQwdd?b=+gQeE%LiQ~{6qjeia5X-%~ z&l~E{6l-#))H{1jFGix_a-xtMl_ObGSysstpX1XXaVTA5q#zF;4)HnC=a%^r;`7`2 zngKJmfCk_H3JU2MJ*n8~*FdDBm8=~IjhW9IduJOXdwaKSLHXC*i91rWv<-QDL@?9# z`cn#_Wl!UyU_;l~DNWuP(f!vmSDnQ%DLpbdC-GwlJ2d81dLz&*wz!LMr@Eg3GQ|og|bMS*{Wt~Zj*$7Z}dwqSbWI7 zyBBV!5MJ40V^0jZm}023bwlC^74In-8;(=%V^|amZEQL;p1x}{8<@EnPH*_mmYn!& zO7$bDEE5#e%*Xa1yK7A>#h^VQ#Js#_TXfq#9BDb@U_KhXXIw9^nTbGEt~y(Vo0S`{ zrC&t_nxm1bov=ez?rmbjdGF=t<82|e^6tbp-%obSeA{<8(E7d7_G^Xl*UAv`cqJhM z!?3%4B+#_F6XUw+9Z7yz{h=|6;DjOPaCVaHu!OQ@LK##2r6L?-z*O#AC{<#qRp>V4 zsWoL?FFo6Jw4Ao4!M;_^b>M^<_pNFTm#%OYH`GB^$4+%@1bQ%Vvo!b}F-&Hengxd| zt&3hknc0;`d&aGeYL!RR8*Wcsoe;>8VYPO}k-CHp_l%p$IkjwWI`YXFFMwfTZw*Na zYRw&DtxK2a@T7w0YO>n`SS_ztch?@S_>FdyAht?ef)k12ojb2_)489V{EU=!l(dN& zKWIf-`3Ho78dqu&r}DdDc4oGW-ZUMx;GcWnJ3!Y+>LWYsn|~ z{qAjkq&e~PL3Z5=wc6BM!JXq=!6(%n8v!$SBgv>LR&S#D-I5INgZj1P10gvVX6x>f zk6&ev+QaE*y}#Ky++AVWs@_a2@ax+t-crsH zT(^x8H}MU2lgp~xNhI2!(=W}@h4zp?`AD*T>GeGK?s4{4%RL$gJ5yI1_^Fp{s_RKJ zBc?BCklfR7$nhXB$^o=p?;95%X=L!NYjG}}PJ?8=#^%z+$68Rck&nrsmbVPu+MCvd zxbc>Bo{4f-Uo^*}U zvTjv3E4v32fRlMWo(#*IEczilLOM`Q@F_n7UH~_lFY0qWax^f#y2JS%dqEaAzqRth zI69~vEUm6((U35N#v2*D8LWG;)t$A~Jw*N5Y_w#Xa#L?OxrV!aHq7 z-mPO=PV#TLNy;!JU7LcE;=xwhNVZ*N+p3l*D+)ovz6M&gUn^ICRo}lGif3Ey6GE&3 zzKmza(nr3tLMMub;1E)cDQ_VYUdbC#T?Ws1r!H@~46-(+fs>2 zPFvWx$$T0ZmRP@5+_E0um3eH?*~2BZN%Nql*|FVN+N|ZHeN9Y{wP)227fR)2YfogG ztT&}2Xg-5Sx#eQEQR79X(ng-xDdz-#Y*W|ESV8RTGh7J2?uEc1oo43i=%8MyV5@?g z^F(9K?-Ay?tVX{)I*4Y1lzu-&2BQO~yKC8VXSZB}U21=e%6@jeGc$Fx-|8cBs8_a( z@Utxyc9*RmVQX=ZYA}1+)pHnt)DNk+Q^R8&HNIz3A=9cl*UxVKgXhCAxo^LTznxrL zQfYlsb>O?Hl(Tb2A%V34is3sMpFIU%-lLND4N!Q4>2qe~_L8o?8K2IQqtwP<(IYBj zEnPd-4OOIshNK15_`C>|gXFhgvsYF#zklRtk9{SQSci{!QoR@wcLH@4#{hbf_ z`}a@hOK%K691Z?@lHB1NLgC87ipE44NXm>0B`0?@&}(Ii&`M|UI+#uR*IAKcIyN3B z-PQ7Miw-hFeHCWPGV3$g>Jm zMV2IpUm_Eaj|)AY+P!)_x*;JdvSxp0?VA4>=q;Tms}_8;KP){_vrG$qi72m3{Jo0^ zagX1fEUi0Y!TJ47T}f_h60cevNjSMWp+dxCOI*Ima-B2S9-M;6hBtPU)luUw7rLlm zzQ#}4RSthjaxgR+ykRh$%y=H0!_)`9o7t}Gg`d;l4Vmn60wQKxg}2f$)2Fwc9jLQl zBdSLHw%+=Z>&5o2gW5ItQ`y^7Hl3|GE`=${)~_?*14}*@(GIUHFu(74{~8F6?OEbH zJqYwKWcD?lvsErYGGL|)eyPtjzJu|;h4C_eQTf^Pv2H~(@!Jvd_$?DX?cDzC*bb3* zp60#4Y|L>;aN4>nLqPLAYvp#_ds_teoQ_tTW}`gYM8^w!8myP6WQfOSoyak^+%m)$ z`M!Q~$6hP<&azzf+((Txv}!zUK;dwI_yNQ6-llww^4wj6BiB)TD%Eqoe}+fL=vYP`%yUEOj0X~xTl zpZ7W$42!Ask#%6dIG^xqn67B)iWfY6?G|TUU`D9v6t^7;M;x#Y#@EAv{?3T8wty(wyH@(zqe5_x(nr+bsoKYhE~u=;8_ z0p8EEWBhbxsEXEXAnBH|8LRR+S#6e5KIpxUjQz$9&Idh{#BOfz?H3;Gw*jBbQN{S2w?*+U2-$3vj!d4 z`x1#-YKS<`H3>uUtR&D8-nf`rOO0pC-RHo9GGp0K<=+t}eHlJLdP5CL!l2r)F7R;< z^#Hy1YgM7!o#}m6wX%nYgF`#AmJDl{y(gwr+=j_QxoKdQKXG-}k(ru~d*@y&^87cLuCTtW0`a2TU zhIRjB(0k@r#eYrWl5fO4j1lfwrl??o5Aj_DtOcP2_6&dM+ z9`=CeEij`Wgo3+uBg2o^_6XlDy5`C;n$5k<$0NE95;@IHGMbxgLU&k%?uZFVbv*AY zU4Aq*`Pi+sbs%LtrtYylV<{FO4d$nX^=Q-3=o;6{dHH9Y#nB@X-s(zb<}_!M+x<0PJG3Kx9t400#2kE=WI z6Wi8lQ-!dh$j+j&POABB5XiHAo+6EBd@N0ZtU*V4iBf43mWeU0ho*;N4disZ;0<(hdcQs+W$fdT%WfY^W?A52z6f;r0{D|4SlCh z;m3k6MTo;79~zbSMuFoCKZPGI4nyQc;=DH<+Mk#x{Gj+!0yrVF1^pD?u~TZCU*h5G zhWJ6Y8lO@x&O@(WQVVrQT_Q+-!>soS^k>)no?P^fdysC&@rC4EHsfM<`^JMPZN znMp6ozB;>sxI0d;z%rcbF!Q{7cF=B_Dgg<L$VwlH?X~p(HP2Hy%=a?kD~=M_O;_GEu0~S>#BVraJaTkoJbJ{aYp~l2hCo_UNisIaL(L){bwQS>I&@YadhT{$K0n;!_;TH8 zdD=h0LKvntUsILgQJd20_uzO@v(mh@eE4hh2zrGdpR}NA%vuqKHI$MBt0Ml-Vc6Hi zS930jC^H4`g}i8KdM>Nra++1w(5mPXm|s6P{NZL@1Fh*y#DuSc%d6oJZcaq^uA9yf zRc_0>yvnOLM?Z{&+_cej+IDdI2NzXv5zVX50%`ce!0-o9NJXYTsWNNIuT{9oG_@O| zxp-fv^wpODMFy(Gk(r)F;!*>Gv9jO<)B2;5@e{Io=f#$+JVVVA1HrK}yn1K(O4EEa zZ4`vY4rDX`RS;>KFHZ`5 z!Su)b=xx>q)5)(~vF0M4=z*qpY&$j752icKMV4-6HNA6~wI_ctje6yZ&WHlhey^I| zxy{;Ne=r^YYHRW4D^IlMti9k)P0Xt;thuizdami+&7B(B2h&~Vho5c^wIGTW^j)?L z6nhMO2fGzrWugQnQNu=+gW~zoI@gM_G<4A#x}q6{H!}*|1XRrhROjrHo9&V_ds&3f zQ~eWFXSS3vmXt9Kw$ha>sSzZX%}@s3He6nCHl&|<{FcwgTFLF;#EmEDlG~JyrbOeV z@;+sG5(dC3(<2`*joi@BlNi^2%B5dSQ>GWOp|9Xmrv23Uy!c;aVg2s@1Phzr45QR} z^IwH+@5|@FgXTtsA7s8d<<}HecI(;b>(f?8Y2U^cM{4N5;Rw`MVB@lS>pWdMKsMO> zl|}_RM;2WG<#($L;ZM<-FLe0H>TC!WtRgcF@*KvsYq`cMXq*irG7S_4%Cu{pOH3%8 z4T*%y(N|(Xn`Ua)I+U1@J5LY_yT}h@<~bOEHLkG=d|{W!OyN9-0qt5gaEHTrB0N(# zrPoQN_|r>E>a5~V{w)GneWp7JL{o+M3x!fl8kFgNN~j91lp-+fDZl^r`>|*+TX|^H zWmHHo5e0{pVsTlXp54JL?~_cOxa)c4@_RYbZBEw0cl47&erhrhZM!N;?y5zqS<~uF zxokrvG-|!tk|Iq-o1KCypWYh11lEngknfJx>;r_K^nlKHh5eXYGJE3tF-JY@7Rk6vfl7%K6P_#+*>Z2C!U0$ zt5p#=_;s|-_pz{TM*Z9Mm|yL~mbhroSg#HzswtGuG2aDccx+yCRyL8yiFEY11W!VZL1fWjE}MpW6@x?B zub?C2ARPMtMm%l!Zxb$dT4h5!V?v)!ZKF6YwyyFhjzR*ly<$Vv+Sw@ovX$7Bw=XlI zak!hGQDUY|doGi-D0-FZ$qMX3+Ehp=&wXNSi+*9!w zWU;ZVi43`8lx>DLmA%gSl!4R&ZkoS~?I}wSvQRy4N#6HoUHKZ@yG998$`E(*5I0+{ z5lgO-hD?>pc`6LDfFHLoA4@q+t1$EiZhy&Edd0qaB*PQo-bGGi(RKB$6aP@i3&GtQ?O3RB^j_%+G+h;atp-vO&SGl%A;+IMnV+1%i=M-`> zqW5t5RTH3zY-UO*N4AQHhsZr1+IG#?ZARTg`ppsh$n8rjzZ>jji$vxPk6%}W^%UfD4~lW(jlNl@VZ8u zPVqZiD~z>A0z02d1Z6tagI-Di;VT9NE`f#wE|RD#W`+dnh7j9(Vg>|DRPIXR4nmD0 zQ`>qT+%u7mN?XIn5~;ZgZOp!YIeL2HV^y(GN(Z8Ya%SJ-Vg)4su|j*R%1@pWmCHQF ztiD2UH~5_2jV)-Ex=+@8LbOg?)mRmNtfSBI9p*LZu3Fh6G?{o@AwE?~53>nNQf<28 zAahK%5}CNV+A@ zTh~Wrl+hqv%)FN2Yff<^i{$KbRkZhGh$K4jG33S_8kV)4v9UtmpC{uPY*X23d&&oU9%JcJb8M56g*d5X&rt>op1X2qi4v^W zP>H{a=4}gCnEyELssU+#IfB0mee@Wd%^q zE{Pl`RE{(H83pPYg`ze-LK~l%0|&-|L;55PBs70Agz5%DyDavZzHpeX!R4Yd(kEri z90u{v^eN>^oq>(3HjdUvhVUTcNZPtc+E~fkzWXY=HbmdJ?~}|NO-F^Iqf)$9P9t8y z`Mk@AxHU;kBkfhvnZ1ik4)=J|*^CQ@?{A~^^!I?{G zm({2G55qB9#?|?m3}A4nkRWI^8`$@ROkR-%9}mZMb;v3_d}^crm8T1;Kigug1Q2O%dh--vLII%O{$=-os{B&JP zfs|k~&U+g2b#wFRQP_jFuWc-t2p05S3g{~rQXqU9&=}t6PAXp_{OYx57hYY#`B5Qw zAsVdn5aQ<>bUJ90fYpk^&zK`p+kLRT${`(v)#S4af!FXC`(mItI31U96vDzd9DO1# zVDO0*(RZFeZXyvk2$@MDuU|91fg28X6%_lLb89O12;H8ciTl+7ytZcygbQY!Co_CU z5%NJ-vO!n2>}i&4c&5b^OZK#eSgop9ZH9+Kiid;XLOHfFQO(YR9-$+xr*Vxgog3{~Nz(;txER4&B-B!`E-MTEXRxKD29N@17%%_YTZ z#Ugab(lsncQ{ZJ5-m|HVOgy~KoXXTw&G!!z0)j~o2QEhmT@=mlHgtL|o9h-9cTacn zRj!mE>5`6B4IP|8ry&+Pq+v_|Suz3l;RBFW30k@vqT`Ew>du}j&Yt|vo+R~R(0Va+ zbSgyQ>LZ=FM=($t~69Yq}E^*#ihxP{3lK`libhxg2q^`Sssi^V=rpwy(XwozY zGu}w^Bd0mJx}C>pqBIB%Qr3Bn$!TtZ-1LDu@;iG=sZZmt|Btoz4vXSx_D5X>CFih2 zC1+$ok`g2>NX}Vc$r*`~*Fa{;$`TYL3IdXIlqgw{Bp^seqGXWtYxutJIq$jWKKJ?k zai6Dlw!5opW_D+$r@QJ?)%U!fpV-A~)e~E<5ZB+|xo04^f$%)BB&oMpED>pRA*zoA zW;VBtdtQ{KK2}@#}#Ln{GhUhc|eqHSrSl2NSOXDvkH=KlJ(?C?y4w4J6p$ z3xvun|2f@}SMv#B^eQ;&JVB5Lojy=PrRRLS#~8rJ7(f&!5vFiA9_@^VJiv%oxGRf( zj)t(1Gsj8%L;jlCgf0>LZ_%=UW6{QFh${Xarr|rpzuT-c!&NfF(FJG-Ox!s$oOei; zh_GP=hCY222MtodlV-jh$9#JytNq@q_Ir~n(9L?1*Xv5CDr_73kRc&NML*vpE2w2T zg`@eBAk+d^#s6SX!5yr-_zX1|QMxi|f_=0E$!(F1LT$E2<`pVXCsO!SnJodj9w_4P zh&stOE(#)K+klKnvp|3UoZ z2XW>GmWEe*OiwgcPjp_oMUo5Wqt8n$AqK&1+HHIVe0}y%YjRLSjJ2bSlflIXMcw~k z;J1NMHAwvM7+%0INNr6J+Bet1T7XCrg!OUamE*KA8+1lihcmOt#*;|JlQ2HPj>`@L zq@XFy%n`@Tfnerfh=0Qu|Ay#^Vi+?^JTnXGS{8mK8?L6PIj{1hXBRa8n}Zflfym$a zN6i+Um;zxyq$1i?psoU_8f+%#5Mp6O`zYT$C#oi;;XRgpE0(=9h9Ck%kl|Gd->Vdb zS1CkNDq$a3Q6E@k)k)#%q)+}$pv;(u&6I~tJQ4)x->N`Q0gayuVHEnOi4ps1hoIG4 zN}UwYF<8+~OWnOg>D}E{PK1bL&|cfKK&+L}XMxNEgl#7bXv0(p6Qi{k-U{WYcFK!_-tb2y2#*NWSJHblpe~&9?BXLT~jk7u&3)v z4MkW2>><_Ub50$9su)4dg)6+8ylpDtPnEg15%G*Jo(os&RK%Q3s% zu0KL?kD~tjX3YZ1iu0^j@<5y2)FLr$+M$Bl*bZ|vY(!mwgK)*?c@G|Nvx9c;T8>_U} zD7M$67~*73;$}_aYHS7r$~%3G=8) z7@GY92AGh%Z|lSx5ABSbv3Z$w|4*^G6|&;FnnbNhtW+3_@S(R9Rh`8NArr+b;Cs6%apHAu|IxfJg`90$bYM}UQ`H43nhM~(#~$BI5`fS=AX5-zBK`uz$k$= zeMK?wRL<>KvXY{dadY3Jo+-Wa2S&=2ghAp3(?Jh@bFuhQlqLR?%Xr`x`@PkXU7z2< zh28zP7kG#0i^5!+Cq9`j$Y~!*-yFq0}7-JP1T3vR}v zvs99rhF7HU?q45>>0yf8@|bJD9`Zypa@kYA`^w>>s5(LWQoA*Ee1xyS`zxpF-hOiX zZYuSt_meE@C+OKsaC={5LT(#iyj^*OiSy*8H1v*?5M8&Tt{HH^Bc#a;#UE-50kn!}TLrVx zlATxdUf8}9OQgON2Vu57e!dfzXCF=PJYcKk_RIZXrH5m9s4Ug{ne0uvCzEf%))Z&{ z+c)C=KL{sOilqG@$%3=-DlJAX~Jyd0jF=e{L){Sy#kr$p&AIAC|mNG5(pf(cJ(Jk3vngLIs$?T5m zAo?j6wq+i+#VGq6Ci|Q?vmTmRk2UlZZ|G^zDsRXtue1lHj0alTqj@}@=qF7oPuezF zweW0NIoh>f-Z5I*AIT^b?hIVA%yqyatCIQ1FEF8n@$YQMDw1;OG6c{nvTYS9v)_!^ zZ)W&A>n(Lzk8!@e3qhW5UmTa2%xGO+)K{K$nQrx;pCuXO3T;&F2gk0jZUhezk7j*! zz_uT?5ehAGwiMR&=sOlM(SLQ@8#tQ32i(U01MbV^I}2UJqx_yJ)thBW$>#7}kEgK7 z<>~dv6wHD!6(0%bsJPHurqLGNEO|=6JKVZch3WY_3}Bmgc#PmRf~<!IY>>0qxz9|eUPMjbBNG82^{H?G~&`x~BvnOa+E<_CdFc&NvkA7;0C%NvmqG&N; zUr(DhoJ3=B=ko(r{io5Uc6fu|R2bfLP6NB29bR!bE>%b;UIt9Ywol=KHI!N)s1r}d zBIH{V!nTiyS^zJKO4_0`8zyWQZZ#0WPC==`%?mHB2{U(vMRdrKMMi>proJ)C+?BXv z-W=3{NR~c&A{RJH?z2-=-+MkL0Y2z+kOMgN@NFc5+7=mU>eC`iLa$@ zCO*@5bIM3IY@g`+Q?kILA3YNz(gAeZ!Unil)o>dc(#}R!MRdu1 z1B5Ha@~1~#QG=4adg)ul6WhcYaaS(jhelL|z(r1%ozj&W7uxZppN6Xu;Ex{qs=Sl} zXef}+9~J>M+L35>qm9`4ozsrN}ZJYyAKi6?XdXHz$W z^pU6_22RTbDDgsIsZK4tV)NjkhF|d*>6{Gj^r?WYZRWPVw~EtXV^~|+`iaNIUwRq| zBwfkM$vW>!u|e^lwENiPKh})zPE#=L%~a8o z4z?9gBTii+ibLildAS@n-LEkGd(Xo7?0Iq=ZK6By1c+XI$Y(3q3|~c~6Zh}5K_#5L zd`PxA*S+8ECAfD_u??~`=MU+bK15G{uU&K=V0kWzp6;(*Y7FMG+J_R4#t zEbm2PL=e{A49n9?>7H zs#V!%MSx_T;pR zotU!Zgrk9qLA2^2tzBgLcN?XZm7}r!1b?RF+TJ0d^M+Y{>n&zcl^C3F?Bc}YUXQm& z_I~>YWvD>fY}5`!WK(a3jNc#V;#^hni8d5uGSFPGDou>>Dd`Z8@ih)Ist;4fm1DWt zsp~79)b$&zYnIJ6_gnhqUp9_!sW)G2vfzx6VA_Y=6wG+sul|9RERPCjBR|HpvyZ2He?hNcvGr#k z!P@1~#S}fI@rIOtN&@2z`c8jn8~=0yFcn)vDREZvg+|P0B&K-XAw;~+WUNagOm~*K z9C<+~$yOQj6p3T_6zQ%QORVU9J2i|h{Cl96PcA;d`|VrNUWR-R0giihdZgB5Y+T#C zNYxMh0WO4N2PClF+Top$WsqM#$UwJKyi$Q^_hv4j>K0>iEPp>f_C&;)DrWGLt154x zAq&KA@pd!cX&Pz3DD&S>fS&B@07_Ubh+i#W9n#an(`31w|F3dpvEntQpwkM##4Y^T z6o{Z@F@A4mH~TQFWESVjn!f!vsf0J#lLY9HTNACFNh4;r_H5s1fhbijC6VNeH>WHK(=TC%bxRJe!0PbH6t1N)5Wf-F7+p2w1p}JJM za(|gPN*o%)uJ`yMq5XILpBNav_o_<`Cwxd=j!Z+71+_A3I;rNW{sSAm!gmYQJDN1VC*kU!cCVx!u|=B1x{71i9` zB2UH3CDRdj@w%I&@w)3LuM&m7i(ihXU+%sxu2ID)a~`gZBYuA@P8v z>6fJ(Fwm$>w)I8tJPLNkl$2hLcL@3J?tE7ZHOo-2K4L!faCv(2K&rr2WuGQ%P)}~X zU{=2*#jXJSGajy-i0RWmU%1W0Nb=_y5R2Wo$n2;I?K}7Yr@9|k7Q}f1VG5CoFh^nF z*5#4GoTIdWbt~<=4MN%*LmF<7^I|A;&0InoU1-*OD$T+6oJ8ogT3NC%`9PkS>R!pJig}dOCrsL@+%%Sr(V3}8aos*fiBFsJ5I@>%h*{iu9Rj2 zEc1n72`~_tP^5=sF46;}X;_?8VG>ti0<&vmv}-gU_LUpgSQDMAeGMq}nt)W~@Ub3=m zgyw?jLH+URPNVVZQTw^PykrkD8NDbGQ9`IbzR0uwJR?^J%BiQ7(`-j)^9Beq;G1Bb zC&lM>VR4}Gs!h^@EWTa*C-m-q|`indrd0;KE`N!a6x-;#r;AX zGJR%V?sOFH>=46R-Q0eLV=AsooaaNNlDU@5GP`AX(^&$5y@$@St^yVoe) z_T{KcIKLr^s11+bkk~@iK|-2RRN7EkR_9gN-WRlr2dlYuQIbN8Y>_jCOJ*md>8*(H z$4Z}}BrEsLX={_dCIwAzg>}jPU|tmJGlDG^)ucOTmh)$o*MBsG1bc`l!swBs z>ZcC?bf$q7TuUd$B<9;yp>fCWx9@{choiDWmyOL!=kp9KyQlQ~MQD?>pmS-(bT%F) zFOp07@HgAYN;O4jDS%Xc3}o`uYz?E!=ybh(K*K?1j{!zgs!s5N^R@AcwRH>WtkHi5 zIymY@77ZnLyrAPb?3zDD!YLkJLix7zex|WuZZ{pBbFlbDnJ!Tu0$gp^o%27qq+Z{k zbl({)9*!~H3j?^sl20o=S+%NHV8~X@-*By#=pNJ4HvEH|%4O8Bs!zD1)VR=U1*UYq zE%AQyR&VA>iD3~jBKjd`_M=?$Q6t@LQY59#Vi@mK`#HON^4D?ZNz(`hyZhB|{Q0ckf_3jG1 z^KrMU^lm2wa(iY$;%zhCoyuVsJOFJ1aXS=)A5D*L-1O3~v>5$t)%OS!pf1g3RKuXv z$8>9h{L+wxnem)HBRt4LoLNCw@DE;XiR@^1=0E#ly>1t4Z^hJFq8F!jYvPED7`>*w zYPxP~GqRZ3>?Cx(4|B{Y-|47vg>i5|>(NZ=a<5AzBGoMgGFd8{8PZa*cXxw|B&DZ$w%n zs}98b4{jkM!SbU;m?)$50Qw&&=utyV+~4!Z-WWnAdXPshEsqPq-6>`?Rl27TeM*HwVNnVVA=XS7C3^s|SD+=9`KM?i33UJsnI3noR1sCgQ!TQPiEjN8*Cb;_* z(0)y{9|6EJ*a##E5O3S`ez~Vm~VUEFsn< zeotO%#E*WBAGh*080dHAY+6wS;Dg(5`+>VGDTwHx2f-n6A~L{?(k@BTnf2Jux7AxV z64?3as{UG&uyy%L6fA?l2YK_&!@riKrA$^{0$6K4V8(b#spj$q3C@bX5d=I?6y^#8 zY@x!8EhWX_2FIWW7&fgIDF96K_=Ugo@HAnnQSi-!^b}g`>H}LG;P`zN(q>0qUcjU#zHu5xeJGu#cl7*2yY18Mp6>_86#M5i1Ds{q_6eoM>uA>0 z;8E8#HxtoE>3+*NU?ezQ8NYKT@eaV^KLtdt9L<4;R&Qx$_v|4WVEWTd@dY}0cn7!J zs6Z6N)mN4V^mLEQp))P(Nyju3fZd8tAuUNuiovwQ5d6S;=aaou=gzMYU7U!KVlz)2 zuH3+%2a!JiGYsPMEAgVOWIo;U4#t9-Y#rk?u1k{+2soE*!lHQ)_9U+>R(SROeCe<@ z0vG}xEMqTF*paiL{L~6W5D#z~K9hhTh_uFdJ=b?1XOXjccX`g7=+%E#wo#KP`>`;+vF?|8-fY)Aaz9_By3`j7n^wz64Ks;GG`A@2zUO<= z=S&U$>t0w`BPV74GBIabOO%{f(GYQ;ww8RR87Vpl2R5 z;PKR_LvjiOD@DLi@iOpDg8&%Mrc7XQS@LmYwHRS^8OP3=+2cy#qs9(=LJH5K2G%A4 zGJq58_gX!##s8LK>)Ju5tD=kGLUf!T9`1;2987EuZdz(53fT?A`V z@5wHf)TAk$t4@^O;5`?px{BW#3rH=3flIwT^|P&))hu+>>r>l;PXo8bm$j2qsyXD? za$0g}=%^NXY@iXCIt+a(-Z^r~>P}z;A!OULrx^kBezg~a%_ppdvzMFl+Q-iiymwx7 zAuqa+ms6$(4IhX8BF}!KhgD`>PJE`_nh7O8uj@o3 z)8(1j4&S|JlP*svaVcB56PJubQ(q|1oj{@nt2cR+S0YL(c zVwv?+L!#f0lsBxsa!1Ag^}gw|`0P;o$!YTlHePAm_3^hTiWW)Xq|$hWg@2oaB?Nk` zri6^m48or+_zDYuU)WcY1rz2QCPWn99>(_eXL zr8FolDvw4MHHGhsa;X)N0=Vr)iD68!M|MqeX;w#|KRI(pKdL|Qz?9S3WWW}C!1g4- zMtkf#6_1N(R`aD*ZQS-qh{B-F0+sb$KLm5WVaj#p>4d;QZKeIB|ufv+DiI zupKtr2BLC2>%($uaa*m(Z-W@^^#x39-5lCr&h9nonvS3$hq_%QQrIL^H_(m|er~v; zw0GWf_l`$RM?Y{l2a0zs`|MtYLItL6rLn~b9F|ZoiOq_u(c6G)DO~3{Bij!(>UVFU zLS|8*eP&@6Bd1Fe;q=7R!B%OugU~2jeZthjPzTmmS0PL8x-yZ(r*%TRf*>FwRIYxE zJ?1fJ_G-vNJh;NP3V=}ZHg0*8FTJjEyDeGkS|k`CZ<>mRQ!^&kA^V<;95E&~-<5n6 zUT4GR%Cy0}M*m*+XL&-NpBITBQa#tbyjZqyfYW96t3YE?=@Yf)IGdshRDigh6aE7U z^Dhx$764twngQO?v9V*)scc%=(dP8O0Ta$CmF;69f^SM89DGM19Z>sB6Ki% z?4uAJ1EbNxB2I@$BNTK{=t#o6!Oa^?6m-R|qMCt=nqrKy->d!X?_Z}+2DA8sV|sbk zKLth$>oxo9a7T^tMveLZsn~p?DpDos))hW^psmH!+M(Vrm$z)5x6G*Rj`-v=%(pfE zgv5Nidg%-)EmWk+d?;ZaTHYKc2@a@?syQNNlHQZ{@Ig`nW7#pSD|DMU^c-`ZFGvJW zh8E69AQRR`G|$&s<>ef>|E?V4aYY2Qarbp~B|t>Wn{3i#IPsWQkmC<1!BJI}Uwpd5 zO5eJEd2RgXz+++HPVJt17L7D+rwZ`mSCBxa z%@)Hs=zReA=NCG=JV>5P`dEXw;1*{Na}Wm!LWPn`C~kI^I~vm-T$s?MdzdV!tmv4y znaI2w=NoXaT+w;%Y2rz_B8b|fo^J?oHDP*G{LQ>IckfgE!(y7$fpr?<6;F!|?H@kK zpP%hJKWvuGLm!w6Xht>0Ow7$s#ydijYr`fC+pSF&wpdmKYgVe62u>1TU~(crnZSL z?a`D_GoJ~))53ie@uM`)H-DQh>5zzQq|ML3fbYex96gyp2nCNs&u*aFBt=sJ=Ek}; zjnT2RJl2t2;JRpJs=HC!zO6z`TGsBqE9%=o6XqZ--{g<#UrV>(k4`CGEdZwqHd+W9 zT}9-JiSoPKS_5!37+Yj~^h5S=kIzAVtT#X6PMk-N=ED;P5iU&G!0313I9#?w+IM#@tC9e`6r6kKZ~YmDA>iLO}Oq)l=Cs1vS|o^cC}Tw zZjMHL=ZcLxyL#e?eYCnu_S2H1ZjoQQnF$_exB9&OYgZ65O&*}ekIs(*6p-ITN6`KX zly`@t2!GGZ2rbA6?J)d2j^&lernx)Z5$f0(>iF;G)E$P@HSwNm=S)b1#ulaU|FX_Zef#w^)fcHWpd`6~YDT;}*9QVgQ(B)sSItAwA=9u99! zSspoAA32qsdX=Ag{Rl_}w9(YR(WK%v>+ChlTaR=Ru6IHItWE_Xgj-WG1nY}ABlB-7 zanB>5n@#UUJ=>2B`UaWc24CniU%n8peTKIEp!DFI%7bq#)T^x2t0edyr1&1;w^IPg z-creYnV9*~`g+Sc^tg8=BU7c_R#(~q#F08n!fy#45B?RsG??8__wGjO?xc?%4M#WH_$s#X@(C zZ3WxOspc~|cMNS|=8G%&N66;wFYBrF4b6*R3cUZ=i+Ph1&Yf=Kfq7BQ`jF;KrZ zEw^vNbp_vb-~&tJM>VS;eha~O_yoYvnDjeLT-=3xu$N+mTYKS4ex*+DwjSc%aBfhAHKxez70Z%700v&1q`axJQc_g2rtH2?3d-Z_cq3Mc<5YS98G;{e-)hLvXtP z;-i|Z>Gt13s#M_@6KhB3%rpB5Q%Zp(^B{1Txm`_nfvw>92~-k$CxP#(v0x`b=gd+t zuq-0Iuv1NUCt=jTAd@2I%nW3lt@t<>EC6NM;9GKkr0nA!YIlE=PtGgJ+$)LkkuvO& zta2P(ZW3MYR|2g*0xio>4(m{kvNp2vwl@`RWIqrQ8+^fDNeQD`MtTE$`|ReRG5QH( z=O7Lma`UiHF_B1(SQ;ja-fsnlHMz`u^UVBsReKB?xVLqyUG$3`ZX|y^r;BgcPJAf) zJVV3|k(?L?D)fbSn7NoNF`)+Ps?|&kqg|%O8!IgI#SRe>;mc>Idy|_S0zN*2Eq1ry zj&Cbu1mKQ>`&{5nzl{lU8!YB=n@^t-&0(SfXdm2^ewctQdQC`oR#G=s(q(1VMP=5$ zWaY2PeSDc$Z)GnK3`Iz++Oo_Zq!1o^-#|w4GgpMm)r9%&bN)^)xJ!=iqEUWjQeK^c z_EY9hAZ;Zt>nC0k9ZFIiG?@-%_@_wO(GJ;Bxy&_24bLYUo-hs1xIAiKqZIjFlSg7) z0L2(?En@CWEPl>VZ+xrXSh9Z2`R?-qDXl~QI;!tc_0fv2=tO}b#mE6w{Huq9;n=IY;OOchxk?ih;P*#>Op+F zk@|Kc&TA9=*CxSIlx-g(|JD2y?=^4h2PLy_KFnL&1O>Gtb*!u9sH2L_YZ{VH`Y2-Kd>9CVeTFhCdcxavk!JpzwKY$4WW3M8tpV;c^&Z?;l1JLy* zdGRagy7A{=rC}%k&-9Dl<@AexHO0R&9NY`dzayGWzsLtlBk1oP5MlIa2ZRhw-wC;m zM65{>0Jic!f+MeK^XV-cv;vER-vl@42p`{Ck(D!GO#T0YLP$^vBl;>_#;3`br9WOGQiXy>UpSiyz=|LN#T}TJ0KM~+&?JrSCLBQ-n53N zw4KU>K|2>}v60=pYpU>EapLKEWiADi(DPwxoICK1$X6;erHmAXwp}2R%GXD_?F25a zw{N>@--7d`%*UWxx*#mD+y^#q!D_Z|mJ2mg$`$PfQWV{FwXRF{pv2K{XCwb#Eu1-` z4xH%0E(nGB|4>UAey5}8K1QkN&iH1z{Q^c9C9LFr@n`d7_uE8yXy<2oYQMv86QeQ~ zgY?w(zP1}bWZJN9Jhr@P7BT4_`BC^rGZ|<>63+=vd^()pZTnwGFUxD^wdfB)S0up zx1=TC^0FeB5OBxhVRhsWwbgNJ=1sf;TJJARycK=6?Lt`82l2Be02Q;rK1el-?@o|E4|y&-Pc}P`vW8)HW<~5Z@yXdS$k`zxhNUBh(J4J3A&JRxVvzb9 zigYf;Na4ugMD#VQl`JP15Qiczhhn6<#J)MHwn(|L+DEm`puU)$jLM*Xwap=%;g7ys zOC!`wdlq8SwS!^(gu7TdGE5YOiQ-dWHQ1;C`}So>m`FSrVC|EzXD9J0!=5T!Q?_{> zUxx=nhX*18?a>P^xNs-O%Tj;;px0G|UFZO01A7&B*W)cF2PX>oC3$4}*dgSa;GDGhS|Ze%BGkFh9%NsW=A3O(>ria#5MOW> z(Anv39r7-J20GhouJW9%!oLM<(R2L}2J~n@gqXhnxPevTzJAoHaBk*TKC5B|t71ft ziA;}4+ndIaH)y2+2(?O5*?4T(_&-d*kGp2VIsNcCu1V{VTJw-PcSA6HLokrrFG~H8 zI-SceO1ReqjsEXlHHKVEN1>AjAnc?F9>LN?dwnJHF-?4j{8qzHJJsmT0dP`32OwOu zJKb(Sy~~ZC`{Wx7XB!JE*E%cIIw!gPjCK2&hki5&?wgc36%}tSfrfl+7yA%l!8w)D zKe?O@`6x&DfryBbiHK?= zqmkznA^;kIv>a(Q9cgnXM1Zt%sjEV%YlFHYZN`L%gt}uxMIhdgUq|QV9Gd26GvV=b zh$?IFulH&(I6E$U7ybI1or>N#5+~%R{rpo)Is8+x{8M~ZRSZ^Dh#p6oo|}%h(Bj`f z%;={b7o9UB!Hc2@WI<=M&Xq3y{H$4%`A5dN*lY66P!N%hd*+|1;Qf<8@6;sjk7N|` zZ^r&$cYqD{@}u2_%&)+2FtBZHY$A#%f2@9;83Z1eag`Z_H^exPNUfEC>rL0}-aCJQ zGqMt+Af~KT1@84X2_-+MkpZ{xu#QriSV4%%FRHHFLGo_GOtpgw7b!1)_VuJK1lV6E zo}d*pEtMcAHZ7k0vSSWjX%@1_A(6QJu1E28jJCG6uIZzHl>M;jy%Yw{v12OC2 z`cK-I@%ExH;odoaM4LhQy$v~|kkP`sn@j)`yA9G;nU&daqn||?3pyw|%LapFmF0Z_ zG0Rfqaxvs z8$D1Z^BY948&KGdFz5{w^oA_njgCM1STVuqpF??w^Yv!KzC#L^$*WAGI6$_P@UOrN@9E&mv1weJJ;yk zm6EJ6^lzotrF25h_3Qy;+f!{;eK}xe)Uv+5?4JjNxbA$p%5w3y)(uJvd?iwrwGRUx z=VFGo0N5+-O?i}GztppKZwzbw9;}!}XSiEP^%35NwJtCmr(QcMb=1wg?sPtIk#A{E z!RX?&iyg(-9?9aeqlR;s%ljSGxDGRzmFw*xWh&1u%Ku2Ie=rM@rWj5)3zD$BgBcMf zE|d4+@fWOMb18>==8jf3s{}C}3oxz;dqNiwX-N@DNfE0VDP}y#`@`;UsM1oU*ix19 z!s+b`9$PhFnZe7@2f5F#qWI%}d4LQ8+OKt5yhB=ju|RZdEcd-2J-nD!a(?>HB(k4b z!^i|F3R%O9S;CA}h-wvyY6JPh{Iv+P8nQznLv1erts+`h5s&xzN>b3QBM=^@vZQ-u z3PiOj_uSsza}z{&$@brZ_uqQbe=BntqOtcP&*xq~I%fndF8zE2Le3wSatD=i=l>yd zE!L9f^LRw;Peh75yZcbpV7`x|%O@Z1`}ye85r{k*e-uK-ODY({1KQ#JGK#1NTINAT zTL$FUP3??WU31@AgCC}Fj0|O~%O^N@wfj>~Yy{tX=+t{1&K;<1ATQ&*@IxXHUy3#(hiL{PBW2b(*EJ+FgjrD&?$qq~|!X6PPe7d0Icl3F2o1tjJ?02yN8_75b-QCOS;$3Z>H;sPz(`F&>#l9wkrTI#*; z|I$ol)z6XPGu$zF=%R*^8m6J>lHo2Mbaq+M_$8X>ksEW(cJa5Sm(sCYC^PQakpeqZ zu7kR|rvrubeT;rLH*s94zlWkJZJm;AatQ2c=t8d zx`C|xVd z@4185#F~D6rb8N{na9&vVoHW#@|Sw6oC!M3ce^;bhME|`Ub*hDENAjQNOPAr zEZdS|#bGN38M}TV+>n1!klRm3a~p_mhTdUIeJQ^dVEZ9e2h zX~xU&ZpsyH0&CYq1F@*@7zZlp}3*@G*BP!{=cXsk9d~l|9|N`dCHc$hWx+X z-47bi_xz)tJ*FDtcHLc}^m6T&f=kE?R|WqxjU^2f^V`E4Viw);=y1stddS3yi^p#7 zf!>~|MQ1#>UTlaa-H%%w3RW)FZ>b^$RVEZ7KL)Bm4;`jckavaWsTA)lDVa+c^ghRC ztZ!1Zu?~tNiq3)3tXNM_Glt6A*_0;Z(8I>E9i0r@NQpWX)-Ddh%p9QaU_t}zb{=ea zMp}yWBP~?M@ zQlpGgqa+wQ$VdzwB_eGe5^WwS^~(R`^wMfKR&Yw`bipI#IkX8rsao!z9k^hBdMH%U z;%noGp~KxVf%U0It`n{vEMDBWLh7nQ5V2~>k!c}COqam;kb-Oo_Ft7lIPrUBIS;pU zPD+F3E;(J-I2~il_r{j^NMlQKN`qUJ1|4!^Em!S=&#qYb*UfBK&59f+#-Q*YBL7nT zZ%v@)>GnS~|3mtK>*-<}4)38ZCYqbv*iHQlixMW9R{L4E<`f=JnX ze=04{I69e?544@iZ{C7R)x}MKCnfN!efk=KvlOnzTCNo3zB^mm9lhYW>zfS@0{`fW_1rf7eR48*T8~ zKUrtjN-_4n7PD!tLX&dxe^oF}_`kCF_cQgN8SvnthL(8nzgoFJq?OB&9nAyrl9nlz z!rZlyEJrQzrI;T!Qe1${2_8iVWGnL9!9hUqmoI56QZ$XQbW3GQ5h$-5wA-_g9+{1RCVIyA;&DB1J4seNgw9Xuf?u(vUGaUAto38Mrm%0R& zv57b4gjZLz7)>tns5F2gv+qFf=cx}nYYMTmE~h0OA3duCM+40tAU2Kk217@RjW$A= z)256lrrOPo%t}?btL{)tb(kB)&P8*_p<}+e%e<-69ilOg53XTWx~TKHK%$g(6W))D z4wm=r(e~|$_3v@-iS>%HX;yIcNW~Yg_f{a;@Rmj6VqhV&t==)ErwuPwcZgmlao!oS zN?hgtzucyoP{rXfitz7~g!9oZ6{EQC##fA1Z)j6ILcYEA=xv9pf!Rmp4Q+z?d%xW4 z#2%E&!)}|TCgnkI6{{5LqT5j>&#?mW#C04I^ksb!)ej1I?k$^LD_~ytv>1>QH500 zT*L6kOSzAJDE2DSekJ_nqhsWg}4eGZ^h|-8;y=NX$#mRjCK>U+@wb%k5i$yVw6zgs0^}BIus&uKR$_1B%D!|rBnSDnHJIQ zp(i+*q_JyRM6wUR&66|a+_Qf*V>+aZ!^rndR{oVOZ$IICMI1(%U(##h_?gPkjEjQT zVdTfjb+F2V#!qcvi|gV z%#&9^6ZMjZb&|dlJ|hpArkNO=yMEL9*~E1N0Eq$$!R1Q<72Ub>a-h?5d>3pJAXN94(q@) z3UB%@$xrbpbm+>|Wp1-E{4DXcBmS zpSd`Tm&w8=a2uvw+&tL@kXMGw&IQnYY1%bUBCn=0lfmZR;~wf#)}@29dOU+_y=Lcp ze@9Bk_@2FLXG{F-F$T{{eH`^kBCp{E+T8tMZiSfF(8Jzpb(C|iFn{sU zSyD-Y>X=bv2XdQ}l5{N3Zjee^6Gf-lD=JgdG2^%Hu#r-IMuXi(p%DRd+&TNp(V?Hf z8CoC6;Hi(*sovxG>>*zW&!m?rL>YQGgWhR<2^+ysgu%1k-)U>D?D-|^yz-DO0OmEe zF8Ycz(Y&y6aJ3Fn#6On3ft75cKy^lh63lmKoNx>Mg9O9Xt>-EzaHxR0)8Gc&cBSAC zNok=1PYrnv^z_A03&nj&!F^BfULUxce)+(|?ee};e_DMNrU!^$gwKLlH~ei}KYKwl zu$l62(mZc1kxLpP4QULe5=Z1=Cy|nlRZMmvCt9%`VA4-P%CbI0;gh113O|wPASvzm zo;swOMZi7woxiiTQGAY0PhX0p@@EDRPMRkga6};nyA@I>F*qH0Tv0b3es=-WN0lnMcr(eT0h zjP3XEUuVO-S!H*!+$IPw?^Ih!5^~2BSDX4X*hKD4G{*X_QZx%%gld-}_YFBL&Y*TA zIqyuHx?DO_M#g-x7lbWBN9ra-b&oJRP#GiMMUoa1^EIE6VH@W)hsm&!)*9&)*htVu5uRKKYnWt-$W+Y`pImL~4?esk|kdGj%sa^xm>jXSN-Vn*?YXo@@pJ*&v@)!jYD zCse_=Jac>$+V|UCkQO;MQruo|9K+UFeCgb!vtO_yceym)tlGV9`Ufmey?dW+tM~iq z#^u@3<;m&n<$^qX=DXc+7yjmuKO88^{){ETkbjO*DNBIDfA4^D}pf^Ds>e-&=kM+c>z|o*js^qB2;;zhy_` zC@e{gZ@VltZ0+@>mdLE}@o~TY%0S%L&+RU7$6cUzy6?+$AHVP&H=DdZXqAZa@zr1P zj*7+*35DP?sicng(0>^MhwN4S)nEahmIdMI9#lX}*x^wbDz<&o+ZxY^CfcU6WaVg$ z8AGsS!Jo14cYU#4tT~>%_rxoKJg(Y1p7(836cP;iwtLWR!HrEr#uL4xk12F7T|WA? zHJ{!-suJ^XX%1NXX;@;M==b@f(retpumx7+?_j)wXHgwx1(Jp#e)eu905y1}<H>pDz*VrY>@d%sGH>AiVyDw?&TR>!D1+h}pn|zOcU34Bev}s>{|+KA zn1FSO;=_fS%JN}6EfU=c(FnX(Z12z~u^76Ncou?`WulQItSfOWF#T<)2cGx>M{_ z-cEviok~80%Gv`-ZaID2YBeS)9?)q`Qr(N%4-(AJrAiVAYPsEFXCyS(MBBH>EpYNz zs1RGaWW1z~6;F__>TwwI1MAV_1dAZON?OtoCNV>q#aq^xHA<<=(+4iL-G7xalh^fL zP`Km={3S7b&DFwL9m!XIesRQ6euJuS3BzBWjqkkRQJB{7(A#6Z`pCWL?!(ZndE{xY zUu5vFgfz>4UfzJ#6DEw3&|m&km&Jv({I9&_4jcBV_!EC_AZ=`2PD zq&o-xF{*m^e^~npu&TDLZRu`>jdY9BB_LgbGz!vd#)K{yel9Q`0RxJ<~ZxMp|m4nW82v0 zU<1bXKCKx0kspFRA`|kmDWW!7tz#$`gmtF|;H9dWfS1Zn@e0#TsNJSr2_sjzT`A*1 zWzVW*^J*anzXcnAL5KpDR8IHm3*sdH&_42zK9-Dfl#KG8)y?MBO%8rHHvZb*N(|{c zRm%-?1(%yFs@UoQQ=^>w3z`tP%Azy`D6k>N(RmUt=`vW4TbQG3*O{*K(nd z*%wj?{}w^JLlYgpFBG}xPTO@Z9eEOwUH9ScFGY0bY2;s(OvW7=nSUay(qJ*ldy9a( zao2h0rxV*HN!m^(Uh7SQB?_*T(A)KzPO8@yGxP85+UJTXiXWI_o^w+N9fbRA?-NYV zC|;-6UP!z>T}w~{^+%5zEA5Chs%1;)L76sGaiatmPD!e5a}-U{so&)PR`vkD7rlkM zKPpll5U}E24nGHmI)adMfcZwuquC~mW za?)sgKA7_T8aSI@Xt}IWC99hmbqaQ_KXEGHcg{T7NJWgRU?_B76Tp{LSij`&W31+! z+8R~<=9j1fK0bt;iRm{H8p2doz9YQazO2E3V9xs1`2(*zgmyO|e6+gv<34{e1^e-d z`p^ed@;?ON5m@%X=Vb9$#5w#F_Q>_(C|pY{%vE1*;8L6OPDd!aB^IGFC+E-e(JNUI zfAFcr@4#fk#RkqQS9F+Uzz(;V&#N)Kl-@BE{&OHW=xJ>RhfQ}$`Q#xm)TatJ<2{-j zG;#UVW$+{pN4@G%>kH`29LKgF9vxpMc3a3ZWJO(2xVRhZ$`ml z0F_bG*!4KNu^C_Yxmn5CbwR~xq->+t-I(NLyKJR#QNS9#II%Oa&)0(I*ledwk^Bv`JpO6!vIz4vWW~ zh4l^O#%piFv)qojI)6^jaU9l>PkV39%d?2fya&s$R6PEi-*6~LG~p{MG=W@A?m4%U zp^ej`^fG!w6e7cX&Qv~tbm;G*40a7`hKVKr=o*yPyRndy^fcrEuBR`FtH--Cjn3bO3iUaqvam5wK zS7gMj7*yeVW#9akrADI%8=dL~rdG@B&*>*MlP5;5kCQCc{{IL;gSS2E? zGSG_I>z5h$vwfJ!%L*$Kep2%bET#!YSXv}$X%S~>fo5reH>r7R25lx~MRX-M$REs+ zcXzaQ7RkTU8HKlLdB-wJmhFiw1U3Z+d#`DPcnvdtN^XNJszqf(#2K}x|+ND#IyJ8h2c}`JM+@4p9Ne*XVvQ;?S?mE6(6y7IIfkeU!DLm zONxM|hjYZd$20==Q&*bQF>nT|aNeu}j&_!*-4VroN%2RqD3Ha$IY(cuJ*Gc62THPp zgJ8--o_S3sgOeBUw42Ebz{Um6UQp%1$MO2j>_sGB`{dIJ+}y@B|BmPUC-50#PI{+r z4CNhJR^JlN<-;xVXjez_>U6oM$0FtwDl5!1eSv5eTS-;H0=1+;_pHIBTsG$Ff>eXFsPcY-C5z z0v!1bRB;@LAW|C`$qItQlb*oEIB7f?EaTT>RkY z1W7`>88m%!%dFCOv?{CN(a@Q!s2MSH+~SC`zDDQq2Ro+ImjkO{-#DQRj<+CdH+^Mc zTuGWA`$>DFu(3i4K69p&@aE}?pe)ExC+lO7-1oM1!O?fGzLA@j6OXikI9A|Yu(~laeLYaCGgPKMU89XO) zyTmhb7)g73^4O!&X#msRo`2~FH*K$-<*UaRFBfaz07Na(Y?Ahpj)i$T>YqJFY?!Z>9ZTB5IsaEAH;+*6BX9;I90t6?H#djx9nG6PhFP;bGVeuSq72 zx|v-bY2VWn&y-1Y3UURX&oxr$+)WS}FCiY{Rzh22NNe)K15STUPi{^F3XTAwq?fG> za*(k7z@b-|re6cGOfj=kBNu!Pf|c&swUnIb4}<;1eDjoD>zj`!j%4)ba%nD ztGzp14-?gR_|;d|SC}d&oXbR<$b7|dQMh>Gj6cAMveuUi!}gMsPkLV==3e{3xBVG7 z2Nb}mH{0fz!boIA1xGe>UHx#19?8}?xhDk3T`_UnNT?e*hw?r)tl&Mwv5wBm9%tQNb>X5MKIzVmkNK__gf#?|n4c{UKHn4ZrJZ}_$!;0C zjf_fC@1Nj>S#WsuvG$c%*zgSJef#hwR26qxzy1lz4t8cwkr<@c#)}!5x;I#S zCg9^mH!4Ht`HOJP9vPWrU8%zfXl7LB#St+51P`3Hs(i3+6k8$h#s5w_5~U`%vNdx8 z4&k9|rM)UxBt=WH#O&r<5+$R@95t`kkAlEwRHX=lxT02SuY83@Y!p6)mT{rXQj{*m zdEZzyU@^%+MhTCfDl1V!M@rCMGRj*Ml7`%;(R|-CPRxE~@U&v6^qS5xWLE_vbZ5^x zk*=r5_l!$c!BVL`IB)xI?nh%4VAI1*;$Kyxk!VSfgcyAdYH-RR=dan*3;P}WFB zd^`#pztU7apDZ;1&S&Q@D)=p%*-7*OBsHW6o`XIi6^jt8>GC0Zcm9r$Nh8az0W-3R zcw+}fg!NZ~8l_>LUw&N766L53B(sei8r7Odl+@BiYdqIV*qt1$tI**xHv@b1(eVLb z0G#U=ZM{|o2OE8#S$e(0z`@4Rtbpasx3Cv!uNDk4@8W) zn81AU6rKoK8L7<%T%jqS;<2lDLZnGIxBo&E4|s-*uRt&q#y)ioWuVb8CU${8U9MO( z5aN$g{DiO>39Phsl}m z8S?N;cSOH51T*CQ0A%u=Bkwy$^1I=``A|A9WBF3?J9y9ybdC&53m{20{@xsKp6n)M zP{Q)C*SUr5SvG1UTL^Gc8S+H8#YTt8;{I_2TeM2>6uWki`ScV20!=~5jsY=seJcOa zwU{<|3(iah5^gs!%OWlQqujBBH{8QdG6?y-k5kw!ZDHFC0EcVnf;Z}wYlRy40f0Le zr1va8u#?V@?}zH1Xzc0m6cv83ns`FL)roHqH4u?T`zsnH5uu3VY!S^ z+@N~eke{Xb_mcVz5u4{xBka7iQ$v}Km}ixaQO9kq>f{vk_1qzn{-vF4gv9OKkaku7 z(p3!=jZ>yIz)!(chK$%$X6n9?O;{B&!;gZ*KxlK&&xZ^@ENrM76n+^7gMN0K%B(b& z-V>BvALEscuaF*_#_xQm;n8$j(Mq9EI}7k`y{m>L&j3xK(d_RyN8Swe>4)EpRP$3_ z;2MR46Y8y%h2he5Q*bG~A0v-L?J|U$QJ4ZGaZXEQkG|h$*q$m$0oNHKy5p``Im@I7 zF>86M&t;UV3e8s~ql$huid=LkxhHn^32RzW-Dr{z=Yb6=Lt%ZWKou@6xWU8EN|7ho z9{+-3+)bum-K~85>9Ot*r<6)?KS%I$3pNV+!nJh)O@mN;v=A%xl@wuFOw{3J>pomJRuOvFBP^ zH4@z8Y71zvvTS4_Ze(@3?&;S@zplTl!74c-i#8$~airpXq+(njt$$;qQ)e~Nyg}lA zPq#&WG`sG-u2211lSy-e>EWg)nwy5^(-Y~9QV`Ni_%RdW!)%_m@UlfeSaWwZlzr3P zW>a}_tkp+cJV3nlV1t}g1mM?RO%gnsV;h_|Te%n}Ck=63Lck)Y(0x8_r(_Z09-{1* z4D7L3yOk-qQ%Yj1henhi zx$fl~y|s9);J1#N*h4Gtw+=C*L<;f)4Tg;EbGTHmAKryITP;Opiy7=pwhQ*=Ddl<{ z?_d(f;+io&PbV-Ku(*G(T?BzRY6sGKDuMRNx4Ba(I3)Dc*ZnEt%HTjV(Nl+h#o-x# zHBP?Y5>P&;-n*#Y>utoH5H>7#o0xcSz<&Z!M%)--!+9P1yiEJ;y+)Nh7_wo2B; zCY9PM1yw0?`m4Am@@+S4LthH^k)GteRV*Mkb;9IQJ_6e~n7Lo*nn(=3o*~Pl5#_1F z3RRmB>2ye_ct4SyY0uZO4tMouH7NjFq&JN;INcKHGsbjN3@G&lpVu%Ef&&94@X3j7 z1U_Ec_a2-im)h=j#p{P;iWCU-ubyx4irs92R1k~H56rWGquyAdB)zvkIgNNHF0Ve} zC2}voT-!zR!))x^Q@J~%KC!Rz6}O=wFiR9z7Pb`&f{X3H99iOBfqux>``;0bc&n5O zLguILXZWD@K7yFE|8O~Kd$Y49>pOc=H8qnh#ckuJI;D#>rF*aHB|_E9wyoi&Emd2$ zznHDOCMUma{fivLn^^5z;}4>cW!|Ww$E4qs!iX%PA9j0>5ecxVOXNb)T1_x&z%5R6 z(x+r$86nn(do#05=!VZ`nv4Nc#?Up!9t8uTZu6~%_Xv+DkMTa*nh zeigOmA@~8>iXAzLy=1j@09R#FmBA&Z_bLa25$yP5)JDAD4O4fLPsaDGvQO5U7k2XM zOx&UhH%Z54m;l&g6xie7tzNIKUPCu~eYf(lnH&3`%+K}RqQ++Kj?K`*9wWgX2W`oF zZ4HA@f1v~a7kVy?CG|2)4m=;b4Q;_KWy}$)>`*j=0jNM3c^|?%%N<@}%^Y2D6|&F% zL&!X?(NE@zXE*y}DUdSG5neW+FA6o%aMQS(*OV952`-kKgTrh2bxjnrI0!V2dc7MNuYMynvxuq63qByr;vuU= zX@bMhBOngF-W1dF(Q9w^lA=u2cBS|4XblFaLwSq_+~WB{yy(pcIgY99FGvK$T{elo zYbB@UPNNC5K1?>i`k!SQVscub=>sp*2cVzi9PxW+e|%?TvNU{Wf9m94m^y&aU-I5m z{g0Ary`e&N#X0_LrhL1}ze|1_{JIXBDUOoZD-6cowx{ZCyj(HREqEfVJAE~>-pBEl zNqw5WVA6XSTvTEH_t_^X1y6d`` zwW_Z5Us<1F_ufETMn?-NBb;)VSQFVYJXPG0b#5JdV`+Ex(WwQ*+Cw$}B-!5+3b7Ll zu~YK$TmPR~!JE`;Pe7Nca7v7RI*fjMk(LNQUm6rz(nzBf7h_{>oTYMkjT2y~01e@X zpWGp{Z|KFnj;SUzgnd7`@l#(oIPsC3hGeRjiy+B9n{zxGM1T>_hk;&ymy6(5HA`f` z%teV@CXO27!6oS)siHqk>vF#P$#6PSz3Q%d73~N!9J@phzR&T<0d|Bdw}JGd10BYts|$lm zE$E82Zhv)Mvb~GoO7jL^?5I5p>xPc*h}&-2%#sOEkMalYH|3mXg|enj>R^sLzQ=+K zM>nJTm!b&$ru|E?b`4$rutqnibr{L=dmtCtH z0oL7FdY3D!BGq>=*VS z0D=HBSI}h%7vzP$&%k_zZ*Ei{DNXJ)XQuIH(T*( zdm4V*JiLY%`tdoLmcV$q=^Lj_mz6oSu(v~EPY(?eb}mx8-Y%n^^c^~!0N5Qqpff8NJus3s>}sUcl)wMpEt zOWbI-E$*=`{wrVs0$sMnNFCMm9o2-SEAgZ&nl_0AG{%3l-?Rk$OH&^frjA#!H8+et zxEo@!NRhEx68pR$$BB&Bj*J(OHn)>8-=_p=ar3%-GU;P8=`kYX=jx4Ys1TR=2r%9f3L&@|nM8fxkC{Rv`#guyns1n10-euA%355o!073Im6YCR+-UQN65S6h_>sFvgo|fqC+thM)f^JfI!PH<>2Il9? z#t)yjUwd9BG;&YNXV-Q)JdWEYmwOw#_6DvoUY7k?WDR}!q|#>AdZ~RpJ7x31PItp2 z#Sd5>kF4{AgU`xyLBXul7<9&&cdac$Ih@fnLi;U@svC?6_!qt zur@BgBf4H?Jt-_8zjo&CZgE{==5T+LB65>Lr38>J1Eia;sJgDGkj?{W&jarM1u#H6 z25^EmDPr`mOFFMW?KdTrrUH?{sw;Z~@qQW_$tW6^7=b@KQ$xBTrjdG$10^U;tieFm zx7le**ACz?Bl;}I$VQ~(!4b}C!}Ypk9w2fe*r4bA35d%Bz#s#C)-pu52$Sq919>+8 z+7$f{(XUa2uA}7cq zC-fx1#YTn^8Y_o7SD7*x)IBi>Pdm5T+g`9JoBgf@2bFEwzz23~5bMYh>sa8Rrg3~4 zuN1IfDa6DXHGgG~<0Je^8ueQixw^^}K1j6sGba(28@Bc4R^cymlmm>P)m!0X1_exwP$ z@Xyi{?(@n-&j!>JZQKuS9D&}Lh~60IQ@GBja6x@BCj+tLKLUD3_ux6H+?sqhTYNX+ z2!JvSg0fg^xBC5`@A&H-MYimIPt9bOzJsVJ(PSL1HJ^$cOxG{|^eVm&X$#`^OfptSn!CkY&%VGG~u}WF!?%|ELne zoZDum68z0uGlc&CpfsbpgwteeI{<%9LqOK2Q*pc(Hhtj_eC8s}gq$T#btDaBO1(89kl7-TYH_CO@`c8M{ za&`NyYlhNJTR*}*Pkg;rWaN;xx94frP!FtjQ48{~=3p4R^;qn<2IDb>OA>bC|BtfnnA~|w=N}UIhZ5X^m}E-xP=FCQf{pCvP&JUqW9Jl|CW1oGGaTL77+0{H`m zr(K2c75%lEIRATHZx?O;MQ=(yZMkyrz&7MZ$M={8IV7IhkZ~f2L%K#Epi%JaIR|x z^q@FE)!@!?0HCu9?P+b)U4zbUyRgo>$;VwVIj=F;9*&-uCljroK2IY0uIZ9n`DAk< z*@|^PjQ&R=GmhA_pV+i^vR^_EYQ= zC7Wzd7BEYo?nNPK5knE0+$W%Mq7bsgsp9bqL#bL~r~|I2x0e_^s=fsZ29A!13!PM} zwKtNh8^0%;IhlO`T;JR-??-25yLI=-wy-YN^ahLDDYj*&0G97{i(Ti!FuA+7;FtTJ z#o=zfpa3$f17-uWFy15n(;bwKX1Y%+J5YR!{UImax2cn~oaXd~G$>%aU zu7WTUE*{1DKq7=2m&Km^Bp`B_WCe7X-!wW@e!lEpf@{AoY`ax@`JHb3SoWnn*i@;3 z@fiUT515l!KXtZmN%1Zf6I_0s}^6ESbBVp@hvEVM|;i(B*GLv9qN%P=j z%IA@(RW6v&6UDZ{B(UgLYi;d!JpI7&ciQXkCdyY?8_5^XiFO+nkJt1q%2?6v#lsO<3|)atO(>TE(vZ&K(|GYdMrX7RD%*hiW7X?-ED zcy~9a@x|!#<8r7;yfxqwZymR+b>+~Y(av7^)rkLUgYkTAG^F9x;EhP}u*!g14UY1h zO&qU{nwgAOl!d6pxYv{w4vcmmR*LC80!w5;iv~y4&L+;*MiU#B2X6#$QTdi)Dtj0v zoCT;KM8=#9=3j$RDXl0~udC|a9edZ_299<~^w%|S(Ke6o_$`E&#uBbcWft-*_OoD7?d)$M86Fb9?O z}|7Xo_tlZ{C|T-D*pVnI3tFzL--ggd6RAXCkK4&}nYsderX`jBvS*TWbe^-?}}-2**#(0hmzV>q^LR_pqzibzU)7ZqQMq+f||^# z;K;$YY(A4!!+*s%u%qDB?$o9H%&>{ccAzW=m!(@9M6>DaZm~0;3|*q6o^>Zlqqzx| zz^}0810B2Qmo`q{J^-E1AD-=afsPpBABtWBb;e*J15?k}DC1YUFcZ>PTqopD=Nkpk zpb|sRAjmT-m?&;21g4IKql())Gm4JF1;*VRYcmLvqMAG4aPdpkeE0&oPJ>~0{I?$I z9Su{#SNEKf?(>#9eYL-w*dvpOmymgGjr&@(&BF2(aeH4z`WEF#Knxf)Gm72~DO3X+j8(ESqC6!c12g_ZsvZTQZ7R3iRfLT%)&7M8- z@Yc}6o&rU95980*#0BR|WwEbHMn{udtvmYE&qvg>VjoEt_3*g&?C0r3?+^DYS7#~s z-gqKp!IU+_#G%{95DVsFD1|&DryTs8_R(WhOYAIm1i)n@6yAkDa2yBy1t`M4uR_&)M~@H0zEX zG1bOiS@gB8r_NE+^3AlLH~e>^cA8X=VW`}N2?(ImXv)aULP|nj3Aa@CJ9pU znKVb_QI)g7!1)rl&u3r<3yzt|vFL3-F+%9~cVosv+BBj!9hChGaD!EdMLaooC6E+< zDLx|?4m`*wpbBJ3ZW?sKs4S+@3L?@;OATDax^hwO@`ier! z$+(OIVv_I9ChfZv@K7FQgC3_~w1cZ|#q8-pwNZ}%B$wbNH-x*UWXO+okd5&B?SV0@ zh+&}HE5w%r^pytRLWuLT%`MfB&*7NT!3ix%N6a)u%oIn=G0lu84U^=@OE2}o#2GbhiJG@IfH#|TL&2i7$8-xu|n8^+;DQY z3K#@C$Mk#49906Jv{>vhw2Z-+SGRshAL$UH(E~qC6VM9?&oE?}D1^rd3@%(!8ccc9 z|NTi?_Ktn-TCIP4gKTa!V%joCKzxHm?%Fx2dD#%LMEVOHAs?mZZ+&HP%~|lxSwwTI zrE{zGb6xxm#-N+glk@7Nu++Noopn`0O;v2Zv1mAKAlXU zTCVAamV6+$vUCtfK|Ovwb!6$LRXCuA<@zG)X_eX=iU3sg<4^%k1O4&G`}@9LCbMSt zav=N2WR^Tkmc*(awRL8YCyZ?fzD)rPloT9T{e)+Q~rnN{V#B;f0oZ|o0cl&>Ijd6OKl0a?)7&P*Dl9e|0qyi08_uyxGg>sAoOK+pQ_+z zIQQ<2WZu1DJN}jbqvex~@72rk)yt_z3K2(u!a(jvUVpN4Xxu=cxiwygC;h92 zH>+_szCgqiCuaK?%HtD$x<}0##t{X5)TZ$!HS0{x<7S|OpIA;f?sczp(XSk_-YiDH zLn?;y!y}`y38Gg5WOP^*%#r&sN6d!qvik19>a*M>4F&46`0BINz(^J1NLApG4#Gz5 z`#;My%#9G)w6=|*u(LLZ`s_U;^Y&dWND3!%j`yQ2WYk)tc~vKbf=%QR{l_KUZ}hSL zprj(!dQsmdjxFS8B_t09-=ucFN&R}N#MBB2L9c9wgxH)qJf2$=1}--)a)b|4j$^JJ z_EN}yDiU3j!)C$th)epJEw5Ldhhz90e7Tn?EwB}=eQYsAZ8W!% zcG9@re3^!#H@iQ_LOz9nv;Cu4cCI?cvaUBi@t;HME$ZyGaX0`vWQV_wG6&hB!udfk#s8 zLCQ>l%5sKxF%0iULE5|_ZM0IQlz%cO0JjjW6#Ljp^2`ve>Zo@D|6~A)fO~q;T6Sk# z$sRmvmdCW>gt%~Z{St%xMJAHR_b-aBa|}AaWi{t+tSBDe+kUhD%G$g{Vul@vuHU29 zAKVNj4Ur?H2a^1{aG2#>dRmN2+4xG>G??VDnE*LLj3@+*sJ52Hra#){l9_Ihwie?O zHq#g2mu>1lMAHrynTlfbpH1($<2#)b8F&2Lvx%GTUTy6O|_k_VcVwgrxo1w*Xu(&)2D_~4kJI0Wohw{}s* zDNJh+$`~02X>XHvqFhv>9EKf-yd4KK(AmY+)kO>XPcr{lWdq%G$-tl%jEd**i{i@z zIS1&+kHL_7R_=a=JtBWjDZMNw%uKtIg1)lbG+4}!QoGJnyH2{9e^BwewYiwT=Qb(c z0_B_e@_Mov^ygE}$_63J1R-oj-`<_Iu5u_l#5J%0HF7u^Jx4{W95N1Z-v5)7|7H?- zTgLbr-Ac!Wld&^PCQ>$Pj-Dj^NsCN$9vZ3y?~qYDWs6KRMhxQP4kCO3a`}B{E~F1n zqrhDA!Z+FvPoM8Pb9J5P-Ief>A^!kmQT}#1 zX^$&v`g{J5mS6T?l2dY^9H2j|7e^?wqD%=-hlbqVXS9M*G7DVo{!+@wc%;OZp#VGS66K7~jkk^q z)63NehdjLw6b*`f(d??9lm)w@Bc3>A=oH3S|6i4RLY@43znTVqZ(D8Nr;vbxwvlni z-hkhoxq0J^+IqKIF$AtvYq-vFXmEs^!DS=&Uy_D>JCg< zo3ACE?5+5uoJ@9KOAtFSgTY+$ze0nzh8rldn_)4AJY1_LT-b>ZSf>Ci+Qr8=6Id#yPh^(#CKOAu&nJP!Ml;DbAQ> zdYfTLW|JYiKQoxyq|KjIE$|f$hC9u`;LbrAN@N*IBv-PpQL=Xx>0J}+U4!G!`FjAw z2*4V^AFB9g(EMH-Y~W1dKh*wXvscL6Ie6STTYAfBTU6XD3~#|Ta+o`~7+vr;2g18| z*cb`);qnAw&aOt2!*|6e`^YDb$Hgaw+{_R(Pm1&6;J(Ky(Zf>^5xi1P_$0}!PsnXR zSm~)T0HBPHaT9y`r|g!vAs_{N+>@cZC&vb>M#jzS>@8s`g`9H@yOsL>SJFc;e} zhbt;TD=I*$DE?Of#0Y)?9XntR0IUM035owu<=?jT3vj0^DiEyy?i@Ta>^m|HulbTd z^CgCvj=Y&pk@=$jIVs;*afHv7{h|o7!dM`w`osRqnsh{vxMIG;YP1u8bDI^ckiv%b zgJtgXjR`%)hFL7?*Ggb>2a@JXV9TYswxJ!Azrc`wEie6=nKG*~D0;;AHo>cC5~yhY z1K_60s`UH|uoYTMOQWKB&aa~BCjBg7mOAUt<&0D`Y0d^7#ozhy^_@Fe@dO-k?B39X zZ)ky2498kj3XD`!+*rGvN}O81zwr@lOgf6*F^5&?$} zMyG@PZL*>stn`!{^_3fi=g_$UVlf!uX^}&p2Bet9#jZR6shKt(z@r25#x*<O@eu%kNKhlWAMKt^0}VOslS6k=r=$@t|w^y2k@)Pm{1lxPdYpd zngI_Bc+qsq{Feq`+=2UQK{siHv0KaMf%V4+)>!Fvs<+AU{r(7Lw-((m0JsH{((8Uz z`GwHrJwnGvH^O)jBL5(SIhBnkjSc46GUnSd29It;fNpfok8b29ZIC#d{uf9Kp}#(8 zWd{DgmB6o}x?r{UEA)BW7Rwz2gt%-8w}}LgZ88V(s}$nbV@L2W+>@_SvdL1c$r28( z91X4<3GpjE;#W0z3Y9MbclW4F_NnN0sU+V?qrH>HyRk)g1w=R9AivC@jh;f~w)8Kv zoXa~IpjXjEJ}@IRFawleVx|n^p}I-1Al?c1A7%tU%rJh(tf<3ap1c#jzXRvbewevQ zlP1kl-&Xh|^vJ7Ut8Jy|f0n?X3Vm!^xwq#+t9ovoC>_C^TF;YK5A!^p@I9V@pRgd9 zu)r{bTBYAu{|1mZgZ`>lE+jeRi2hpx5z&M5VNBG1CVfA{2w)LDh1uN_0Jmr zY>NKY`uFFU0Z#~k4YN{`^qW{cbd}<{ilw-}y&Z))1D_ZX9r0F3Fh2+=Z)!wRaigTO zeeb@+d@LTnbwSt*L+gbhaZS>5O%e`RCV&a)s<*AtWo}mcA_3*U%4*Eb%3UNNRRLoJ zGM~|IQ@tqu8wvb3dZPH-TK1Lw(k<88*Jo%){lw?k=*tZU;wpe2(lD1dD=io?7OT6* z@{v8#Tae!7$XMF6yii)p*q|krePeQ z1%nvF(2U0HNp--5KIWgIFO0S)Q=5Y<}Lzn!aLoUE=O7o@0=DH-l1>1!}I`IUj?(t`+fbzn@z4bIre=<&W@RF7xIj*3len;6YCb=++-U z@k#Ga{>bsuUQ>7+Q7_itXZ|v^RGq z_0#jA5+%GAVXm+jsbj5o7XAzU7*cx;S2 z&BQ*MpJ4$qJQ0jz63@5^Wt-_ay68EO5;|!UIuAc4bOs!;?Q0#e$*mixE8(iODyXX#F-Gp`N$i`$Qxt-1pxkpfajqfm&l&m`}E?VBsD%jepo;* z-vw0I@|F#rbK_v#DmRGCUzM>Jy{(yli~QEw-_-d8{L6{{-1ggi7u;US@rL5~j^mQ} zj(s)m5AyjgmZJav4#2;*rqXMaaC-`zGzcSH8|t$o<&Wm%lP`LGWNlff$7)Nl z@(&v=MQ;y7sr#}YyIHkffP@ZGLGtsa^o-UdzG4EnA)-q}U?|hW#ur!)&yo<5RJb3P z+|iTRStDJ=#LNr?SiRZJY!mv6dU}a$k2v&g9(2yxTp2E}Qd;_6f?M_-xkKX29LU3F zLMtJu4>^zTslK`pfb&o@S#G@4Yi#_8RC$=uSVK0Q#dKdpv&5kxnUc7DPTJb5j$CAp z*E;Qjvc;9~+c#nULz+#YL#+o!XyH_%af+V$veBZFkmGnepcED4WO2~}w`TcZqn( zkQQBsLCqM~b{c<9vsiPD#cH0Lj%Q@ED9IfP@nM?xn<2#WS7|Otll&|n>&f{Ru5YR! z=QEYQrI|ipxZlS2(MKcEgivwekQ)WSv0xt8s*I5{XVy@%=*k?4M7mYR@yV3qNR{J$ zK8)@jwY}>Kw9n0b$U+5K*Nb0EKuBBZLhwK;0Hcs5h_rGg2^c1t8}9?|O~eTvH;G_m zzHOJ{>Qo5{wQB+?RBa$ZM;;2gczGMfqB;OWRE+}RkR!*f_Ma7c$0W=An@az#n968Z z_J7^lv6N1ZoFkC5|8mGtbAK=GDc&9+f0_c2;-{FtaJfq(lO=ekU%hP6E{EUseJZ8xxtE!Cv;TyyGCpZ#(rzeE!1Mh_o*se!<^8JVj!L`P;|7?Pxe+&`3hWeJAocuCrg-I60aw`}Vm&HA5d)c?q|{);cY z>xO_`<(bfE*Y9NPz%3j5U#k8m5_IFsXGEtZ-of>%e_~p{r(Fheu8S5$)b+D)?11mb zd#Km`LdUpcfG-#1Uf+H(B)RPF6u~N?ZQN0EvQGU3S>@*Xe$m7K+v`RX+`+b8zIVg4 z{)K|YBcoU+;$jxS#x2u2_vd-tC4V=J zH=iF@&+Aqas*W!|wr6sj)<+{{e=cOA%JL;&yL+@KH>a@My6}c(9q~~< zAa}#I{;(_^-gTDxut9Rw9pl?A?Yh{G);~-=AP4&|g)a4k8AL!rcDcib-;`g-lEtb! zE5>g4OjVu94bwWlx+gWEJ{D8cLqgA=PoafaixWrN;gn&tm`r!}DmeUSzYp!eCqe(n09D-2Z8%0s6OA)2#I|nuA*PV za0e~-pyi7=aj&+pWVa2w1WOi$H0+3OvIyOS)X_O~sRGPmE}HPaE5M($|FyDY@j#JP zZ$EtB!M!K;^G|%7cR|6dj9#paAoqG8y=eDKYaZ-IF!KGGDW>oLW9&VkqG+~%Q5``* zRPumIl$=FCK$0LigOZcPL89a&j37u3k_He40TGm(GblkM4LKt@Gvv$=ZsB*%`JZ>+ zd+Xk{YE4(zyL!62s(OcC?e#QS}nbp8jY?vS1k90@n@lDRtx8rK| z7ai;`K6*U52fk1tbMFRb%kTW?gd!CPPj6$k1EF*H-LW?*o)b_U%fFgJUvO<#HyP_f z#9mS+ZSMH%T=U?^P-ci2G`({1-~yZE6jY0gj(qO=pc-_8eM(11JUe0NPibg*RfpGe zoSfSUPdpV#Ko-s!g4`=ve_~bllz8E2Ld@VO7|(H*QqF?1ozhY77u zibtV3wj^O2eywgGYhFdKxUfjSKS`KXpt*k&VNS=@9@A)#6rYbdGhcQ^U4>c4*%MK{ z3bx+!xF>{kBh)E+Vg2;+#x&l0Pn89;@Y%{oQ)Y0q7&on#h!pMD4hfee#+t7G%inBm znZNF+dZ~xEWlz}YJA)YP4mhtOtuOviu_%549c3jEj(;zRdz`{Wpo~@z_ZwkiV*B;O zh9sBFj3H2U4&cTPJbj4ZkrhpE9Rkwh%b$@vkquzbl*-km5zJ8Ng%05c*OgZ>n z8{-AjuOIWoW&{8S(G{p+0!cL>Vl}{|@i- zfyq}i!~1t)StD5=W5|jI;nlAbVV?!aZ+nq9xTfOAkea;;3#kYy{&=MRt_p`}n?P!^ znZoPKj5&Mf9ksi2>2ML=-wE$7zT%!Mw@ajac=^{7e)T!8#5ka&*JTU)~3QY*2|SNZ zJWP9&r94Fy_rqXFZ}T8f_v`CFf-vCut^DO9#=W_C56->lMCna@0!fzXm#8)KPGWYb zlL$fFw=Ky=5Q*yP=@UgBH@W%-&kA_u2f0`l*jjCr90(#a==PByJUkpk5XT(R`{yst z-UHNLLbWH@@WFtHVI6DbGfdRL%!wk1knVk1--w(r(btQ7G?TN3dBu5dcDfgp5M$Rs z*?GxNusgQuw@@DR2ZV90zwdqLXua_8q61)X;;4*8ElmlwEz!{(>rNMjo7Ty|g7CON z*ShhP|I4t;?I((MyZMoMeuKR&Zso@%+#|%u;^8^CSK=jk$v&fq*(mpP!J+lYs!ei= z@%PG$4;26(%l}aMG?2ti4*O|-#z68oH0%nCcOta+Di4GJGi-c>ajFFIu*%W0-*=O{ zy+(!1SQb0oj=}&shEE(|SSeZo{4}m!#Y>QIaOJ+$K9cuHF7M~cyTN}4{EEwmf9gpS zpyJ+gY2mSX3ZeskoiDsRb%0-XPWxVB2sYYIOJuG@5xQy2(Cn*Y;v&#V^y_*@2rbdNK=tuNB(s{pp(D|{+1{IgcS#(X=gYf}?kMuy#a zGJv}rH7wLh|577iX{Cs8YuMxI(Q0UJ#fk z69jpfNqLp`x;*N#D3E0M;m?|ikF80~I|CX&3bC|^M?nH+rqox&bh8;q1{PELHt7fcj+RX92Ia8^K`TU1DC6Yut=f z(i59$YH}sx4KBxCq7t%4rQT$4UT2iUmflm>IfIRRg3?^?G~pC@{*=o$v9>!HW4K$0 zwYbIQSXk`E$mMY*{IvG+WL@+7`S5mq(V^(4EzO3YkH}6l6Hw4d%d#Cn^pY8UoY;DLR@;s??%C-_8e?+1ceXrKggkvZ+%D>ivz;m`YficL zy--MA_*&yz#Xcc=&et+}Vvp!k#iAF3c>AZ%I~|z5oCn!g!v_m!x){%LBAk0#ca@$L zYEPaLGsh$b&>X<e)zoGmgNVXV%b&R)n+{|ESw~ByZn~>N6|sCT98><0Be7M$9~z zvXPH+gdgq>qS?dO4&ppjG{W9Ngu-OQbUv1PED0dLFdRNhdE@LBS6xd&U*4fhxrp=O zSBZF1)v)}D!o}psTYHi=wR2kyBVSQ=n#wYtYRg6EuloIzNhLm9O%3U$A$xWxqx^AS zc&T7z$Jms0V_;fTVrjjG*osL=sT1l@YdG@f?Z27zVr({4hfrAlTwY4)n-+c)fe=2d z@mDnyES-5+PD}$;NQvnacwH7{M_oLW z^kom6Y3(f648Dj?51c#_!e1_ji#hMP2f`25y741Wxt4`mZ8txS8uL%+r=C-?GQqra zeS{-|M(&K*I=DWv@NjkPHqC|I(Cls^YG#kOfub&a`JGiHxdR+*yCtKzV!d5F1vf6f zwrR0?m)A6`?gR^Ap2|CZ<&A2eGX2vrO%t2?pwcYY0^Od{s^$37$&H2+9^s(WbgwYp z9af`JU>xc(w1^HXST*L!$}{j?N)Xnm>g55=MH>8=Kj84jP4u_qEe53? z#)&ONR90C?dv2?r`eB~s7juW=`NYxvT3WGWCOS5Ts`D%OUlO4Tlddp8;(dz~qn2RI3d+p#-gS00g zrwERUTiQzP$V^cJvjqm^!Cp;eax1mRX91>SW`Vv~6T7`rXox!8Q_nE-gp!lVc=kK) zbgyGw8=t|lJFzUHU}pF7JfbIg?iO<7NRTvigbW&nic7W77t4)9a~9;XSICcPvMrmn zF0g*?=hrRCrcl(jXg}1#;Oq3e>VgYT_y~sUZEef;xv?OdQgc=AfVr&;cXYe*{sI(1 zYW+#XW-{}b@;Ng&vvqLkFE3L6GNbiQ9L49DRj-XxmDg7KTzBl|`+F<&?vMY&kaJB$YY|7V-{dpQz$1%TE9R($`iG5IuJ_EW(m1Cxjy57p|bxZE_DIFXoH`$!O!pP(%5u7p~3P|-g6e;!g;AWa|fPZ zz~FCvf+D?3+8X+H*2w02_*=$=a@IGv~at!WsVkBtjTfL2^vKa_CBSRH>y7MDA??gR>lWHS2=-qA6Gb0==`9MqgpJfSccwZf<9 z7HUFi#i}O+NwW6wrLcfBv(&8Jf!OK5n@$cr>)w{%%q6PboT2)58Jbn>aCcv?8UZKL zm{NP0-}wa%dG1TQl@w3hALin|WlWQpIeCs{??AWBfh&RyQI(uwCG;SMsQUegCG@z3 zg$(%df_9q$3kmUqNxzz)@UzRswS7QeWJl&_XNJ{Kg1a65LmL`)UFOvU8)V|9y3R{1 z6j*BTsbyw7T5^?$aYgX_;Mvb+bcqDhv{BSpsPp(z96#sjWsVF_sTq8a=3DzUS-!v9 zOJ%5f>MVsB237{q)i*vyAxf9+Wza{-KG-R$?z$QYdLfcC8pa}N7Y2L$6UA?*F~qVp zmb1Z)FO5^S#fa;o2!3EH#0AagVV3NdmBw4-LW6_T%*Wep#UcU^;aQXqCT#;OhIm85 zo-IF~@UZNfSEkc;nsQVfD;MgKF^@}Z=?MvlDfK~#Bz%xzh)WTDaML+AMHFMXOXLiN zLS>V8UL2xRPd59CjuT2p**0Ia>x!68?!}aP9DaQ34E1iZy78KFH5Z4Z@C!$znusY1 z`7v_Ymy0qo-?m7pjqj7oxuFuT*yrjRA@j57=iAVr@Fu$FZ+_F>z32b@rsHoy%E!Ua zKg>i+P(H>Ax;+<7CumNHU{r+Az~w0)V+SeCMY9T;69*~%q48B5ZHsp8g^SN8DHXB@Xy@-|>WA zSgg0#9P+|1wWL8@%0c{v?|Jc=pcV&K@A=1Y-$>iLz}=hO3P0 z)isWb>|;a_AH95@+^E)sQ>DgQHKn#v3;bE)s8Dzi_5vD} zSn)WU1L-pkO)VM4-?_`TwlY}{%aCoZM>{hNuEYsXrEYozWu_`>uXZ^@H#xG6e(P2# zrh4&#`A(Ud(n|m9BT82UQ#LDPp~?-YkKz%9t39vmF6oBH_2D`b_`_~~<*7v#_<0)v z<%X~9nDaIuHo_)$PaA1h!(Q9Lp(@J+*$mhxFJwWCAJd3%t?}++-fmEOT}st8}UjR^3WI*xOx@BBX^dn zvWNItYeol`$_~0)Kjg!1_hh^GWW(=@w_N#~xK3R9yRHAaT@*L@zb>@vIyV9=lkAR)z*tD~cmuDvP6BuVUO%9N^r>fRZgO%UIx#^m-co% zV5>BFJsaH{ClaKTSv-}wRd%@j`M`67K}l7YBGsiOMReqa$Wda;`l@!p zEQ#318IrX3J!#b+E`R86<260NJ;wUUjP=%kh6F2(WgG8$8ZClf4MGQNUBR`L^m(p) zKQ$(BLQ2kIS6=sBFPP@nB|m=Vwzz(W$h~F}%sa0=^$t^ZU;2UgR{GtE)B+BqXd^3I zc<;IPo_=-{u3>K2H%(MisM%9f6og^^hSB%~_|Ee)Du-F_TNjcu+_;E(H2}AqQG+n6 z$Q;{1;1b99evPze{CX3WVQuObv{--|q8ZOV%Rhd6LL*>hVYP267 z&XsK>AtUi}4-O8IakiIvxel9Ja9?5@@syS&{a79LSRImBolHcII)n!CM;$^1zs(mS zp=A15{}29pSc4VBM_Od1O({JeMqwYFN2{y5UMTqNQ;dzHjExEnjDPOy=|9 zG*rySRJ1VupiXOePfxe!s?g_UM6&J~F}#SH9BJ_~$~>r(S@+D(TjnoDj#!Bj zoQ?k;jU6c`X=8w`dWGitvJQ+4OiNAkr*EAK?Hj^BG+Z!2PYbZLbDvGe_qvm<)DoW- z1T@%4OlyALQdp_IwQq>$qwUwgo{l)zfZP{sU18W4jiS(M^|-AF?RAe}*A)s&i=WC# zEy2iaCu_}05^Eu~if>l--=Aa~p3%~KuituqQo-g8Lg@vBTE1L_p=em(cQ$mSoREe= z4yaM81&#UQ9EKH@3W)MJu3Q#FEjB|f^_skwHF+5>y5C%MrB_QdR!c(rEwcJ8$g(;W zvJ^?O6f+S&UjP{tlsefI5b+8KCIy7dKP~-PA^lnEtNj|QPDUgLjJ7*y8z`-LM09-V zGUrH}J6ps2>1o0rLehePWNA_o0p$l9LpB-LtkSOu@~D!MaFUXYkTnI$<8Ot1WiPTM zvX}lzs-HSdrv5gNp8Aclw1MCrey=nSh1aY?%N_W+mi@vLHiq%{c_a6JeQduH`r3uU z>aX6lkxDgC4XCW{HFrVG`r5Img16Us8hqveZZ?Mf=3-#v@_Yh*o{GH@2M^G;NR`Rk zj{&mD)~!Qu^z|Jc_@1rWlV7ph#G%Uls#Et-RF<~MB1gsC1HYY8m89RK4Mp+|MXd0* zCi|fUlg8_BPhwU!N++1@OvK+FXnA)+pM-JR-qIgs&>tnOD3Y%zVzo7iwKaJ$+Qc;0 z^tC8Zxg=281pm_zbm_rF)7#vNN7JLwCH?ZilV2eFowG}zBJf1Z&ZME3v#Zh?fA3Dy zPf!ACf5wAII}_kqQ|BV?Uc6ZgUm`_21Q(>mm;TusTDph`UXCyISt?f78{()-q61n37)8w!ONtVs_pPt= z?HC2r9MORAT@7f(L&obyC{qH8Z++o$-b``cG998M9isG$NW4YlN2i_uryjX#SlDx& zoLk9t>jSMB+JrNPFg9o}SR3KxI^p<`x?G%r5~Gee+~O-zM2{G3r^C~0u7_LeTuo?X zN&}^{AzkSF_F z{P?IR;8BlUBC~8zfG1Ocr%aOwXk#=%f(E%nm}DZ1p^KNUtMp+PFY(enygen{z3_h% zdOuGLV(4l*wm$9| z^tvy;-!vjr2SW2w<0m&<*y)gxNZ!Tu8IfENc6u{pwMc2DDBMMd$wf!zDF?|@4tlDD z`t)YW8k!F$)vIT)qvnf52j%5hrf}A;d-4M(qyr~kR^Rr!h;_P%wYrGCM&Rl~nBfND zcMH=48+Q!Gek$2WvU+QI6UM({1P6_21V{O;t^MENG3d=J0eQ1X<9^Jwhq?@LC9w0` zk_@E!RO|LTRy>}=`@6c!XkD5v(dg1aw*9X|>?ardf{%gLhnyPv1SDL?MQ)g}%boMX zn$Y$4tYMjy=EjU++P52bprx7(JJ49|Z#?U4{7i)!X2Y6m`=T7WXuN|vyfqa{2Kq81 z&_azidL#PI+`%1mVQzr-H#1cOyv8Jy z2u3{!AA&;8|I`uY>DTXQff>}O(yDWcL6K>y5U`3+2b?^jOH&d}LUVG!GL zmLI>QuUF`iF0eDh(peHSSQ1~7nx_uwLKZ5w-(i{1N>BvQ-e$mkPZ;2bWkQJftOuck zPmR9vW58`bHW1q5l^CU&&|mDLeMOy-a_=STwmRxI8|-F0Z)P0t^Elr39Z7T@NfL|U zZ@f&@gm|Tdcym(j{TJZ}mMhw(<}8_@O0ExD%a);&w+>3V2=B=geJqh>@H0Q?(7pC$ z)R+;!h~Go;*$PIODDWp7H}L1lYQ}Gh^xuNpHfqIAhJHuJ9HB@F_Uh-PYQ;lB#4m&Y z$l8nugy7e_8D=gbP^bJrT}3=qL0oWz8IX45p?0Z9@kS~Evw@Tj>dI`u26Hs;FOMz} z?10oYj~Q#XF@HP_s;D|l;Jn)k#W4xHF*ZkQ>qx6l8NhkhJPd)>hde~g>O&~6n;>Wm zAhZZl0|+7fIqd=M7wTKrB(D7~5RP8I+vJMl^hcs<^I3r~?g-UySDe|J8`Yb%2JW;Y zQ~~RE7p_Wb2JVFapTt4aHJi}}?#BV^_N#>_c;YU9@*6+?N!@el{bnftWiQ2$VCQpL z$5`%=5Hrr0q0%9=%>w<&-2FbuQNUKI3Shl$Dv!j zA%FTTMvN38ZU`Ymd^UtoVM+Jn#2|heLTD*y8GKkkn>3iB%S~;HJ42l*9 zviEYK635HIhuNV&4>&ViO_|80)}_k0f`>z_QiF%_5n4u&hfJVgNSIGSB~fPnuq&U* zXBceIe|=lk%T=Px=wFn%d^m_{BgnnmZ&4A!G&MvM`u0+!h;bt@O=gY2;|oU67+*a; zWAON@K9e#l$WMtIz|D3q{JJ7(OX&l~h+5IOkA?laA_*;JqsNGvu1b=LR1%i5xTW;J z(YPjVSV>fLe~EvigH7E69{o2lr~u7?_-q&*Z0;7|6Zr^k65fkn}@FQiy zuS6#IiN2CGA)1XL4<(39l4+HAp87wMzm}x0e45Al>rFoelJB+uqx^p;Eqfm$CuI~A zqGO2Vwo72&4mBr40(9f4Em{~85L54A0v>5A;-?9O3vtgB z@{p)WYRKfJYhupR@b7m?G=tQK5K5pL{_G{y=kGU6w|G32=tREKMzKz9CqDF4>Jw|T z6W>oPID}U6KYY(rl}}X3|I;qw>R8@nr~F2r-rmN4;=ZFk$>ebGMDk39%;YduCI4K- zf05$ZlVs9YMYv>F4}IxLHoeUpG23nhSlO>w04x4_JnR8YuOdUhJ2Hk>frLf=Sb4`^ z-jRuu7FASY<*^|6%^>^;Q8Nf7HX-_&DGtKg48lYe#59^tB#hg~D7S}~9wpt^=D%M| z>%$Qs2K^Ehh)6eskRbTXA*6`kW)Nzjyt)E8ny`#0>{T;~Qyp9%4mle9zf2h`X6pOJ zgr_>uVp_hre`^5^-QTXRI1pWC5DKO`K-=GDdT!9V^V|o$=AcQ*&a=VB78%bLsrizU z9TYVwZN4E~%pt7LK{hu>5J+aB?>S2U=ZbX=^D^di6kCfm{-zJ1^ExLCTbuz$(1rTI z?J57dO2R39(k;&4-uSx+S_=sKgRr@JY)=P?DSh0l+Aviwhn?qJcHW)dVRHx(3ow|` z=8${007;)z>u8RtEyB?P!o_JI-CCv5S{3Tf8eLA_G>%_#D5>KuF>C}%t>gG68`!$u zbb0l(HrxT_k- zR5ibpUA(a{x1+4b=sjh%e6r!e>OB>Clq!-t!5Eeg2?G{io+2G!rEjyQg9+D0X&W;& z5XeX0$$kQ4(0~|^=opVTSu^{0rLx^=PxtP&>}}x+7brq8tmrB(UF&k&^6rwXOgOd^ zx30*#4*wExpOj4A=!thfFqp&aJTr$d?goS!hyZPp1=9YOE1ntxx=F{ssiyU{@G+O`PP_ zW%lJ^TOnLx)8I`_#9(2XBSWF9(qU__8Ly;uY-y4C{mBs?&vHRTat!7v%$t3>U8K4Y zctV@jW9gMz7G4t-^D^zoIqnF-cJk)uoKbVeG>(s9#mvh4U$Uexwe*0^)ScV3T9|Uj zARzo=F{V|kxDqJq>=kP;IQF1uY(NbwK6j0Z9^4FN%Ko9WcTMFb`}lE+UZ18&kIkiL z$mID}(YptQGckd!GYhyqx;i?jn`?2cTBaY9Q$|)5xPZ|3h7o~Y_1nF*<)N(XNTym7 z+9~K`X>t-2XexMX!Jd}YVbPG9?97sP^F`E*=Ys}`JznUz5Ik$h_KGr;2t%+(tKDfRb zEQBBS=V$C&V|Z)Oo!RD_Cq;B`M`>xE1Q0w}X#TKm^8W28p_pwn!GortJG&-`cdsEg z;h^;YOAJ_Ju2}!OBS5_SzjZW!hsI4&41I`4Q?Ws&j7cKEG@dsX;wRtWn$RLDvkU(W z+!5nXWO|QvwVPCjd@9*0Vk)wPF|4({Y*gmlj6K5B3ZLQ(k1qC#O?M|T4t-9BB{+S0 ze3l5bCw0Cw#;x5ncj2zQuE@h&jtMjD#vZ=<0WM$%UU1*6*r+H<0lU?ZT~)^80SuA0 zIwN=5wX5zUUH^#Rso4lpv9DWPux~}Y17;_(Pj8$AoSdEuR!gYZ<1!2UZof0Ta1(*F zhET)Jh(6a6u5dwI$RU5E-)%~MuEp&&#C0LRUW4zq`S6)LU9Tb53KyA6V<98t54(4y z$J^|=9i+pUW5P*t&)5L|2`07_$K}c!@%a;Q1LL@)@ix*HeY~v2yL6|&6bQI{uyFZd z8~*-mn()FQ`tpIpaijh&yprI!5wni+!oZWxG2#Uq)4nGYlTn|NHXNHHv$*MmDp5$? z(TtkLg>pvygW_ZoeZzJpy+FHPn8T(7%9$&9Zvf`>ZkYo);@o^(E?q8)T;f4NdlVd% zs9g<7?Dsr|nUk}CLbw0+N@-D^>1^PvW~_Aq&gs!cyLPVB(!sSU-v_ZCWo)<#LK7G( zV_{V0r&15T%=n%srOz_oYPCl`C|x!_t3IeXd>-~_ssy*v6v1H&q^1Y95FSHioqz|r z0TaI{NW|BZQTNPDa~)MY!g;iz%x``r4z8sf-!q%THN^c%;cT&k26=u*rWdce7{ZeR zcuHS&ky7yDnSK3{C{MwQ{Y$ASk@b-!@vkl%GcK$4LLnP-#5Y?AH(bDk!`__3-hm=a zbQN`Wqo%aM7ULFiG0+`xxw>^RD}Lz(HxqXkIq+_KA&z|Rm3ma4_RSplz{^(qD78xJ zhs4z3%sm&sz%)03o3+moHFN%nX)Ptlm0|=pul8rg{1tU!eEcL6lWJIm-Xx*Zk%Mh) zY5h=f&;}1{7M$V2@cOU<6vOgEuU#V-e^`S!3VQe?fdlFKtR}{%k1WA^rg|;XHw;6A z*h?v(9xb586HO}B&UJRMu#N}a+#M=zG~2ay{9G+Npnv7u)eUN*W%LfCGIFN5640l= zrijYo=O(a*z^I0SLFMxFml&uqA^wNjL36!KEV?z{kpHe&h<$Vbv@E|42XOtv;Gs9<7hM-h{+jh)CbF~S=FVQlP_ z?bH4otw;@+gMHfHA2K4g>>)UapY{+sG5TTX2-o;&f4s=}a^$=|$q_oRp5cv3dH>6pn8v?@BnR)?Ug<3+2hzVz|e$$HBSW=?UACD%`~wvG|>4&))S!V%O-&ws6Ol^zdEF z1$P1BmqM6>kF^%?%#c=%84IEF20{eKhPX<8<|51?fw=lTOC`)fz{ZXkKW!U**eg_V zaFEk|_8FNl{{ku=Hl0O?xxgS?F5R-)>NKF#Z`S5`3RR*!U3U_-v)k%wJi2lR%!~|0 zmWEb&xXGhDg+!MY_Y)C_wmJimzqzosx(vJRmHPdNZs6kh`@1>~KV1p` zqJ>*Y(}bqEJjF7`yqD*E%_;Um8(qYeWHd{cHyZ7E{4!y3BIFGD&%fF&=z z{(>suj#)|NXr-Okpl3Xo4%ogZWM->Hb7D(rB%ld{`xrdw`a6kqWf>~&wVAC;P*!%0 z*bRv=F{km|=X`eS&&*NvNT3)AzK;yP53rVpLr;}B_V01-C+Vz|YP_cyqWz~n@2V0J z=?J+8PwSIR3kej9xvUNeY^uU%ddYgFnN7B+f>vnu%p3*vU|>fMl`e zvjKC5t3R$1s3*$1!zT~Oa0*Bf+Jht*0=V4_+`?4`A16!$% z_Rz{K>Kxq#CyA|}obKvXIat4Ymzs{3`;Oh6s&drM#x`9HpMM*N%U`*wfO*zPyN-2D z2(x_uwB8sMYm5?~Met5E-WK2MJm0%KrMWzJ#|MgoQCTdNT+n_(>J9bhx$N?a#jLec zLKBKWyjkp+Ne^oexz)xTlr@?X*s9(F4y{_V-7<{edk^^etYI)Ns2!d(%)#ae6F~L;0DLbXD6r zY!Q|pH?E7&B21it>ngw*!U)IOrnnvzBETiBJ2V#R?);11e&qLsF98T1al#y@wZ4OG zCvLEL!VN#`bXW>?&VIGeMAc{-VJwiTm!&(y!rET&Mc=Mm&LN}B*2TH7ue*LC+7%kp z-WXS^X2f*k1qzAtOypjP6I4G-zls&O&pQF$3`T>XaDsZ%ae?~|Kg`iNB+R5=N`)eC z`NsF=H}HzQMe(=s@oWWXEf=)@+Tw|l6$T+SeVI?=11Gd2rVD*7z73VyYL5(2@oGVH*B%6WcxdJCUjC@k~5Znw@7Slp+A zUzGO3zlT`V%XE50Bpm4J4%?XO7WS1Gk^C(u>kQkJ<&CtI7-jw?$>&(-FU}jW(&d&1 za(-d%?!)uwtaUbyi$x`4ZFnh@LO3#Tl#lxrHm~|2g80-tW#*B!l zC`s+a7`=^7R@obO2i`lZnWrxVcRU_DARY!HL(ls&F0|H;74t^HSxt|}5;H6d;1+Bd z*~FY)t!lj_FUa6B`1Us`BE;PwtejURX+stn*}*`YZ}{zuWMa$T-v-38RS=J?e6eu} zwQ=d^f7;6bboIUuaRDOU4MI=Iw$>E?H}*M7 zlEpqtl+TPW{arT4ACPt(My{cszVJ1ZJEJy&yXMV;LC;^0;j@4{ z2F&q)EEOEj@MnEo#Cg!th^aiU^+t>^PEC|t%v7F(AORQJ;+q=>2>9i-gW(CD*P`<4 zV8|-M zm#tVa8SEPl20rdRqWKr+;#!5|F?AOy2M5CYhfzadFX3h56*}&8-q`mf?4bJsbF`0n zEZDZG^2;mgO}kNk#ZIz+Lv7pEba{+VWyz3HVw_m6d9fyb{#&@Li(sK}vEz|95Hjca z4c`*j*UHwId*@ohF+_g~Hl+Ez%S$SZrGb~uJvJBwE(|+aM-YTrRw%8m;09_Ro?09(*&w;Gx+z>NGnX0yE2`Og&*_^g8 zwxt&J^DfX&m@hPHHb9h&!0b9NlvV4K!Tt0+P+l2=10Y`!d|+hD;aGGWyuVYY$vKXc z)JjG>(8zQJXq4522Z|l&2lJYVrK2P}p};0T)cf7s*o3c%sPUdh=lOx2eu1`2`{7AS zp?CKBlwJ5!NyZ7G`TLi!00n>#Zrm^lP)N`dZFB;!j@y6`*kRb80*$|kU%jMWyAZwu z_r+JNC|yQlet%%k2DfA?a=KnHk`;4{e%*)N>|DV#Z0i!4nZ-e!f8;#{j4*p*Frh7N zV((Wi>ueU@4o`D5QFAQfvJXZzRE?%Tj!sXwF8)b#J~Q6AAK5-&sus3vP@sCp?zEpab)cUdqaF4sM)Ffi zz22BKVNVhQK!VR(du(3NvQT6agLyg=MA$sax7>iq)7_B<^%*%!a7fg>TT7 z2^d?sG*U+fevDV>;2@JHY-8FKL*7pmtcJcKq!{Lu$ZOx9uDfq(l zyhLN$QLvFLiRQ#8xtA)B>L=gJ^&YO!joyt3X3fkt)9&Mo!Fgow5#M=DHkB>A&{+1R z8MhNK_tXzL7OuLLEG+10d7q2-_{?2_@nu`yM|`zxo10jVP4jjU^3)SKy5me7wLeKy z04!+B7jL@fj4vlG^8ZSJui@^hNCMYvEg{UUd5SB9_K>5jtM}&mFc2K;!7Mi_9y)mj z7c68C(N;vc71f~V$~pjoSS;7r2VPl2GPEXUO0Mmgom-VQa^ZR>2)-5UeCSZ+50-?d zJx>jOUg?oXs`LB?0I<97`S+n3lz1G@DsTa$^6Ym7dH9tw*Pgk1eQ4#V@W^rN2zK*p z<7L*nZ+E7*qW1Nr_r`nc$>lnomovd~c8-!IXi5ebd06{ly4_28g~wCRLv4HzEtEWM znwTO2+mLwl0?e$vO;~i>AUcs0F<<%|7#;Gx)VcuBec!?MO6l~y{DwoM(LMKD1nggc zLuHq$gS5dw@fG*J+PsjOc7>du#LgWfH+)^qjLh9y``K$Iw9v z$~iJ-B%9Km(7X3?(AJ@RIsz?m`J!|={FM7ZoSA_WFXo~ys{X`;dw=o$VUu@*o|Tq< zOI}59UPZ93UAiu(@9@DV-}S~V`1BUz1@SWaqCB!qu^oI851ugb#r9GezQL*37TWGA zym4^ZGDZ)Z)rN=gs-p@XJlo^HFwyD+&j9xON)sJ>0yNS2uGG?Oa^%?M$zK4pIbHB1 z6N9698qh+!PCIeXfzz571?M}9LC(v&>+O5dzfSew4R0f2VJLW{!qKQ833E>hmFC{0 z_<53u8L=LR<8GI(;P|c*k_Qh~-_p(twCnlwVMgUJ)`|5I!--gc_bAi*nLoUYbGkOo z*ZLK$Lqvh8NE7s20yvNq5of3@lM3<*BD9bMUKCf=NFPvrD6Orx8M3@q>-DH&yr8%N zv)%&F;FB~Xf6%4{i#Zhor!mZ1ut|}0hSEAjys$zwdA1s*Zk**|f=hQi=x# z0T!wGwTKZZFyEG~0itDc{d~TE3Ver-Ztgg4TK!W=q!6Dd$nb^_$y3CZLTIzjIWTYn^ zvwfY?3 zR`9TmCx9HNw0PMypHK>udUF3`Xy}e0&&e(AW*qfC-?UGtaqyXyA8PExGB>_aBT{tP3PhVrE*UKw4J>mNhdrj5IEx;(|i zNEhM3j7zRDI^>a!DP4Oc{^q$I+WpA(VmoR0@_g%}v+-g#eRxap;#jkxyIz&p_ssxCo;)aEb0%xIQ2wmnkyQs2S^$|Fr}wKHd}UL zxk+M}<1g}UwJ|OejOU_-y690)q&^kFc3@9U>}a+#W$D^M@7Q{2f{)DQkLR$Xa6z~r zeTBQF)Wll&u}?oAy^(H8riV;fJ}S5n#y0$TBL1FZ=sm~&aC*VlGAR}^DW)2Z7$cslk3`Uy1p?VQ6%`6tP!Ss`lZpjPoeYB^@;@EFWRD#n_-kRGw__B4-nsen9;COOTfwfL+ zo`3+Wh6%&@gRhP(|KbBg_*Z=YoIy&} z@Da2UAsGOne{sJ>=lP@m;`?`}{8(mpO!Md{YzWQn{M30*Ve^G7J{Gq?pk+#axtq?- zdm_#A{9^OV!q6PQ5q;V5r@gvt&na<%9*ps0#7+Q&?79kKJOILq7!H6?s(L3a8+Prf z?A3*NPQ6ARsiO8Snmwnclb40N_drAH(h1kniOSyFY|jRS=Txi67GDo&FVxC z*)m1CC;tR=li$NU8wNzC5lVp&9ylPXODguNAVfG z#h44CS{NpSLg%$hiirDKy_B*K61j%Wp60i?6jKkp7X2D_kf^fG1FBN~rnUmcidw|yQHt*jtC6*b5*Fw!g`W~R2!!6->nfG{-@nm>S`AEVoTdbHUx^ICR z#KR8|A=z+>ZmdjQlmD~?_n~9MEyc~tE})r0JjwVn&Zd0i;Y%%6D&b3keM*m#iLN>$ zli~eoB@=Gx-xt2piIwTdj>z}`bVfkNMbRDn4_WmqK4ux`pI40jeJK>(t$N{^XqfV{ zW(iE(riMuYnnWjh7*i5-uOB%QEoB*ul~CHt=BuyhM$_Gt`TVG{*^pTgE4E~NMBg+$ z4!hv-PtEk9^E)sZd|8n~9r|Gal|B_E>H<({Ol8c;zzw+3v?_t6E&+$Pk+6SQbB+N6 zE5$@J{mbR@SPxMP6PJD>=R*V4)jQYdLPiD(HO9JsR2qN$-P?GLH6mMfBcb?y(a!}793QYd2Mt_T6B3*{mS}I+O zh1CCwCqs?&R^Vqf!azyT2{#=}oPH95I2hcTzofMPwEY*Szhnf3cBfDYmaz5>%^YZM#TSBEWuzXqjMB(_ruXrdvN2`a!uI=n2 za8C9<_coHIh+SvRIgdpw*S7%`V1xQPe*Y5K$!R9GwTyxTSwE!{Y|9XFk^>kfk(yGl zC+$vtK{eQrAxH0sO5%I^UUu0VB5Jw1+@3p9i-T5~3!80PLGQ8b!s3C%FCsA9lzS|> zTZiI`Fllch8FU1&Uu4GJ`1G7nN~`X;kuxIy?8XuKX2m z54cF%)XM6`o3g#tdY2_3eAT|Itr{K=#Sg4drn!PoueOcQrvj~c=X*Qwi!H8RU^?cf zzXREQBWO}!dQOb+Na77mFow2@pv8xF^Z9H8Q&l0+5&v9+R#hS1rcH~F@*89N3>$w; z6&co%UloBr=Gi39PeA&9=86|l6au*qzwc;FGCA_EUI-^~=EQI}Z#WvGhdxa=jdvdi z{Br#q_lI5N=Tl^CQ4>keH*;W?VtWMZ>FdA0lw6RDxjkTQ)$uUjU;B)sdu05P5dj`gi1%&H*ZQsm&|CeT%6U=( zdLi~@#D6*zTu9jaBD6Ao7CsIW^)wWAR07Dp>?$LG>=Qljwsuq=nmetwc06}~8MdxJ zG^a2$$F}w({=4*8==a;LnlHoHHLnuDUrdJri`@6WRYQKcIZ$bKbq565y9&79T zLLyb!x~VVa{hvCub{g{e>mV08_(lVt>NTKj0sK>%0PI+(xN*MB{_Y@%?8l)Nc5e>E zDg$Em@;aaTb-wS{?rUDle|ar8=bF~{t2C@}Jud^I^9`aSja@`MQJ4Xl(NcbS?M4LK zJA`K#~em0>l`Lz@z(NUvTYGgqz)ypM9Kf_Jt1p+x1`V#@1p@S8lWQ#?DIR_gcl*h1Aej z)HI|TGzZXAhbxq;3GRhCODmIIp|>L=wKf+_1m<>@5r<;US7=O8LS0^1vA~sOkD zWXBu}zWp5-{8H~MK~A*3y?c3iP;QS~oxb~vO!7ZTGJ;S3ZwkBr`WAmb1AqVjBJ3@o zs`|D*P(1?D(%lCs>F)0Clo@0``<&H#1&&>=-h^!Ygltt|EKL#MV7n0@FswcTgvL-5o39F1ij!5Oj7_GF zO%|tOlcQn_I<<)ll&PWmE82ko$XThujv_#$W^#79^#7~qK{!NlZseMQ7Wk?Q2o@9d))q@2mli%IqYhS52M=aTr~b7uh{!hX!A7jwISM#=t;hsm zS#c~1?0qCqJesw*;+($#lEtw;=0H#@a*enoHr*&X#lVm%chfmtN95yO-z+niT|6)* z5m-9{HXJn$aW`!^7lBVfEx-iJHF9ymDf1n_xQaS*ET{NI0jzsKyyjwhSy5KU{c(Nw zpz)Xhu)aI5dwr?mbY@W>^4ezG1v5Mhg-Hn7r~U2pdq`e)P!btF5YacbO7%C?F}5nM z5FM`j7pxEsfSJv7~NlUR-6ln5A5ro*}Rkk;%ZZih5s^-Fcl+ z7C%mp(fY{d{Kp`ch`U~O?Q3mm=2zIvufVWGBv@i>e_xNKWv8WOo2BJiCA+Jho{L_} z8$H6hFN)v4L@;X6yw=W6{7b_oqd?5kt|j(BJG;OLY?1^%6l*3t{Dw#&n5mrN8WAJ8 zn5kxgG?61T(l$Ama~+6|oZ{03-Oa{! zOpT2%Y+7@1XNKq?lKZFSz8iYCxfH^Sz5rK~Cg%9_YSm-zr$it<(4J_96{axE8d2`O zxbcC~?l$^G$>SLWm41DgKkJWv34F4^`vlB1&DmnA&1+Talt}Ev0>j~&ImwbV-2*>m zhNuJ~EF8f<#R(lPEN>ip&5Ys8nEq!SQ&40Fpn-I&*2365Z=KAuthr=U_Yh0}Q)<$D zUzr+nE#}xI@XZcTrkY{oO9I7D*$$E6N^BM=u%fA{kNfuJQ{^pYb43C-+brzc@T>Ep zVDn=}NI^-Sh4J!1$mAQKkw*MY@0GcdMLA;MRt_2@(J>+^P{ zIj*#gr_tG&&*-of2{R)$WLUPtTB_qY z<;t_rOHnFe98B`SkQdY|0T@RgaJ(_7gq~^ve|MYq@4`WYX&*pLk3Cb;JcaoGoskDL;Q z8V@W`Z>%7M>%9s&;yWaQ!h52DzEZZ@V|XGNn%sPOh53sMu`&3`SG5P$-zc0zA~>Uf zR(r&Ur^NedibEn$Bf^JijDMhFzqsH$BSA(v@2Bbh2?FRxX+Vn)R-<2`lY?^+vDl6@ zq6b)Zffg~#3GR`^@c;+bW9B|kY7>zdJJK=rnBohFaqQxS#8R)45UnaD{VbGL&Vo`q%#8C0?h{srwi-Gwj0CO5*D{?qT z)Pesu$`eam5D80VrFKP*`iT0^rs&su+{|gD)A}b(%9*t3K}S_(lJAXZM|MG{kf#9a zZai{Ley(&4-hlRa0s z+%##wN1l1(kA`2q3`Z=UP9U-?59h%9BN%1o5Jar}z+^UQM*%pn--#e1>%T~N?#9+& z{FygTiH%I!k+{R7KepTtq-zqoIpe2c(wR0O9I{AJwHe}7Ys1hdQeaii zr(xQnqlh?>qN8wh`cI|6Fl88s*!mG!`aT<1^&2RRMsyUJj_5-+=7R@tRQy+7D5dT* z#nbfUBAJfCrEpRzrcyjmp=M)&thWA-RG1ugTP_m2t#~r0Sd=1Se7?=gM}D9jw#7dv z3|Ku3L;+{z6&(QA)u~Ix^Lm=QwLm zgAU*O3ua=Fsp7n#3ww^!`M(H?7j%LDivTDfy6qYA@q2MkQL+D2_iy0r$LJX7a~x++ z(OALE)=gCcC_Hk2Dgh`+jE)f}?xC<`vLXgisuI8h98_^rL>y@`Q;dH>P(QR$-~@{T z42geWfo&Lc5&ms-58h*l0tX=r(4eMDkRiEDz9ab4fL|qM2wQv;Y0OJ}OHd4;D2A}5 zHj%PU;I&rcKR7hho+-* z!AU3F{0Ar1Fwgl&X!9ORkmNVfq`g;n(4DH!FuUI@u8bLpl`Q-pTfB@`LN@zZd#F)N z6B=A^^Eh!9i*AL2%0$Ex#qn6DMTlo6M`v^{mZzq zplI`eo7h)<>*~O4=d9$l@iUOmF&Bl1dq7R?d?p1CT_7>e8bZ*U0RKlSj2Nd44cYa9 zqH|J3zK2nz zfnK6IfT)PYa^JA}qb6X$D$+oBPc2~KX~1CNLmIHyw{JIWAfL`kBW4!If;)&c&3_;t z!%73Eiau->r_%x1lp8)pX}uP}09*jm=77xpfB_^BtLS_F0Z0%FS-j9H( zz1Tlw!7H)#ah}xYvW9ws_7DEe?kv~?*s?4Y`CU6&6PSZi9sRNw8zuuB^q}()@6btB zG-Eu?S1!Zwc{(xVC)KM3JiSiG*hHuBFWJFVC?!r>Lh5z6hMl*K=kYQ-&Hi8UIf2BH zbq=fDTWl(27+(L-fv*iKb^;8W*aW(p7SWpD;|@41A0ERk-yykeT$7zz^ViNBkMTVc_YH!K+I zC!n+td;*amao6(O4@y^CVZ&rUftW}(80-cU?FO|3)0NQ;5kE5bWT7mN!1K9_p&R1B zetiNF5Qy_W5dRiMNm5UTZWsfr`2<||Qt%0c&DSY_zHrLTM4sWc71h#_B@id^F6Pl8 ze*8`G=;+WF0I>j)dI?^48J8_|*R80P5z;jn&u0)jFX`F{X8i?!R4D|Z2`s?L)y zZM=VW%-YatN~}hEHtbQXAEmvGi{y@!@f)DyIiLH-H!!x(ARPVZ3>APcw$E0zHh9+DR5i4q8ufEg&ko@W4EQf1dI z<>isQcjxQcuzg$$Z>bNb^A|sPeKwDPU4kU_+k=LDDCi5lbOd)@{YC=)Nn0_URbP3N zn+0^@7UvUz-KD-!GS*E}}Jn9EZ&7u~g(jex6 zl8Rjr?{N~VG(enXk~K%6Y``sjL1+AIZ}X%Nm}r6mkP-kHw&d7<`DvKn8i)Ya zrymNCG10uhsEs@lNIwg$|3}N=bOAM4q~0egtM9I}0$*y{6ieI^`eo7-k6t77=A3E7W}pYsb3f3mraN_Mu;u=AC^#-FQ^W^ zi!ML45CZ2y5VR1oc?}6dIb6?A#hW+W&VRiFs?6stz`=Y#koUw3YTSQ)l6vUNee5fK zDJ<5kg1AK6bAhyc4R+W4yg_ZzZ{zwV`p&)>SQZQq&G@CH)gACoC(de; z;zp1UY%At=?s3!?A$=Yn@S55y+~dY!9Dz959EQEIts$4FS_# zle<>3jvf|AAz+d=el`I^MAgUQ;FZQMTVqG zs+`21@}Ek;(=DpM=LTHX6UW>qj^a<}WFK7^=o=Ee4fxT$LLQ;P$&AFwRH73l?iET? zeIKR`E6xG2>ixy18W^59uqyOew$b9gV# zDQD~Au256b1(7&7K5t|S(co2tcJM-X}`xn*x zvCjuFKv&_onOlS5?3m%~ltEnhATHVu`hULIx4EZ^TfPDjf2^34Vr` zG90CRSsT`p4;;{vk3Hl5l>1X_K1%sE9Jk?nG_N!Dl3l&vEkbNxk)i{Y2sxPny&Fb9 z2f@#`7hjiL@{j1_5`s2q>pjDA$2F)81*OKe>9J<9PjTe%Rbt&CVI7?s)XFNcyh2hd z>-1Ov?;*58AGf6@0AYF9YbH(W`_&Ck`cG{>lS=+8rrP1B#kVit+aak&KAA*RReDm4 znMw4t7*~|*X`wW*TS&F^fusLa6Abe%05LFdfi$t-%dc?buW-}4q@lQ^#eZk=oq`vZ zrlU5%%uIbUL4}Pn{pRwWs2~hl03s246bBR{iQ?uQ%Zc7*sh76E7b?Hs0jYj^G6|o_ z|Fjr86Y>;LY6tg_ApBnwQlDsoPdT3!&iBC>3xVmuM{L|MOLaXaU60|#*;h{uP8xwb z&!7EKGdXKEPcqHNAKF5Q|kUceRX3R!32k0qv8 zJxQQ>KeiLx>fR9t-^1lUzefGj^+f_BRrQktlk@r~`l5nY6|XO+1piEx*~f;5zbQ4I zR+VAUR0n=JLlnO7Xf;0%Sitv zj0Xndjr0$IoAZ~8_5p@o1frv+4tJvrcZ=(+i|OR+T>-j0y3avEvtftCpqB7f#@Fr3 zOHWN;#6=)t5xReAttCiQS-E(@<+RFl!`(kwalIT0j(1pQtV4xA*gH9x$7M?42L`g=`y{h0kT?tEJ`JYVF5Bj ziu#_60bB(Y&bMOr)P;?bc_Sno$%Rek^#By}f7vpx87Y7m1=Zt{R4_9h2EJQICuqei z>btJ!>w*8qg~NArlDHtrn`!A_y-IR@#EQRGe9&C+{(JI28eYG?x==uk z0_oHL5TV#DKM9!oU>FAHmErqOZ|qUPrzEP7?K<%hRtw7t6oS}V%P;QISWWixxGbL|U2kuU)t;bOQ<~a1 zzNRN^PkFY~go&EV*h8Dv|LE4@nR=iPA%hqdZkS39MTP@&hJ%>!?CVEI{ZBZhSdR@j z=)aj`*n8%)#2qQTX4Ub@4s7{_R#kCEiIvuUqMdePv7VOBU~#>jHdmpQmLXTk4!|g~ z(h9KCo&;cGTqO*XUy1>6UaFSI-_YME=tCR;p3HoVuv7haB}AH4#bv2lXn&O>{JY$L ziWL;s*UMETpg{fYw6*@G4J7sdFA04}QU0&JzzYCrP?h+w-&_saXkhlAZ+gWp;|(9(%YTIf4rv=&X`U}f!zw9m*zoUkG47I4pp1zBDG&ds z!vC=Q^`*Jkr8)CW1(f2(C2vC4ZiI&}@iZn5 zc#Ug3@9L-gTYnTHmh0=WQhyQ?eU=v)m*MMANr(<&8fOtcB(X$Af?zypBl*-Jj7Vb| zrA*BmV)py+1H2gG7oDntyYLs^jg(5+G)+-u5{1{~2=oy*;mn~n`|k@CktQQ~R8K*QZtFA~1U z!ZB6a5$tm#|JI`$4>8;?iAfsEeiG(Uq;rodFCVTxd zKFsuMv{VDmPp}EJXh!OfNDrA@Ky>q~no=tDKt~jF`;eR0RV%(t&C4kYDQ+gh1&!-7 zH3vU`-m7hh70UQ~6lF0*#F;cT@i}>Sz^64qj0=K&-iFYsR7=qu+ z!g_A2UQLj9$=dmDYgQ}}MLpbVXUQe*=bz6z1srj1LIcI+iSJA0D&dl>@0bt8k1}>o+rHL>d9<2v%`hNcr<$Qeblk?{IALVqjpKZ>;mC~e`>GWQ{ z9x7}J^1BMIVS`f}#mxo*9)71W+J-;Q5zAp5H8Sye^ZdqBcTbquYf1-vtx>H}qd>s5 z3E)10p;ypvXy%+>Tl*q9Pj)h#ucn!w3A`1FHt&aS+t#h!|X2x}OhcFp|W-_j}xf#WKV_lnu#+-u#O~q+Eys zA#hOr>2{*?Yd-=B`t;-o({SEi!hl8NE!K!1bg#FdJ`q1cjDF<%EqpUflgF&7wFfTd zVLoHzoAB=9o@{jy%~d#k86%UxmLR^%T4Nv~00uSX6SfmXJQ)y_^sDC+!b@ZmFjYu< z3o4r4s~(BHNOtgNoS7r&p#jXW1v^BRH?vJBSYV_mWX)x;jXBPW=vV!L%m{y8(h2^o zdz}rHo=IS#$hg0{cvscbF!DC&#sKB`-skpq!C^Jty(YgXGMb4<(aJlHxdy8lh3&O; zM(T^2Ay*6UW<>KhNfH&zlkJuqGYfF-)=$Z?zPzYCdr?Njn_{B0gA@fElFvLTGOoyk ze2)DscoAhPZ=+CrzMDY)hZ&gQTe9ga7AhnI%2sKKDowSDFhCH&AjgJ)*M>qM;i1|#S!pH4fYuaPnNm=LiS+cBb9;fNa>N8SAm zN>kG%7sdCA^}SG;qS(c?zQ2=nYG7Ta0dT8AvNkS#SN#NPhK_v*XDGWr{vL<9p`A@V zVT2iVqEn(APc;IEWVx_(fO+c;zC&%7`YRtI5-Tc3kGD}1Q;W+lr;)=>xEB5CrgHO} zY9~aDlZA=GN?&fy^#=x<9UxJhdQ-kTf~voBK|$xw3pN{9V#CpJINMpomXfI8S-Ez% zr8`&jLhU5j#$pB{1d!F;JI_z0D!&rQJC@}U)O^?bU^e1SQ5E)s?g^VVsx;1KTVB>o zC7gmB-T7M?{4n|&e1YFh!Dho)y{oNRGjIJ!)X+M){ijA%9fXPZ2eM{%CSR4+o%;`}dxIwT5%hkBlgrxqGkvM_8k)fE5xxo)%rWQ4q>Y zM6D$9Kg09Za`EBFCOGY%O7$J$LFuO*Iuo|c!^GUiTCGctH2osy^7b}%EaI9C<-3?Y zPy-z)BM9o1GjlEWwx&`L$T8hw{+MEw8qkd=vdkH2_7}5Px>bKF+-| z+5vZ;+_%a!mumP`L`Q%!e}Ugdf}E~5iLu!%2>0YlcXA-pN@xj}Xi*QHUlmoIK;KfdiWeC}d5K7pb zsi;Fy^}+P#xm_M5-fT~AD@4)Q8zqnfG+<8tn5(cH3AyXrKcjegkP11riAvHzV0ciiYRE8uD z2zS-HnO1>L#G96Ngh+qJ#1p4q(EDh2Q+ zMP#}m5#RGi7N0EG8YVrIF75Q=X+i8#$U(d<(UqPJTjT(s)YhW&{5S%-E!BCR9U3a% zsftWnn;&~IKB~kS*$9DTwc8STZTn=M*b#X}k`2L+a~?m`twdK%NZ&at&Ah|c7ww6z zW|O^0cq1EYNl4U`z|L@`Iksz84&OKmVs&2FcG(bl*`jbnkN5BwcmYc?R)R)6?TNg= zqGU?rJ;QC?+{>KD`p~gDE;(=uKEE88W6XPhtS2ni$v7{TBQN%()^<(NcI~Dp2X8xC zxe1CtM=NyZ)SCgR{jxdBbak=^yBpGl7DdZWymI%%D~ohow(PIuGY3v#D9(uV3jC47tX=FSL^g%J#Q`f*I<@=l(} z+Wskk=3e(=Njy{)u@v)ZnP!GNDLI>q%0OPr6QP=!GhzWsFmTxPOml>oJze5;T39Kx zhE_(A{UKXX-@+}mq(+l$w>z5v53hZuTcy6nu6LvdE=fF8GF?2ZgYlwP)OR%Oi^A^Y zVnrX;R`S>zdz1HnY-4ww8({v%H6MX~ZCnx+{Cw)<+|eq+ve@Yf_UqwO(OHLYpGRzDYXB_O7IC2f+lR&TUq2Edx zdIwsnuP=OuwXQF^>x*@~r<%VWfLBxYm&ivJPihNTPMQ~n$)UFw)2Xj>dtau$&iw01 z{TI1pt8P>%=T$+ouTxbuxp{98e^vYTzWJa|T&XXdi~ehA@5w!&bIM|=_WCN1CK1Y6 zlr0CZRBWRz7B8QwIhGqngZr1oV5{Ep$feBe@|o@SOCya+FG8HtM2(Sur&0Py?kNmEub|c#)zNTHKr5xD3tX zcm_Z9AOA4dXwSSw_+;ZHIQc~XdI6mONj6V*$YNtFp>nc~c8Fqwl_LHI9ywzj&4xj} zDq)v$PetfgXyI>iV={1#~~p}QoO@6NA>5QH ziA8*XgKLZudgZ?}m*&=vsKMIKZ98bXO-Lm?CTG%L7DFb}$|2AGVPclle%19APa7zK zj^+e%r^sz*$w{Z_N&}3Cz}_{)nPbkPRwttx-ogL&CQ(T?KAf{oHa?BBPVWDDj}do^ zdko*Y@n=_2_Ku{^FG1cuSwhVtWX2ZJEam^OgU&B2&oA$vBR=VYAzM0GxVgJpnmQs| z!oD|xa6r7gwL^^{Ty&^GJd1$q!i8{#S&JTWH>V3rt8;s}jXq{`h&g*(Qac-2)L?AB zq8BeW_;eeM8adJaW%0H9!T5Ej6NBXEyZy7T1M<|WPmo3H@He9lf#Y`y?yr8Hq}`t{ zo!;M*Z?yPauim@db_2&5Id}SA!;Bp+@$(W>jpulv9T-MCwI@*g#gD)G(C*4b`Nbh} z%>id=pSGg!&VOh-9C^Cj{Zxy_Dae~zIol+k%1}7_XwVXW7Ek-Czhu>huLY+m2cGP= z&F!@Esf-+PImfJy*n>6Sj zk^9sAL!k`u>+{|FTZ5bPT@k;NTbY)-;ibF8`{^aWTk_`HNnls8h~R=aa@o1NVDZJY zP{&62Op3*Puu54V?3or1{qojgxgERO6`js7UsFdO6%8I#AxYKxodGB6t^DK#^lI&} zD_MRgSeRt-P}|d-aVUKJs!)h?lY+8;ALi8E@2E>tY!1m;u68Jl;+F7du#(UkM}4Vp zK~p@owlhAiAf>3e&(OG)gn-EKEtyfmzNjFBXNrcn*k=H^Z_I{JUvrX{MmkEk`amw? zQrm2e0AdJ5QdO*5(#^@XV8;Qo+QQKV8+B;3D{6Y=lN5!7R&RAQmqfT#S;%L0@Xq$K z%5-99f3U}YBXaracqc5%H!N-+o=9sjHw~qWa+1hE-I?Uo6Zi58+ydWv9$CSea<3)z zR_`cEYx$bV>i0DFo~#E@_BsdU22EYFTu#_!X{vC=hSEVUzZ>c1PmdCHSJJo8THG%_W~QFhEqa|YrV*JII%Ttnrcu>ZAmLog^WESk)%cAC)gus zij!@jMTJba#0uvhC+xPBp)%xUjk6z5D0O^R-o@ChHxuR*h0dd~+1UByGk*}v4$XVB z6gfIU?KedLM>I$q(3+GKDW)+_lECuxyYtopJC;(^$4Lr)t}PRp4>#UNLw~|-?93`J zA`m%CXt16L=x3mTjOp(}etoWvWJ5HQA(F&^LYeJFRioB8sCqtnEPX|zpFVU$qxV3( znaWg$R`>jDF$j>oU7&KlcR~Z7y$f%=ZLp^<5@~4t+45&Lpnt}0=f%x1sR7M&{V-wI zoA6(Sn3~}UcPD+|kxqAq6bR4ZdJkvKYsKy)`bhz&qz}eL#Pr)TkxU{)3-8dFyk&-( zE}>5(`V$&bCTwi#lF^yi>XWud;ClNjSG0>S=a>0yK6k{$# zU5o6bCwVg^*({tJ27BlH=Y1(4h;OXHGSmRl`yAMjndGC=Pc%E$*=kQNI75YS@uJQo zC5lzAQ&A?_AvPt7ee{}~weQB}yvaT$1>({Y_zWS10Jzy!@nsiq3TaHrAME2iO(F~C z`~XGG+xKD{GT`Gfw*09YzMa-TJ(4n1x)O{HU!QqIhDL>D4iV+9z8b?AQzQCmmJbCB zpQolokyTh3ATSgzBz=%7q)hs7q56|CfLHXhJ_6=T+^PnP9x^PtWpa($uN50I*jGXl zN?SpLE-yVM2^QKB_NWQmUvO1~n~VrKWR{WSc+b3-vx~8#C`DB_P~}8ekRW9=3pib@ zXz$-5gAk%+dm~yqP}YC+@3NM>cryl_7oeIHUFVc_6yxHN_1&lx&Ali?dx0HELI2){ zFPZ&$?t1SXmH+(cwcqWagA;Rf_b5@;V9V0o;AM;0YoiwZwf&_lZHV9M0p8Ub4Y=;N z68e(-r`w!&JVY&!lF=Ab6K5p((&=1j`MRHK>`ve-yf|M4LI|EzjUE#D~ zgr#BPH7nhs$n|N+sguEOsUB(0$D6y5o2xX;?bTA)$gT!dv0AU3{!B)VEH`@E3T!f~ zdgii*iLlM%+c{XCwWD_cfwyh`$Y;o4Rp{!wMILF#{%s5LE4+f25OVOk?$(UEuj=f% zEfh4ReXFIJUgT)^-n*~9VMkrX zHJFvRVOP&vWu~-Bz}_E%wvSxD$!#D>`DD=LLj9Yu5nNbIu9_l#a$9%*q3;ymSv&ug zt;7owop@#cQkrmE{_|$Dmfe6cmx?U;g@X3NWDl(^Jy)C>n;F%!`!_34i8_=YtW1H6 z<7bn(=VlUqu@Xgs5Rf1!AA%xGdLwi|^t3`+_ zLw786#S6`X^U(0n1Sl1v=Kbc~vUwQxU;0v1-yaW7G$Uu>E;$p$w>K>6=~1#dzzs#u z#Dv5m)C!Ev$y2m{L)(E;H#Fp#+GDk{@mW=Wp#i*F8d9u-*L2DAiso;BHw#EbIS#TOZ%XdY^}QEcPTpcmkX4V zegVIew--l$63@w|A)VyoUJ1Xe?$?@Ew|2>&yORp=`WJj&9XZ{F+I732QtIdU<%pf| zf4o*(=)y#SiPTNg1(buLcU@kL8H@|(h-qMh&j`>`Q(qUg*kIUvtF^(H8EeiK`&D(0 zgO(c5zf$iv;KL8MH^b25H-AH&G2==R5}_cMGPTSI(%8-(skkV~aiA$!*^ zQ+U~g;~RyuKiDOT^r}aiHGb2n+kj=Vz$(t5HHi z0l&YSiwsjtin0u6{=_>!Laq1hBKs_M(PVx z=Lwb#8O$c&I{H|p0_y-P?cg^L; zyN`%pvVt*mb8I+>i>V>ZJXV?6Bql(5iK7g9jRcp%i`5H+1E|@e3|6{*$v>J(;{{vV zj6T8OkySY->(ur${TAU?Oi~J?Brz?ix>h_zP+pp2@CK&3sKhcSxc#E$v9b9R1BJuq z3JkWo(SdR*b6{(cp=4g3r+h$WNVGJ;9Gho9BvlB)=y<{vs2oY6XHHX0M@c)Jk|jUh z%N_#C^*UINtbHq_t!9PxvG~PLrL(HNi6v7cEG#7zo3ytBLxTa+jgSR0xLsH5&tyqI z^5}90TD|J=s@zVNxmw5=|IFvAu+FF9`EJ96w)CL(DTU~MRvd(3{0GUL1Ckyciz?Rk z1Hr{-PF4*~=?qrcVeAd7)Amj@#c!@&Lev#ONvw;(Xj)0@^T`MiP6nBXzNei$Vfu9h8!Lvgb0nfYp7`@Q+m(Y}OI|Y_* zJQ_5h&}<9olRn;y&S$AkGvA1VL;J1=-K-?m?QvMxkOw3a9R*|Oks0u*O+w|92|bl& z+fb=QQ@Z!&k0HfTezA(v{9~HS)yZgD9^R2A+?q`AM^Ci|Bqxga}q#q-8HyZrlY)%#p*$ zdV#l$?d8&*YI?xPf?80UmAjo@48rJ00^=%DWtXC6CG;*< z$eMAa_(PcdM?&4)I4gujjVaauSycpff!Z59t@Gb8(rZH-=}|Ur zi{)9!G8Gp&-vIZd@dH_n&t?pXXzUO>q|(+OvjPhWqp zYk^$#!;G7J=8aO?`(OOLj+Qv;U%FeqSYpE`>l)jPP7^em4}a%86wnZpS1!I!%94_5 zluLG@5ZJ!X&HX8hA)oAo-RKm4>UB%NtMz1~HZG|xJ2Hc$LwS5cftxaXYMuc5NN*Ay zm5UO*{$KjO@2}&~-AbXok{%I+U^gbS&5iaFzkNJkh8(pXwxQ`|Qfj%BtvOP}_Tb_R zKN%|HtQ4RyxDvD~tShSC&J1ftN&%Vl#Af|O*YxvOLala5T$z(ZjYF31dMN;BJ{FDq z6Zi*K2!K4l;CJNkhU)OPuv-Cj@4Ax$U3Vf_OtGR6E#Mexipxf4U)i%afs^&kR%S!K zM%Kwm!%$JvmLxGw;a)`MHG`RiR@&wJ$$|1Leh3$9;wYae#XRI+QV2x#zm`FxWHc`1pls;k^1~cx z=;Q=Zt|QJuIkX0X8EBpl-aZT+SZjn_I?#anNW(~n<6S65D2--sc!fGWBPN^by6Zbx z#%JC$ZwU~Z&EFCsxU>rLpqj}uSg8uzumy8k5oIQIQL|;$lbp!%N8J1&O^PQys zn<$CjA#jp-+PUMeiA87Eu6#>a*Quxp25smMDDOhsUKt6^C@^cp4YeF?grEj}dCNs) zB{9j;JIEEAMc@bTH$gyC95*Nt9&0nVRj7eXjKJW4hYHw{ObFP~ZZkvrjFE>5LxBO) zs(?PHh_E_abTnVhMKO-x?auILX84V;FV5W4RB!e1jZSuc7&)5z<-IujsgNky)6DOs ztq653QeQjgZ>V^mHa-Lts-yCK4`sFw8eZx6rhT2|ZnriqsJs*5ol`FD%G`H*k<}Vu zJfuldhf@mVRSNC3pCNhYy2%2@iUyM&^bu!lZ`U-2O)wp@@W*w@%Pa&uSy9V^eA(NB z?HsJR0-m=I4Sv+Qg_QH6w`Si69aHLbdqN4hHR+vinc5}S{Y%+^^5$G^%u7fRN;4=U zBsh!q3|AQNyk{7R5SmxrrdUIsS;BFI&=(~GHKt9)AA+ltCPV;%ok+rMRVL+7_}XrY zr9Ie~X=qUhGVD-j=h4u)4NLOy=r)!46#PM|kJ-v3wlX}W(6u~Axm`3Ung`n6N_9Sk z_Us^wWe9QQ36Zq!*r7>To+?@z)VwJJj!HPmnv7;;AlQ!aCs*|%t6E;q5e*e`hCBO8 z90gITZun_SCNuDteCZGVAOv^|ei~L(AFc~hTT#vA7_8o<#8kV;KS!U|PvGj(y(#VN z0e{wBmwK5PYFe)xsWmW_3gzMGVs|K&USq=pkFHTH@X-kYcI8pT-ex|nK=lchW%qCBW@kgCk~^{jkYoz*T3N{zk(Ltc`qjYmy*`?Fzsjbt$#y4~bY2Fk|$?%Awp66BsuaM-F=1$Pn1 zA5#in*XX!jZS(80Hpn!T&YT2&F5sG2Gp~=1!%e&K|5PfrBqXVcytQHx8$&@l^{sfpROy5EhUCx1^Fq5CP-qEz0 z3M4Fn#|pfG13T~rdaZjCSQNM%YEmbjlvK#DFH3>JG^91ojwyw47WiGU7&e8H$sdFm z46J_fSt3;lfD)W#hnRpnMn15jyQSMJPg(mgA1>k|HeZM~-Ji1@EI!>fQ{FZ>_#U-m zV+>8ZzbZKxyk9uD%ZNVEJt>QLh9&Iq#rW4C8jKmuGIWfTbd#}rqf5VF)VJ|GvEDO5 z6zi&i6k! z+XTJ~@=46OWU!=BVo}H@iKrxz*jVo3c{FrV%eJhHh+F+XU(5XffDnOyPQgEpi zDm_(JR80qh+$0N>WjTKD1(;rHAc_W4HKbl9NrRVGe`aiS-#AU#j41J8@*j|AQBp&* z37oYCv@kwRot7*L8u&j^IVh~e80%N1#88J_@rNke-)KR(4e~@>Sou4>G%}>k<&m$_ z<`~5_IM}nJK8c@AJxNXK)0HLdhx{SPU5wbjaY@vo2Ulg1KxpQUsofVvvKv5DVRt4q zI%4kn<6j|FdUmC%Z_s&m1mI(0Y#O2V`J=R)T;RmQhsVn_>5P#H&Y#r4F=@#Y4JhF; zbMj5$e%ADqXDQ;cvIn2S{A0Q>+9TE)+ne#>7~-m=qHOG>L9Vf2ETz)kd7i8yLRvlb z8^ogEz7H{T*~iqm!Wu>xW8MWfRPJ*fzIi%VH@E{Y%G7TUuRzf2>-!s0Xg48A7V!<4 zTZ_C%g%3yHo%O=%e)h0cEpJX1A^G%*;bufI&2`M|$%QlBPV|8#GN#9UHg%<>zSXC!osxM*D#Ps3{eIdiV=%@P`8eS#gYK&{a+jAO>$ zFv28(`;9+X4Ck;T;@6HK^)IJa?U(PTf4J}fJ$x}FV1BK}z@JHg=LOJ|d3Y0jS*PLt z82lrTH8=Rfi93@O(1Wq}6Vek*6^uT6itVj(&?y+$hH0B|gQ~TQjdm*-X`U1Jle6wBJswa<@U=Y<2{ zUV3SLM@uuZf_XSN24c0IC7!$Uq#lHOk?Q^D-IH!-64X>|^+7$f?4r;{tk%q;SRUF7 zMUHsBQjf#kR{@&O?4O{jE0lv;Db5J2veCu_KJu(0*uv=rKxGyD9nYf37a<1?TEwl2 zB~DY$-zhMZB47OU@bUbPj@z*@_$rB&cZKXZVXV>fq(s78vb5bN{G~@ax3doGzm9G- zo_jTN=QD`eD4$H&IACHavG=>6rq+nFUr5>5xt0rq)iX?gB#9Mgg-OUdnihf8qUqlu zZhz9S!brt-Duq6n%+}wG%73sT5v|aZBWmDXm%sl9Tv=OdzSJtD;)0`+B#+$dso@&_ z1yj^Z%*GC~>(8QzmRch{a^}H+eTHC^AGMwGCMIw6gnbd{Sclxy%x4zS-z+X<7TE?F zRTEOD5;$nc$#VTUjt%stA1V$r%Uit(hrDbEMnql`}E+6W@B z1C9Q*G$(Di-SQTt8hqkY%IXfwP}$q{bYOC9ur6rpo80xe!npp)S#14o-ZtpK&olIhU_^rZX#v=(~i z67C31=y^ADeR03@^&D53+{_ zm$ep`zP;?mK>Kel4z=FpX`KF;1>S!6GF)|npUStH5!pxXqkZCeBe z;G{pPyZdw^;W$Edh&>wXQICo}_FnPX6}>uF8p>Lk0cHYRWIyn%_b39LwZFZIjp_JK zytGiA_@a0h#6=+iNt?yx8KrJEOPX{23LPqUr*q>o+gkjRboXaWAwISZhqr_@Y2@}v zf_)ICb_?uvT|r>CsY4;um5d`*^J|s8`dIN!(=nm&A<)V4>UE9?G<6d(`=)}@t~|T{ zy$BUMF@3!q&yZMPo1(Vzgz)#KI%d3m(6i6>Fex($j~+sagu&oY`Jq?Y+P$o5y|zN# zmv_O%A;7qlUZJ=mIP{`&vZns6H3K+QB5CRgXGAXQsxErt}ei)XeF;FcA&I6=Iv3$#|Wq#H8V#AEcB+Qc^9|H<^g0IQ`{W zbr&mEq)!eM=_EbYleBwwJHab>5HaGNzDIgU0w<_vjRV8QXE&^GhV?2_l6>)8orO@i z>4$^gTXBTU)wK+FXXAmYZ>Rcs+5%5F6oucsk<}3Ye^`6#s5qXjQ8Y-91PK~QkRZWr zm=J;o7~I_*1`ol5c97ui?(Xgy+#$FJ4NmajxAQyio_oIgzPs-GCtY17Sx#J7?4FAd0Hz6M#(*h607=@(Jbr0;xa*?rEIl#a~Rz(>Vi9%Z7h zrXVcM3)oFynSq}p@sM-Dh$fO7i>Lp+bXHndo*~WKKl+tcgxK>e(qsQ<7LxVD2%#s% zBYCt#*5AO~|V4hxQ9Cs>Y6w)G;O{IvuT=Q#i40<=V)$ z3oR418Qh>KMf5{)f`?v?luChfNI~LOv5lQB(Y_g0Q<-v71+u;v`w+4n?|>A zd!rECyf_!Ec6vLDd!M`t%-$BS1pGRNT&+G%w%;9vv)Zx!e!LlLzwfJGr2|GT21?Jd zRM090opH3c81-&TzM$E>R%)iN+%s%yN>ULcCgp3H5s|cKZLEA&=Gd)(qje~{t_cib zlyO8oT&B%yAHMEkZ6d4$)r%_aPQkO4RiDFGNR7V!SofHs`&uhr-=q5@vx8w-I>d#33kksAx=;*CC__haM(A%Jl45j9ATD5w#X!=k+N`K*4E9=0 zp!O5Q5brsd>WCD9S5eP#6ug>E(l^W)oUb%~643dDo#YCkQRFUcV|WasZ%3#FA?4;d z0|(r)lP&huSNrPQBG&Rn?;UNdxK9*h2j@LMHSvYvKRNY+=Nhkn7wG}bJ#4zSWJHA` z72L!$<)QA&%EQ}3CBri4I4(m&Qd505h||$OY{<9Acg;Ije~r> zeW)~{u>0f!<=E)ul02i+4t8sRX-Wuz*@qh}N%?lbWC>PM%)UBQY9y;~B-l0d>Is%VXUW4pTKaMRoNU>zv-bCzbwa_WN=)OwrWv9`G0FG4~Ml@&B^nCC4it9@rYfngYecH-90@yas zj8!Rfx+>(V$*lR_Z#hrg2U*&j8zF%;-qfjca)?bnluQ(>qzN3$_bU~Pzlw7a(rXVj zt|VT;d#eziVX{9LJL+jOb<2o*YBSOpy zEm(L3N(Oa)%QF=}a1j7{%*4)CBcazQw$ubrx))XIdn8z4*!Cn>=)+qyXB?l!p9*pD z%UL|{u5gsD+?4_;jf+W9kDorNB1uCKC}S{jcXbf*vtc5&S5gv#$GXdkJ}m$#v!L#r zk_r34)NeycP#te2g^3ib4)ptZT_v#j2~vyqU}OamCfgJHRnKaSr#u_pHuN*}=kXh; z3fME-3DIXMMRVG*N+=Lo!1W_804XIX#vJ;2ttzt(zd^ybgTIhdgz(h9DA4z23jF$o z#e2kI$moo_ki~nzG=Yh^z@EgHFfovUWX7$_7$W?vP6jI70$Mr)!WceozPPR)JP}ri zN&aXfhaaEcWt4w#efdNElygSjwn%73Obi|o)gSYF}%B5@Onr>rS@JlD&XF`RZxo?W% z5OmGKDqe4989%a@0C{Mi&(G9&2Vkhb!jXOWgJa2Ze(jqHJa{j>`Sy=%*E#0&Tu{RC zMnFQUyr2I8L07a5p|DEmv>A$^WvhfMdhdeqj?44xT;nm?Nwk`Sqh{eO(~Szh#5ksf zB-%m!G-gD#CS4h?JsNoizfw+Rd>hIx&Hd21hFPjUp2dVfU~nYm4FQDD+(o8{90zSoq*Kr)W~xfo(qJ1NQo3w-!m|eGV(V23yZ!2Yr2uBinSKhwnB5Ldr z7eOF?UW&%YgE=Yp_6Ky8&BGbd1C_Gi>nnXCO&|5werdw^n3HH91wsNuaR|g5mZDqR z%F$e;VC{goi)DhRO{8m~U*q8X>Gy)VIS2znc}XnXiL|ADTZF)y!>>EwLlk}%k1>4zUTlt<1-w-V+>(}DW|US zSDR@DJ6zozY$1q638^o5D$EiJP7eU^U@maHFQ5VX_!;R6S;-!eJlmX|?79b|FI_l{ zd*eVUCgB$`j!Wj|zI&S&h@-hHICECd&i@QEHjeU#@Lza#n=&6Y5|bNwBrv+%YvBR+ z$pYY_0d6LNlQHu2^v0D3U}+nq{p61u0^sjHzuwd=D4JGp$E3cu8<-Do-@+fUj6)0v zDGlaj7Vnbo-QnnnEmz=%D119%j87dkc@jzN<3cOA>haFH5hJ>TjlEbsIvuoIU`NOATSekUgdB|)(S~BogHpAuS#=C zjq{P-7Ku8Smp4fm{xa31rQKF;b}{;^SG{gQAq zTPwWs-gV=hYlS_X`~(B!k0wNM>~Nu|()1+seH*6M9*cg~eNg{ux?f?C#hpaYL(Psv zrKL0fs@mnp#QAF#u~PN#SF3WbE}C5vPVeAWc&1nOd$# zQ#C{Piv}GE_OWMn)!!Nj#Ens?#2WTE`~{_z1#dnkw1e|d6RB&Q5;nkgPD0gzLG{s= z(n)A@oD!Ny0NDDUkOnej5WeU{`?!o@Kg52clv?fhHijK*!Y_ZH65k%A%GQ(Gp2Nnv zf_0OIWN!r1l_y%xJEx9!Oo|zAmy?E5yjEXQv?mTkj#G?DtF(h$#|0EGUJW)*tJ00j z6$RnmmoGpuB`$-07I2NS_JA9it21Mw} zkjVh=)|eY{e0F*Q7jiVNk1)|IH7<^M9LJIP7tx8{B*&gp9CA-!a2DgE5Z9kBR(Gf} zSmyfx9!R}b;oD~E<7^sF7o~e~6rRQ~;nX0@Npy`{22>l+E-}U=7-SXA(jlmH8$VFx z5(5>W7~XeKpM5oK9M|1=J>~y_O_-oJnpm?t%D`{Mh#uM{zCWg}eU86ij@<6~>p>!TVbWlbWoKRIw{S^J!>IO4%W>Eq+~VdkA=0E?4a~eELWR>VL3?9B#gC)%;wNGn ze~l5&ZsW+rf^8n#)3n5bBp;kBAeYjQA%p>16Y#bhqqXk`+%K|WQG_yoAgi%#BER49 zHw|Q&icO_de433$4YCb0#wo$B69u>AuHmFZJ!}di&im>teglg05sk_L1&ETqXqw)q zY#6P6;Dst;3ugU3cM&ScF!w5xG9Gly`Z%pO@6l4TraGb_3dM0?_J$ zNq?ybeQtb>-ne!FFQq5z4Rau zvSNvf4UcK!|I!R17nQfWl=^Tph~=;EZ0DXc?w6jmD6V6goXlMTdHw+wEG1DOyWx`S z9834tY_=H4%e)%i-tUC3e}&;!y-lPV#86S^9-ev?2gN;q1@$8q{RqV#Xxuu_=T*16 z9rx*Je!Qh6WBQ@JnKyEW)_Zenu}Li=UoGw?&vDk#kNH{!zHsj3jrk68A(%U8Gx;#+%w@ERygD^DHaIbA@X@?=YI5=+wTmt$om zh7Z#Y_ZPj&lgdjEadJr(lJ~%pKW);A`;(Aq)WBYND!yDcFO9J6)cap~N4}NP$^&71 zpyI}^;hLwSPg6lvvXo1oN!V=YE2;CJ^PgX)Q64_n}NEcCCG zbTp_~(|_Rm!@YRp{>Z5iJL{&0-#IUf)9I9+kyI&Xvkw(8Uy4*L2<@ejT=0=({3z}P zXGjRBbsEwBxCQs)FHHR-y2Amcu49BpNt>A#u9{De68WYISKHj3VRfuF-(6CI;>eB8DJB zJzji?;-i5gNV zE}g6f$`N@<%=4$dA}|6=+uXhx^ud_I1l>z)HE0@EM6TH21%_}o zSizH|?m7X7#7~Z;2YohT$hb;2VG{set-WLNqjJ7JZo8i%`93Yg`RUitYkNeu;=7^N z!%=n$-n6pxd1-%M6iBBII)P9G*Zb??tTrJ0WK*(6486I;$k}B#TR%S2Q(o`!g-YGCyRJ=0{bt!*8;89ufuTul?^X0JjH=!n+VSn}plKlG*;Mz|#{aDA9(y4zbB+J49P{ zw1#JghG(f8I~%*J#j|}u+uKs^%0cAr{?7`AiN?^gKe&Fomx z)vj=+owzDsw({%vp_wzAESiK#_wJrL{Oxc|UmIR^=Bvpk4-csYQA1?q0ChA+r&&T^ z(a5tJzbKtF6|7+yU7u&7d# z)b{Bu7ImXRHd}e_^Vta;b_%n(hM6LgqAF=+wXLt+@1*ZE3-<;s7%_#9b;^o0iBXDH z?#f3*K%;#Lb)FSOVdNVzWUb^igxGudEgz0)PJ)EZC8#rn znshtxhk|=%)3tOct)?_x#I$Y%bXXw$wYR_4WY58AJ@r!_Hjc1m#{LmcA2*;q;W+!3 z>g<XKK$Q(z5;8?XJeCdh0P?}gPh0Bbc3Gde6jXcH4(LG$ z;(VFdc`}U!He?(aZ7)rG%dXEK7Ve542i$Z`Qu!@&>@t)2!gjZ&nZwz89>rSr zmqK3~1~!f+otP3DRUQz1GgAd=7U>EJHe-Xx^8S z-dCO^U1E{y$gSXPo3A{E!Pd5;lzuO<{SM@{@nmUGN|$t~bGRGiS1H~3rt>sybpi>* z-y(@p`p1c0dOIGUFEs0#nfz=EN&^cFPJxu9%1$>7wGp(wqz$xMagsp`gbN$t%Dcgh?>6Epgcqza7iTdS$hD$5nt9 za_Mr>Oc{l7h#FjmT_t$8c6~UDV*JAVexMqH7t?YdyJ;y|@ zTY}Y`eD1tZ1jvoRZ$pWVKrJmDQ;_f9ehUl=inx=_i8Of~-cPdq*4=-D6M#5l++|!B zvM^BWV6~X|-BocF=2ZXCwa%<} zYo8y&iWx1DHc!deWVxA$>n6Xo{wT8|@JW3g14{1$qOq$mAS|_Pg3PV5s2$E%&fx%PkC5jF!70 zop+*hA`t7STGrvW(Z=D)+PBM#kyKSrp7BlrlAWLRNThdNUReM4TN_mFFZLNet5^=d zw?Cpje*RY$8e613vth+h0_S@P)NVg46^4r*4384-+nL zm3JUr9Tg+fBytBcVw^eqniJBHyhxyVSjl*?QPd(8x~>5Q zs+;%y&xUHe41zEFgQnRkufSx!+m_@{e-Q2^*p@H>-_1xQ!T!Q!WN2;+xrPC;Xa|24 z#~E06V>U>lk%_!v9bN*+Ba)8jhnMVhlW26p@Im0?Fk|^Zc)qlfg0Czu=6|xitm)zM zoFz1s(@NR!uls7i^!wJi1n0_o4ZCem!Ny-DIPVdGyob`oS=v=~YF~ytP)! zau=a#y|t|qHkhsJndh^zbz1!2D}nz*E%>I zw+FK*+qJ|L*R`#x@#PwzBOFuFp6OIHdDYg8wbrNQt~jlF>qbXxFkAOeN$R}aK3UyT zll4&P;@<=qDs`K)+plA;|FmegKm4!9;r}i?GWgeX{{O1~UvF|(Z_V&oH99WFXw(p1DAM4d!q4u;0G(7leene*O#4w%TPP2KefF-Ogcfo=Uh$NIDUATh5 z!xat_x7MDQqd{W{E+YcQ+Z;B~a+hS6k;P$4Pq5^_CIEQ*9$Ida?81!wuLLZBK+Crb zwrj2a|4RP04&Scj9d3!U{&xbl-ZR_+#Q7)AR*n2qk&rlh%66t%_rE7#H6ip&lMJpq z^!}e}LonAhY&j1mv|}xKU;Urz*{2=zA32Xi#-*R_S9snx`ajLz|C1I@_A=%1Xk?e7 zz3zF*nYSBqc`3fD;pb_>o+^RVLj5m}s zEcDLwIVeb)d`hAO83G;JH`yYfMvcRDOj?<-h#RmZDmv%Y80w%*>9-*Mf}P*2i4yrW z+K}0&AS#GB=7okaO0LYxs+QJFEv0En!Ft>`+g1Dv^hvbq3=#Wlw>+Fv!QkyvKr9c3 zJyj+dIJH`kHl5ra<4%50zRDT;xur4qsA3W=)oK#-DW2vJ1wD%D%#nxuEYd|QtO_o{ zEUZ?BN}YxQ8rlIPsK7q_X^z}lbeYU7TyD^JXONF`{^^+X3{{TGY--`J63Q)Ev;sp) zz4YXrH~iaWf!)Qdn%)r@Xu3w(0(4+ph<|=R%>8@br^4}eK_}^3C~1-Io4k$E9KM1i ziBBZH8hPg4K5Ro%#T;nKnLUE)w9RtUSl{hxeb{KS=1>gc0xe$qYS5ThVSZ;4j>RHo z5)Q7=sa_CFaDBGOQB3N)%O_l|bofR(JD!X(iEhA0B~fth1Md&4?@R#R^n0{wX=V6} z-pv+9Cb223?=kwjbAoeC@8h7@-=~Bv*oWUpAFD#cQ4QYb=lA}tZUI|D=VdP<^>s8v zF_4B;g1x?G;6XE@)U^SD+*w&6@-3~FdF~`Mw?B@4>@l3GhG38X7{`E z@cH4%$BUN(E5H?LqT}jpaGLX?=)DBQebytsJ`Cz&8mOaiTVU;;dqH}2m}WNu^k3fZ zpI7Q7L*0k2jDxQo-RckTVl20AkD5IXW@)s(qXIGtQd_1bd#L;B408D_z=4#UbKcDH zb#3T1DxV&+(zQk{b!&BZn{4O<)pk;VV?z7!y=QrQG7$QBzj#}&y`_0|ylFAZ(f9bkJeU0V-b15Z zNdUM#1l$JKyq&8alE`wwWaj+{&o0oJn)6SQ>a5-*)%|kALeq8&UM=S%<}vcUds}pr z-?{}`due-~*xM1taK)qZz1mUmtw6DTV72%7!uhP+-0_}+@5k!EbeZKSkAmCTY98yp z^WHB;=k*Hd8NGNhtRdsl-Ik+ngT#>1!#_Ms(HFB@kzMQ>v;UMa>CGH_R!Nh@24=I{ zD$|4wb}J-)V89bISugm{!h7Y!&A(taS$yi`(Xiw%f29ZfmbC-(fDT97&(xjcoOjPw z0vD1W{hYl$+Y~pDRt9eNiy9G*jZ5FOTDW)cNPyXU$LT*`owM4bHA#QVPOaQ=w&0zg zmA(RIqhS}+3VagEa=6p~UG|6EbhLEo=RDO9N{lIm1fx^WOf9~dKx@AzYOeif`Ig66 zG*5Fu8g&tE;GRU5Yt(;}y%}&-WT@4NT_}^ZR?Dp`R$NpmJS>`WQn6_M&*Ib6yLO(I zMdx@MEF@CI_gwX1SV;Yd=IQ>!$BH&hPnpqo%)wQsb?iYl0gE#kZGj! z^CcPe>xR34anyz+R$GaRun z!wkMKp`H$0wlrdn#OgYN3u<9;WA`Z|-O9c_l^?v#-5c*xxppT~yo*V2S)G$=os~)? zL^RICvqdXuVhtmTv+=8GV&#D7MMfIMwjC=iNTg(9I)qXR(VGIt481Sk&@g{PSvPcI znNV_S^ZI0nA8t+AlQiX0F!gY6?e$}xW=9_{6pbCDM3Pd#3V3$h zOEh-lI|UnIFl=AhK|O%EX^_OyBb|fw?q>vdjKbI@pOOMIyQQM3l`elB!M5#i0RuQb zjpam3*KxlLCXM7*Ra?{(xO%xu)W%~h}mC7R@FVc!)0;FU^HAa;C zF2(MQ@a`c8WK#}qU0y(rNNx6*->4FXH zYjpRrNtLZ`Z#q>ZR0%##CIM+)%&rW{k)Xati4$XoMr1_}AACAw5LvP4nL$A)-Dri0 zQ#1fE6u$S*$3lX|wrD+ZNt~mZpEtbV3rSG2|Yy!ycCwS(F9wey@nkQaU!S`XR&9(8Q;!nj}?i7a?(wFOu(Ce93}oMy@>>p93& z`65;<n=Qw>)Mvu6$h18Te8aTK+ zg!n#}5gUZ7R`?`5-0rUfDEyk79hMhP?wzc6i<6110?;Vibq zaWf-WnY5VHEwuLBVz}7Xiu8IhW+z^ca zfMCP$Yq3lAjPYsa8)CJC&d}r9iNhR+B3~2SmJ5gJgY`e~DXFchZ%tG#$JAq|Av#z7o~mt4gRWcY4Gq$|^wlZJ~=f_rVkG|Iv*6-y+( znVmBC!1g}h1S*tB=;+>tq9Rd6qVr7&1IB6?Ic!yoD2JI^$`}rPABu_QyhM?q74#Ai zx01FF!l7Nhz5@yH2^z(!`2^7NkH*or=t8k%SH)xONWl#*QxwxxH+P}wp%a$kI9*6o zBc2^h{P&V5E|Om?3*w8eWW#Yv_@K0)qy$M@Y}bp-*0T(fg>J-;QFmZ z4UH6yXp14IX{GW6aJVjMC~-~Iqj7|h6{1hxN<2Irt1^7^4ZMt#@ec(pyH57Q5U=Fq z5TO-s!TEXXt7+Nnpx>z4S_0`kDxY>M`(hFKGIokKsMOJdsmH3!MTsNM(4K>GRy_np z!!7YE;|d>%{(!G1gAcR_>G|kfQ(&l%dhq(6>2^?ggQ(dO6yqN8*w{}T^EhEu+AsW= zO)TCSy+mT0^Tic!`~mKq(k?z|AuA4TAT&lw8er$5JtF{pGXCdUhmy;4lwAlrFoU04 zK#o9c(1p(w^tXPiG9?(qHQM(HR;8E= zm(L^{b1YtuBuyy<&!k55pL@xv>eDE84)9=B&`?BV@*AKWDjc&TjhN@KAu)~@7y*}T zMy^bgC*R|U%f9cA(EG5vl?Y^y8qg?;O{sCOA92q?RH+u@+Iye(Os)@Zm8VASfxc%J zxbM6SEg^)mf6VF#ojQHPg)&q=K`pL|Xf=qR9){LRmJoo*JzPl)rw2Buasd~FMmFV` z@8IABM&(fY3ziUxcW!j-e0omK2vBA~Ll8wjUwufzN0RzMr+=x=_fc^eHdy>y2u2;2 zaX~X>EHu+t3#TM7cE}_}_rkb9gPMik#1WgxX8X=tBfPQ^CxbHqEr^-c+jFxi!$$5X zfA*gFo(+B+J6ja+ynf!_)%T)JC(zQ32JS8%-5-K$&%~{L0N?!A%`Csy zP~*kSq6Vb67cMm}FU%}cHE%w&G4i>~hQT(SM=aali{7)pwIqhg(2xkKj>R=T5zAzW zUi`Lfd%WAbW4kIOVJXKcDz(kf^lP>XrYhCA)$~pCm6>^p1j?`+J&sj% z-~90(39bnJO_otlP8%?L4&UG=PN}}BYzz39=RxaGB`vn!W_0^==e@1@7;1r%Fc?}t zN1{6$xh;2lll4}2g7?b4I!RdV9=2oqi1XeTM!ld#;79<&UOsPLH~_Q|k(>{A3@BfoT3Mu#c!$&DmN`QFi_ixdpi;3zD_3vIk5+}O{YS=D?BZTJ%=3ZX0;u_80Pp zkUdLVQJFl_vL3xus0I7U!K!D+%dMb5<%pjBitpOcNXgj0zG!b3ezmb=biWzL^`t5z zG7DV|U_`k}zwxv({@S^XtG1x@HGt_evxWyr?z|?76b}L&IIGba$2o)xYom0aW;iq5 zmM9Aw`~*SEfNIA*OF#gJlzjS>xziO}?R5O{_lVa|>QoZY%AzghX9W@CGn-B82#**- z1NfwGk9TX#PX^hcR;Uodp!HUW>#%^AKQ4kc(%Zqvos9?g?JouQ>_h|H;_25uirMiuq}SypGJoh4hhPD9!=(xa^sPz!>a3Mu!pkXDwld`cIc2 zU8K1-xSww&XR&?{S~-IR^*95SI8b^j9oh1d?Nv+u^z9+DkqpNKDFaHpP&5O|;ACwA zUkKLmZmlImEwGQV;}7Gco04F^iQMXdsoFg~8RDIn;h|!FiH?ZnweFL#iCJJBI35z7 zH3iD%>q!@%VZQ`95XsZG5b;*K=au|km*@Vpk4I{&pg&rg+N*m9t+lIBIht{Ir$2CW z#DwY=l0yPAi9DasVZQstj!qjA{5?Fyi*fdVrjTE;Po6mvyV%=}y@T#fY9{LG(bpL3 zV}2DXeyfgz{@mZq&Sa&`NEE_DL(FtQk2Lz4cdD}p|IZrPxAXPl&O&M`|I%2oPhWUpJ&fE~ z7lf*6L{H7G)>LL36&%T8&viV6S3_{<{4{k^!Xwsuf~IFrL-Yw>w{O!h#}RO%k}lL* zdimYGb;qcx#%tEydcDKMqHqgY^0g4<25)vB?Ma(%Pk!etu0 zh;A0|x=p&+-W=t9DIvrz@tyVIs2+Iq$g_5*Kg2w{GCN^?xZ!-fAA9(HO=!6vc|5TK zJg5QZFDX*`#vabvAHMV!upZDe8>Da?c^OX~H+qOn#0|9dKpvx_BLRzFF{&|5KLX#s zR9NUevyaQ;>MK6-A{-Om+rsrhr8lH}yU)HEvpt^$=F&<3a#{c4Z?c*nIF7}NK3wZY z99IxXy1!*rvsl!q+n|NFhD+y2@(3@bzd?ev;l(D|YJRB^m3FY}5uX;8A}H4q{$XiY z%mIyzvQ8X`Q)VHvj>l<;dtT=8LV}x~MsMGQ5#+{q_Jjv(zHG+{L|g_9)Q&FQ43b*D zR|G{A>)`FWR6KEe=(#wPsz#ttQ(ni)wL$tiIy-hvtF z6+!drxS6VSjxjCisbo-K%mG#O;=qgeL}ny1;HJ(vwnZF+-cC925W{c_$;fTP&LsyL zYg<@c72~hZ#~YF)9c6#ofRq@hTsZ=HY1jihW1#2Y*6M)) z4DiDSFc`Y&C)V(tN(E`Ay%K!rZn8}90ME1`mcJ}H7*2KCf~S6^70h~1rpe~Q3x9OMYt#J7s;~X z;}!R12~ki*+DiBf^=wkD7J0wKX#_?mdOKAz*Bt{KL#a8sx^*CtQDhm4f{;orjC8`%@3>o7%s_yq?m6rFq?ux?S*i%|ipBo!-(r8# zl+Np6BCk>`QIO6NauXYvF{E*wKH7^{=1OY+4zcHhoeI)flqVhpuF4wZ<%>bD8$R2Y z>X%JNXhLemNpN?J)jGC!6QNn_z+xd8RAm{s(xS5`I4Sx#Spu+36GDDg z7imN{^-}W{O2e|tI4`SOa#`k*j)RNEX?t!=DRpIjnA4CS_j7ZEtS4Q_xql$nr^Fp7 zTvvid?nOH>Rx1K#yP+;A@OxR*nMXxsz#fLr+E4v6CjyqcF8}7zRo>(6-Yit?{;e}&E z%bJyAS;vpMvp7fziCzZ{$?oW1eU2;1Dude*$(5vY zHaGb9^m@7x?cAy0dPx_D)pCJ!jJG$Haip`CvFbs3dRwP94@YjT?M*3P?)XRUA0GcC zbIw;>J_0xXdJl(v$I&-U^GRh6gIww!+~{Teu}XB$KVfMtc7H-+;+WIC7*gb_eTQAn zAzsv{Rl@XicCd}luU0$sPa{hVv34=$wU!&)gP`bT>yBnOnW(?>MHO?{q3jyj7*+jg z&W+o1=_mFlW*2G#&B(=Kw2g1&Uux*=&c4mEyx0V+90FcMt10IDl#!I@1eDemWw1QC zK0X}`B7lP`i5PsJI%9h6wQUrnR@(?Vl=pAw;o&A9EFu$`RQMQpUm2A$Kq7)p^dnI^ zP?%XZ-8N>ZU2p4Nn)n{-bgn!h+h;A9O&IxBGG+OMEN|J_(WRZaVpN_@hxyO2BsR({ zyD=q4;a5KlvYCq&&v}^cd<^{hZ9!UI#wxQ@ zUh-4QH{@mOH6ltx?hP~L1A|^*%5B4H4l}n`)8MyQw0`>^C*xY$Lc#H03^e;;a$@{X zyzZ`yMS~1wiagYZ*~MYM-n&TTb7W&G;|Ww5wtw>2#hewR-rA&9#9V|ZG~$DC5kt)vGTzPBd%Ihs_aQn zKm=Z#|Dm4_MUm(n-v=O^xTZ&O&3>(dVf9s55K=+=S4|+BK`5mh+fBXmi2y`hDoA?4 z2`#>(`AZyoJ<_^nVjlkDH^H{1TKn81pGIr7xV@WlGnOKdx;2;%|E64K_dG zCDy0tBdC?|NawWA%Zs_w;y%_Q7iEA#>Sk&*FzfR?S>iVWkZ!dEoUZKqD?(hfj%4q@ zGSb)#nINs3SR$XUdTgV{2Z)m#<}&itfvO??x}fFKHDM{_^B#i&q9q zt7$bPqnS5xxp(ZUGJoV4RXcskzNPX@Wtk#~gFaq%xiM%!x5pZfa_2p=eVvN8GlVq-E&jCQ|BF)n^3x3x@(|#P&phdED4Da(gS1g2c(t|nS~>XLMo6Y zAV|fE!Pcg0u^ZcU3?H|kK?9fLJ<7sCBQiOVbkR4*2bOYfYZ7W!pVUiHF+j~)m;nm& z`eYf=jttxoTs+ZGBsXw!_U$2CDb)3cnT<&R<1+Q}>?Hd%ot^m!;@2B8D)xUt_8Ao? zz-yJ9_K~Y>{O0iEN*v<|$93oam~C@ExUF3L5zEM%d{@8z>~m(%X}L|}Nqzgng-!cd z-^&Lp$0<-Jx^ny&-hSO$Zk23H)<%B7=G1SN-dy+aT?a~|wJ2JFUtRlDr2YM;kClMt ze)NL1PqkaMdC#^Vy7lNx>slO9G*e*RAAv|1?VMsv{f{L@(}EL%)7ak7pQ-tu$vNr!x-2Ej`zqqg*`bNzq#n`{_?5L6C|9h|76Bqgqp*9=sNP{-zQ~}`L?F(vZiTYL zUdni+yPo;v`@u^vLO=5;hL&(LRCuH_Ehv*fK4>1v%Ac8C%Z5tb4NlF$hk^st2!-sX zN%Cz{g)OF)c`$7^>fvjl7TO*|w5l)X+{9;i5Rdrabl-xI zVQDH`9d-yVFp4TxUj<|kR9xLqSQIG5pli)64N0^8sY?F33@)GRIFy_4e(sP^vFFe! z^jtfsKWue_ZDeVh!lz%`=K&ql+PFK3dhfAd=%4}LT2i=!zV-Yml}%p^#|^7bu+ z)&3J}I;~d0Mp!K#fm}07gLjXyL#d8o-aj{k@y0ENUnr$z&T(I3^s+`edcO+Q1OGP2 z!B%kW2=>UIxlzAJG%ZoU#!EaqVe>_}EQ*`Xo6E13Gb8z~`(^4EPy-k&7Uv_q%L_ zGEveYBqkZoy$a#zk+&zR58@l{ceSZ;T+EafIK^~dlBrO;F|eiMK>zchXJ*3rgJ{J0 zSO=DlKV97lqyirrIau6F%$``3cDtf)9A4{IdHr9cu1aB z9@@89`)lzo`0+CN@dg{XU46LiyRhZnY_y-9gfK>ZsavfooG-xf5;uu9Zi&W#7Dfd5 zFowShOb~CpR1@P>eTxp;t4uOE${x%rRtU!dIW1K#T|P}(kMM<>gnnQ|oYi72FZ}?VKX}wEJJq5j)4cgi83LVb*zgBjG2U;n!cM&euM~c+W5nH5f zeYTrvh1NU~r}t|Op`z%&lC`8BUY&D`CV08JO-SVL=?8L<+A6CQkvD2>$Lli*7l(XJ zD|E3^on&pmv2onWR*Xg3Ih;n3y?!7G8ZCLca|Hzd-GV46*>z`GPd`%FYOz013pmGQ z4?Cjxgifs}Ad0O@5)tekn=zQj655Hpk3P`HBZpB+>O(_IZ<9y}Pn0N0fsjMcZe z$vYB1swLD5@)1_lHX*0Nk4&UO4k?_;YCkJb7(+0}Rk5%e>@d1rATZ8)PD86Koin;1 zHadt-5S>(Bgd+wnw=vw#xHpmvewse11a2LzLgDl@iYChFbRyCgeJ`5sGXW7eWvtsu z*a9^ALcVVzn}5!WSTd6@(I9vZIxO#YU;;M_bc5lz6ih%>RYk%fKOaJ8QmmG>Sg6`j zc_7gonqr3#EUQuJ9L0C{eI>_->kcdtWqML)56{zM9pJG&G%jxSN1k_WTEuF|K+@*O za+R$li`{z>m{V>(pdNqU&G|zZF6^UWC@bY0?g}`ciCWqX?S8GtY6NLS z2SuSOgvcM*Y7wN7Q@*LpLc*~^7mYV8;0SvSH$#Xq^qcV|Jo|FRee3klYn#y5T&)Fv z@M{Ly;xOUWbi*nb!1r9l>11|%1-qW1Z2PjElw>B~m704DSu9B?eo{lWhUUd+5qtf_~B z_S?%>=kSVSSpl8SEyakA?|NRW5j)>3LG!yN0Jm^0v zaQ7>GChAX$W%41GSS;T$*-LtMR(1&`t!f&TEzDC9ZDNq01+r>f)<4Ivt09;>NyKhA z*lpD*IF)<76E1YHvC1W`BU(-goWS5XPG+qN+#EH}8fr&P9Icq+RSbuc#@T00qSS9J{$oDAKH6R{SBwKBD3N zMi=8$&$ssSCKL2J1@;^g$@ya!xP-{R3u|p@(T`(aUM})O{vQ*Uael zaanjjDRlrW)I=}ttWz-cJN-0qEBweMuDIMI}Eb0%&kLYNI|_+s%_tS=Ph<7U&gOiqp%pUHrjK%-MN)W zAhG&RrH{3rTi^Ye-}^!o15d^_mzk3`aGA(_8+ezj?g^|^f8vex+3+tqj@MA<7teJA zdR|HJ{T4Qm_ZW*Op1M|idlB#!bEtsTKZNEHnqW|>el@sDsPt+e46Y|W z&G35TL2Ht%nv(<3O7`i&!eHL;?1c?-GS%)cnsvda-)Jsr*?kX`yYpEh`pbzsc)>zF z=?LM;ProTJy`w#}EIy`KR$4J4d_;^6hw{W7&y;s4ELMY zL^6SQh+*&Q4U9A0H)TZWUSOsO%y`f2!DDI*E0%#@&qlxQylg}@nl?VwX8?on`2)(< zs^r54ah?h1i6_*lTr=3AxOhV(eq!-Th}q}Z;-MzrJSW3?WKo?zPRm5>f*Y&Pv}K#Ma~&DIRCcdsoBmKU^}s;{=fC-3T76%D^E3f`OVM?OQm zadn7-Ya-!AS!yDoAm)7*2&1&%eAQX5mc=8u86d&!>-5+(^h247WcrFweW?og(3)IX z_A1owP^?CUe`qIL^nCSfX|-xZVdp3P^o633*_gRKq2Z9&@>dskuXG9E?l~*Jr}ZpZ zHC&>2cR*M<2bk*HHZ;l)e(O||aS01|S7kQ*?njayefuI%Gpr%A zHrWeaRhoTwUJ>m!$t^Pf3Dk^eIC;TX$ak(6`9M;Te&bi@vxlXW*k%u%KTP7JS9O9+$0KO+K%fUo`I zg87wXEz>6#e~|rD+bgQ}NGOCQyz?mye=)GfgMG-%2)U9De=9fYq}b4i zpkOa}K<4wYGGFn$Fr?wEOgt}q!Hrqp6}r?j6=AmD8n0e!`y0=_VtYpstAf@0&Lw~o zK#JIZMZ|xa{>2jKyQ1{!06?if5t8bNH+Xr2wSLB0!q@TtjJaJR$GP(!XAp4EM$XhC zG`Ewf@w~FipNZe!VDn?L=*Bda7qzlBh~@%r-R+egenJS~pDB^Qtw=bUOj)wDLMBqz z7`b#UJ82-7HE(JorTD|PK^xV0h(aT9(W7ARz z{zvJ7SlSk_Ge}(zn5)IYTChs;ezw@aers5)G(^NXhR2;rS*%D|;B!=|vQ()~EE_g0 z8^Xim5Xj+i$lbk85UkohDp}?>ssm!4JU^b^C(rfhbVHJmyS;n`%Ws=h-7;f&J8?uE=}K5+x00b9hg7iB;y8E#W^b`;JR> zzo9}3HR@c{gZCA5CtwrAu&o~%XBhpF%{Eo?r}723k_EUNRq`xV@-xd4gUb?D;S9pz z3~f>cxPZI`Wr`k8*iH6FR3T*b$r;b_f}CA~JF+RtLm-0`>L5S+CEIidQf<7#C7V4S z7dkCboWeA}ak;k5%Dwo(*3C-4@&6MVFWM^Z@mMU{vi*s%4x~!8!Ep|x2%v#ziQ-+y z|3}Pzk=e>&scT0^?@aH|C1iQGV{4EfIXlFgieTi3Mc()LpOH4e8= z)BG#qI9_ONQad2I(&ziSXUe=uV^tq-G9h?adnNXU6XsRTYM!&HpM%&e%`OPfE*Sn& zEe%NA22acek44U=@r`8VY*uC$q-7T@eW?zX)%=8_y>&qq!INu(@vwbghGFwnC{G=| zeC8@e*>CxDX^1=xPIkEe$lE1YxZlWIK>yzn@mHs=R~zXRwo|V*^#4TGP@ZhD1dbb& zOqZs=iT`KJq@Zf6%s87E}`B1DXi<$ z5ahW8c z_%_E}3@zha9__e=)OKC5F1uY#IfjcGc2agsOfIJnFf0WhKpJ`(8WQw;tPOMrctCEZ7 zPwFGw9mDS${+;I!2jDY|{MUiNSmm4Hss=y=_ge&%+emI48|?`etc_-@jgmy)*RV?V z4JLh2dgjvd@oEmpfVANmPU&(br(s{DRX#cl(YemFl*tRz6_FPmWfjdBV|i=+$T~BxKfiTb2z?%I=%s{ZOd?j5>LG{u6anZ zmOp&vve|#|WoTY4eOTlw#pGFrn6e}l10)O&udBN~6Bnne8_@qk#6Lgyd)?npUs$-f z*iXOh)gP!!p(+=eXLf_pV#>?BZpP9Ze=%G=~$v8|JZ&*kI!7Ztup z6KY+1JjDxji!9F#r(OP>CnMs}Fwb|?(fMOpeGfd`d(`65c{QHq7EbW`&jBS8fF+Utm|Bf+A~3xl{Fzfx};B zm?Y3mN~_)%IcA2Y{;~OVCgoqUCS!|Z^63oAzg*h@Ep9Sa*U+>ZFht*I*Zpp7onbwe zeDEZew7m~DJgvt|>$5J!@&c;bkuqbe`&~<~&-B^P5WtVV(wYA3t7DV>uIetD+%B3; zNKzgkaW2KNF2xr6UH_8<@a6p5IAAFK&FGw)0Jr#$NVnHk0aYmhRnAQXdPI*(;Gjed z1vIpq|6XGr2s8R5iz~MYY)DDpYl7+J=V zNT|kU_Kf&&y4`#?nmuEL0@gVo?rg~NK;*Ly$dOMql1KuM0d2wmS`m|Se7H>vExqr8 z^k5m@er#$Ia<1`6zCqDT5uxBUBK1h#_R$ar^Qe4yJ~0(ZIVi?#^a%l!y;J{nVSvsf z*5Vf>)1tFm59Ot#m7wankQniKlI)k|_wU2v%Z&p2EP2!?haz7^+pCACMD#Axs55G{ zDk6vH$8T&rRDesC=e=;vn&_5S^`Go^s1i1WnHtW9=5590H-a;^oDt()%BIy6(f7;R z6XD+Yjb>3qYB<1zvwz^t6Yn}Rn9+z^FmRUHqCfZEM0QEN*~ubJ$>MIXOgYo%ZIh={ zGxsZ$^&#pPqhLQj#reRZMZ1Kav9Y$O8t+HEF)6qfEM35A z(Hu~ZOI2B+rS~ilsa;@3Vh;SWx{^u!ug#$Fr+!~5A5oW-WwRWjV@>p6r4{QIL8(L> z+H}Ijd+>3E=sNjuTkxL-$brjz0>yw3<|5h7tL0h~Z`jthpI6i&$;*veyc)yry`~L` z_3i>Mv%HfkfK`YNn5#`t9J9ej$V4BN7<^dZTOn$9{>6S}*YnJ|XFc?mDT_Vn?xSt=xBkO`~FudVyVjIV!;Y2jF(^Zh!EX z|JuRdVHK*RKrbS1>Lv&*X6R*rO+h4Zj8)la!2qADdV*(I=PTdzncK!RkSAY5vjk*f z5&Ta;qpRXDtk&dnzIFa5(1_x9{*V@@s5u_2mMNkYJ$H9JbSE?s@Uw0^n)2i1$>YA1 zhRa3#m<2whTIT!3EA&5qg*L`_yq(udrKV}pg4C`#*vx>AfH%(3U-$p- zlG@dw=G!>c4Sx58uCUNHygJk~jdTCaG*X8li`Nvcvlw(_)2C1`wrtgAGy0OIY*nLL zH-{>ZAVz#U=7$*qPeUwqB8Oj20yZ((pc~X-rsP>U@&Y#ECN*dRlK&`8{RG5_&ozAq z#aQcS;C(}#kMfep=m9V2nQ2>)5@mDx@do6v+LFnb$X)z3_Jkr9iVrq5j%|`sT~_Ot zi%YCf9hU%{yv51Ntvn68xymiGe<0$YAN-vzwR_*WS?z+c{_P*bryiht`AODIWa6q^Y7^ESTrj9M3)M|X={Ck4+BZo2SsIIiFI6_$Vi z=R2O(D%3qljD{u#SsOEghGS-`juRV;D?P)dh*Q3el)yXgb)k(-|8~{Sgx_ItuU7;m z9&<(o#=XA9_Fn2AD?(rDM<<&>4oLDFpO1k~Zj%yRRE3 zO|w67vwpFD%4Tk`Zs77E=$&u0M_SvbIJ_3qrsWvj%>-Om>wH4}R!0Q_eFcJLDa{Z- zIBf+vYz5~=kbzVA-YO7KDIkC!0xBhfbigU883H&xtCQ84@eV#?WQfR6<)HZr%4EuH zdWzkJ)idb`R3v=2UwC~NMjS=Lc^4MzPIQY>je+z!?EpglTbwyWm-_L3ydJ^PT+?N^ z-ZF*qj>mWgMFv_mR@#eJ-W(@=QI-F5D5`jAdA-$m=5=rv545_FSNgr~q5c||4*nbH zdlH9a8rXZ1orB>gs$H3l1gZnyj=cre!~|C_(3KhDX_a zdbxeNSkM4xVhGjjgv^se>1(VNNpGiBu8`Mqf! zaJ=SHzBYn8@Tl=xEj3&#jU?Kx)^;x5a*IUdoH1wy%Gb5<`Vh-6Aqhm?x?@ z9KeVFJ0fm7anuPteOrn$8nZ3b_JnF7c-eoUtcXX?PR12E2juYr8JD9>howwsV#Kp) z#1pQ722uc)(2RtVjD&yh7*P`s6ZGU4+NAS?GdC2YyI3$EU1r$x3~rXhQ`QYX1?@bK z=+{1SvPQK3vh6#qN?WXdt!>>t$G79Q6j*1~ev5NldWG>{=ehLW{kOOzwQIJ4qS zqruT{v-hAk6G5P8isX^#>okgG#FDp91>ghkG5Uo0D-@?-7s2wt#=#y-N-@e}80Y8NUZQklQcgyCUoT>a2X3Mssz{{5p3;WWL#;A zgK93_RPS|~1Jkg!QK8tBV?|ADq1Un*62PVoY;{{$eR8$FcikiHMLKWF2V*OAAbB@f z#X|3lH>b^GtzPrfTkQ|qe2=*U;00WWxm$H~#{1TIcWeaQF}gw2Ypl@(Mk%?fbmBC( zjVP}k&&c1YDrHB|ncG63)^VkyF{PvCL&`=sk~ySYA+DYvu09I^+~&6b?EYKF81Q$R zL*jM7K=AGO%pu`;9X8ilb9dO#^o`4S*6~=nNy^lu(&QyrDF$m`LPKLkqvn}V9#%f8 z5SSbXla3lXjmFu#y5T_hKXf@7*FCCo`#7^M&ABjL_>*7r0D|}P3+hQ+>Aeh*&WUu| z&F|;Dr)EQ>7=?F42&dDf$>cKyISTL8#vC8Tg&;OnIul}>eGdIos99KGLb0?leDb#d)BX@qvkj8XX5-0^S-{RYf?*cNhZcNX6 z1j%9<@*9@6*A!Njv2x#p$1b(xzgxrwxS@OulMF)f{ETpacmQ0go7Q`3z9t{bv5_=w zG=@EU;<*=u4hYuJ=rI<;_K+wb9frUTU84-$NhA{YppMBOE0PIGZl9>>`ME=?ZwRc1 zwp@4;$ADGYM9u2?u>zEYZgtAw1vJ8wMinTbtMdd(=*|g%61u}$7*cK+E^O_oN$BM4 za5^tG3Dg)F*hYYFP~MJr{0N&^W#C)S@sbGvnfbHJ?4al?m?ww*#C~8QqJjM3^NR|^ z&w%JF#A(2%7Ja*n{y=hr524~}O)Nkb(JsIuWNXJSS8*fy2`Xh_th`sCDXHKW)+r60 z-DS$7D6PyjmV5W15!KiqYtlZkYUM;i#jV1XQ+uT2)m|lai1sYGy<|{FonO+)a_#ES z(1xk<9hQNJp3-$0LY}buAu606d5^!$E+Ns&ZZ{vbXc7bVo|Jy#P87N56WjPHQrK72 zw!9m!3{(xZ{}RYQX&*?82KHq%iAk0ago=?Tx|%C#;44W!BR+o_iL4dv9bI}I`&e2>IZF>BHki7eGdP2(z>@b z``)DYL5gXw7xC_Vlhem0od_BS5j?NNG*f_lDq$W${gG&)2+kPVCk6hvbP#@JkkIyO zIw1~W%)=ynL)PXxn0yb}W|dYxmPaOfnKC$0r_>!_PsS7h_9Rgb%-*4usF!jfK?t2x zCFdpFP)do)93e%BT6kV{fZJbMoZvV<1AEJVqkQ5q81_~Y%WE)fkcPzz%PW=vMCqL# z?J@gRxGfn27W6<@=fnV+g4Sd2Gg0{pR0Lb7_bEnYp@As4RlV&+4$1mJqH)o*7k($L zulobWOvJj@V7*_4L@o zfYpg>1G$;p*9SA~#z2{uRPNln_W*UM)L;T)Y*%^+)I@YBDVTyjyURRh`{M&)CI?+J zA9Cvv%!A4~w%XFcP))yJop{ZPI-C5mswF`L75ndIrbI37dmnNF-(lY5761L+dYg&M zY{2O0Ou#7OEc5wQ3*y)EY>tZagA7TVL6I^#2XjVWfr*J4zok*n?o z(MKQNl$U+{F({VK5|+s}uy1VWsw;I2&-^jiBZB34)vg2)`+UEE1=vfnaE@)5#eU9Y z=y0-z9Fs!b(jN9Lsl0iRj?NHcO%&L+A?CM=QTa**xc{3%h3aJKA0eC>>mW~eUzrKh z)r%U^D)qLrKCul?h?ukT$he^;3tfA6=S|_zAf2``3IpOMe@139hfr2vo%Su&OBG*= zQWZKvCQ_{X?OtgnH|8}NI&|FqTA3rLP9aIX-GxkBbqeWOxsjz+QVp@S2{tZ+!3G$7?eJ8(26TEJ@QO4&UxoZrm)-_LuIs}CH%|F$h(VQFFK;b)3+fo@=p*xM%Z zkxi5ppE3JF^j=&|ICV@)gl1b%9mV)c*^d`5^t3Xh5r3@`Ehcx~ezW_X!#I5vj=V;W zkarJW8gGOK>uqd}R;yqbCN`+2`MH<<0dE8&{(2s}9w|J`Gr?`m`={l2M5%seuxAjlKX%{VNh82)rwT zincH4h`)c?eW9awD&_;i_M$vkT)ESn_38EMadZ>bJu4d)-I0zA?N+j4!rnoU6%Of= zD{iZ+E#HTbnAV(nOj2hxs4>)KK_f*Bi?N1sv&mpI5Io zO=v98`~4v~!dx1JC?jt}(di)N=zQpvHp#k{_wjZ}vIr#*gI2)QS(tCbZ<0qhW~+cu z4Q}&cqWD6INZI^IR<-%KSa(y$Vk~}=XZa>$rxT_GBvwq#ud}x3B?icRov07ha14Ni zosMZwQIwpGA(yV!*WK2h-o$C%y+iHp-qfz%MF?jAg!6UW%(Ix8XXe%=`qm|-UA0N>a9mh)RWg2zU?1W03B8I{Pe1FX!yJvDRgCKhGJtl3 zgx^Rc+7~HBX$XNNz#hhEu$Wsn>02Y3O1qH5yO4(g^S^rj)dBeE|79RBwkh5Erc?KI zfbd)VGt!^5W7ogYj*wg0@rHGj16W5yA9dK@(2k{Dk=VDiW8@#Swy)5Cygwo0 z=-_9DLxNOoA4JOtukfJV#t^6Q0MP$0SmHOX+O}EiH$K_=2bwL~D(~^UyG8_0oI`@r zP5eJ&KEEL__O2bAHk?GkPkXr-VGeUJiFx%ce6G z`j7OJ3SHX#&Jfd-OlN|~6X0ckYd6Bj$^HiD|0^Q?sZ)U8h_LWRvEK+#Xn%{k6t;4q z)n+#sEvBh>6aUYcx9?MEHQ?ab1fk|VCgd6DzN`BQuLe!2rFDm=DB7eF5VM>G-JFHu zZ0~?CdL+-+AaGk(#)P9=oej30v z`geWWfIQCuB12S5>gkd4`VHK7UK8oC-v~fS&bBU^ur^kA-O25`vk5`U10)WND2fFa z0c_!adj4_%zMOv>2#f`uAr4%W1HSHei~opp%khBTNP*taA(8OwD%U@IjO8)uTw11U zKPSX0_5|VindGFsr;5G+F$xE9Ykn+Qz@yAgGl@x)H;oQr^#bQw?5`2OC({H7$Z~;O z0&;X2ZX52Sl;G3WvH}m!=X*xRfe~l+15N;SoVX@xqVY%u79HYVIMI1q9US1L?KO&x zA?lulu^S}%>949#sPet1s`2&LPk5GOeZ?VQP>k2L2&Y6$>NA9Cw!SLvQl?UnUkob1 zSEACZi_WjK*8FyD46JtQ1zOo|k>ai*;m2JNp>12L&E>oHW|Wiq-=a!zVOv6^0EJUB zZcZhXudcXwAzDoJo=S+JFLz4Jn}5Fz*bu{JwxcD=8b(Y;kS=1TmtC)mn*qswOjlPB zk(Z5_$XRB-mn#yJq6Ndg`rbKEy&2OfTaQh|bfu^t{lT@~54;LtjRz#I(=xWx(t@Jp zEk%o8Xo~Zx!%J66ynf*#WeSem&>s6e{6nznCBbA-$Qu*UIYF^((H&W zzFY7p2zDf2QiJ%2ZFT2#Uw-ZV>YR}M-%ep}YkH%|r~&r`dr1@olY34du((y+}Pcz&W;(p`leZauorS)Fx14 zrU3r&@wmlW5e5@_4DM)OhvnX^z@$^FwZIyj{dk}6Up88`U`41{!4%~J#K_KhXBLvL7 ziV53fojZMTg%}15BdYB!Ha`Xldrkeandg0ir?f3{zuXwo8{;=Zhz2BpOamm#X<-q~ zb8v4)U4#rwXxnOpMP2!g-n%QeXy{dJP)5?XB>^pCt9O)-MCXFPyLYUzhBKyU&hN$r zFH)DICkxZ{j_vqQq#ADCQIovK=G-VUdAzn53A*FK{}TAvFi4s}j)@hdD>3MS9hsNS zrc$EAvO7w{vi+n4KUW(re{>wkrs&TYyDG0$zD3mNvf2#fDpfc}EgkL<0%w~fTiDo@ z-4{=AT^q`g)Gba*n|X9fM`6>^@rAlC7%G%q8tkuVRtDNj06xg9BPnqhfPGb|)weOUuC-bxq5<{@(`g$#)9DB58DPhhxIZThbXj5Y&dB00%2rua zCxj^Q?y1DE2yG8)0kn#-v!St4gzmBX+#AMUKe;_t(djJ7jTTCVv{o}O+#*a`OD2Ho z1}&V0svV$^cgWQEI{i>Ll>&S%l_G|*!+DMFC-x4H%m$zw=Wp1?KDT+a&nT`32E|dj zEGi4xi!>m_XlCXl67Yq&PO*Lf>6n>$;@&E2a`2@yj#D`JpXf%CI%G-^zCjI+y7PdU zbH_S!L#41GSO1+|G>^P0)LH};%jyE>JLWn^XYsn`EsLOYf``VElpl#^_=9+W64Wox z<1@L86Biw3`Pyu9o9cNR*kr7tB~B+0Jqjd-iHj4r4a)(pPi!U^2hha)ADg(jCJBd; zJs*L3kBr*-F{up^)Zn>JJ#!3_xF)cP!q(F^*+TOA;J0Im{rP(PNh66i>;BncMF=f@ zNLgk)r6`&>uGiE-I{$^A;I<<`IfCg0-0 zEg`_kJ=_d$bY<@M9c7OhAX*+^w5V#eR&I5DhJ8T>GR_gUli~1yF@qKw)`~AcBZ_;% zuO9V?TyACz?Qy`=+{5D!X{f_?B@JV(q#@j2Me9WM<9{7|F^Fywe>s4S%@vw8bjSwf zn!Rblcyc~-)0c@D(P4!TVCnt7gO)D_R!1w0n{(Nx^#8e6g>W2x+Nb1u@|7|2ntSxo zmNu&_bACrfu^IB2daodkVR@x{b7scInaO3fVR^;hF{Hb_7jJ3h7X7VkgsZBGt!&yV z|67cko8(ItCP#?Zr4f?Idp`z_5drN41DV7chGi{Z4;=dUn6%IlH=AU2k0??(BtpdC zgNR~W7}t4_nm%afl)45aDS9zeaCa{pNune3EyHW(B}y|Fp;j|)wew0Yy81kmg$;F27IPyWsnff=~P0ypV zE7uOd{*&%^sTSP|Be_ISBCR3;Cc-O`DQOHj=ANOOW}}M*Z_{pb)ICEEChm6t-i6&_ zoO_H?V-J8*|1DOnlg4(h7r2^#un+HbOfaRaT~D^6qXPDk+xVNi1MLo);9a%T*| z$5h`?!(e%4_jaZ*ev%2{*7`*i$RpuPW{iJKU$smB&E!T$MZI)51finF3A@FxhvMQN z(@P(UcYXNpFbY!vi3EYIprQs~lz! zqSHU%B%wR;tuPNMY5Fxk;zvT?)UQi{Dz0H(Qr+*r6wVHncKK*6xRy?Nj4o&7tmZSA zxtEN|PAaY12f3DR5n&u}rP#-15pTuz-{RgEdyXT)ybWq5fHU8uW;#RarmR3ky>vxrCV z7?ZDvmE67e4B7>mc!k2Tv=P^c_tf%{6sI7jlkwaUBw6H5rNA?>lo-NeOk_vhiRmnU z7zuYF*P0n9eifbP!ht!0*RqlAJ6Eq4{X<<@N~(#Hm(VOMY^AJLF_ZiNd0^j95pwVB+lF*O4pn0|Jv^C%482w@GDHyt)aAmp-Vq%p855is{9;a*N&4IY$P$~ zwXX5Q97R9=o;SL=7VQLzQ9VT!3$OXwESDRn_}X63TdZ)T#!UMfT2SUx1y$Z^f7s%x zf{a%<76Di6jg}fa?R#r$jE!BWRO3%03Sx-93rCcbtL%Qs_ZS-cSzXG`7gfH(oRQx| zB?1pG2Zfh=OHC0=-iYE9F~?g|mba!8>G@6R`TyenTSxVJLa+1pJG&koR|C#Ex*=L0 zRG3yBc3RKQ#fwxP^nF@imp%Mo%Yq1|4?&}V$RDijPa{9QTY)u3a}k@8HYHgoa!|l* z(X9W0g&QNk;wfiA2=DAV#H9nTKGSqA{obJB0zf1skDw66;Tn)?ARZ)1RpTt+HKORn zjnKW^VC!7Ld%-qbZ-ryMgj%Jba2lco>~I4a0(W&VS5J|Tr6fzs+}wwZN<{Mz2{k!# ze4#+^+w-SR$O#1>G@l} zI}v_Gb)KoYP@L+p0^?)P^B6}eyJzZRD|=xc^D z2ZLFC&Yv*WRQm9Iy(Q`BIU{*;x>(|WMiA?3Y@*1Yl=^wWM@A4voic-P!bn1ij|TH*pnqJNpVPS)Ckqw z20Nh^T1KCB;7N6bQVlX}mJ&K3uJpF zBs?~Njzj*x(Q%{KbR6OjKJHIC&itS0IQbhoPX6!cIEMe3j{B_07yO=9hHdgNdCxu2 zvvWV0K(J6$a?xjV{%s=5el-71kEl47`jS6FT_0d-Hvw9D1D@AtCcgd5Nhy?G!S})6 za&jjxfBnVD8Pxvf`tmZtW%Fcsz~*fX##L`&!__2i*|^xxibL)o=h;=ZovQw~zkVeB zI>h*W79OLh%CXU-!V=sN`LuimlhlR5OTQQKhUb^E_nWw0UTGQ?Z%tufqoYQ5H$Y`M zO6g{C_nEQ^F?JzRdW6EuVyd66*g#d&JOnC~KWGnasS#xcz6gPcByVE?@JT4Ai4@lXer_4#GqokVqPrt()u&BEW6sDW~5>Q^=5dD}<$!UVho-X8jN63*HQH2^s>+paEog5Izol}K zu{qE1rvN9mP*2QIl$@D-4OtOuXOOV{EjE@bT}}myC^juGQkg2NLWDjGa!hAYx9?92 zo@@zR|HNU;zkdJ6ff5bv^BA+MZ_lsxXpq;q%#cZzxLUnuGIeP`J{T%R;Gu^t_blp> zs6roF{O})-MG$a|7t7b+=klP0WK4a48+(}og>)b7#=~p%<9dt|exF6A!K7`9HmkTN zj@Ta5RQEO&^pR|Ba6G8tu_?-(2GpLWf?#yJ?O?1!;_3Tg$4hJAh>Z`WDrTfHFQypE zIf$sX@zkACj(7Qof`buZwuL+rWBiU0X1}@zwfjh@H+$rYrAetbIeeUWY$EceZ!H#p z3KQ+B?v*RP?!zJ4^}jlS?mV{t9^iVE!fl-EC+R`lJ{jYDpfCuS`sdp{*Q1#7`Hcu@TbvNBfD!55TV_M{9S1b{VM(CopaV>HQfXN(CS1c9F z?*XOFpGb6%D?h!?OjHJ|@d-Q^ZXASv-cj;Vt){^!kpv3tR#;vep_jbhV0m4^tvnFZ z@@)1GOI*vd1n!<8bHE8$DggC=aw4w1*Zs5qBf_5($}CXqI21)tapgeZ>`Z0Ae(S?+Jm3A`9q#)zagV`eB1UeNE2$*1H8&VQ0cg{a~I8|udl zy{GhcfkaB99z#enyPP-CF}S=P>`cUNf4bQNU{vzf`5Cb3`dv5K+) zR9J^*b+1)aA7J6=(BuIuX-iC^kh>jBh+s)ibR+$8j_>y97|1%HGosg6UYCKvTKb^L zur#4Mzm(%+9Z4hf_S)Kb?l2eDp8mtZ6${ca?qV_LSJhrZ&y7T`$uPMNO<)E02N~wz zR+OgIkG%eh?j#2%W5$L?;)>6Wj^I7yM(7FN$7O9vGOck`CBv!^$(&WY%N*>MrDjF68yBJgmv6Aj8&l zz2Rs@ayZz?yC8=WY;=Z0o%~tZX_vStM0-d=u2lYgsXT6e1WA6x zD+X#&2I@hHu0aX$Qu$PHU)$C?(>?24+`57F!NT5lg6Ekv`g~7i0{H58r|}Wp<9??+ zuKtC?&Mpb)+KZc1E(sqB!xuJ}9r~h3U6JUbSX_}nh+ET7TkLvibZwf2>t0}O8lUTq zx9UwxQZL;+{ye|>Ed8d_^0EcC#+NEL(yJ?txXh#F(+{8;dyXL*6BOomNplCsKd9d& zwNBG?Ow;TgPwpM7*1Fo&y5he}B7K+CHf^+jtdbW6f4~#1g>}y~Q9v)Sd*T;qpdFUS z?5990m>#BzAx(83wx-d4APr5mG`1#{F;M#B(f+0HzX{?t%=1J|4Sdbf)V_>*CPcZpX-jd z>P<`frLTG9_EleZ+KPV+r15o*J*3ewkISlf71$&vNFNybd6&Funfzbzrh_aFB<}=o z6oCa#4$fk4uh$WIPV}fjrI>ys>QD~fHG_1j_OCdXcVXEN zn!m5Z)-ju9C%I!md7u4l4zWrr730_PYTm5AZsK-&l;}p$Ht2?2I)60XHW-Thep!Pz z81hjyMn;3gRsH+odX=3R0}iM}h>^8?9Osx6OG9Q}>yZ^*<4e>c7m2&|Dgl?DPQ&g! zOT807+o+4HV^vuh)r3Hzj_OAsff0aJ&b>{}T^MlS>R=(id36^*?M?t7H#R70k?+k= zz(CuWq&({)FM1bW&iy0q_joMsv*He4%+9%`H;=c*`1QR|)@Y3B_RXI4ezaY91d1(a zlqv#I<}Q_TbcpAt5F3DTm95?%l5Jj8Ja?=Z9&z^9+8yY^!2Srszv+9df=2r1fd&}axyhqRM1#iH|xe|cY+8fBNF++v$ z471RIuY>bmVG+VHG)9N9{i$|>hg&$YeBTxLX3FKgnjl4Hul(hS=^GU^3X(!lo33@( zehh`doxh}jIG4#0XV z;rZFpZf2E(Ne^~x4N-!gNTdjxLwy|nNfQ1^z&se@=DStM7f*HvS#p~Q^(l!B*ipRrgjzH? z+6OiqKfPd8Ch%q)nj?fyIL|!R1LKH#9JiyiVD3HoGxACj5%V>u6IS;4WVQCkn| z+LT^KcqxxdzNhi`#P^Zd!3LIpnL|6$iVxC?FpcKR;;zb>umtC)Y>qIEyrCp_6>9<) zj8pOSxL~FDC@rdX5wJtI{NN;J5A|3FWoC<{T&>GQ`d_xS)(=UFdV|)eEt8Q~j`Ypi z2yyRN9Aj5bYZs#ANVx7k`pEgL0?-`J zT=h6TB>8#5?=(pymq5xGfU-1~B+Q1>AKa9jW$E=Yf{(YvlJaYDlO0@4*TG9r5Uz*@ z5@L`g1GV6qeZHw5YV&IQzcvGu+_TyU@}`kRi9* z`AL$=wGW^g`2Y-sQ7m+ef7VfIf(*Hmv*yKpi6{FMRNUCd;nGRQn{_eC<;CaVnFyiyzL=!R^uOQcMu=& z{|b*3p_Ys#YWG&69mhir!O$_&7wKd0Oz%N~8zYzKv6x}W#IShRb?oVaMelVaLP?ZL zb+^zA^(sy;xIIpo=zQmMf8xDO;*3e()ar4#7ei?FMiV;d$9=awQF%Nl%B*dos5+I@ zB=jrX7HjGuRtBP}-Y$6QL-df2e%S#U@CSIh&o(ifBRSPVf~q&5YhS<150}~N&WXp( zzyVeHhf}yUKRM^4bACbiDv8E`0>x|XAl>yv^Fa3`9gjg~)^Gt1oRC*Y0E9{SQMyLw zLzC}*B@T$)xOQ@V{|7-?C*cZ_P6K90LT=-#=zVLl%o32ZWA_eqZBLlt8;Ol7^G2eIYV<||Gk%2e5 zUmZI9wOD0p7)%7#RfvBITmjvFlMMUw&+~RfxcNr8yMypd%oIzKSbX^(w>tz1QlW@G zdIr3cro%)?xe^uFm>@>w%IQ0pYmRNa*o0nkS(=nTT~U^okqq89DMT`4{><`idO}t( z@8a(Qa3{kCQT5 z>lQ4Ru%aPXWNdr)8Na%hoKNnuzWaT4GUg2xn}7M*_XTmKtWzwD7#)Fx433C$$6NE@ zaJ96W2$aVoa@|LF@q;Pg6KcP7oDS+f(e9s|tT6giN23D>hzQTu#pd@mXEqi)b+Kp-L$7fyn7#!>ka9+~^%`{a8d%w=&S-E%$@&7np4$uv z4Z&?>7f-d(LW1fh@GQ!9Di&~Y zWFo%4IF17Uf)u$+{nmnS?Fyj1*u1g6Jt;pkR zKMHDGbl8n36f|BC_PqZEWfFT8y>9d2OpCio`;59-axpAiC|Vo^#{D7Y14}rjA!`)e z4~aO+(hmvk8jul^Ms~E3HdV)(D7zx@X&5z_bJhcIQ2KS2D#j*Ul5yv(r*^k{F7{BXj$bFUjtaNQp)4=*{;%!gMYyGwh zo@PJy|qV=Eo$tzmi?0r=N05I2BS{74BnG z3tKr2rliwG;F3!CzZ93Fr6Z1l`(M9I|Ld3OkAxg$xr{`}X}`fB&xV5X>cyZRa$2kB ze~VGQok#>M;bB$ZfKm%}@C;(>`MV>Kb^C%%-)UX$qT6Uxyj93JKUW`@FAO~xhQ1i+ z#kHgpMG}DY6hYET^q_<2fmM5xReRqS*PbBPHpIe`!^+ES5j3HBXW0(LBN!j)9{SS( zd}jD}OiM$sxAGc35pe0RFQw^Ify?vJ-woJCq!Mqt( zaR?F^n;mykOLk3DW?JvQZFG!#AdLM%Fc34v@MF^x5ntRCY!T%Pjfkcq;Xps4mN^9% zDZ(Lxp;K7*-o+Y8!zb%Y0iKTCG(hXyyPyR$n@fT2J!e9t0C<42H*gWjTnl3%dFZeB z@FEOR)|$4F$Lz~Q)H3PKya)DXc+j^(1kUpepGFD05l97A{ESG*jEJT6V?pc3OI2w~jvxE?I(0sC~wO_hCLz;|3 zTQzbzU*mwg`lj)8B4csnjg9`OKzn(Arv2;l$qGUqdYU?7{X%9gHX?V(c*c=L6LWG(jh$Eii5M;!iK>TkI4g-?F>^sfS4SxC-SRt-AnqYL_xG{BCCHdm+mA`Q zAH`X#$Sepsc`b-Nfx^7g{6Dl1_F)!0Y_}{YRYQ&YJVt*OY^micY+9=|SkfT$Zct9{KivRRo094-hn~81qCYc zd4!MWHG`N*iuhl7w_VoXb?%!e%IOz!;zJEg!E?GgGHBfyKDS1hJoa5T*<0~OTbS3~ zI#VD2e~i5cSX05)HmV?zE;WRX^j-z&2neVMNbe76^lQ@(T0|KIyP&weH=Ywy`fc4p6>S?~LL?WiDD}kgqRR zXBtg^^Biz>y6=5qfbQg6RF=Seq|MVFM0sKxoQlaeNJ=e~rF5MYGR3`Hpd2Kdt;Onu8DHjMPt|_{T3EaG-N2op<67)8E#@s0|Hyg0` zFLTsGSwnRum>4kfKm15x#Me=l&HM^0fNgwfHfw)HZo$7bn$>JZh*x;C#ccABohT66 zRUHkt#}P~5-w<1Qd0Oq(er<*dzhF)M)_Mf1htCh($Uvgs?~?23;6XBT`@~i+lRGg+ zQOK{|5N5>j-7+4=BZ(Xm?ABwSvZ>6?*G++E)t47XGnaqv7D`*WWQ5p^n8HPeb#O1- z&#U!s7HKax^)_9spZsYOm-dsK9J@T2?VgEHy_`o}?5FEFE>hMl>Qf&7abOz%_GGok z+|>TYDCAXMJ9)rT9YlypEsATp_nDGDlv*d-qZt$NC7U~nAfH%s5xQNU+x~-d(n|&O zOP%Sc3fRF#&29=5jAbNrsz#+!ev{u}YBkS!5={U%wP*dr1MY(GX8JB#q#=HRZ5k{{ zI(}GN_r~cRVgs&dshQ%ElafMwh|ZCrkbR(zJ(PyaR$a$Qn5$+w-@njIE(3~6#au_e zLcj%l@bgh-t#)lE6+n}w!#@p%k??&gheMhX-rK+TYEtk$;uw2V^uJj9`%egD`qd2S zPnw^*i;b33oY<63%cI#Hql-QMlC#TZygOF3BkN_da`+J}eXvDJaqvcD(sapd_o*9REZ?XK-JxiB)br zq;%N_szYCu-24?6k>BrpGhdiAmb`*Jza~|Cayz+6M*zgQ_AkD2ihv$L0vk~gNgz_7 z*z;DWwD|{a$E4XPV}W@Pd>c+qjjwnemE62FkTWKfKl**pQDQAG?sNt*7@Ex^ue8iX zDdll`iMl+SaIAkGf*5}i2te-YNpCuty%FMo%R|J)H^foX%;yzHLNcRl<^$o=|-C=`xH-`kIpyfItuunY)GCL zmTsxbb9ICc*YLeGwA^^=KBd&sVB??{Q>XHR1}4u%qt;QPkVe^2a_AFEe4J1zdz;LkL^*&L_m^;{ea!=pT1z~bz$^6)}VES*q)-V@w8OSa#x`x=4|+OP$E z1KJ3plifG&Z-2oNaqGDC%!Fq$(*^hZv)$=g*|EXwv&rlPiDS8z(BY3Yb_Lkfj^93> zc%2{kW?rM*Ml9-m`!eYBaMa(2zALsDze-9rbUY6#pYLbhV?H`3Eno$vg~tLdPGntd zB%w?x>BPOEpQ6d(ru=!Mj25Z#f?9c%FoW3_0-@&^`MYoCv8Eju7kaU&{q6Rz7l2E1 zRw#ThVRt)Y`1yc-Z(hODu0P(09qYo=(hitTd2{G6VneLJI*1^D+>3{_jK`^sxcRji z`0ITL@<+{%_DXW`Lz&*D#}DZrf7NeL;urpWefjY7^)Sc$>wKM=r@2`i%kKr(f^I0C zYgMFRYn@ksf45S0HzK%gw1=^h4I{V>wui@gByDYVF*0QyHO?0#Rao@7l#(;m0L<*( zOKUwufCf>Eq4}}e4%`AEf=|8-o7+~#eb&b}2=*?622IasKcx&%f4No#4I*yeH!CTY zB6Uz09b6MwL-d3?q_j>r#q|EW&I%1!P+p#P z=P0t^>5PqJJruh*Lx#EjM14K{=rr~H3IcH=O}07vMN_v~SbAG5l0s#sXaIZzocyqL zu1{g~Y48WPP~@C=UkZx&ntxSqA&}`apar$>Rv9!A>L_vbPyOuNNeOsxizPZwT81cm z?+Eqf(vc~iuJCkQeF_mbaz2C2tr11Q<$MXH=7~J52G?T}p*ASI<^SP$$9#Jb;Vw&K zpmM{D3R?GQTyDFQRYyEC)^;w4Tz0W{RS) zs^j!dy_x!wk3qK(z0E<7m}%)TpO2xZpj~uDoIV9lb?RY%x-On0ZjpjVB_i|l5lTMQ zVNX$YDh=ivPqjNKUdPSUe#l0&hYla*%nR6fGIoOBO)3U$g;uv!fxfq1i8h|^h53$F zaE!WRSgGs{8^`Kk?4oNM0v4^GO}7Q4r7Ubin7UzA;pHu()a%bB9bXf_OqR1>HV@@r zCU^rsAUO^yZ5G@yZ0g5f_W4d^Y96ZjX4dV^Y{mB{gjS&;&#c+Q1X~d;B0`cx@X(M= ze<>of&~G!+B^-@((qhqclRu;4;Q|Os{eaSD+WDVKRp4v8KYaE)t`shO|8#A!f^lQr z`pZohyeCod$-n1YO4`q@BHJN`#y-NLgOCZHtl>;&1F=_wJ%4Via&7x*9V_{T4EDgq zHUnU)b+0xJd)_yGpm~iL?0KJ7Rnq%(@rf$eP1lrC%Ela;*Ml{>(a%CT!dwi*xbviz z9*aJM+kQx^;&)IND+GJNSqf>s|Dy`k1VdoCjo7p5iX}ra0v}%iQW*eepLI zBd}R)cfXr)_ZzmJDpTq!6l~JLeOUE<>7CJ~J1`ZwP(-_4U0nt)c(D1h8~y^cM5#is zT$sdDTY-we>OQm4IaNYK2c?iZ;HgCX4tuaMefBe~MJL*?ncFOCtk0>2^R3f1<-`DI z+zkux?-hc7k7I-t-zQF}4Hny0lw^JtV!^k;HnhaIq4w#A6{X!8($rros6UKwe^C6f z9O0tpt`obp!mDo6tZpMar0+DOPvj1zafjYd$I`HoSH2ge{K>2dU!(%H(W;8l$0wsQ zB!=Mfydq)5-_sbyVg>VunHfSueDZNsLjzDg@)ryocVScK2^FuD5O=q);nHjd-!~wo z14=pZ+4=BHZP<)K7$*GzGLw&gsKn;=kN~0{Bs*lrZ^tiMKy#k}qJ(xdgY9GUuaC_P zz-`ALj+}afNr}h;bdZ1S0{(C+{C_$?eWxKaB4HSfFzlbFkSVPDQx6XK*nH3K_uRoX z@$I>9_b6RjUdm$%@>m=hwv7i*)d~<@Vq!dE6n%tIkc)v6%NZ~-LMnlK(_1=O-DybU zT4lx3qh#G{i^7O0b?55{t+c+fW+!GEcSFAPk#QE>>ap;B03HWDudtms5& zs03eUcc3M>@?DuB=qh&{XlbirTSf-1T>m!D2WzSOxg2Q0L5X!^Qcc7=`>s|QBXRS) zln&d^-VJa2oF3vDhV3L&$IWeK&u?bGI9+&g$~-MCHZ2`dtrlCYHn$0qJ97P0F1bnj zxEO(bT`iMe&dTAEu%kBo>y}c>$F~CEoQb4{Y!QY3U1pOSDuG|rHZlOVzeYqMQ`<=5 zY~2>ydu>q_pKu1`B}|vid~J^-*MD0vSLUbkY%-aYte&|c%)^Yo>{hU_`@+0NpG=5g#B+zpS8F`7-ll!K6nmc37vMoIclJ8m-Bnb!lM4LTm9if zEe#JX4QO%_ZE}(ViQa0kcs8vN4Y`_!Po6=BomuQd&l4Qon6N$ zNLR-c2wfv^$FneOcs5*i8A{{NNFWQ7^kWp3gRMy@jlCTH=@R=W5Q=x$AB0iwFCk$k7?hG`->II<&$Sy-p6#-$^TmGil{qWEb(n#rLowTi1Y=D` zV;%OhwXcuG`4Nw9HhL!S)Bj!3 z4-rN9UGb(h+KE}d@|9bh|6dMJb09$9&CJ8i?4Ok{Dz-XyBMHA}VG6B&6=QONu(pa( z-P_vmlYVv}op}(AGsX|W#Wm=WWKwpwk}Pk9eXN~So_Z9`UnZ_<@0vD5wW{87&(umu zW!Yia?cx{C#E$N?)#MEFSEIHbl>9X<`D=v`a-|S*b$GivTtQ3ESxb+YTqjM4rmnp* z`z2V+uxtr0PZ95r-Z5ER^5F~a`Zf!N7X{dH~pgoR6N$%9b(txX%jOv zSa9Ho1wB_JpPP3Q{|0LA{mgR64jtbf^2K{>KC&>1$lr4AFW%$wX@yaI{-=pp2w9!s zY7^0|(Bx2M+=zX-)~Faw9R9LI4Z_5AWDr<7Mb&=v(yW-n@E)v0Uxi9r_t-i|d?s4! z|MC*7m;_LT=U#QC4mtL9sEVa)X|98p&w85ugI~?NHBSdO#H;biqO=2oSXaR zv5K>xu!5j)d-o6-*w{2RnKd=j9;?J(Z31so<}B?ZE$wWXDO>l0U0Yyl~ur50|G{{{_hU&;Z#uB@@2V^}|oFf8b*? zD~P^WF||jVw=u@ky!&7ajkaVkOe{5~9x%e*;1A`Qdn^Q^WsWH9iR^1$b*I~W`h%Gf zl50NkoyQsr>MT1SRneub>cRl?f0krkk2h`BeV=R-gi>J$lUT^dMYlY-WogfR?+I$7 zj*SOG^+~KwU#0Jnkg!tI-5=Yi;=626TOvH8&!5Dc6(*e9edm_i*j36rdm}u5tffRO zwWZ|I;`cwGBs%KV8I%q=`4RrcWa)97@#@blygH1IQdp)y15R7VhalCiclc zDFpSNL@IXZZQw;(YtkyVbm4vu77XQiURE&*D}MXD<o>m5`>)PLADc6DtTgDYHDN)} z)ZGxrFsXK~rk@-pZ1`o+baO-t1L0s1kqD|yapF+* zJC@eh0@Q6Q@DyRu>~RwCCqW&l>8vyU>QT|~j_U__*T?kneEsh;oDQTTB;yYOWBi3*)x0e>ODy|bYE~-s57(js&-^O=*R*Wj`3yd$t4eUndWn)qx)R`^R)1npPqZOP5p?w=a_NmKFosv7rZ4$Ign%tT4EGl=Y z;6|ZxLYDmDPn<*XL(fk(H6MHK9Zz%Q?#b_cAuM{37EH`!!F1vvf6*d95+%E*|LM65 zoXAfndIuJyM?^>kT62?TB@{DX?k*{S;-ED%Xeh4P2MxtZu^U_bE^}P_HE-!Qr5TU+ zu|y$A_P)`@ndZW3iICXF8AsUpG#h)BW`l{4c+`8WvU(>K}DGyFH{acA+sQQ9`y2 zu*@UHKVUK-YMEns#bS9QvXx`AU9CI4q!NunK^9T|(YyyWo-Hs@i86EiG-JkPIatlR zU{s(W8o-b77jP>7tzX{$yZYV-Y6pCe4}$Gj!2yhYsz$F^c)R|qd;mPm zybnkym`N#^*{%#w5>XgsBWt&I1BTNg?gM0xe{i+4hJnPmLv3Kur~L+E5xF85DIZH{ ztoZ)h$`32D+GsiC08%Cwuys^(0Rbj`>^9O*>;PbKifK$lp112Kq~s$cqBbS;Wi+t2 zJ;t`l0V2mSpLBGv!dwkjMwAktMI&<0zFIdl|9JK*IV1Z01OrM9rYHOCZj@}4XYw6I z24a$dWC2JvQ8y0>gjYnI7h0&ZDB_MHE~+yk$1R+QJ9I}Z(}j${N|AJ zE{383x!YLIUd^|27=p=Y1oECk5m6fNuOxJA`Ak@U7#2F|>xA)$K z2l9uLw-gz|1;WWO6g~&X4@>(#=XY=*wy*$UO)?wO(BQXs2nk&9WkfrF2hp_N>JvC) z0G2DhOqamfFW_*&r&k&V;oI-8nn49C5G<4>=7O7+y?&vUzK^BOY9TstS|=Gb+cUPj z%MH;9OPm*F?sR?-JI^l`+uH3+lYo@@gh`H&ccBRb?^I*wU(wu*&24rvZvGC79wE1s zgYBY*GjUM9SxyZXCoi3Pmwj3)8yJla8TcuB2`jn5e&kI7j%TP2)a}!T{Sp(2rvaye22wy{v@yvm9JriwT^i_czSqY!ZI_^ zn_s-bRA1A0b9I}jtI7A0_D5^6k-UG4Y-t1y%!T-%qGvb%EosYL5U{R94yj>dQBv!m z-WJ7P#Db{Y7rUVZatpQmePhz9E)e@<4fXB^FC?aSNC1p?g4mmGCH<0!>DrxftSZPL z$X&GfsHtUix9)3RDwm~IY2L6=_;Qh8Xje&1hWmljIFU%fQsM_=l}^Bb;glr}EL1r1 zPbgi+eoP^|`wdnxQi_W*;^N0pJJ_;L15bL?T7a`0z!CVQV@NILmB++tMODGzYQOEb zAG?JuxrZ=<87!}I(|4)|wnwM)1;7u#VoJe7J4V97)Q7cxOqS~pE{J;1!MP7AG#sr! z%(jq;N*f(=4M%gBQUz9|3TZmbn9cqU%lg^xAJ1(kX`ehuktw(DSMv6|b^Cupig^i8 zC4t;*I={xBcw)Q$A1Hkg08{KLXP@bD*muo+vU7(2Okc(FVkBOvtlD3rfwDQp|K4t24bs_Q|n$Zkd6F zW%RKa-?IiE0O@EmO35&ZdipT)DC!&*=s8qZEhFRM13mTDq|*h*68%}2d%Ss_YBRiU zea~^LmPy~w7=$6#x0}knLn4|v)lO+EVc%)ap%$&2MoK9zO@rzX`F!tF^zgY-t4@bC zw88W#lcJdwtFIx9noCll)O^Ff3FT=Y{nhYhLlvyPnI8k-2vR8^CV2QA3{Yar>qlD9 zu;aqNVMiXiG3-8iixTM0t`}}`NW^=a;REdOuXf!Px!6I&=uCtVF4lP(K?ql90W8S5 zeh@9JUNM?4>v+uI*IRFuqy$@YWCk3^R6+0`83+V_u+<=4u%7c`}UIqcD=y{ z@F+xCWSxHdxyi9TIq6P?-u5j3y%FTc*S*(vD1i%?831e!jP#e#fFv4|xdkv8A2M5G zN{1NM{tW$g>kR)1^}{e4(Tw)zB^ai~GGdea#pRk!+f#@sRFkTwn)K{O=3>~*yawdb zrRSn)6VG8KF3+Z=uAT0x!{dk#>xd7bT2pd;4Zc1ZAN)NSh0_yq%0^34RyS&jGelq) zQ06ECktGLK*W21QC3)IElJWsyq}_;bcxRMCQg{yyRm7|{icXl>Vm(w2l8t(EO&IhV ziJu@r@n72tn$5JptB6_Wt#)XB6eFQFYyNl1OamaRX&SyfH-) zhP;6TDkT5wE9iv#>{`I{01z@q^@EVP^@Aw*_Fb?yPEPEPl^OW6t{+(3pye4OI&kl2 zsqO&XtzAt(U->AaYOd7W;V`PuI!19tH#0j7pr?z$;xgIIRpjR*o1#_2DL9oaP%Cnq z^2ptW9TX=TIGd)|Yll$lw7&g$1)Zyl?72q|Urs{&j|^-s4b8Z(aQ8dX$shH=FXBrZ@y(#_Nws zedirYi}!OJFuMllT$E7TCeUz6o}#Bdk6u-_0l2gS@BH2t%X5H)Og@YCi7>=3JRqrt zRn;JC+5rX%-YeeT0K0we%rZ$UhPJy?#rzvK4$b#rsLrl}=?&<~3qZ~p^S@zP{1G^Cp1b8anJ$U4iUtf>0nl;#hnC*&_2s726P43mh@^i^p~kq&96H zoaz(I4DS z{#NjC5tgfP+juns`s!2dlF>Ki#H`X6%Y>CY2Nk$Nw?cHlEl1p_5d}F7MC64|Q-eT* zdV{HY)caw=E}kmGwzE&|9@_#o)}>M;Z-~oB>9niN9r}qXZA+vkCVf&VRUYp4LVXN` z4V>x6U+9ZFo`+ELo$?KN>DEr3Rqw6FAp6(qli!%uSBmJrI_Ivc!i^>nSQs}M#qaK# zuDuspF**&AMVA_&M8FJIJHAxRATPlI)wtHm9eYX4ve4QeBn^#RF|ny)0X4pf`UrrhX= z65`4n_7Z#7_ia|{!|S*ciA!;`Jt)4dVsn|*R~N`Kwg zQ%CbDzMYxH^%CzF7yXwfnTXTgD5KdKx*Re@)93H=*LPu?hig4&O_#f-7p<4)Ivb1Y zBBj0*G!e(On_TkxBmgVxb2fa@_$>j!FUZ~DXGR$bqB?NxSpJdm((U{*n87AVIQr># zSO#s)*xt6{3C(_$u3pprRm$5IP>DI4E5f=;V4g2s`vHe}*f~=)CJ>My6o$p&t7`CaH&r;(6R6j$6g9 zX(+Z2f4o|pUL@ijH=tboGS5sn5`O#9h)n%9zF_Dp))FFn7>=UoK{E*+x$y%g!Q#uq z;T15XLZJqpXtkRE$S&dIcFoA~?t~&{*m8Gi^fL~7=r71Fme#B4$woNUHph&OltE`O z_u|%QD7ZyNp5mT9L&z;WCGIdx!DvhbH-+=IRbWpTIOpo|w>q8cOcUlP4znAESM*Z=s(60ue;y0@h(0wKRTE=UEIUm)Ob*^Iy45D# zZO8Z|XTZF5t!F?n=XQr;6zX^&{0?9fa6PB;K5IEEtZRo>nnI|b);sIYV}3Ef)6m0L zb?7vH)ne{sF0mCz1`Q&ea7Lw}+rSPHGzi*SlF7?;gFsi}~Yn zPyQNJaiHQa*t~Xd_+%dVp(~uyT+8_J<$-Z-t;UugOLO=!3nxJ+}!U=aDmtPf%R6tI4sM-XCV_`WNC3&QB-%`7@lzWF z;@mc!xcT**2DFSnRG*CPht1XU^FQhb`p5&00B_S*oq7M*ibHR@0DD@YxN~!SN#aRa zbI`;%GZCyc?X6&m!ft9XJ76d$2yJL;7r$-#yKN;OMm;Yb*RparjcHiY>fCXTfi+~Sdi zY|DLe=~aWtVJP-Le2U5~w%zaw<|`MxV&UpYP~)GjR@;ZL z%{=Y9nL}t#s18Tba`gaVY)2rMlu{ryh4l#@ zqzM=a(AU)c8nNCsPN({*JkA{R{a zkSAY2NDR9c06D;$ja3ddu*0^Q+kig``dtyvTItGd+w4$b2ED2Z90P$@D#2Lj_bjXd zJAqTW?DjXJ2@p(ZZ(C*XtYdsA6TX%OE5W_dLY6XHoQgN|bG9V5&!kGT9p5c$QdlW* zS^aoq->Btj^}~19hfz-pbI?WZ5k z5_Ed9lFPD_%WOZ)*?wR&_#|rZDO`s$R);ey8Q02**P3sAE~`)@e9R{5Y5AY_{zdH2 z(wjRKF9Z!SqhuD><$R5$+fj>BjeUH7+=&}`TTt!4-JK4S-a1Su zx&RWntNll{*rpU=#ZkY}RYHrSTG8IW=GE&})xQ!s^g+%pck7n#%3b&u^$vQw_{s%M zNl-6h-W{5mZmeJ4{H8qJ7+%d6Tg^AOnLodo|KfD*#VO}>qxf`VWOebvX0cvz3!xi- z1LF-zKl^rAa_PAQY?BeAj-Gtpx`UypK-JBdrMBq5N?zb$uH_{$rXV$@V7oFvNo1@R zx?P(YtTTIO^LC)og@vVY#HaPVH?@tOL#r&gMJ+?xZ)&M>i(>l=kTUf^C@NWxNrd?8 zBh=J|udVUBlcNtC=683$I`Yc=>w>RwK0Y4=eZ=2``o09#8R~D|pO;hW*6k3C) zoXwJLYaZP(O8@^WQ?3rUO=Ysp^%=p`a*tNs9*||C{ROFtwpX)H5~M$8#eL3>`@E99 zw35ww@=@&MV}!I_th8NLTnZ^cwPpVw;bj`rL|5M!RRj^lck1^Yh{avgyW;CNv46YE z@3+F%M6|f0pG{Tm_gql#93~H8!hi22yJ5pWZqTS;>L|C1onRXnajLqFOzmoa_(jtI zQIm;ZvTcHlZ=Y-~t#m=eU5aRx5?czsvptV(d}cUPR4H%pmj4Y})YOnsvK{`*dp!Gh z9&SlIhC`;t%S|aPn5o7~6*4nYtkGx;2SSRw$hrn_lXW)$JRZ1(a7)B+OCm5FVlf=D zuBEbnwI(xjy>bJe;&l;qbY0*e#X7pW!t7sJ(dSMRVq`NtfDvPi`Ow%0P1S}u5M4jN z!K087^Wh6s#xNZJ&XmRPb&%fcKZT;M{}PH;HRQD_jw^#jV+rkJ3GK65YqMKxS4y^4 zN_fQ!B*Y7%2-o{?VyEWDRlfRD;(P6ekY=a9DL_PapZGk6nwXdIJ{}3_u7#Iv9VH<@ zH)3)irr`hf@#|Btt`jD@U%3bp-NVpFf*QcQdZlGuZ?XI%Rb^7kJhl(nt+##cNvGHX#{R3Ri(1 zRrzX5bx`pv6E-drzLO9=>)j#-j~*dia>L$54Q8%&C+;mAb4YnL=CQ>g_ZB$3cgUo? zsHD8azxzjKNYYAq{hvz2|80k)f?9^6T1IRJ+W1>$v8iRVsVQCg|JnnIc`Mz6SLI(Z zvRVe%vFzJ}a~%1_;2{SI&@!}Ndo_IR#tt2uwJ?MnVWSrrK(?kl zjYpsND&D|rHktpU2(%OWMV8BJceNHPqw0HN-M?`Qvox9*rk<6!P`V@(v zl}X~ITeJ&!_WXu2m!Qa_(++l>=uM2Tzlw1J=AzihMOguVwjEoI8wH?x<1;7JlafS% ziWZDSa6R;@5&`LhT$vS+8=`CluSmngW6nK{8BfdvQ3IOX@}LeUez`n0nd^NW5g1wM z{m{Dz7}?wVV6mwRj72z*X=+7-NXENxeDFuKvu|!pW+MUdxaurVLc&b@@rGg-@4$B{ zK)~*;e3|_fmeiF4T6v+92dow9oC{*E^Pk}kaV;i3R}2Yy4({{Z*wYK3G*dib{plRN zV<{&x%8uh_tQoZMyhQ!(pU*!LPY^hEEgtR)b^~VRwOQo+V4^8ErWFzo?z$@-D+3f? zq{BWpt%Q)%qE4aWr2Nj1AN=c|Pk5M$RBOHK7#C=CUiwzDPpjOzkX&BYCWQKJ5OJY~ z*ufVhCd=>TMuPL#%^s5-=$L^MkFj|2!_kk+q8X4gvfKG{^X14l2wDsLLOYpHybB#3 z_FuPy0nvt)1z+h}nHZ#X;64{fHUKSY=ZXpw(o}5wX3+;2$fY73%7N(fxy4q#6lxtU z!CkDCyS{ThI_7(qm%^(g%G>ybW*+!}HNrs(ARJMX=-CIqM$rNxG=0-y1B#Gf`@O`1 z^~Z<2k#Q5@R5x!iMFT0C0#P*+g`g|Rt6$p>wB$AA5QKce2YI2$UPUxFZqamNj#--X zmyLtMfw-#;s9yx1-g0FJw1qH`f0T*1Xztq`6Uf9DTq?dG**!}3E4^!gAaO8wV@v;v zzv5?lRtUUaxlT10zJY%XyJtqmML3Sdgs+$cBH6}49qH+<{>Ok|9qI)c<-1|I%=!D6 zI3P{w$CNTncgQc~vrtS*M$L>Y1h3kk>8B;8vmYcCkPm7kydrtMBDWSeYX5G?$~Lsx zwGER1AzB_3|2ulV&S>VIPWQz~Oj<0tQNzH}7+sA>kU+S~U(o&B^)c6h?>3F*$?XFp zC0*u6Eid9riG57&iqFfE1Z|Ec7T`mY&;Kw)GNc_8~y7 ze*t&L#zW%vLt(`8uoszfMh}?KB3QNmA%a~6BG}Jb&hHVQ=UQA`w}*|6l1*GlUA0Ye z)@r_RJ}(k~UKE*{KezN5sonuZu!bFAuq{?TU;l2{1o03JwSV~f=o$pmQ%NT}pe}#G z1RIl0YnAZ{K-T+0o|E&s8I}js*#?9BiBXDxL*rQqYmM((JIZf(mju|1F{*%evvtIz zjrls;uh(qBYi>~l-zZCEOEflL2Y zuC-xl&joTi%L+Tox?dTf#C2{K_OATKOK;Ho_9Gbu5k$xs5simSY<)}vZ`4)&t?M7b zA62t3M`TUh!c7Q=-u})QtdEb>@5E%0h$6Cf#vXi2`)JXq$d96>j(B;cl{dJW<_@$) zX!NL1ScINEq&n@u=fm$c<+U2tT7eY1ZsIb>XnzJLGL^gL#e?k3Usmrv!;gtCt~%UE3+-sUP1SmN(6 zv)Y?fY<^G7=4@IU4W9RjcCTm9*rc^lvUO6jId?ova~A9q6+<$0gONICHyBA;02%cW zL%Ij)U9r@fha%3T8@$(CB!cfNMP0uh_R2O2$?t?MDg@FTxB<#el$%j1{{wp#7cBs$ zzWT<5pJwBd#->-O??fX|AxitgB~5%!x;Q!a#&xDrqA&-NSG{NMVKpQ~sEtqZf&B98 zpJ?S&oSgh(82}SeRQ~=>@GQc?Vw|Ki(b?q3dV^kA03tR{T}Lr)O_B|M-*%!tOik$IZw`ah-hgKBMz) zV(c#H+=erYl>HB2+!zgv$8-J*7$0B(z&PSJOGdNP;@2_Bpa5+b7wU&sTla!ULgK`O zgoy{L&zT-RXA=7o!~7*?0eJsz-OrfWs^gif13=yqBVB$WNVYo`B~izj`+i=TaDqcj zW@6zVsj+dBghKUTbvH|UvN0;!F)D>B#rVR3u920l5%w}+@iO5^hZtmc4<;!BZN)mo zFdAkv8K#dp9|SOMVD>M+_cLp`XVRudxBOze<9PRGSv5tU>FVm}vlj8l?8t>cY-*kL zHFSzijOR=(Y^M-;rNtcG#EpHHFjmqZ3$-BCuBYj_f1PGnDUbg_RhBPHGnVS>^lQhJ+q50fe)`8t=(u6vUKqE6gF`N zQFrj#==Ak~h!VPc3fTbBj?dD!R{yZ`1L^cW3Q1h2w*Kw5ju%kT=z5nxKfP9xnbwAuE_3P>Wof|h9J$LSx1Fmo*+qt zt9x5bkTp;l_3Y~l>Z3(+eC8h&IvTfZIvRmasA!lN)0Pl~S#+YCw?>>ci7^^FgdO-{ z*9|nIn{5Fu&o4B@Xu<#OhiCuWPs=9~`;N|tjT|W_ zmC)1VPRSGBRf?S?&u?D*2fl5h@z8CC1LC3YPSR>nBOTJWP3{ZCFQkR;qMT^=$Fs1r zZ|%ARIV|1tbCWwtnT8C0E`}NOBG-6m^sm{EdO=bg*|8#0EoLHvNst1$@*-OT>#d z&oxlojDk!2Mr`mNI`yC!;$Bo~aNxY^sMF-JIHz;9Vi#<8S9}Je0Z0Nl<1;gf(j;IH zgW`uX$b&XV5N7^3l+eQO-{@w0Q<`@1cUFvxN~dr>3D*gaW~c@G+tcneUiPh#vqJLg zTO{oG--w;?fczgdvBL}gd5S~y>*tPnfMT>(5B z*CS7W>RS93C057R9_oRN0*#fu5mJ$iQmISDDuo+}FfA~sN2pbu3OS$Q?#x`A&Lb{6 zXCy5T%Wlv|g=ZVK$?3r2!WHp_bhV2&*P9-{C?nik=JB60ViOv;Db~JHao}@3_ zT8_NiTEKe%YeuNwa=YE%7&fT*;BH|2jsO?sB=Hv0!dv2l*dTxeD)!)5JdB!k-26CX zZqaAGaErJI&^62Pp;wK6jT?58dIK+miV+S%^TMAcpdv5>S#Ae@TjFeZknFaAxG*gG zhGH#{)v$1-MtrfsG^l`m7uh#)VG1M7qbJELwnKcGS=yO#rjM&$LR|LhcYyq8-A8r4 zA#=0GGMDR!i_N=yE+GhsxStu%F82-fzjxY;S2geWC_TNLxjg2(oW1lvyvU402=|Ol z*>Lx}Z4Ow9MgVo%aOF(mwrg25epKmh!faYWJDtw0W1$g)Y3=vip*p8bm}n8KDUd)S zb{qJt1ZYAwqU9Qu;4yRt;HG}Wzj+$~J{Qo#G{C5#?bNa*0}YKo3xrOcJzx`3Gp_ZQ z7YUWFy*=(X*k)`D`qP#^ZZe75G{#ak!=^5IC7M3ym_!|p*CS|komA8)Q@%Ny7~btC z$?U(Zel_DG^{w*On;8kKlV_Ca^Ies2L~1VZCV6;FlTJ`x(&nc}`z85IwijG7pQJ0x@}w9JMJ~*ckLw@*30V zPiX=(feoz~i#wop^-&Hnm-R)Te<$y+}3bsXxakV8t-iLn}I~H?{wwHA-aU zPs0UPbf@mPjff)FpO;!GZX!X$+=b#D9SoYc+D-2_-tyN2GsubQ!{%Gy8txBI`_&Gj z#h-9JApYED;(;_YHI-Z5P`usCRXrJkx)axsVliSw_cFPJjVXgJS_)~vD z8%ec~1ZdDkw3vpI$hXa_kn?Qh#B=PJr*g-j9%tvmy~2CzuB4~R)-R?G$&6ZmhNG2b z)8(_K80nLz=?IzOg?AYNtZn=#K;aK3;BA^hL(X5z3o@pYb;9(%|MRfg)2 z%0}{!4%(T>M+{k&z$;C5RE&YZbJ&sT<4c9AB`#x`{YOo@=Idz4MzZ*M!TMUqO&8-o zI;i28Xy0e_*A@dnV<_Spdv_zD9bCf{MRqGR_39X_s63msX<*hN*VLsuUb3 zQiKQNVs->~#H)G%K;RafFdIEJEFj&%Qj2bkEEU*7DTIIwIeoLw35bnuj@kp7+Afu% zH}Y#WVDMJ%ijoK(J@Q{Z^qOrT(YxK)hNu1Fy?FhT5Q>ymJe3#k#p-_q|HHh^SFom2 z{aZZL$ey7N8$8^R@uV>7@6N`rz~n=!F!y`z0ic{A%^Vph2@Wd7iUN-A!1OsJsS0WxDS;?_ z@SS)G`dV@te7tl37#E6wnGoCrzqcsCvaNe1&5GOmg@S{!-u{!pQyLV?ioXek?f z-S0O)-ErKHGIJAzo@}W4kOcOS{LF*M zCy&!6*OE_u*8piEUV*joC^36SFX|cBh62+o%r29lzT0-GGCj%$B~j3gEUdbLz$y45 zvSk36x+TJ5;G&mKZ9#4UpIhbhZyL{}}f+SDLxk zpU1lvtF!hldBpeA)*9kn-BPgxRgohBg?la_(8#(iW-R(FJjf*Z$7MVVAn~sTnLQ11 z(V0DsD>OVSG$;z)#0^%-{1jVQ}gJAtWlZ(Y2yL0KwKetvuK~&{{eehzG?S$X8NK5?^hEa%Gu{s zv;KVb#p$58PyNJ{`NYJse8YK5RW*WPZj++wG^Dz!SkJNg#iqJhF>EYDnjV%dScSNm zZG8V=s}nPz=4~mhZW*~nYIcoON8_)j!nGY4{RlNh!k8io$iV*UeN^7xEuu(`tyY?) zRyuvhC--hCv2sBN* z4&J`_Qrj+~IbXR7op^kHFZdHh)!T8sUi&2;P1h#aME&s*4}2};8KFP4zT|NOe+5D# zcN&?$ZK|7V0y~%%+z;_>CgsxPb8sa$6bN;-ed}FaWo`VH%IDnD*x&ztRfv@xP5XX+ z&yXk+UlGV|I_Bm5ZOBr%pWrL3x9gSSPczfIo-!G*Y3vqeC$J2ZCO_e_ZhB5`dPKBPT3V<= zn1WK6!T@|=0Iu$)H$;k7L#DnwFN5tY^|L8bxiYgxWtx{A_I$e}1}F^sV`xZs7QAc) zpfH)^po<-V|J%o}PsSQWn5b~&B1lvSLmvrh0Q2gVmNn{MC=Bqt5mqKfqcH1j>;^mw zZUq}(G^kX9WSQyz46$2`7PsFAc>uPJaftv5Lli=p)rznF=C;`PSHYACt@scBVIoKb zTlaqBph1|2WL(4^O0rK)V_UKB%i=IOc66Z^cyp*$be3iqweNuebnbP6;z+l@0MNtB z`ljqf5j!|{R6cBiHBa}$#8xSoL~-w80PS8?xN9p2b=kZXpuvd_r#wv%-kYy&>3Ult zHFyfeP;_elsx$||%wMsz&r<6ixt~}V!D>hfQi3KqsJN90tCtB60590s3`tq8HkB$? zkVKSyjEd@Ehm+K78o%N?j_dzJ*;{}`^>zQ^(lvl|Bi-F0Akrn>Au)u2l!P+E2uODh zpmcY4OLs_jsWj5k_ki#F{k`x1fA90$`#jqddwhO6L^;92xvL93G9GexRSQ0N z@-BLaJJr)$fJ`CMRlJ#V2Lg>%7Nc=40BZvMf1pi191>1Awc`8H1xv=@J!~uS{J{Uc z<>{uiL@f7PK*6>)yf-rieFNGY?mT)(y2@eH)g znvtdNDS^=yvnY=2GEUFO^*w1;eVz|~a4K0^o~X8hkVClM|I%YeMGgn=VJ*!pb!v|*>)aajy{qt^I`MfXek*nxC;HH}49 z^&vq$;DI6bgKuAWjR)`l8SgK70<)|^=0b25)q>@FA@jjE$ibGqkOhrjSLRA+>RpLZ zT--{AHiez4WLqxV1bwzISH~4GIcu#bWwgk0Sl7;x(EPtAEXK?|%?x=pNm*dmp8=t< zl{F{h!VdANA+ldRyJ#N$7>kh-?3E?1z>aW49-M?sU*VrWd-PVl#8O4`s)ZO0fb#dZ zDD|vehyq$C&L{hb4ky0x5bjyaEJABSY^z)&9L{iIKv2*-Pt1w-usWI$o`xR*0a4>| zyXZ)LC|m+Ow7&=>HLN~!Rr}6y6GLl*@|1}Ik_N~bL+fKP3TPZ2;=igY3~z3~wnJd} zT^rY5+rz2{U3#%MLxKm~_knmJU1cpU#&#gJ=4)=g$CahcgPdH?__K~clHQ)(FQEYj zywK<=6$XIC69jtR@N*UnCrX)t42G_}I2ZSwjJjdlcPM_6rI}E*E>$4?rhg?0Ku72^ z;YmK6oWr3>v0CTl_q|fjHD>(A}67C zQywsmdgyHhT>04}(!^a>%Q{d)cqTj*P|bx4UAP^*e7{TE`ikwdb@`E@oxOM4Ln=$h zgvV5tv`69Nfs4dmec|IMu(Du4rBq9x?ZG?ifK%9nQ>jU<^>!EtjL&!Y(oq{r&+6Cl zBPLJ8-p0A{!My7uhiZ^-SiVW<)d@SL6r+*5aicZN^V&Rs~o-RyuOIY9*T( z1L9@Axqsz^yxzH3ND(=0DT{71uA)UsmR=ii+VPyy3ekhUr8iZ3?M; zUho#-6C(xaJz}F&!&{i?An>;lek6jbb|s2<%z1PjJ}%TYk^djQ^PNH@!&gAg$G<5b ze{_}g0ABRBlSa&cQm8F9nu>~K*?~uGYZK{fAyY^YDD=_`;T%|YYRh3#l0JkAwx#Ua zNgtx~{Nm?0E4`Ef6k+GDwmgU-mA%CiO5~k@zXAGjqhi6gtryyo>U!>A0BFTZPh9x- zH$nk%x7)|)r}pgddA#QzugYqZ1dl-^T=`Dbv;&u(_Mjs}(uzX2ZQ zk~z#32ejR$D~~JLv@G8q-nyexzp`JBCoA_G5;yPOY^UArU(eKcds`kvh@-est4dZO z$3rWA(e?yazYly{k;eOJ`1>JeQSi`;$T4b7YD0*fTBIlakIt=5qtpi}mtbdJ)@U{~ z&E$`4Dz2@{#*U1_+g81k4_BHxZr(k?W{1zl#OClsA9Y-1rX{ozttEsp)vxh7S6&v4 zMK;8LjNIY(WfY?|8@mp?N1wQU&~ZWkCFHVV_X{T~F}l376R4&uUDgTbVfXn4IzN(Pov>6t-{9TdFF~I5fl*3op&UVK z`bY)~QLeI60Yr?BxLXHZYUZ0MEEGE&n%j_;JK6L6Vjp8%6Ee&I`BDe1$V9MZ*Q--Z zJxoJAr>M>bM0yaJa%0j{&S(eqiRa5tQ;R>Ou$FXKUy8*1PW4S{fwai~O6K&PX@v2w zv}GNmhwiI;$J~aY*8&9$x{D1PDx&D7Av`a*ss|Q4Py^_H#&zrIgY4R3+!-L?9t5BO zme*&LvSOgV)Gty++Df)Gk-{2N>@8IrI3PyZK$D9N$5WQ8Sor*y6r)r3TadHKb<>3*ZO*iG%8Vec~lh>Q1F&*|AB*n9yk!{8ABH zC@Ux>ZvOC1cJx`C*T+Ye(;#nzD1w2_&PcIgjZ_UhZ+WG`BuWN;W?o*ZUR$rrZI4YT zVi_RsB0gUs*l(>vUL`p0y%)N_PP5D9A8)pESt>}yiHCZhLBAO8SKHq#+?)X+m~G;_ z;<3GyVV#Eh7c2J%3nw*SPe2<&Rh7?=XFoy8QP1@}1_WMkgwE=|jo8J)po4Wkml6yR zvtZS$BhA|~A)8v!q#tUW(i6v}Zo&hVET&cvfRvt}hn1M>U0aOKq9d0)H6?se-oy`x zDQK3=m7!P_m=(PTN-W{}bvycp3g^XsrlvA)yFoiLI<&8QJXKz9_|KhvZO{&UOHZt~ zRx@DlQEnh+rY9L}i%-NIGAMRD!^GgCU;GP2w!(DDR=I7BiLlr({D+EA2$B@5&AX0r zY2t2Qvwbss4CIne8;Zay7hH5P5#FA5MqHg1Lj~?sL>zzqVNP+`OWv<{XS)_oy9d5v zXW#MCz8rBHUz`*;W(s)E@rUM(%%4|yS>9(_L=Fck!Ep8v=AiZ)+O{rpc~$6~O$i$f z79_7*7!UK5B?4j3u!dGNOe!6wXnPcl>dAuj>ufj9mr`1lrkiHNdw*#m*p)xoCKQ{_ z;eBU>mI*ffF)y~wMiMtI*cD{73|4!md+B4CpjvQOusBPglLOJE5l<0N9-0OHko*r z%@ce!!xF2fo)q?aG_Jq$*}!Jf?Uw<%TEX~Ws7z89Lwx@wr(#n26pg{d37R4I!jYIj zfwH-UB~Ji8Yiu{A6Q@BEKomR=+5L@jtxkJtw0+T&J(B)DMW$HEa=sGfa@_#*U=`L_G2e?C^>?4&)YwtF z01}V3rfH|!AG|d*Rf8=4*~N)&MQ28fmedVw$F>j~JF7@TV`oEN+M+ClFLTq--GSNZ zAe0%j%u z1c`QBi~*>)P)8$F@{KlYL3jGk$1OpjmWJO8JDmq`#j_H+1rc*t4|o@+xCU%EV~QbP z%a2=|3pSmqj+qyOzI)xGKwsner_}PhqHSc{wf7et4aj6a_id-*j%Uo>4cUsWb*^{V z(5N$;{dVCN5;y<7yThqxuJ%RQvtu;t2x4e=_3-QaV^!!y+i0_IWDcfV<6?EBMK~uz zMvSqJMa%D3+exmWA}-k}xr*)vDcGBRF|~TpfcT7oAjT{h4Psmc);nn_)rXZHAP}O9 zC)Urp|(=7x%a1+8+nJY5vQA` z(7fK&?)Ri^qnfnMUdqwuj1tSwC9k)$^rsQO8PFe`C>mWTy1WhuYX!Y+D;~-Tet?6)+CI*xsYr47vnmQzY#TL7&Uzl_H_~I~ zqQ78+;3wWTZ~Z~!|=cmhDZ7zC{O6I7v`(kAp38xF@7pQALyWDwL6@)i>r{FpOoG6@Bg zGqqXxE3~}TFQKrlLj)>>5!MA5#&2M1AW!0T=a!czK1>X2T0xP%ELK$&lS&g3J7aK4 zPXI3|rlhjybE*Sdl1Yq+0g*DUGB=oBb1BReHYK8N0TrUtuS>Rmw}%}_x2vn1McsNd80kbajpL-tSwYPv~~)j3HNsl*KwOx^6;5PFeM#QR$s2b^WP#B!?oj8=IW6<`@Z&=M!0 z@)&Xz-?C2!KVOX)*X7>br?hiqtu_zvs#lWo-FRX*Tx|G*<=|O=ZM(a)FTGhL7sO(6 zSTww6sZ(A((C5l&$Q!y)L4E4^QWSUlNYVIL@5VPsFQdr4hEWg;hcqpRGyzcz4pB@9 zMt=~7LbpG-%RhVl<+u8Btk5QZ7@1tB&mPSL`*gJ9Q@q5pKK0m2b9eoc4b+O~R!g=N za`p6CrjZmItLdV^<4vyjdbQWM7!zXCA7rB-Qw^4`&ZaTop)t_FVJE?12f$&EK-otuZ6-azcnokw z$OD${zkz@`noN2C@^}2v(7&ymPvw8{fZ9Ivks2Vi9lW*@osIF8)LLLyKs>Il*7cTm z*QeO1Uqi$T^mQu|b}(I613VYjs=(|eHxOyJW=1vMbQXO!^Obmpwbd-RsJDl(5r(vD zU_oyFir;#=WSZDl#}xRW`dNq*f*J&Pi^a~ds+Q0pC<+X-fRY9TK~}N|fVPA^R&7IQ zQeK{CYsnk_1}JHm-zI?GCd3I01_%r)ezBDLVwp2snK4{BVU<)pw>UvBPw#VvTg+Of zkCK>=2RbDj>%09YA>nz)NvNQ~M3MTBBZhPWS^QiodF()UzpHT`d=1*Z8LMHw1( zzJ_wtSp*M7+HjM6tf0y(66)5r9m)nk5YYg%e*j;X60x ztN*6M-ln6t+Ek$0RL6je%z%rPJrVN}81RUd!m!FgssgT^@$7qnzR!^-YMSY-@S zfzK%s6*$G5{J4{8Z<7Nucyk7uHYRbMX>QC|JDzqjxu`a6B8V$pZ24G6zO89K%d1Dq zyY~Z|9M0J;=R#oH^@zSCE?#FKKxaS^%1R)`N{|yDk`W&=q1Zj9DDx)gC9e=|C9J0V zREHUV&N|pkZUZN6P~>Ssza_UIFWZU)k9*JV%cI7REGBIpt=*hQIq!|NnmJfZh;Yh6 z*MvhuX1arBWV(0FyLL0z-MH7?)Q=j8j~ese8;3mtWgoG$CPV~fp*YXW06YMu`EMj( zkH&-uzyKzJ@kdMlHgo%UzaF|^t7iyAsJw`dj`z!#7_j>@AVM_KsO%e=4Wq3LAh+oz zkDqJBvTBlF-IwcbP9oWipXw_u8YnG_Hr9_HxAot%ztb_dyT|% zZt8Px+?BiVb7x_{wcAu*vd&0AB8oC4pMK}dTA(K-OX)0 ziXgfXfB+_eahgX%|F%*lyVR`t*LHJ9y#`jvneP#@tC?$;2$kn zDGOPttbmcWfKj-8eW86l>70}HoD+ZLT4CjyEx1z~XqKG>m1}3snIbgEJ3)#T=btEV z!2&nSK0zF9*^z}4a#Le++S^%HTUoiM>b$4wnpgQGSNY6dlVSGtNDUEXB)fl-4SHv6 z&QQ36W-xUvxSBTHvTD3vK&eawwIqhr>N3pDYTXv5S+50$R&+J6yH*cax1XBl?|~lG zIV4x8ihw$2ySVA6QU{4A=7D#N)q^<4(Wr?;q|ztit3~fv_899)#Fq@mh3PuGy(k?9 z24 zkB0tj<#l-DhrGYGH9l3zO%A}8WTj2dp_B^-<%Sngn7CuAYB9f-^^%L$3@EJ9w@qP$ z{e3$&6F+UVNDI}i(#wGw+#ZH2Gk2Ri^XI8pteo5BxvqM=u6nT|d-E%D2JE$NdLq?8 z(&63mSSE_v+0q$>NpvhG=T?<;PqYB%lU;(W?RNQu2yB_kBA!EOo(n}frNA&Slo2P| zK5AccWMv?at(I0_#FY-nTU;5NFJC(w0sdA9$*PjZ>902#ayJq#%b}Sw7nMzE$p!W{ zIka=;dYKX6P_unYI0aiu8yC+4`@BXG}#*MDi;I(@2A@F+|6%8_UHrAHo z*^4HUTWbDpv)B>_2J?$?Am|Ox!qP8+iqfz0S$T|>&R&7@%cKLUylH^!;Nq`kkgcVW z^Jx5dY5X*!J4m8C3i?*LctF@``RL*j!Oh8#u78Enl+y9sN&xcocuFZ#UI+S^vtss zIrV(XHZZkBvS=MlBx>jNexk|u1v*7 zoMvCgrMez&11UGfwt*u>Rj5b?V+zh(%0%qQSnR891?w$^ywhyn(`-%82@=lw(wo`17wn`r>I3GGEC$2-}9XpyV92!H11Oa!sWf)|qx>AbM znefSR_0#jo**j&d_2ogyc_rZ>P2nIYuglXsm#2=KR3<;Dmir`|2PB)L{ocp>y&rfG z`ZRf|?ITI?``8BS>oRz~%Z|0LK|~}Nsj&{z67usgIKEn%Hn*{4`hczIZL~zD?-N*T zy2a=SCib=_K8a|FE_p8;+p9D5;>eRW)EBo&@tlRsFS#sBB&-2Xs>K6pY!A!j zfp4q%RY-jf;)l-5j+j2v%Q$AZ@&aKVkouOyVhVHTLBJNYSC z>CreW^aFR~3q4+^PP+;pG|4PfHcQ6saO*8j#?tqiV5`+-9FPEkdpQpM#RyP;C9~2L zVj&;$+qWa&TRtJ;L*+VOv*2`d#Ljs?8eEW}k3d#j%ey)gJ_EjxeTn^LxFR|iL7H+I z-l?y^lEVVd&}VL$Ce|>H#K7#3s}r{(FUf4*d6H|Pq`Y_e?p6(Iam7paP`56Xv%0=6 zx)tG#Ngjpd3&LVYG%;14Z(d?aXI^;M%qd{{*=6HsMSS~%QYNG@Q|wu$FYab^vO48* zOuTG3UB0F*EkEP%4*Ui{imsf^)2DoxKP31u&z6BK+<(5OrH)E<8#ra1#b<2f?)#o4 z`9+s_ZWu?vnk=AWHHd!A!@EXZ;(!K>p@$tR{m85$hcH%n6qX9F`S|TW~J(@klYPQg(dk?OqLh0rR zsBDMD{CiaU&p>tOenh0R(>wIld8Az(TE=g&=!i|lJAE1bzzdMhVZ{UM$nZ~uREenP zv+u~^e1idhp zZ;y9O8H)ID)`CJ8pwsguGkqEVgXgX^=$&<9BpiIn>NYzuw~e}khdBhBw92FT<~URd z9B=~q-TJgIwW$4C4*nfw$9o6~peWcOu=02_*(RUf!>5thvGhIP;#|$*YWsP&dE1YNMyuE^Ch)S@V5*M_4wy~S2b8xvVlKWfc zpdq0&pAGFq#!BqsYaXUw5iZC@RSt5F=eLMQ6oZPKM8(1X6l903S?%jHC7Fl&)DIV1 zXyFO!Bsq}-DsqXFR*KJ|3{?_Q+&G+Spoj|;T?rp_ytdJw*Ow$NU66M5)Ut6;P(tSN z=WFM=a@QM%dCSiA%WdV^kU4jq@~%|Wue;x?2LrGvbE}>QyUc~YwN}R10-SmKl|-Gm zHG=`F;Dcma80Ite#fxHNfsfM84__Uvi&vA3q6MHs zt8~;%XlP&MCv7~FiN88L?(`{C=E=hqv#R2P&c>o+gt+v0*x0=tJWqxrnNklg--&iX z2-ZbvbE1pLIyO)r^+Jc?(%@$kmF%s%KUo?Yu7m|ImQyiSz9~7B7NQi8I+U^q>S7A& z#(yaq7}j3r%c?%EzaqN{s>Dtj;n@z=Dk zbku5>LiA#P(95b}0Am&J%q2~~^ceonV#}g+LTK~qoxS%|7_KT`;gf#YCw;AUHraMI7E{9iKz5@(fXxw=vpj5Atn1HPaF$iVPDCzb z-w#S|$iDYIvn#2+Y}a`|_@=Fnz{DGny}={uKsz#t;j&*mNuusXl_l^U2eHVSE?gfh zZS0y+O8 zaUQ^Ps0y-&YVyhSFWqym)woa<>S-HH-?I;mBra*bPO6loih{F*nIa$(Ky?~S1zb9c zT{`SHef59%&h;Tx4IouTV_2E6_6x|DTib}ybMO67{poYKpJQV3ArE08tt>Vaqvbm_ zpfQ@^e0{cz(mkb0fnWFa2qM?*HOMKvkxh947TU-LV7Q;&l12J}CXB#&T_wf$*C^s{URlXZ3-2p zP3PII1R{q&4>Ps#cZeoQkRPlG?x@zGk&^)#elnuuc1rDcN;R$V>~QK*TX$XUciK_A zu9r>5dOC(1O5DL9S)QaT2(Rng&TnUnD7ls4raB}0LZ#fvtCq^&%6wJ|e>2$3(PBSo zt?#l+(wcR(*<)<(q($wZ9q#+56F%$#x**AjD6>)Y4l236xC~s{q81KCz$5X_+)58J zP!?=f56eeHjD?okhtnBu`~us3RIN>bf$g-uF_BjCv&@lV&XLC3hbz{~QX6IgEv95V zszkki+NN(hA8f}504w?b6BzJ_m8vCev1mq#_)YnK8M_6A0r{B5+eNq+!Z=dL>D%($ z$y-^qmxZx7%S9{N=xK#RO$79T&BS#g!x5h&0#U?sw>fP(u?jezpdT+}BUbj0hLz_QS#1#(OSE;OUfKq6>t%(!@Z z8Rt3gi(BdrN$-<;8_kz@-<=&f-mlf&CoBHBZIker>z?6Of=q5t5H@t}x`Ypv8lJ}D zL-V#WYK8T2tixXG#17d}0Gf%J=1H4L+DIa<(it-mR&yJIrIMfP>c22jMQ9IHI2xKM zL!jEB_yafT?#rsJbgn-jUqN=S2P>vM+1yEk?NoS{EJkjP`)Up7wmek=W?r)DMmE^5 zI!ulG`X59SPqxNwYVD%{v}rz22aW!x6DxiP2P>?nDP^3j>b$FQikpx#yV(;*;ZRC& z`Z9Yd)Ax)p++tkjn>*ulrv^EO`0(CEQ3@JeY z-zKMlxYC)#~2C^zw?I$4jU*~5GAxrx~^z2JF?x%J+4 zn07@G@Y;B=sdH_0%5L}&S6@3I(b)JLC6FW#a>?R$Ci`L4m*vQTx(qvNr;>y=rQTt)YK-M(k{8#jm0yB`0IJc)a&Jy%!pAG8KP&Ndov_-^h9o*QMX6YS{f z_T6%J`atIhZe!n~=6*mt!Tv}}sNqqtUxJph62QseI%1Hzk$VPZbMjP$=17-VN35lS zUQG8YHZn9{=S8`Ni&`!1$lo6Jh3Gxwq&{!!#7#Q8E1cQv|6l>RGA`%tcFD6Xd+|r^;2|Fgq6;yM*c5^Z?s~ogT5aQ?mn-5ri|e(;p?G_fU|Ad-PDBmapO>V$U z+Hd-`Y&!k=&l^?Zc*d|nKl5OK8YUNuS&d?anq8gu@g@1PAh@^)uB?tA78>)*U)9d zcrm^TN->C|v;I({cFV^K;Dg9i!l&oyY?3;RfgYc+Nn-a*ma@~o;X`%5)+5)I)^Rn% zpc{M*R>!TXNY6Y|$J}_u^}9^y09XYQdjP}$a74z*$>!k5*V%L4H^Pu}B6}irT}mAaFvW zsZOHF&6At?*l7N}H3uupSSok-C58+fYyRV5f%tSG=yqzFJ;!j`!AbK zm-KwEs6%1^ei8oo{E`8(9zf*KYLz<2gAwh#BAscl>ov(+hB#O^8j5xriY|EFVFB5O zL(w5_RsbhrgmjonqA#h$1FTb36U~MzJd(^si3z`xgxEJ z&eg`ncBOgV)<>sB_Y2AxoGUqZZ|^)~zO?R<7&n=iH6AC}-CVDDU4P?0r(Y>8%{D8R zbFUBmY8vU5XOFO18RT`5;=E+$vsp>ZN^IPn4J;yeibz%A(MW+uP92;Rfu@+1jXyIj zu%3=p1*R#=;3P>_d_5Fa=duqi7KQ1OE3=_BalQzED!}@V<@7Zhyu>ndav{za)L%P$J<8n?bB+=k zV-|h^Y}b~cavKL5UKd4EV-L41kl|f0u+n#C5}io}PEnfQiE>G?+5>lt25{gM-yWFJ zVe`8;s*v?6q~#1NAK?DpzZ0|Xab6kIxOgqIp*TOla!8qUG39(?V#lEBo+83$(Bl8G z=AK64+-77{y7Nn*ri2&QFFBVraqqIBNF)Su{x;o#-yC(re=y|;cp6H1#GiniSZcsa zg-~VURV~~8bXh0Fj34GbmtI@gE%#9pr-u}%fBa#tPZ4#YL0aEJW)ZINmB6(YL4mYa zbiiBXw9?}&x9zp({B0E*B}hAcJ6VXJ$1TO$JZ*dZwkF83Mu|`Mon}_Ul4=L(urx>L zR1a4&;DD(5`M7I1)?=t-FYk^vRp1Ii>NMzNA_N^$_QaXa#*Eu2EU`YDEnij6y^0RH zYoWz~E`6uPfo)uP2WC?L5+ldfy-ElUFJ|z`8U=Xh+fRJYxTv^y>CeHNSBnr7Ix96! zp`PD+@gGW>y4KxV0B1+f_y@`e4}f|-(^~0NcLr$t)I?7q*H=I!i6#kl?~DQ<{)Bk- zr%MZIsq*CCdhtEOvq2JCSLLx$C&%^`bGJ|}C#V>-{m3*z&jV5ZpjGfwzR}-q{Vv+I zVp5{>M*=uNXO?y7U?LwH{UZELHkV&hp8CVry?URwP;O0oBk;4XSSgHj-+23MrtN0q zMC){Z@=s&SKItyEdx+!=6N$n8Cm0(pVl@!IAfa$!o5BVb@z6Yjt@R!K7xXI+hpcsXK5!@mo!o?7WXSI!FQ{2k3xrD#U+|Y@>9=5vs-h zgx0@jBnaeiP9`0ouN3xd$TLoT=IW^L>2fIOE~oFQ78q3)jLO0zP0J%qK$3|=k{JRT z4+5#n1O!E!T`kmi&1}aSmvykWB)W!vuna>V^4!Z;(C2O{&YjFX27WK8=Qk3IA6MWCa`JNf_W<2PPdRMhgS9QL*0iU^n7B@TTBQX3CE2|vJk}E@-D+9no z{sm-uMEzsy@8CbSfLN4AWAnPe-6#XD>E^3;cCP85DCt2yo=otSR9+}i$TmE!XcCOK zo?yRn$e=t|iQlVqc{jADAk{yHo+{E&-X$i?MgsPqUG_D@PzzvAdnH(_V$EuWy@kZa zJwWMhA?i^o#@nY;K%bZ~m1+|YQpz^^LOe|g_?c^=UCSIMJoefEt1tE$_(@&vndj|{ zKJiic;ple0EMCRYoIR4MVLh&9tzJ+C&acXz)aRMh*Vthr*q$e$smQvkb@kXfgG#h*RS>f1m{0sc_vLY0Jtp|00*#tfsd&FvGp(r z{U2kB|1#D_>T&BGPpS-@YxvRDGLC(qHDO4mW<=)ZGt0INn90mn*_#dzUYB^PhTE@( zYsya`&!dGFS9>t4CZu*!5Ehe>fSaE)rEBnkUd`lWCm=*AechE4BY@p3e-P18IHp?C zS4=7dMtpvZG6I1%#ZN$b59|NLXecT&(@Qba=fqWJ#8ql2&Z#NtGw0Yd=tLb>5Pkn z+N5BXC23cW$X1WA7+BL9SmTsb0WD;DE@)a`X4hVN7YZN+9>HYeB1JYS;WjA%9svJE zKEnPn_IL0fV+8*)_By=DyA8MmIOq1Lvh&6RN-ly0wtJ865dA#JE)h@y9^{1I#f&}s z7p#BmSFP<=9iMmqK9~7$Z4P~Zo!RWg-Rz~l+!|H)EXl;jW9-#r2>1>XGUN#mDB3cb1 zf`U+-g3zGJ?x0B-nH_WKolF`x?nfl?1K2oB-dHP}LpGZOfCJdSz(>@hu?Jg!2mi4Z z@-JIuJ;rUXflGjMJz=%U?|CBwn2c4|R~9pH`Eh$fx_yfH=r zj(Pqe$Pz(E2|7r^f~II>@T#B$AdY)U3Ll7LTc&n&bSI-GQrk-3WPmtU%Y7h@i!xkx zftrhZK+VPfB90yW4|m!XMasPuQKm0k)1e9|IyneM6F%Bo?Y3PTg|4RjuBO@|<)k9z z=oEUG6ngPk#Q|8wiYPi#01%2$l<@$b8uQWKZnxcP2k-#+FVg=J_K&g0!GDag{L9#l z?c&)ra0zg(fHFdReP|bJr`#7n!o=MZ~rR_Y7#jEC4vrIOt z03;TP6_A5wO~^;$B6w+I@*8JqeLst3wcmxX6gOvoE7UNXQZv&oD7VTl&(+f7)zZ^s zDJEelW+s>p<0o(GI+KOlr6-mhCejHP2(j4LbS`co>RnWFE-nz7)*`o~iQw-{<0I%z z#_3HCu^0}rQ0c0$>Z-_H*W+E+1HdGYz%b||SeD$5C4!$ef*-(#{0qtO2>Zv_-@$*3 zb^kRs`}a4*LZ!Qc@Jv}obB;%USUbzKCa9vex}YX!Hq%#EFxJML%_=B}US+O-GjB3s^r`2%fe2C6ZO@G;aH-PN z@}!@5FpKUYSMvU)kY6i3P{=J8VS}Q0E2g&BjaALt5^W5Cvq+|vw+hlId?~}?ZyueX%!J8gs6-{3L z2Y_vEZoxl*O=ZkFI$mU3L<>;cV>(3@j@rrW^6d&Cat#%ilEk-fJxr7e`nzjiJ-=24 z_G5}g{oUo#0K9WviC?%6;Xn`QNJuazqnm|js2s%TK<)U0`6-j5fHj%H8~$MShSJh0 zy7Ph1hv|+MJJl53+>oHb*ei~q3Pq9V*=00%gV?^*lloflCnyP~IfP-|{`J>!s@h+~ zBj^5g_CsSVD`dq=0rV4JxXtw-p1iUkL%0=9?oPsR*-+V|*6{-h6V-tq)N}J+?MCcJ zy_{LO7SL1wo3{kAMw&Mfm@Xdx#HGa*ZBp-7SMd>N!@E$CZ+R_+q|0 zRso4Pq}oKs4mWm2`jE&s;idS6Y0I2M%Mv~I!pOV(=R|AGkp~t@8t~#~-Rd=ki6=i6 zI$3YJjG>2a36_NJPiRrD)~*}zccntj0@y#1!boZv47fW}Gb&zyAai4bYS;WCsmyB) z&?CufqGxIIZGXh*Z}u;HT}Ny01}-+RfjKsi9$-* za}IA&uH~@9zg2?0AY0yxhym~WuSSAzsZc6$?GMZ3>Cpu)bn$s)D^cu|Rj#>kX<29K zfryHFzZ@_?1H0lKd z>{)$q6W9(pKrp;4ZyriA?=_0bw_%`STomy!zBsW&pIvp~w^FS~^z;4^F(khR&Ol!9 z!ygh#hC%@SH$~)*^7E(+^Z<4rUQxi$9e$bi*6kvI+hWaBH<~+Z^%N#EcJ2_4d+Uu| z(qkyHL)~#OG&jEZ$`t5UrZIAB*)h?fX~k(#h`YQ%gfX$l}l8To^EjkM@+-f{~+LDU;RGCEPK zSaxz^_ff73w8-{xnvKK+L#xsBx&+_bo}Gkgz3>FSQX}oB5;98*KsVa9O?pjz=(rQQ zW$5n12pM>6z(F(-emoIAEhkeHQP?!AcFQlRqB%VOV|eqi?>`2csWj_{($Jx>!?z57 z*jw>As$BZ!P+|K1gZ7^Xi@#awtnq|8C51aBY1a?Q)(^25S#SHITYH-cd$AY(+u;IaxTt_^UFmI3+q_`eR)=Hatv~{MktetD%0>Bn zdz{hd2OM+=C@whY`A}RiIu$sM+GRx6DX;qiR$FgTBE?HY?cW+mlw<|Fgm$%eTXlA4 zPR%!{H0^?VjY}!ea~4J~05y;nnD!$W^%-wEaYp_NreuKHw6hGXfuKlI(0Gh4N_L~x zT{wJ#fYi4h<~bHVBoVAJ_+3s$VhgO6$fnuSaLisTR4sKxw{@o)FBAuU(9=@U6GX+} zM8%-XDu{!9xm5eBema2`c_2wg%X?j z=dWVPhec#-tOvhqY;8Zk1W3jiS-o)4;!?uwrz#*wP!A$Ebnk5pR+rP}$JO#G(amO$ zS$!``7oDw7{p}xeQ7rMhsC4BaE9m{eF0SB#Wc*|FKr&i9kc?HqX6+ymY!w$0aeXx8 zIirce-x*!;NDM8YXSQuT1KF@ODJA<#L+uBZmpoUom}OE|IX#mFJrK7MY&b>yI?57Q z#X^@?ekcUcT9=K+f{HV_dvT`7<+R?Bs}DI#TSU+n3P;!gNBq4w;ldI5KZX7`04#fz z4kshYQB>ItbgP$%a~nHN-}s}PFw<~L*TmKgOmIsj6|ziGXVO30aecN^`^L|-M*7(a zGr$Q`u^w5f9*RtFIaEajzr?1(BJ(? z6o)AyE3K$!>tmO~3KWH3Yq17YkX&8>w3Nt0B|!Q1H=l1WTftkoVG7gv%NH`V^0KHg zyQ`iQP8(SDgGr{h%Ncf%5|1?GNwkirp$sJNI8$m~^y0A&D?x5=s;_{I6pFf}BB2Ra)R?R@JHa2ORx ztlM|*RT`}Dn?0})dV5MWKHDkPg9M;Sds;?FUy+$F*)HqPHf^KI>ew;b(C8%x9x^5wvvWx}=iXT& z=X_Z7X9cn5Uy2nTLJ40?wE0kEMH$4*S;qJ9xK^42FU#Y~gsE_!;9et9EeO2b1;m9f zB>GGH;fP9|=T_4THr{x$>olhL-YnY$h9{VCfO&CTSchQ{49;k`d~_rDjQD)cvZ zD``!Y>(|uO+|S&w3S?|2n=C4yqd6ILrRG5XtyF|;K@5RwyFpmu^U)0V>0m&b$ zJ2ZE=xG4$BxBMY&IkARux+LPrR^rE0sJ!R1#UBF`qy0zbY2(6G~7h zo=7|ua6B0sYnlf9dYjvKNv13N32|Y?2PV<>u-a>wLLJi^$`e%8>E@BMaZ$0sUs9fq z^tGOKLi#5PTLyN{7q_CeWn3g-$CYX7{pr8942Bw^@nlN2<}G4-m6I4ZQ^72Jt|ns zw)~SMpXrcdccm}wo_~`cga04p=Zc6ap!`gvaHK~la^IBl%n!Z+;Md zew28hD@^=hh|=(~oPe8HSBr)h*mzhi6;c!uB>DhEC%b&k*j54K^kKy*0w2{pO~-DO zB2~bxhYYmou*C1Z|ILxa$o<`~WV>^|lRaaPLVEfe{=2shBCeilKvBP$w&KwHtE9lq z`MJwp!xJp%eUqbV_thmh6{}--PcZ!Xa_0eWjF=8aIcC)0`oQW$^FjFw7yTix5#w3j zX@Iw^uxP}9^ca*o@F$Gcr&t3{WrtBci~xE{2(-rlQ0`P%7bE%U2$^C@KS)0h#UG@f z5f94G9R(H)g*xJ<^=DiQG{k!9qTGHW(NnX$$h&-K;RIGQCqHy3YU52!{bvTMhC6J} ze9e&P3vs@7qmEqUzot#>v*b=CCvKB&>@MaGGXdz314j|3Q}h6xF~9>E%;kx+0Ob#? zP(nPQl#4a>VAUuKj%L036-ZGf%6q$!hi~LD)Y{bT+J<{m>X&lQwV!8r9}lINejV7v zkmhtynlf^`*Y!QLd1Lwh^;IYD#lgIjF>_GfISJ6+I(#9qM%Ac-nlZ^_CQZvsi z?2=r|xLn?TMEq(>5d)A5s%fdIhfou4S`rgG3FMeF@e|m9M1M<+T;-sxvTY^718Fq) z4VNC$ZhScZFs(0Ij?4ol^o*7Cez=|P-(w{AJH4gp{SrRuCdNn>#SrjrQ8TIYY`rbK zbwYqB|M8PsERV?=d*&~@7}*r*baVP^5fP2hX?qe+w7roRc37x)_M@EdpZ zt_3|UHl%oSLt%i^RIIqt9;*bN7A-MZ``%4~O24afdHjj7L8=g-eyUFdpWjN?BK;wy z(Zl^?)KNZd_+B2F9Viv7Wd_fVHktq)W3`t7c@is19nWUb91wAei+Hqr_1XP8tv;<# zJ~)t9B-37p*TClM*uqy0aF7E(oC{PDciluv#of2=kvfw*Q31BF{T>6EJ`;ri#;pp( z)Rk4qc-)6OM|y=l5Rg7^N9-!cM5M>1EA*EA<0cj}-5tNjXl9pDw zg`pg}!BOcFq`OhNq@`QwmR1n_j^Vnl=f3Xu`PTa0Z>?FwImfxrLvYUAzy1GjFV%bM z4ic0igy58KGm;7Zj|Cq{yy@J+atjl*aMh_4^|7x2u!)wZH%%@d_}k5-ka-3VN7;3e^#lUs(&W(p|`CoZ_^~j+(KYUVosQxZ6Y-Q*us2*BFL@~anieKr5_hGHC zt}Y8R=6`dp0z674NwFH{z0~bOylMRWkR$r?yPy|T&B*8 z_rr>3{!FHCm(NJN>?||qn}C$IXFYH2U2x7)g{3UHD#yOy ze#vcKZ(u9P=38puQbiw0X+G|>a(TEAU@>1s|nMLEy z%3`NU4z(XteO$*Y`Ub{r`;dReRSh4H6nv&F@9U;J;jK3>#Vz@qb?S6RN#|u-s^1qg zH=T2on!3|Trsp&sta#ENzqb(y80QjW3Ss`qRl14WWnPM9U-C}pPRzjfw!Gu9^Gp)x zbyqqQ?7Gpcd=NXNxn;pqCHfr9p{|fwpS1JRd`#RPGPtoL&jYS-#JNSI1ZT~RcnI&D z?lwf6Ovp0zjGuB6)Y@>PaZQ%rGQ>to>1B{gn!JUoTG+-MV}9Og3AMMj{H+hBcrG<> z%#3iyl{V#Zv>%)3$b<-J$B=`XsiO^gK`{58XLg;}{a^3E!5J0wqao}$4umJtD?P$Y zdy4*PtW00=sJK(O5~$WKw0Xa%1ZZE$X(^h&lia3L$Dq`X{K$!xVBD+8n-Tp44yjTj z8+y9U{;T<*rlY!Suki&ug%aN!=}H|vcN<5era${-Ui*oH*Do-AP z=!YOWoRmBcL{t5>9uo#Wef(<>GX>a42+aLmt{wAFY{<3SCgr{z4GEnTQHSS~k{^t- zbKy;$h`h3%UleX11N!f%0n)+BS{1d#O}r_8G$_qMy2_8=x$|sk#%n&}h6A>ps#lHV z;c?~Iy!@grpx?y(Veg+l$lu&-aKBOX?o|?^bl&AcdS89N*y&;?A=&d7N4L!Nf>n7H zNjk$L1b&b#|7O*@MO|`$hHfP6$^Bf1#m#htTLXUu3(%nZxbFGbi9J;ijp;V7o_OPv zqDG{VTJi7O0q>*kCKnP*x>PB+@7hKfewy$p5E|e8F}G&+vYmYY{MYrE#&yI(Ia9C; zx0LSn<8v}wyE^hUC;_+c%evd-&wItQ`$I5r3Y`7L#Er(UORpKsNPCgVGY}x*UM_#_dM6M!(Nf*wft4>@9BQDGhMuZ0= zp2%@4Tm~{<#u5*OhFZ1Xx^Oh>2twGU{T)e3x!<9VmATI9?#2FA(uU z`6!`$r9>^+M6K+;y!5`jVe^EFNi`C||0s87BvYu?1ge;TtEvj&b3E%1@D2*vpP9Z( zmu!781m36XX_#f6ht#tO$R_CCz}TrKWixP_^EnwOX@#=(8=UVi4cc&9AP4=AG6UQuXuqZ?P1A;k>F(@^=0f0 z9_<8*0u)672nVr){}l+PyUwExLcok*{pCde%(Cz_^X1$}e^EqLs7I&!j&4{s@(193JopYkJP#!xsZh; z(87^;gf$9bt;8)T%Pp9lK;5?x-Zgj3D5jjPW|qo|qKkO&>SYiqaedsE@1eH1w?EMo z)3k3Me{`Rn3mXUe-PtD%FS!haiHj4zi6(}Q_XUqXZr?O-+x+m|Mc})O=3X7uUL6Py zzYJ8kjAb?uCNE9|TOdO*!EFB>3Fc!m5C$7Qx-(eGj=UwKKLg#J`i)DPr4 z4^`*Z8k|i|5+jadz7FS9+wFRs9mZDM^-K%5P7AMZ7iUUmjRJqyPFb(B_Nr>Bkp1JZ zZO>#Rq|tleD6Q=x(%)L%% zwvlvP5-`i%@`QI4CB{4xPPlx51?KvfT=g#%Txat{RMCZRXLqx6@3FwXeC{b&^otRr zzZE*N=MK>9Q>G)T9Qmxspzx8s1x2Ly3u$KW6%%odPT2<=?XFppA)zB-+lo8|b=46d zM4#7O{AJ3xMvP}EUBG~WW{fAiVi>&LRtM1~$NU;Z`@Z61V0wOyzqFPg-7s3qtPY^H zME|9=q`uTzTK`LH3G7|RZ%EVh^+xL=HA=jz_B=Y@-XPWUV3=l@tXp8=qA{>t{lKn1 z`NKrpDftF33L!>=Vn6&;*N*~JXww$vX)#yci%Pdx(Zj9+ypC$SZW5(kGm_Yjc{u7p%Bz@z~BvSYqi{l8M8THGKQkd{sE5 zEjXle$kGJJ(loCRQe7Ve!O+V<)MczJmRRCYJrb1Hp9nvBW^gU+XU?`e&9) zQ#7nN|m7fq(nZ@qMLfm>6qDcnO%a7G#2lVozEgCh(d*8pEY|nD(Qj@7} zm88ieAdD1*uIsU`*K~MtP`K_i{FO^s?C*8^ox|IaN0~FzbQjmvikVkfF zgF!PEYk&2%C%nykFMS$K?hea{3?Y$RvA<53-g$AxdXRTFgqj)1@!S0*D`t8p%=GSQ zOfOALZ@%J6gyM=8%Yg#R0avUC^JO40CU!2^%s`&sPL3ahgV?bD4g}K$Uxr++r@Wl# zpIN*GH&&9V!4Oh$B{L=a2bU>ZeyIsrA%ztxLI0@|C%diVotKQU$x(vuK8tD^?9t7Rm(e%5%> z>tCYcA4C3%yV(}4;30XlK(G&XGr*m~zrP^hw+UKW0wRVS9z49TC833%F*|gFju7R7 z2EAkMaJ|KL+X!KEn}+v=dmXkBPs;`8-WKjzS9M?l`o`P^{}qr9YStF7odr@wuqS^*J-RPDEKF><3sFTB`z1S3}k}6&{d%$2^bMThTdbiP9ciN#D-=UeBCqE47$%<|hB7?lWK4y_$= z4k~yjC#}9AE6__I#xg?S;P-k#l17d2%8C8V1%sRK$s(Kn0*$Sa(y zOX(fQ7LL(B3vA3Q^DIjh#a>GtJ zsi_oZs5%-JEzP*q`mN2CL@VL00cw35|cdtP}zr}x^&+D+@HuF!0&`0H`=Z|a?S0(Z7%ye4D2 z@eqg*31LMuy!54@Q09%AXY2wKbs`I>WRU(9ertwe{a%&aTXI~X11K!+j9eU5l_f@2 z7&%8lJT?0)(GqWmuGvTv`Rxjgy5nwd1Pz=^xk?z-hR;i7%K^2P0qMUUSx|hK4+F)A zB|IO2V@d^8_EvKJQN~b)y6qpU6GClP_8wVmy}O!yKs+N#Q9}N9n}@$v9uy)T6JiPx z-&2jzKe&N$PTAU?CldT1RbG1NCDt!BKkoE`*?U)Vg8d5(64$Kz^v$5p)=9*fC;#Rd z+pz#mmr_Y%JyQb5#LP2wd{{SqiMr5si(+_zQIwjw_IJX#*rVh3nkqF!Tml^Mh99~D z!*`$j9=SW>)rtH2n<~;%l8D^KFJf#LDxn}TPV7c+_@Ih9!cA0tCsJmd1iD{kS@1@h zTNrhxu!m*r1nIQph9k-zQ@OaPrJO`(3aUp(Xn|=!NvI$G*n39}lL>6TL}nOUr!FhZ1E=Gu7@jVqI$lHm7 zyj@KX5I)dPhf!5Qsw4z3C4tAja}%r=Ka-k}UO{9(SDn6ce^qfq2&vA6T`Lm@ZbV%n zB>mU;{MIFy;^<9l%l*9j`VEKa!E#mXDbe5+iP%p;|HZmFAZ7Bv63r z#!n|t%ms98C3AfK{hj39w|h7ZypS@`!0#faDd_?KoB`X>ajYkL5Inl_`iQB1vr1_} zzD)VvIBGOMqkKSS@VsU2ZO#wtlolHBp-eI_B#O{MK2mf#DEU;Ac@!=UCAWx>K1 zfcT+hvEY+B+)U83=F46jDV@|pf*bH^0{KUCX}yfD*7igceLk1NG5=fn&NOGv0u;R+ z|HT#c#kJ?T_;OjY{baxWq)M%=MU8EaewBcJl_pwQ7D9`Rm=*t@nxCoRwiaHcdeZ6az*rOFpbGRP+G*fZ7Xl0 zt^0f7aK-DEdfV#i3QtfCf^n`5BhYOP+HU)xrzd_3J{eSD8@5|l8!$7o_aA}o4x1X$ zuyIYTY;A3;y;G3m*=FIC5p$R3FJUtQDGNGw3Z(Exee&}=QL=4 zjs;THh?kk*?d&j|+=nI&%3Sp$0XJ7TvuQyu@7oOp8;2ZHM1U&Rd24v`eYAKPLHS7jNuzl$KLhk%foSLBI-eQv|TO^;^dCETFDh8TEZg>FyI-w+j; ztJ20(-V8{Q{M17*X6}DrKXF{@`)kG3fBri+mXlp&RS4l*FY&tNp2^TjLUOOKVr=$v z7GJ>{-Rk?J51%yY+Xl4^IyWKpp@q&(pg3g&ta7Tc$-`Nh!?&9+2OBdt%z6%4s?Unw zO%PByv^{g)lLuPe-H0DM2VyiSGW`XHHkEx*c9@=kiF)6b(Ib1rKik%1lVRSuq?tte z^i5E@s|^J}D0!8BtsA_HB2A{RySc^LqH9hbnwI6l!#})H>*0q>fw8&%gh-34vKh%1i#dTjp@VdLzq4|Y{IZ`^LpcVRy;y1F9p7e`_)|_x3E0b zc3eSGS!*Yg2T?fzHhYK@P%;w`x@$qYpz3z zbTpaq?-Lc)3t?OPz1}Rx<-AMUN}s`?3iYC~8Gt_Mze*@pkJImUH5oa&ie-UI_!ciI z$3u-x2Fl-DcTx}yE$dOD;)0h=h4tgMlEB%`QI$Er-@{N}(hdf=(DQK#M$t$_<8OK; z{YmrDFT3f--17yVza%Q!*y^>w2I)b-ZbQ@cAym(wU(19@@J)?Y#2)S>tH9RwIctiU zh~x3pz3!$wm=ldWvhM|%V6}SNi~@W`DD?d_N5_nUx)V+N+i4E#mzkJ|{|ZQ&P8%Zw z0`tRgqq{{$c!BREiav4c33QMB7M*-QA6K}{I{7|9wR~}oa(=}$> zHCA9|BV=ZSC=;Y96Xc$Je**;C_Mwpfie$tDPevCi?5>?O4er=*iGB4lGGXA|zlwHh z@c91fjAEgCPbDuk6_*De*=2ValzjY#HfXC$*qyJ=Mj1vBZz8gHYh!SK@Q9bJ*Ta zU{UKDj@os88r!7sCR)mm!fV%g(I2r}DKJ8O9sLbQC+i(As;d?58qiL-_lR)X*&<%Tw3 z=HoX`ABKJwj=x7f`N)4UobHQttVbd9vGex(U6|}fm#NA8kytOI3bZhzUaQ4nuKBsH~qW4rkvM0x4tz4Po1c<+PQ4_q$=H*ZrRmC3%p%m zq~mI%gks%EiGkWgHa2v${7B$4ISm&)`^Oylf6%(b3zd5mY_j>tZQ|%AONYB3f}1TJ z)=1wr2Rlpz_5Lj)4l;O4b(o&HCk>sNeZd-aGzo2Am*2A9_-oH zF$D4%(}C0Ls7k5hf?}h=>&62timugcJMIs%^un*PRWTkN! zAAzD6>pK6a05wLPYO&#;;uTD;Ite#B=Vd~AJ#$7kUk3)Lap+X{pszaLL;zNtp8Wab z>wuY_tzj!fc}nsrf5Fk4Td^_(GyJG)6)eGw-DyuJLSct|@u;QJ2l6w;QOMtw{@;H8 zu}}WmC$GZ}l<}!W6qBElyPrFpMjKF1funPIzh;Ep|45AP>8<*j^Ur45PB1J$7LNbK z%7ieCvj$8p!YHA-*r}9YW8n@W9q>PwjuADxMrrhE)=$x#6;n0NJmIBui+Fw#@;Jfn z=}Ah>{-4Fv`nroN^A^avvqUx%QCP7T+Wm+ok5hF{|c(XlK+m_Zj6gbK9Jsl8MaO)nmyQ2VV!WO>B1FS1KZ{A`09IPs%@8 z?T*sw5kBB`pZ<$?ZoHx$xB#NASuR5-I`((W?;ciL`sn|n?~avNP&rn37Mnggcc23v zg^P_6pf;bRmZL$PI4A?JTC1uP3TfOZpXQ?bbkg@ym2LL>k4$e18~)nc`n4;PrGj8L zDVOxhE;gIp;Aljm>XTVy^UwF9KzZ{;v|`!Igd$45@zm^ROmN%R!92j%qH=imeL=89 z8f6KlcK29(49H2}o8s&F15shZ5I7}y9X~2sS1fddq-njHt9g zF|XpJ%Crlu9BA}PCTn?nFJJ8X{F!+jN!2(a{P7lY$24|n_rw|)F_#XElwi3@Ov}o$4`NrGUw#$WVMgDn~BkARJ@|CAXPk!_bP2oTX)*A zg>6xF{c}7XhZk)F+k@9C*0!!$gS%)mEv;LKOrrd?*1Krw@0Bb#+%;prZXQ#KRJ?C1 zll3R*%#zXr>On;DjykhWb!h9y8n0v&2I&59pKC9}@~7xLSJ36Z{UVCHJ8fl;WHQo5 zlB%L58?jOo3)Lkn@KHqbYCwFEbnL9M+}hW8f!{{{1}-=(PG2>rvD!=27!Br`wL?Ae zfj^UHqIL17@UDB`S3GVxk`=|N9t0V!QBTIbB)qlG-09obAl*W}kiF@P~3| zQ7p=Twv%a1GBj={(|ubq6n*)lD~~Amd*zunv^aaV)5I$+RjxJ)*?uhBis(JY0afS6 zzV%}}IM!0hO_O;&-nUVRDev2$&U#ytB4A1!^Zv$u%3Ip5n8JC=d*SWd#%~p8z9dfv zK!DLBGkS+L$r1xhwaaI2iK5BeJ*@-xXk_H#S*#@MQOl#T-+EJ2^{-x9Z0*nWPaw~~ zNS)9A@!3;HI?rR3<=!YHu=9FsizL)Hnzms%TU>0JHXyB+?3K{JWz(5*I~JI`Jyik< zWbcee;G&eu0q$^u6}X$&d&-Y6qGctO_#iXnMlYs_WcicnwMu_rgu#O`ylrf?9e;JQ zhY>Vfbu;BG8FhJEsNmIoIPfKGN}~>@r-al)AVM5%?CG0D+jd+cg+70G>86`agzm?v zwtVrTQLX0{N}+%GGrBWAUeDA0vsTw3bTF+>e6;DsMFKA0{l$Z9@A5QHc zbFMu!+@4Pzezcm-M`IY4Z6Gzn;6M4B|NHNVX<3FziW)%($?a>xC?iy*!hAcBA~!M! z*mhf1EFI^JkKZ)VhI>g%VXPapPJXjba#sWI0$Uy+fUf8%Z**;>VBD3n{R`xej)d?T zxsZNQqEBc-Sd-O@9-sIvo$Mlk*;Ry5^YMr|^E#e@}BT{!Jc%+#?pb@w&9U`#V)jvy5SQI(bT0Gf1KQ5aH}dJKjmU7Jy&j z-&+107C_Oy$57I_ehpd0w3YOshn2rd<=V$5PI4<&%-_$6MRVTREAyier#}U^5-w~v z4COG!Z?J%BAfKK9*5AcDx&wse+Nsfc=S4@LOyJM&@p*4L-#5CtY@I)$>ZcO(YcNp4 z8O^YE65|$AK76O|C^4JTzq)L(98)Hs1Ih&Ul0KHDL?VzUY*X$;MO3e5lp9a=&WIql zHaj-mlK+fb%$lwL9;ci5Nrk?h{72!{AmU=?d13PBHqVfrU&_!8+dK4_cj?RJ2g=C_bR_kKj+~9RB1hst+Xx@OLX9=%%~yNBdKyg-l(M0jz+mq_pF1Om0X`ltq@AF7;dPojBLnXvJDP=0uH!bo)s;Ao zFP(GV6BGzMc-#|OI&Ix!%M}HZ$q=um#1$$p+DHO-3kg%R>`4a`?eC(mR85lePxpy7 ztQh=cLO%KMYT&?if0AXsK7sLkZnKnu>#BuQKr(S#t08Dp7YG+xRU3kf1j9GODWD1j zp+o-7{|O2h@;9nTgYn>Bt}v-E;saYB&*moDS!0$^h`!a(~}UA zuNrK;T~Z|YTjHW-CEO)n5cT7?M$6y^iw-M_)uf;gBh?Nn{d(Pk{4O)b+8cHZebWIu z<{wLf)533Qb2e7$xT_|jAGt!<^sqNsONtuI=~OaQOwtA>Liq+QVw`Upr@i?uDq{$~ zN+*%BHnr5`z-;hdSi#Pmdt3GNiOHpPca(pk&UMgDoS*UulW7`N>i}vD1x#)BSM@dzapLP?1mT=iJ96G)!hl(+KQZ^SbTJBx~KU`1?cuQU!Bj*ZAx{Ze~NK_QVA`NTi7&n z?|-8QxrL^4hY%ydpnq{(^Z3I(7yf^R{BR+8l}u*Hez`*9RWcN_?PnU7gU2^6XNjp7 z6`aTabtWp5c)0Wvg*`1mV|1`NC)v2V{Z)BRlG82~YL^;cJ%Fkn=$W=?{f{X5UBh|s z5r40=xH|X!rmq(0I(LX3_Uaj$(gRY9gbLT!9yJ0-XXprVLdOJ-=V9E?53g#~y5F&q zJxaHp%iemP9Tl1$6*~N&eei+&dZx)*X2zj9JmVY5lGrDcf<YqQTY*@Hl=`4q z=gQ`NoAWDJpYG)Pz+`7pp|49bry~tSKQkgzA4=TBvrBy#bQ9InKX}&&bm~lxGED<4 zH~y04_7B?pI40#p9doChca(>vGZU*#lH zsbltET(4+RB{yQk)drn9zj$3A{G4?qOv6{6vx$k!2wqdefvXM0)kYV2g4}mJ_U-#v z6tY+p*;m5S{}n}MM0~vj1O{T?R{GD%`G~q-A5fP=e>*jQRS1+m21dn!u>vQuNEkV#Egz+^^NAgT^RXAseh9}hZnEH6>#9S)FoaM_Wl@PMyq|*K=jj3WJKn9Bv%ovJ zEvC1pS1$Z;y9U$S^Xu1Y)Q4Z?Aq&BxiEXTSh|#XGCozQ|ne;T}(etk$JXh!N; zM%6oqrZj@wwuMSe#;4dSsS2gDCxX{-T;JfoqCCs4U9P{cDwO@7mAl|NpecJfR4SP* z^T{LW2!MAf-0iWxiRXbrmD`4=Ye`-$ypC=vfILPH&xHZ2 zW4NLwTv5Ozn$0x&?1zR`C7(#|(J;KLMFqMq_XJv2qFK!D5AS;7w^0#go^)+E{)2Vy z8`x%#8ExcYaB&sVx7hWYFvI8)`qVnQ9ff+MG#FwfO)8L}B7r zuiQfR;nRMaSo1Y)sT&muFZt$>9G;vRmbybtxMc=>nS391l*rwyibGph;}Rh+NvOkZ zlK>nx(;dNfQw}QepzE@`H?f06vALC@geV9K4Ws41W-SBkrsIR!O4>3_SbznQ=|fyp zp>&XX&)j4oWdudU{!i6%w0CTwC0Zh2Tfl>Y&A%R}iR1^Lh_2 zkcg!2P~lE0b@Pz|?Te6I&BJyZB7cr*Q#N7i-ls-VJ|Br0l?!fN+wSz3cLzN}&u7{k zl>W#+P$P9!d74FnE2R3A3|qm3NQ5I%#h4|$U?E(sqohqXj%0INP*cU7kjpejuHsaO zT4_eq(VOGO(TWS!pZy#u@8qD+$|jjj?)#mx)2w3xS0!}Y7bnK=>i3IcBm1TY%OS4c zg{n+IV=%b0l@^=v`Mu5yp_@)5Wh$>4Y(ggC9fKP)+?=JF)CDFCXiZ;;HPUeP!_%y7 z*C%f}$zQk2II{zZL~%xQ(iPKct!?;SJvfk}Rsg&Eu|m9b(O?eT;|bA7c1IH>znIZ( zUVK0+yEKi?^AaC=VS0NiH5^_TScdQu8h$t?ndsJ1^STDjP<=3o)537-93R4f`EcMH z2zC}uU06r`-Ay?dvZFsb3Jaq9EklQ|B~89|_L{iG;M+#0?qoxKCeybTzQ68Es}y0+ z-y-hv5Rvc#8u6Q3rWLnFdd0m=M{yj9>g)a7kFe1a}nibtU_OzbOOCw|?ZJ7H?{PTr- z^rvyg&H3<3Igg{8N(??XL|S#G>=v3{pKnXCSq}}3R?Y0pozSmwT(a~x6v_(mp)y?W079jmO@4gh09>LC1kki_3+m~8Kqh^ z&-Z*~b?+-`sS_03IElwTGG~`!5$MJ60cT-M?@+eTpGS=zUl@zDf@wIHHt;+~?2{&( zZ8n#f6&7OOoF0_Nd`SGVw6ecCGvj4(=QloYZ7pemWR`7BZNZGb(2PFfB}inUU!&pX z;1|Oz-Vn9`(mg+Ok8{?e$R?vVOp68AOc!>#LgDsq?`8;$7Xta8L6l%)b2WoJt*>9^ z3)@pf@ZF9vAHr{)S}dS2ogh&czqrlI0Zuv6nVKQte&QExAF)7-Qa5Yq(-r^WTEXqy zLos3FMJTc9f~B!jdD{=2v|(J=l`MPfFO_Z5#FWf;Kz%K!vQ>McuNGdDmRpksbC6MY zkP-UsUvT-l{oUWT4OgcfcQTlOzYRC@$Wg2Om8GB;lcv5j?9ll_=#HY?+jXbFUvm!r zQs0#W-^DAd;2E>YzwKt|&B7PpYz>YTx+c=~ixdxGUzgaH*5<83%^ZFG;aV>&0HL@9yN1J_2xSig?Vg0T ztxHJtU2FR};v=QDzudX1!xA7(aVmp+hYp91lY)W6c8akD-M*EKsjsaSjV!79Y{*6=#iTH&OQYUm2k@ z$bxy*BRt2BZ-2KjT>=O%2F0;M$@0{vv0Qix%?>3U|Dm@rUAmpeL>>C!FOc;Q~aw|f`? z*SFyGw)LifZzJi)4iR@B1GiuTco*B1$=)2AHgew@^T#(x0_k<_Ufi_y#bCma_t#pH z^h~krmz)q5nM9=TTy<@=F|2=MJg__%XV~{Zh$xf=KLEyS_Vw_d{?h zIwpHYg5r^h;WGZ46Efotv6@ayTd=3J*)JtkdXV7c8!ZX>_q>24Oye_E6Nk?zsG$vm zAmlPK%R>HLFCfg-#7|!0=xG{>6s1`}fN&QyRRzM`oU+k4+oROD!V8H>UC<-j0CZXJ zLKK_j3!CLXEgR*Y+<1-d3j);=|1(5$IeKFfD0JaOTgLS6pNRT!Nb&YtkQi5D;r?p7 zE(3iLsjpu@^#oQvjqHgdU@Fin%>PnNa33;*lF~b7MMd@~7UKzyQ$pWErQRO!SfE1o&O?8qrs5NaSv98UC8zvMzS1V)7=>@4#WCzg)IVj68k#84~FC3d@>~r zi>D!6EczVv5RPqr#PtaoRvKoJ3goQD92@#CbvKDRNtKYbhzI8>9|iY~g?f*vOZl z%HKbxBx-CDc1j-zVsHsh%is#hCL%varHQv>I`1Umvu`Ca$eiai;9OWh3tM!2I7>8j z?^)5^_blv8p}tj(eIGKH;u%po&E;y*h6tBX*_5>ko}oCic`YUY1iL9*o?ab;Jppqj zP^7Z67v+bxl9dVWaAWQoCobQn5_!-de2eOKOzGEFZ8tgltRzHe<4%Wrynl|1FE|r^MEyCf%)j#~a%)Q~hg+|UM;EPZw&f!)74!YXFIBbuUL2W& z<5}7Ju2*B^ULxMBnH~1-RfehV4vmCg9}**haQ6kd+cOuHtun%d|48z~qU;u%Hp zdG@*C+I?{qj0o4Xy@{%=eK%!x3{hX@#lQ<72VD*hmrJ7Ugh6;7^4-c*@|14W^ z+8Ipvv}}Q2_0=}ttvpUJ6<@;U8AT29!8SP?Ugo`2yC)`tPKUQU>Jn(eUYpF)=;5Le z9%qCh#vub2v8K;K+92UA(ioy(-ckIzRI(-DDfp)P-5QlmA)mx@E87+bP!!QH-Q=-& z*c*3G1yB>cwJb=1Dh?#pY&b3@ZS@pfTYg^YzQizr3~$!vI5}gXCm@y0UnIWiH>6?t zh!`(3J5ALHs_>{;((F>Vi??ksNFadct!;VlCRmQ7RDkgCM%z&Vyy(bctbLG)(_elV zEc%KYUgDWopzN6u@`6a0?vR0LL5AByqD2h(v-BLD5C#!N>aFfw-?#g70qll<-}$*e z(!g1>b5~aNBD;~rjQad{anN~h@1Ks(gqy{!McruRxrr;A`}ocWrEi5>y?>Pc>?bS{ z`LvmG7S*gy`k%*teLdqs@08& zc+#VwwzT7KW{+9$c(4exS?~aBXJ#v#}Nb04On+;4TrEf5PAjBr3fHlDXWY9eRF zpCZ5xR|j^YJ8J^fc4)>+{9hR_vH*6KA&?l~8q)*}VD>>}Aiw+v>CojoqUm}C2S{t%IPQk1-#%SO(;aOuUL3gb9C_sL6d`Xt=pUK=^GoW_ z8Sf|ida4Uo`l896Ai{B5l?qBpT@{MM$2Jf+8R7&hTd6~FJ(_dz~BP>dST zqgV^ZH#T%`XNA8@5K7Z@|ZtX}x~l8@_3( z#*4JfeJ+(+soZNYu5462+921R>JkJlS*FOV8B&{sAOYU-T=}9vJRbZp`B%>;^%>w5 zV)<8C@mTB11D~0wc~y_4^MejE{ho*rnXvEfHzyVkA$r8FdVRC+LE1EmGn8wTHs+;+ zp^MUPlp zVy(@4llsvwDvZDzO2Y7ZYydPE1uGTkajx=~8e}+L zkOX+9AEa`hH#O=Xe3fT+?n^|TG+8q#5@XjFuJCjzKEnp>R+*(nSow0%fB5|!E2?w6 zE$s$=XU$Jf9$;9*Jv+j=e6hqtx2m1d^zeI;M83&f;JNDVGb z-zX=nXD&7dD5dPCvOVE=Dfq67il74H3@O242LULhf%vk~C=#@)<{7)@(L|G3Y*J8t zR>O`i_%F;*XNUFS4xa% zeuCZY2*>{q%203vuIK*jSy3|^vx072`zwA&Y|~`Xj`ISu_K6pQ&xH~?!Cv4`CnWfA z%Rz(?{0J|&D~@up>-9LD{J~t>uVqtC-N0gfqO)3~JSNshjrra`Le)@>P5!AXK zpXUq}K>JW^9E3Q}L;N-?`zH}?FY`S}#b^=C0ETqlU?Bw8>>u0LKKMfRPspb6*t! z-+EpkY6?_{4vKxlK!m8Vh-n|n@qz;Sf+Aih3?&q%lqfHoD4*Szk=~avY#xIVA;J|| ziPbgXV+rF0I4m0wAV4g{$ML2B{X_ArHLs~`gEQ)dZPy#vhJd2>b?DKgiWiB059pPQ zeJMlqB%A5gh$c6U2K_^qKXTVUZ5<$5O3~$ur3g`Q zMA)P%4Nphg8!}jlB})-2G*zBDl20un=I#|^J!QFU)K;^jXq9bdnVnUk!C#@Fq06a`XF=~KIU-zesK##eiVgN)wa^FE z3&^(yZj12cTZ(`e4LZvMq`jD^`?;w5Z{8^0B_Aa=PK(UF+d)5=nHr9ouYdY=8GWdZ zIOU)@<>2;y0`-0pFUf_HP|#Xom4Ua#K1(_06Bx3ojU;KkF8(p&deo zl)9T&@qf6;95M5r-J`xiPGI_=ny%5&NA^N8&XWN;CmBb`2u(;%w?gwggUH`{D*WX! zuC^l98&%Gx{S@p&Ue2ZrG9&ftCHMfe+_{6U0H6h(`V4}H1dv6|w_Oc`VoW&x74#2h z`G>$=3DQ&=%BII zdWrQ~Nge<&2>X%Dk`>$g@^l?&O9Mz2(gr?MEbxB?KK_e)tiBXdV7P7#^AJ!#lsxqZ z$=dc`zD9+drqZwSm>+M$tU4lNKDdUfh4F*))I1*w zS=nh|4q8t#n{?1yL%qd|_#D}`4i9S~LBzE1l85?6Mp_ZJkI5(Ba{=;UW|IeK2$!BF z7}A=2Zw)>J62h#fsf8gSn5e&o)Id!DMw|wxR(<=G5j35Y8jXuMGy_d1Z9&t?P1b+m z5A5bGw179DWd8>O;gp#CKPbs1B4Il;)ATI+XXWopx^y{2wW&$H#Jyt4nysi^%@u&f zkdKAGBtdO12n(>4%Phb%j<&EA`O*Q?UG`?GYAca@Ps0q_ZaSHQhu^^&nDGQGW5{xd z!-A%7PTfZ7qg;n{y5=@j04=N%tyqOihm?)j9%y6OZiHnuk_Fr)54g*WXT^_KOT?Ri zAP|Qu);a@vLK<+7m^|S2*2rdv5frG*#I`f3Ed1|gf27W1?Wp6Y3St&iQ}#`35q;{V zw3VCfxL#ZMIz0igPaw`JNlZ?Dd&@Go>@&6Avx#T7_#gw{Rrdh%< zA3W0Ukd!r@#tix-oggDtJ^9B59@9QeF>rCL+fd!#wg;HnfKrO8ZHnRVJqZ8yMJ6XuV*I}2Ot8CkXnOY{Cyl5m5&&K*p3K--QKx0;ecNb&Z>&(C`YDIB zq;F{ol@+spRxiFWXfeeM9^jt7;{!E-$0p!Nolb#c#AKjNq=V9Ly=4Mh; zc*U$^r_209q<%dxxC;)UU4g*r3$3HlCquzKO$HrT4ESMJE@j44+S%`LFXk~DunGik ztt3S66fw7$NW=f(Gd0uSsDpUFo)ck<*Iu{f4Usuq=kSt-$)!f}69wf2?0fR(`j_G|sTpMeWthHMFLCApRPz^<$-5^{?h*R;(Dna-8g#xN zgdWo-5_WAs}#fvN|g#D4iI~%WsDQE|S5NHz#8{0&W!?!068eJZJOPp}x zd8V#T(h(MZG*NE&^C7`Qh^vWe83`Cmz|K;t-S}ry)wtQ9zP_p^OZoEV9E%8QBqRY(1eN%vC-_az=PyrwER{K?BQgYbw(vgza&9L6T+&aiC zOejJT*x9WrVV5ptR?lTP3zEURdTGIhN1sKa`#YeOOw34Mh&N^i$%uTxuv!mcSr zym^Be+>epSTO!D&U)4uxwO=% z5LptV4Knw_`Qv3?fun!YP)1ge8~<*(c{eDL@R_pcj3%g>2)^1XhT|k=eFsOw^h`hB z!S9Uy(`Lo2#0|d2oT#T6)Y+@tVzPaagJKK2S2_kXjOOq_Cq||;(_>i1z#PFMJyCU!7;w=dWo^RXg|!|*E#fpK zX$}s*sJI!4*mEVXu(&K&uRqorssvIpwCzUo0m}X*go@zb%r(G%nT7JHfKcNXD-Y7s z6JAwdB1dwPBT>08A?&Cx6%d%fja}Yl`KRJR{-@CgrypkS_KEuRQ8s!$nu`7%Cy2lH zlpt6T|1%|aD<$?1WT0z@j3|mZ7_=#{+w9x{oD_FttX+vkVDU9F_vf)*J-%Wg5p-8A z;_B59LhEo8zcbFbAch>5TLjW9titFGzp@>st9FnXZcGmoG{uTPg-x%}n4d-`ylU=0T0SiuLGfC0JnLOfZ#%fOAAf@b3gIr-fnbmT@# zbaal0zT0!3JO}m9lAXz2?ov6=EXl+|%m|s}MayMP!6y8{b*B7sURCg2>u3YtMvewn z=<2PNUxJ^199gjNht8voFf2tYd}y1@DVd5QaN#nTr_X%^CWEpB*Fl`+K^C)dV$u)9 zf3vD02p``HuE&$ufdn&Qk1kz@76imj;&7rZE=-|Qiu|tBXd}!Y5!x_Q8~K0PYHIQ9 z@B7cy1OQ`IBv;o9e#_|m^v^mPWg`gns*@uNyXPuZUM$E@42Jb_g)=dQ#@%Qe4Mo|= zr8NfC6#|C#e=IbE5*>F+LY{v=mJ%Yk2kXMU=>9>oJuN!hz~JpN_xqm4DJRLOu^6WY zZ)k~QWf3oM%OY~7rmNb(1#Vff#(1_xd@v!(7p!A%50YK`N46(ZsrG$~VlN{_$|%e= zqa#Ifw8pUKw%wNsp1RJD$!`O^vaaR~%Oj8+FEWN2nlq-H*)JnS0@hUJUZhz*;~f7b zYpTG8Ltv8n<`MgGxU=z@eEr{sns12uxUT-kRCIk4sa-GY%5m1c-=64~v1X!K(;Tu{&538KF zjUMxS)QGXke*9Z%FCxZq5SvRnr1Xtsa6A*2|Fn*8bSDR{-w}e=N!(6^2N;3io*@KQ z$5~BXwmc@x5VgIgRphi&qlb@K|1GSynU7vc1b*M#^P(s*2Vd6go>P)!+>I(#_@1CX z084ZV&_xH!q-smz6 zyn9OIJLqziGPja?zMk(KO+-|sF*fX}h#Y-N6O2Y2S0fHIJ_I3tj}-btFP_))V|GtIPdbs2#ME#yzc5TreR#~IsR=4XxnUCBI zA4%%ls#kpN_5miD^|cPPNyg1`&v%~Z30LDnTghRik=>W}mLZmO;n>wfSLyE<1?0qT z^{m1DATDIFm~W$@dxdG1Co|MGUDY-3@ETJ-7l;c9RrBG@ITN@wnrcY(lsj9*a`XDS z&X^uTK4y1KK^`!vmd>!Il9TB<4T_RWGuwN%d^C)L%XIKY#>^}^6lD1u;1(FN^lxk6 z9MV?gG_=|v7l`+G^0Zb6Vu1DrQ!=^6OBcfOgp767n(BQXoIsjK%_CI6_>v!4JN+j(51 zfqHWahSfW4s(4(tt5+(L9XfgaL@cX15pXKw|+#avWwDOt+6b_fhFR~yJ+ zdLvvWLnQa@y$5cD2N3?uNc==+mMZ;wJZjw(u_$mT`Z=T!C(uQKJb$EZd|K~5HYXAFLNv5O5O(nL}#?8hCy~^qhrilx$;B(3kZv7{S zf-Z^cNsTv5SoP9e?tL1j6Fj()7Emn{EbxL1$veY$lmm^~msZ zWMd#P<$9{lcGFC|U2ZE_2;QZ&mx@g_KRPw=QrIX88YkYWY*g*8I>yH5 zm@Zb~G#m^K1cSJ+Tc$Lp^5*sGW7C})7P+^WgN3D;?gA`V93?T}B2~Gr47DYI8<`If z|EvcCURU^UurfByDFkp_(Zku=dtbK>JI?LO4@yv!b%k3yYYI1Sc^DQVh$7H73NiE2 zTuNYz-OkLVY@L8>2m&IKVWdphS7W5i=7%4Yfk_!s32B2S!|CWkZ4a0dy1-hty>(;5 z)YJG_Lnf+h*!GR)sTq4RvQ?u3jnVyw+f~3CxBCVQEw?@|7q)xVwbIGBwWz%Cb1ZUF#Tg_dTFhG=QRX|iQMmZKtBAyK#SIYxy}tp=m%{{8M(rub9HI2beuLG7Z0DTm)PxIKbm`Y z>bx4i)q4MEZrAmu<5d@*qGAM2bNvfMXv;i+egD9KK17?AetSaDdqM!rMBptP56f%a@H40X*ITt{% zbbb}o!UzlhcHJ~anl~z)Q?&<8k*}Wu>eCX(kyMUIbMO!wGLVX;O=#v*Ft|dRgGB=n z_7Q4uwKK8F!|oy4Oo=#Il`B`6Yx$OCh5I}BTh;;Su%0ZH`=$KrmxtTcvD1t@;Hm4*SNa7}b9K zkg_?hPlQjP;9%z_=zhlcM38sYWc!TG0n;ywWrT;5tPSFhVTBTAFF7V3ILlbM0x)(i z+7mHVzf9wa)2n_61nchTe>A)APuHpydZqVjP}LyrPr&#r+EJgvGvO3D8-XfU=udlq zR@O1E3XSbt)y%6+iNNt=7BA(NcZ@hwkkbeC`r4;$2nPHImF7;##vR@+zhDm5L9iuq z0z7G_IOtV;71rMXtdf5N*1^jYu|yd(#Dnjy4KSW=MV9n|&2jzwBWS73`>Vb_c=p%Aqg*bEYt!%bhkRs|vBrh4&V?}GX4+AT= zfC%yOQU)~tCHqIT;{7hB@db~#Y`sKFny=^FVsOvqf(hknW;-{o!xC`;?wz%t#}vof zElm-RzdyQqbynV~1BR0q@rl!n{yi^r6^!~wy};ZC7A)Xl+t{E}AnDV*m%8drJZ_`8 zCD}n&#}bT## zPt(QI}z^~*qoK7QgIN5%4 z;v*9gM#EyfT4%Ar?!p^Un%(x24CHtk2AW$Y8%&kP%X!U_3J=53gg=r?Hi^m#S3Zhr zISzKMF?>PY^n13;)OBc~Dfm-ub73pV{#!tLWiFOLaAz0#CErMCfAJ^Dy6D+}l%2MZIBx~2>=UYu#nDnpW@dsg5B z%0gxjfENeMi7%C_LjxxmROtB;C0QPzmy_3l_rcbMh^V-b)3Yc;cTpXTYg|5~25}fV z`4mttcFoA|tcuQ)*?y6xvW^iuKbn5A077r7))g}FB~WS^SRsQM$av!dgW@1piPlqC zT~O2Hf;I8Dqr#f7fUClV59edqQ-W5f2?Wgg!t9ydg;~Z~$lT;v2)_T}&0vj9X=tO% zh1qXc)T=}YyD9Q4L&0|+|KTQh(Feqr|D z-xZNo#k2R`w3Q>a3U0mj#?_r*j{3r}lOqqS<*}RyvR_2eCqdNVk&m4z!CQmQ z0xkxWHGi=Dx-NoQaoCACJGRZ*OAX0NoV{u&kgSY+rx7-|Pu>3hbZC#2MKPX1F`hWP zn=o4?Y|tWfFsIELWsibD5m15T1lWC5BCjs(2t1}c^GoDo2U zC-k%VpFBW74Kxh?(SXZnqqF=e>$smOkCQjlw(*IKb~~o#W-6v89)*0R6NS965#fkb z1%2LG6{hA+VlN%HvUNwm`*-B>!k_>x+^l5O*H;iygdh-9(&v4^)V$9fY74HD1SFMS z3clzOj(q)-1qdjC+<zx4sdr_Y^9&?r}-OlgJ<(8cB z=)+f?>@okYk34`*_!u6oSGyG#m-$K6LgF{R=p_$*9ZEo1y@v23{yKPvdRNfaqwi5h zST+BaV^4(pzS$ykvU6r=n|fAQPn?>T&O;Twu(upsAKF+xzvWoo>>El*v=*1F8B!Ic zVy=q2FIoiC?`Ey~4pb`JSh~SxI&eYV{JWs(lnQ`y)x?A0CpczXZIfgI=gLLc+uUGH zrD9x4nQ&4O6YdqQ^dwe~+`T{M2^_mt-<_1&57(V^HOARvphS`(HxU*q**W`~4^P;y z`z9nvsmk7Dk-N$AHaaddI&MfwWi^|5U-Q;wI^_vF$KNXO=4U#%9p$B_cOozs^m$IbPiL0_s*iV2V(cpAQuyHkdZCTJFqc9G&n+)NDWkTa026yXKq>N0i0k6BiG=v(S6{;46A$Fh@}?>FjMnnr_SD#}66^9j2D@sH zE8Qsn?4qR)!EVPRHPlDj)muddAHRZKmyscsVuO~a1k4_`(O{~b%ktAZcD&X$tPp1C zndSUEG$lW&X33Rvf?8|P_`ZTSoPcv8|AX~K_Xq2v-ZJShZU-*b$DSCuTxzjdMQkRi=SH{)eajoSU7sQj_?wmX%;;+?PU$-v1rx^ zh{_toj(Fv>Q)*!I{jGQs zkvL}tVvI}&RJ`gsOZf4^cPIZjWVEnoe7f$)22aIbCUPQP$d5`IKYfMjM1IU~nhg;= z>NQmHlAv$-1opUAZoW$KIlHI33pazS;jeSr5`faoiyq#YvbomfFn6N6M)e1!8Go;k z?gvYWBiR-kD%%K0jQn_oNVX)WLd%o5)gXJ@96AdaCE#edWcPxG9L-r2n0sa1ER_ew z+oRtai|>g5Vzr7K4j3g6fKo!eo?Lb&tfckf?rA^Pc(E0K!6y7FrgXL2x&oxlpwNV~6!q0AU9JP2hPL%Uv>z!JmiIoP<SiJ@2T_#AMXN;a`E%$N#nZ@g#k`c@}nPPY2hFT zn4@Q>TTN@}@i6dLcZKZHItEVWf!d8B=HNUuUZPm z@C&Cp9%sMcz;p0fQdODi6r?siN~gbzc1LGy3}31xes}x(!ioG(m$Tc;7w-Em9LosG zz6;bq76^^8T$%iUPekf*Ui}+8#U@*NZEx_V2u221QUL0?o5vsOo7C$|4y8|X&J@B{ z*X7dp&&-{WZ={oo8h{v(8@}1$W1#noQ{PK$HA!{lP6R8z4T>tPpqC zt```(H_{jU2P*`v#=g&JY$OJV49swtE4Ql%;1b=`;BnKJgU3BqKlt3Q-1nR$|o8~kus0KwE7vK_33*I#k*>E z!)LX;V>Dg7V3hh7WD~@Z057_re8w(Vj9`*?rl$lhois=CQNKuG`Wzlcu%wk1_cR5) z<-7*B*Iovu4;b~%5(a4ml21vqYyVI~s0$=(A(tT8Vy)Gp?bdy!Lb z6myhM4g?R;Yz?#oVP7~DpJHW;wWE(nkV{a-r{RMsmuY`W02wZ`U%yN+7qa39MgPSc z0rrA*nv0Jp2JtKZ;*D^fuUOtLZ_osA-lN3Z z=OG}$g)ey47Aa1U&^Pu6NCw_Aqp$$9VTit)6W}l44jmck6$@jG-xYuYLngm3XO{;KWM8Ank!dsCBn)ZjK`w4 zx4T~auV@f6J*C#?)591Ng&A%?R)0*p=4f1RO=}*4 zwHik?`uTT^s-8<0l9~*u8r!fqS+f*ZJmjx<_`rYzX28K-ND3_^P0+cK&`jA&XT+hV zbT3i1S4s^U5{WGx(sR9ItINSf(C}(R`mQ^D1AhAa`cFEOPQY}*-hdxo9Qb|R^x4%u z6lE?LQ1f33u}rYr$C|&dXIvfy6bCks$?UlQN&A!Gr#qn!EvX6iWx@j=S}3}tzj!au zW&Urx7oYy{Ua&jeggV_!sE!V5ehGaIpc7>g#pVEmi-LJ6r!Dbe3- zO+obPEEFaph~@G`C~N(F*1EO)n5M$mU}#Zxcu_XdVVAMq94XtmvF zkt^bN-6Sa+){dDgT<<4@;J6-F$GS7Wi%K_N`$eY}f_f#+`7SE_L$>Lf^{?Lduf!2l z_h`wqlmb6wo3B~(yKCR0#k)*z{;Db9E_A7lrKQyVbfdu8BD`Dx9AyE((TD55h%bSo;&Ye4 zQMNz8QQ3o5*xGGvZY1rr$NuMIyyH{lHGlqRr^@0%{MsJD@2=**HJyk0LRfgoa@|IY zjvqP)8wXaWE8nUx!Ppc@ONG+DzN^$%3b%f^l6c(FJy5;6GAZn#%tZpi(z4w0=pY%T zC8E&)uXWxP5{{;Q4$N170yex#z__P+l{NE9d~-Xh-~)K+x*xny;b_VsH6^~mPkDnM zXhJdnr3Dl()0s(4AO0)x4{-5P6pN7}nWfW^a+?uSb~HYUG5ImXp75VY48$N3161g7 z-sv;n|77`44UUp>s82uZzBf|2il+G(GE)JNy_J$2H@(|#dOG6V)Z*M+v`KihNs0J9 z|C8n8^($^fc=zrfmXCs0++3_Z0o`SQ<)bJMyg%Pe%YcmfUJ_aSlfdpWbMz4|YY$fZ zlXiIb?zl$q7(E5^lzgJ`it=cT_j-qDEoKDkMh46KLr29_~CcS_z^=U zXc0(RW*%(LFQzI`AbC0ziaMg*sEgA^bh zK+6YNsCwmB|a2;cTbZ!ps|%3YQ`YDtMQC zx3W!7mvtfZJ%;UdLT%Bb*8&gcpwO=mg_o-G492waSWINPfens{^F1LM^h`SvCp!%X z-rypfm6&(y`ADeGfbG7#a27j)y)=I@FH7M4vG&AB=voFDxp{A$j=-$WBl!o>hv+!> zW>CW`BfN0%QEms$0g;$3B`CZf&`Oi+1-1JrDkNhXHuLUY=*S9}JR%D<6ByW=>X2w1 zi-Mb*>-B)53IB@Jc`~7>a?QZiPC%*R0&{3y5T^{xj=-fG??$bfL2lCcax+$Ve?$k_f7<{)f#gb8jP(=qhn{}C*prZUe`B0 zQ?{1wJMDNXNx8nv8l`o@MJsb3mQobTa>G8Yh#eEzMCbhAc4~_<2ND(PzoGh(yuyX9I#Z@}Itth$B>;`3qre5+3#fD3O!}{X@>KD^FnC$4 zT<75F6B!Zbdu@#OALfw-{DOA{FzRaMgVDDDX`q7$9H5E`YocT89DH#PqNo#c4-(9R z@fyc|ialwu5FaCuIKQ;9x;bUOD^DnV=z5@$LUw36f2b7o69SyTm2yqi0QA9^YsA4i z9rrY~1Qinh4c4lijLCEvC4pZwuwyMX&%;kM3~82jbqV*XSnUlTggpH+Vi5VQ=ba_r z2VL0YTg?kb4B>aNEwE3Lk>UVYe-H6Gw<{sHE4@eSQ#I8_h&P8u>?7us$U6!;&%^B( zIm$k3StRCmF>1vAhlmMir7==J4=>my{nmASxz6W3>gi?|;3&m7FHPuPBx#m|++yM9xt z-C#zAltS1MV9%n)KHD?v;~Y*EbAg*lz$GaO>c5bZgk6%7h-v;mNlA+Pe}BVwDV7B^ zE4m_GOd$&(mcpbJ6A=cgVv(;;@w3~!?Ag~a+@3G>o>WUM(LdwfM6Ah$2fvB<{6(Sl zi^7kkEZ3)+H7MmW08RwEgU(L@IPs>n_LzzJHV)L1<0tbKfB!lru8yW@1y{Zxf0A%F zB6iSc`est^4=7sy7fO=%yzG%3!tXTO@qs7f_b6#s+a}kF?Nq9mhT04_$NLhTzO2>G zll4tagY!$4{&VGb-{L}-a){e8t%Lj-)d7fA+b9NEL;s+N|~$4$0}@;Nmx_*YhcIT#U!^0zMI?A0$o5N#+N zL3R`kv;`W@A1L!mhRE_k5G4d{MR0-oz}>V(6qVfJZf&UAAP5ILeWx-^^qZ-u zeuaTmwM_`^_p%;$#Z0%~W+onDU5qdY@r%2xZ($ass2eW<>9mD-bc5g8of8F>?NsFp zrh0i5V=+mm2XVRyi+HNL;c=g`nEBYdsS_5-Se^%>kN!PPM#We&PK3RiOLZ5E<+*~& zOa_Q&5E^G0Mwq%PMGAXBoTkjn??bL=h1~p#%C#mW41Mv52-k}Y*NcgKpObuFksFm; z0ijpc<5IaDYT7^Gr3$_q(v;W@o=INMZPeKyE+|3TI9`6|iv~aGk@YUhx_+^RqoVNB zSQFO{b>FQ%=MHr-xRoe8H>FgoZ~Qunx)L;Put(W9zCz0+<$Pa#UK~z5_Cdu6yBzn0 zeaLrf8vziCfad-V@nt9iQ|p(Gu>#z&Np>VyHatpE;lNeE^?8v0p4-32BJlhx7J-n= zT{Z4DdTMM7!E{GL)V29!VPR!LFYEeBnfLhQMg8Q=wyaR^C!xw|{2LAhl5kO1DVM=- zj44OF;-@Fv3HNDYuu`g@KVX)Dwc*h96%c|=8l!eg*IGdYF(5<}5CFY!c=2_)k5KXR zecR)Dk)&Dg%HK28J=^sLe%p~hj*NL4k2-d}i<4&ID2@;a7eb7wdQRK1^}|#!NiCk$ z;_Z~r4x)cVl(l%duGD>94x)*Z&YabA@{X;4MB~XAtuC07O#D>3F;~rc>2#$N3n{us z*PoUhcxhB6p)A(l1`R&x8dd8a?TINw#a;fu4uc^diy@y}m9c7-aj<=Tf_**o8>+nu zLWvG@ve!h>d#(Q{^SNouU1OtkqrRP)$h}SKG89E$^#1;%CoLxrqt+NH|39Hu(_Plb z@gB}H#30U>mge|9Y=UtA4Zhl;Us5=y&esBB3Y<mi~q_q zg81KvMxa6Ie~3nSjIOHpS%4+#+kTABuB`A}V+{))X(T)sFM&d-$GH%=h$uR}>`8y1 zYg+vXK$g&CUMHQ0@^`WMN7sLBC7+VuUjkG~0aRhd%D-^Px{c7)_CAw_X)fk7W(5DJ z;Zt8FQ{doRd1z}EhLrS(HlOC9$T|D6U8zfD2iyMLyV+yXFVorhd$RE)SGz^}e0{9w z{V(ydXx-HGHCac^DyiokTe|xs9DX5bnN^fQo!yUnY#I0TbvNebQ=KTkxc?9+{q9A( zq@)ZpP^f;S`e`kt=6$@%ZP%Wrkw`>7IY&aRrdvb5Z`S;|HbCZ$xfTjTalQQ-=K*O* zy6+aY;)@a4fTA%#`eglMO*psJ6Oe{LFG)k>{)03`%zg=AZhj2CD!+_LpjZ8|BgY5C zBp_P#UFkSUbTiO4tyfskyBAY3eSLlFb`&OloscZT>rHhjC#Ee=iDTlOMrnp|&w)4$ zru<!-SEhypiry-X6JW3`WR5k~WZe>d(nO22wznyx1H8wNn|IFl* zjS-lZZ3U`+N)HNX)va-$g}XbnV0iTO^Iz~(0sv1%{wF-uCa4LOc8Ur68=;yeKq2K} zu2+mz|Eb`1v_0fBS^$PCX|Dl-4+QIUGSOpXvkbmsget1ZrZ>Tmu!7nqbnF56z!m`2 zWe|`=Vz*>WYj6<;katxh&1|lU#qk%2t-LtnmNb9i1iW94Kcn{LNhaxp0<-8LcdS{9 zmGqVgq^qjM5wPb-$*5g3t`<`xFfMAY$@&P^&nDg)_zRSj83#5Om z`UDqWUI#9V%xsOh{V42hp8b6mUA*X(vK&$)-dE^{XpoC;r%hpED(&Z(HtBuJ0#yqbK081C&C5ZQ|L#=o0J%nWbU+#pQYA5 zLWICs_)A)>z6h!g*d7F#VY1|DZnUv`crO-f-s^HGZMX?*M=z|uR0fP3(|OA&)&SyG znDGVRP+Hj0I23?@%OG<$x^7?1dXNTd&r0|ErdcgjsJkRPcVH(vrE|$fGFB*=UvTjS z@maI_7L9wY)arXf%4t`&?Coa6u20C!Rm{;H<&Dyr1cxiNJi!uF{j}tO`6qiaTtdzW zEbt=+G%N^Q(5OGh2(-l7J-7yd{RG>6r3svgb6iZifCs|LWna4hx z{9fMPJ@}nIyFr^iMYUZvV<^3IaXx#ojW}Pwd4AH^xEmw9YGak6775^S_9;tPlt9 z+W;tanO_y~(lC77@iYZiv*dbzu#RM?Ao5#yGz~c3o!&GI07M=-z!R~J&K&rj*3&Nj z0?N#UUl|eF@puzB7Eiyh=zD{>QatKV58Ry=Z9Ox z@`lUJusZ$80B7Tt@c213aArt-5_m+eSMB9Dr&&P+w|4hnPy<(&WU=v;%J5c1k`bB@ zLYk-6;ESzFiI-osNCB>0ey40 zFY6gmME2|87@@SD>V8sLb6lBT^$*8}s+HtTkKzFa zt`5iiAzjgsdjBx+s*jCgj~laswxh~-fpZT$M0XHm_6o6FJ`+FaBIx`%z`eOp5x44y z;wzhv15aTP_Q3RDpZv)kJAFxbEW$f0MwJu{FZw4)IZNx>Mz;i>1`#rK&f{<@mN2hS z`kNI-_r{N3n>iHK1%(&!g<62~b4hz&RYQN672=G%!8*Z)r!_X3^(Gh1dP9jeH}n`s z<)4j;n!^A=tx&=4K7DRId4P_<*w&+{5!vtggrHx7L(uH14zZ)5F9C4W-PN%IcQggK z>CC`B!;v!t6ZMBbT7UYvN2|aL3;Fc0W~+(7Qv*yy_+Jjd++29B`g*gt|NY2yu}Rx# z{1S6$VN{gidKE7V0amX&f>p5O5C;)dC9p6AmH3n#2ez@aVz;b#RW&Y;O?f+UZ@tXSP zjN8Xg!0GI1d47&K;&n>5M4gi@7n_Os&1p$-1VvEGiXx10X1P6ZLHL#7!`pSJ^{)8w z{9o6ph+ikXe{#*Cx1)TgBf_5|@Zxh5jW@g)>#+PZlrfQr^0|}li1tC2i-z`ZdCucl zjwNgpxnHAx67)wAKKiXeqBCJ0-k(03))F$^P7{XCU1Qxu=qKN?W6*8cwUT6|Du>nS zN7s6O3heJPMPfdC!9Y{mOvSo#HQ2e$Q7663HIT);%T-PkG$ZO3qSl$ZvIv-Jvq(T_ zraVvV`zZX9HF(>Vd@0;y1L(MEp;i4GjkYavr$q0j(biDGsVf!`!+_Z9u)~S!ccH%D z9j2jS<6pcG_arR^Jjy@QVA{u`PsVX3vo~iDj zY#mox8fX$epi+(6=u^6S)^AQ5<@WQPv2B^pfvhW*d=w>Pek!@C0N zryck9pW)37)5-n1BKNC;g#$6Pr_0(O26b3XTsYPKUeRfNMMv#~rldKUYjZ>?2-@Mp zCt}0sQJ$pZvBz#6DBP<*@fahRTV#?kn5U(Ih<`(}clJdlsc9c3l*Kkoes;%OsU>dk z>L@~RZGetZWI=$sb{Tz5GWzICGs0!p?1*`p%`~y(D;^~TANNiXM%I%0Y#nb$_pUa> z-V#LF;G}Qfc8az+Nh@?X=~*fc5koX<`QkW#cS2|i^l!8!c||IBmiwjR?; zGrjaJ^FH(E0!wG+qXKU~e$gnnZ6tc8z?z@(^{Df4k6=XUPR_yYK5^7N)G=ZpIIt@= zr2SPnm8cif;q&yqf=;uG_N9(J1ykYs_{GKE)$`R%iVbld2kDac^How{% znJK(wF0yWvzd7x}q*$;Sp0b%WYI=Za-(}T%g-)d7ld$E1-`wd@C6$coRnV+k8)RnO z+d9gt#Ah(|K^3Qv{0zQIU4W=u__SouR&oT)n!()t15vN4mDfd0XS^p!FqY!zyRkRu z((MlfZtK|@)wjR!U8-@Nojv|}c&2YP<=G+i%X7fj{mpQtlcgHP9tZ*1ZD7S&d^Hf^7p6jvfnn+0K~WkrRR zHR;$JmW3mSRSI3E1+)X66JbraabA@xuVZiQNu}y72+q}^q6xxpOOZ5Oh)a=7{JQtu z!~rYi&b^Bt8pnkUtcJCX>`~`WvDYtlZeE=5d7pMlS2dpH{F3sKZoJuZ7Af#;OK5@u zRusm!YPr3L%mEx%J(^630TBCP<3+nSFlJ zQ>Npu+no<$1Z4sPNz7d5r`}IKe_2YuGFQQb#FQb(P2wkqT*dATptr^ae=CCB*bL7+ z69i@G<>u-4U78VEhOQ7`3+dCFDvl@e-`QSXp$Re+*mvF_Cx@W7 zX`Zr_Ob{BJ3Ui!^0Di9EjDAApX2MS*Uu1&r`n}tYcexuS2{)eEsn|SKsd>>YgCk1O z>lq+*ZaQBPe|qjOa%8!`C2p6=li}xI0g=fDE1~ zp6dZp3rIGcnN&*xS@i8e;QkIo5V)!@@0Ca?is$$;H-9m$5XcZ%*%SSyc_CH0)3_0h zs2ZW86wj3m=&T|>K_-yfaPYB`U{)$bw$Sz>mU|86c13kR7l}rp9TmYyIu|orGO zuFZVI@{5*@Ie5|g!yd@pya7*Nt^ak7MR0>La3{r_#yOap-(qvyN~vvT6o21|0i#~( zJF|o-y?<-2Z`<8ukbNQh1rM)DSkG#TajD`tRZB42k{B5yIb392MJOxw`nYN3X`{70 z1}MK97Ny=4gPBdjZ%x#RU^5-?j-!CnUr+FDx|`Q#4!xuMb>2v$K?hZgHMX|jo3XLX zF(-4*)e?Ns5hWVsn|6HrsP0SoeDI7|#|!t2*~rppXrWVg-zQG5LN|2rgkfh=1?DX zArJ2<+OhI5;j?IZf4h2IFB}}|+?53gYRRg}*aY%aeABk)=Rwi4~u7Bos@q?a?AFr_uIPVc@`*XX@s=gj^q~=3zhE6@?ve`)^ zu;?)=Rv`AFt+3;RjF~lI^=uJ`d2)ABN05{Z&VMB#j3D7(8LOkFo!nopmpCo`az=T0 z5qcHZ<1p0s_iD&9Q~doVpCkK%(IBI6SzvlDOtP^MZll!ky^aZ z5f|%mQDf`=W1mskq9Bm?y8TsdwO?$^;wgO^>*?d4af@~Q8ntJPm)qGh{4(ZaUZ{RD zklJg4qJrzkm}g&Rk_vTunz3uDG3RAxt28tve<=NG-gM{SFhV(QyG7^5_K|}uB5qqY zg$R9i9-BBmWbdTh{oTjo*fTnRF5*^%fJXcea>~5~!!1d8T7w#TTqM*@!F(e1INrz1 zVkXTtjxTE0%kKW}TS~d7IPpH3#<+vbCKf#MAo&q8!FWr8F>SG@P+&==A#*wCc^qGL zC#*i5`MBluz*Ai{4#BtK%a^6n!2Xgg+W)kDTy^({h&<#pN2Q_5%PNHV*s|c2*QxJ9 z%wiqkz1?;;NyL-gl&*m!^Ef^iOIhZUqMZJ#d;2;ANIyQ=1}@kjif4IJ*-$ zBF=}B5{f=BRY50QMJK?@GE&SVR2t6R*mFTa#Yd#L9qr5}2rKSc@_3)eUP;Q@O4vP` z?aZ@v8;1A(&bvhpkK<$NYufcsSzOn687qd)Nf=H=C$RZ>mRv0is2AT(WS$L;YQRkUnBfm?z=e?;7S`v}5V*T-A_&lrB4^JwQ zx3e$b7#@oaFDcy+LAl>HNpjFSL8|dNP9{kGZv9bTSf+8|aCML0bU~#baqQ{;hHuQ~ zqqN zpR*LqSKLWmmdGio3p%6KeXZ^{7xh9kIX!LYH8QktYyCS&m!5l8PL+W9RqMSe>>I#70A(nO6#ObNH$| zK*u@~Drp5iMXxyHu7bPJPb!f;TNUL*nr-{K5gm7>JQwxdd_H_T@AS6Ca?&8h>-8y? z%Ja{0Y#dy6+o_4$!?BO-H;mj9$%;;mx3Y$Dp9`)2Wa`UzS8a^IL>MBXG=dL^5Rdo9 zT8$cib2N^TAKygmh@NlCsMTh5mKv?O{4NVX{mdt9TY!}+X(~i7NZ#JjUvoi@kFT`@ zQK=+$G!s)G16^k*UeYrfCXe#33orqTV;Qx!5(R1NFxVkoqSe0Wi9|dnZW;qBjfHq* zuo;s|GOBta{GUA4Fv%#S=(YPChoUE1z7`0^;Chd1eC9Ww89rQUUU-&2x`IC6JM{UQ ziKF45Vc&@&l1u6lG#_V-y0EooPj%j$mmTBQEB{KEHQ+q-8`Kp2wY=4JB7NSE z@E&p<^&&^)#ctz}65@_?)^*XyD}HO&Uq0f|R_x-gRraLdzXgN8*jWk)EzCkcvRNJN?J%kyC!S|G8jYRM~#}W)U33cVt&j{ z5w((=6+)oi`1vfR?3ijfSKi)z`_8LPr}=^7c1H4AZsAC{BzQ%2p18&Q^NJ!?_?IM) z1f(+Xy;3CfWQi>wdoNe?f9Vk1xrVUnE>B3TTw&1~8+O5(6n4~OU!R)m5%0t!Xz!;@ zD~nGI*VWcR5rieBLAZ2xEdqjq2uPRGT`D1xk|roAA|>_Bu5bU|-~azT-}gMTXYS0Lx_9rL zd*;lUxdnQ&ig_EOb-^k$zft5Z*9g7Mj259= zu6;SrC6EQtvOD+8Ovh@YWveEbG-v!;2Z#HRrh`jhiPL-A8_68Fa0nU@1vw|R{1bdSg81y{2Q1d~e(&)`nU=Y<9 zI2(Po!OW$Fg&W`^nB6=o@xxrE_EYxY3_a2GKsoGXl3itT)&}RW%CsSiqV>vf6S^;; zwfIt&Iz5(L-*st+5+|kFj@D~+zxhfL$WuFwNC5-xOz2J)4{&lc0wt5o!amzi>16#g+}xU2N;Gt4Bf-5jBuHcbEzQ%D&(Lua)2wEcS13A9svb@4 z>|#rY4Dj;d-z40uj+Qem9sZV6dGqgDMOItp z-$B1uwxoK8`0-=k?06}=7s7>uchfgqVM}ByA~K=x-0l^q3^<$gEuHO092svPjNAzZFG|NLa7S5)rv2r%7}6BO&Dp$HiT5rAV9hM6jRG zM|GEzy-aEEJ{imY&Y8H}>##d_HoUn%w8%^o9|zgC{C3L|?}iV9kSYW&jAXvhgk=69 zGxXm7Ug>?==F-yNU=5H?vj!;jujUS6F9-X5SSHS!r7IFBW83KBql@=j}h_7Ab} z&<08eb)ZPJP?8l5zru#y_eBJ;7}^5X`Dp^am3U=c8p&$*Lev6g2=shoqCI)`Ds$TS zC_MCQ{wp`a5RTs%(){s86ehG3T{+!($cSbqc4KD^HI#wCrHQvhZ_*Q5{uG8@=JtCY zN!a#N+-_bo*Wrvj;l z=~XaQyJsG-Q*FuJlB-pa#Yb`+%p4PqCQw=PC|O zpqTO7@>ws>@X~=>PC_zDw`@>fd|bjyAy5>SQF?d8HA6eUp=;a!5((U)*Dj1t^6{Mnfr)2LB&%~_kK8^&S@ zw3zqctpQK&2WLcvv2c~%wy7L%oj1aR#qQ#M*(SYBQ)^rKMK`Fu#eUlG2r02v+MDS~ z+L7sb3#lbrVl?VxX*TL~#B!^o%U0-kQ7;#eD*Ew(&of zizjNkFoUki+O7M2Zqumgx#=#{wEqdq)2SYF1Mru179QSG+N)nUISAjm8U77At~l8| zIodthJ~>)Ao}e`A4GId*><E#NA<@CU-U1lDHbNoQDS5grI zn|JNa>(>?zm8`VRMFwyt4f}hxh?uHJa=BmCgj!o5+E?PYzOpcM(W&=LjqgB z2U$eNz?q)40OkqiMko=h@(x5eO6q505X_Ey-d}FNK(&0l@i4u}5UtPlD)&Mp3@T7q zfO9d5Tt6Z-wrwXwmD5hi;Drl{SmA{W1uHURy!7pX4QDLQ(*(a!CP%Wt2^_R+?n1Ui z%?pm1)wA@$Hm8wY?mm(Zz)=J%csuGf!)q{(>uDd^!d#%4Klcz@WhwqYP26HT#hm8K7Uk?v^SNnbEtAym1 zUEiop5wuT}j3jS#OFGMCov21n9qSso$etJgfaI{3l@Ri_6o}82j`X%#r9W-gK~bHI znqe3XrvIScXs-Mwn11ne+uB&|yD)Z5P#G>{Z}hFRc?lup&Azvj3DGr+p!1^6c!-M& z!3{}AYAu+PmNZG#@Tu;_KOqnv7!Cd^9eD5Y8gL9glS~en^t(5|fDnTBdOSG=QK^%f zWfT&mgyJsRbqrVmT+s2$y$9noKungYG&U(rZhP1VdxIh;{0&q*& zbpRtlLI@7sdNrf1MH)W-y|s$_MxBZ2srH67AOWcVGg(B2NItI@a1a!eltH2cHr zD~7fXBlbvZ*yqi^rC!vDFI|U9nGNTV($$S9X-IT<)U2RS1W(q=EFR|8s_z4~!N7QU zUL}DiU%2}-!&W)}?c9 zO*0)oGm0Nr5h@Kf2G^3**EJ^A$5jLjM9uENK2w5=AT5e6x@h_;X}{lFyN;OwAjVfQh3Vn zFzj>xy!rO>O#jl2UjSxcg z?Gu`QT$`;QTRyWWn#InQA{}@KtQ;yAjq`PZ6T#n4bz<%mm@XQ|+0zeRCj`#6clDAM zQyk2kL@>XEnnXk@{?MJ?>reZwR`K$2gyvH|C1^Ty<6<<5(ZZ-Xw!D!u9!9Wyo z2$-P4Ixmoqk3I8HJUW)3*cEiXPo+R?AUVJ1-L{iZhgDYP?_bCWhH@`50V!6M#jK&l$n335#qO)@|zk3GhJXG zY%npYa0YaFt9GEzeE5lh>BjgtJ?NY#>MsKy?7VAFYpz@Fx7N0U3vy9lGK=L$bXAA% zbQdqq_oSVZYHfAYWb%^LcpcB9mcU;gTX|?#z01>sr0Fz z5$JA*Ao55Va^N!=!sPIsmARPo$$qcxMo-(R1JDmoP2%kY72=`BnCd0AT&~3X;w?ZB zb8`NC`OcXn-+}QB)6=Cr9h( zaN>u+3z4H;$IQ8`j`&XRYvxWPe7=gXJ3_d?-}+0M3$Tuj@PT=47IXGTj!1<{yO5~r z245ZVA&dUdMQEr(?{+)zAOUgQRm;;O%yJ zbuAr^hMT-8it1faX-=;ZzCxp%ZKY%&w7a#i6(A7O;1QNM3_473pDg(ls~_hJ9_}K!y>+jNOn#6%JVu;@pdrKtnHjzIfqWfI%)%Vr&XhE&Xn5pmWcNe5hoqdcO>HuwX*`pM>>K(q(YepwxJ)UKKcgrX0rpmVs9MIslyl=QtHC66${u#wMXb9b)y>oK_Cr`X)gl?fUgYw%xy3>>+!^ksMK>n&s^?)^~Gq?s%ZjQ zW$OD}$AP|Y=YjMM2Pvf8S7XdTmZQh>z2U}!;djB?w}pDGnwp(sS!w|8ih-HbaVOZ1 z4>N|9-8G%*Ydvs_syI1JfO7Zj59AgCQuG{imu3>*{JcHab;85{R$+MjtUA9H@DR6O z?o3JZ`M&>z#^`>Rl3=gXgh_fg=(2N)Nl(X8fwzOB8E1S_hxfH+b{_~nAb>|GH{-$--iciZLu@j`zP6sW0_sX)lJ9h?Jd#fj!)7Fq4rRz$``D<4;ldzs;?ZS` zIzk6I)H;4U$<$z`#RzPyYKgXMm5WBUCy!9;>6+d9e!4MLI51w1&2@2qIboH_Ivl3d!24Uhc|28kiNxh z1UIg@|0n6O$A8ys)DTG{;J6SKU;olxS~oFH&oBtYIE@!Tts9`aa0+ma1Ewe2IgV} zpEK^lAer>e+u%)TBZp-3Mjf7wJosR0;!Tms17bzg@Qke;?!CNPLg_aQzektE1umjS z8p44}EfQGf@t$#8E08}bTRPU?`ut!*W*?dm#PHA(1jyC38xg0o+c;ES^K)SOB9F{B zsG72*ViK&%=alr--r2p^XOYq+YsK(kDgVVo-HqoIVM~*UmZ?^XR$@BS+p9GSB31ez z0wj6J46^{@u^CnpzKlG<$BOY}N|4B#EHQ)uEi0;l2lQeLfcmzVo=`?D4^?oX_ zs6`-FTM`f)Z1-hrUT=KVmJ)7hDs#r+Ymv>Dx^!#hH|w+of5TU%dRbwoViNbLx}5XO zh5Kq}AXOq3RW+vf^epah7WYF>)W#i;u|1ie!;5=|D{c4U)*uHc>!VvO@A*A%idbe> zX;onD+{YoBy?CZ7YrCFf&oGw#m2C&2Wc_lRS+}h&2+Ly7X(wX-sp3%Ciu7!M&(10J z(bZf8Z2r7mFI->ue95hht;SuZjSbw(zygBk{Jo;4EaJTP@yOdj)Xe15I1tw3cOAsP z3+D1`-)71o z0)aj*p<7oiU8(hJTEfEIh`^T?#BDV(-6;!vUt_Tj9=Y+i+6Mj@%{<9#Fcy>9 z6bI)1UIp_)5+*yfJQ#zC35*dt6_|j*R2mcbh|9v009Ft!*y|EnsnY9GTeVxU=<5gZ z49k259iU_K);o~}#x_ec*BNP|x_v}FFibqMB~?$KWyPMESV?FiuNX0Sj|1q*e&B!TZR zaxsAG!))1mJvsf#8hp$%H8PUV`=>0vz9a#Cni1WnKoHA!T_~Lw+2833Sq>O? zZK~k=#fgO>QUixCC@YAf*@h5nf%?Mcny8>1au9VVMzo5j?KRObXWrHaJ}mtFU}ZPM z>3D1Z)&r;GZReV5`olSAp#ax-$yd^sDIp%>cjk~E(SXPNf{?jg>Iy0*!H9NA{`oB<))Oi)fqOkU0c!3IYmnGJ|>z;xyaW=e5_=IJ@AL7S@zO6D|(8gz~&#L)#md8i-(A8iKtF3e;mC${I-2-8t%y zaY2{hpo|IX@b(NeYBRUGnFq!vZ%4n6-zYH$qlp87p8+d3hW2}?z|_eGakVYXa7Ldf zt=(7T&gM1ldkat!;>*#4T~P_k?n~6-+6;KbZ?eHHfZWkNG!$D(JF2r$SD~|%LQPVE zKX35p;k`NoQ^8I`RNPzrrkog+shd$>7{oHdaFix?j>4l$i9+aHdkA5^2_+XT&Yos| z6d0syxZ|qdIL~rLjkwo!P3QtIIN|(=2c(#YIh@CoP-Ta&IFE+7MSrJ!FyaoCnv8|> zu~H`40%!6aWE@`8v|Rnxxs8zdAO zt5G!>dg+FR@gfLIM{VEPJttc3RuFo;VQj9~hbL)pu%+_Bjl(Lxb!&5KqCA8{a`{^B z%wEoA5bY77$4Wt5SMPgKzjybm|DNm@7KRYIs2+H&_fMnPsiHFfZCmmA76q$r-)+_I zZ!FJSTDMaflzjF8lFox8}4LKEiz%{NLW*V>0^c1TiSXe6NZnAiVuDdt!sQqI#zK)J_>Y zc%2D(#6=%mqF|9fy`6orAD9>AORwH~%|*tMjMo%8rGfr+k;JP+&?=;Ee&jZrh;_#y z3|<>qA^@UyOp0;v)Zc;f71|}=b_G!z3RK*)SI1X+Hxpf(kv!z{XgsjRd@+cP6oQ7% zE2|c4)}4UewX!56sOoxw>k;lZ1paJ>V9r5!rOO}@%&2>g6R>5p>jn2nxa}A%nS|_> zGYqKnmr$`Cd`iR6Oq|Wq#70Z&^ze+t$?R@h+em3_T=}%`9KD@FF{fnti`k8~yC1`o zTuCSPXLDnREnx6u&g840OPyqYxk8C;{0EH0AR1w@()lWQUY2lUiLvy*syTJc zBKV*RY=(hq*Ui-a4PVhmLdQ%iRbLaUM&x&yD;VkIfZ*RC&|rUP)q?A!sJ;Au>XS_z9$*pDF!Vfi5IdK>!-j>-}==;ozoez zi*_csi{t^U484JMeIkKg))OgSWO#uihAkL6Roj-$a?4X^cez^tvqT9sD`-;WRO%OH zz%6ila?)W;?<+y^h=q}SJ31V% z2JSZgLa^6_tfDZVv6pUUOR~eoATkSo`$m_gCGj1z$oG{=?<>)Pn_vN|{e{5TCmNhS zL<4#}fNonepISu!v-o)&x0FD&aD=#Ux64%U`%3QcE}rl%@cGFsIJvRa1qYCTOpuD- z2U45b^COrg5zNm3E=bf}mNZ?KVCN$(ScK3&8e+ngTE<)qGIm?d>@Nroa6=tisR;Br z;NSwC=A8>?SKhGP&BSl_)r$Iq7b#XWkWQDzv-1VPUBBR1c1MbIQKPuCGhoK#{uFqG zQA18&eA=7M=XV#pOy=Vc#Gf9%blujkA4nN6R?8Up>Wfe)K&yE6igCejCYd2R9 z71SJm#1Hcey#n^lb~c4*OqFZ8pOfN~NLcusVILHBZ3s9W8}h!bW+N;*-b!=4W_*w% zwzHGD$jb3;M?8k%4^u{JDAsatIK^?AVNv>Shui9irc4jvC!2xoD@z3>3lbMp_SLJ6 zwTSM#7qjo2VDNY(hEzT*ZF+rQ8o4f6kVFbzEIIh##Uf2F; z!szY|0XjK_?Ui9@`NVDExYgj>;aCMKztTToS$~0VJ!L$r=E?oFVOxI*^Lbz`Y~5`0 zv>4PrYUIgZ+p5Vi06j3YZ>S9{zLovb3WXyOO*FkFx$()?T7=cD>E~DiywZW6RCPXm zfR~>0HFC?25xlhBBq$MyTvhOcJz|TP+X2f>u`drx)qFtU{NS)JHh5R-+qa@{uUnLjRI+;t3v6B1)XL7p?UIwZ!5`*yGOSs|(@Pq!@W4y(%qiCP1lSCg&E3=FYdY(lU&4C!6#W3#0 zuqYo(kgUuMur28s^mGHHG+UkB%}`}`vj{>sGlM=3gR4TbmB;-r@IM*+ov%1T*hoa! z$jS_J@NW?41El^{C4#L^>a9+wyZKI(&&Xe4u+PYBv-f19Kt=JHD?Kb+g-c!~Nh9r7 zd{j>zFpFI0nOX`|ObGCE*^9k71NF?AKz!F6(HH7WKa6?0N};>wOt`K&YJ)=5&6yNz z^$w^22=bspDSP_7t9?^<@tQg~T3_UV))y~>44abcvtsJAG(R|<2PvwlA+o7~>rZ4? zdq3*JXPVj5Ie;1*@&4I_rKX-8CTNkE%(sBoX%f)a$~gzCqUX=EYFBDZN!-%Z{<**HR&6iCl#w$%2-1jVo-P4 z)e?OF&|tIcL!{+~r40cDLylJtkR#z0;kP~ET~}lUYdFPL;$E+)kL3)9JJ`G+-|VHc ziiuTZo;yBBsVaQ&U4UT6^ME}?<=pCh-6^r+N?Y|X`4ker^nWjq((YWvV%9wF%=v|> z`qwJ7%`QG877+bvr9*e@;?tokckxMa1F|qVF9xtc$yDc}Ufk%m=EuTf0DG)4Fa&#G zRjnJDm{s%sK|w{;rI#M%Im;xQI!WXCzQDORap*GPOfI<5mL~}ni8!uN8_aW8HmlVc z3hQN&hJf$!;-`C^7Ti#`@In8zKoRuW6!%pVe)=vKz=rA!D~cr9x|OE#r%i~%J4>cb z;Njy~_@!i8d4gn3`wwJI3!nc|P^*jL3?UA$Dwz%)@#$L7bL{-m{OHG1NyT+%gVv{7 z)@76@Kp1MO`l*%yIa@ere*TnJ(&rv!hlQ7ZmSZ{TUbwa|m)MHY0sM9{)#ACm z8CDyRn~#~}i@hK|xI`?M!zcp0enqd~wb0a8&0LW${)NK+otLN|wEoC9YfQfH@nXOz ze`MTRal+-!b-&2HD4gAF#IU?Izeso#;ji^;UGUl~U|91b$A%19apul3`}d@VqXYjv z&%eV6b{4cDNYU=J0hG5e}1E1R5;xB`qFh`)e$i)*gG3D2mr*{niJ3J z>QE)`OmlCK)ODkoWx2~7UODuo3rc)mAO^^AbX*tVXY7@*spl~+- zt0@n#a9Sq8JgfYB@pCwC6*6GlKPx+o8TDgWsM*Nx>#`}t4lRE!R=5X$u-yw3QC!#b z1zD1W+6P^T4fM>_HmyIu>8y)wEd$)rH($F75v3@73pRy>tz_RH@7?@j8z>g8ZNC>D z@ZU3625S2Gd0dWs$H~vu@l}b}htPq*Z=|2{Lyp(7c6(%)B@(Q_IwMI)yptK+OMK8i zbK?h&CFhq7>5a2=NY+dJAOxi&5-c&3t4u0rhu~G0@?tGxE=UTMmpw1M@G=(op9YCm zIo-Z243$h$ok6gD^`Z}6xL_=9;%eD0!}l$z@_9iBE+0-1E8Cf9b+DDw8XxajRY(GF`2yqegx?HonBBbFy$i_ymd`F?Z zlRfX6s6#fWLn?R)%|X>aAO*HErel%@gY6a7;gy7i;DDQO0i-neJv98DzSTEVkfJ&O zyOsYDHg&&i3jUKA`WrQdKlh(%Y-qq*HDL;jU6xl`%$uI0Y~`3*y*MSWHWcQLu_AXR z8{$xpqA(7>?@D-~RatezX~BfVNH!^RVew0F&IyzPM{wCA{`RTF@%&iBoKQuS=@$2O zk{VcN0fSJ#Z=bHH(RJt+%K1$!UFx{5Kia1DVQI2y$;j;Nn4%154qcYK1}IZ#X?uZ8Hf6N(=Cv;0yNnZ`#Y=e$ElqzFy6g?kb~UJHHI2x zGPH+{cR$UR_N}toAi@_f-OV8qQg~@TMDS?oX7TQ)dsL4rDaDN$wkA5?>O#X$Y&KkU z;a$(wwn7o9T)?rd(?Q43MU`HY^?a$Kbx)~K^fUeC_!C2@d!3Janx#OwDL?g&SL#zw zwc?R=K9#lj8+mgbU?8<68UrCFKNJ+r1qnS{atxl+(WsTx(D{YU2+#nw1fW-K%Ga%v z)rbT1_Fk!sYvf?W>q%61p^l~hj=gfSSG&nau$pIb9@7J92KI7WIO%)?rfDf)ff=`! zOtXl{l%Vz2$T1xd$Py2d&Gee^4Bfb%Lenh3IL+L*_j))xvsw&F8ijKm$USC`Qz#>j zAEkk0SszM98#W^Ml3=d5i)y0cGB{gG$-=m{pJOeDUA=5!1{W%DfzioK2LHjjJmkih<#i2rxQk!x`y7)Ld1)C~QBUBb^6L>eKaR%{ z%OVEws^G47ij@)`@ORnwjV!d?k62j<`+p8{2L*gRH6BpJmB{??mh`2vyMab2cw^^? ziX{HC0LL^jl3y?Rh57==J`IbSmX<)klU77PhWX)R>d=Zf1k7nO1dI^s!lklbh?#$- z0)+{eK%@7kJj&YOcY2+lbIg_ao#cqh&yDVKNRmIbaX8t~{+`8j?;wrrIHHyCaH7{M z#JRdd>g~G(>yV4qAAkVH7+KT9Ve2tax>i{WxA&+xyJ+KK)(z6O@mOf<5LuG zYxvpTSu()BkDkIMkguA=amyvDf#Z4HE#f6H&i-shv2AZTJbC^ht7w}cZKA>My1|0T z%8N7HT2qOgUi!p-9_@St093COU3=vOr(`UIDN6McY7K#3!PF2N-LK9Va@PZOyn zq;6l?HbkA)qd{Iz%DiC@$CK!bk_vsVPg8uR^U|iv8v@HIKQekl_)}>u`XGtTrxIH7 zLEGEsthJEE8NH%ue%faOD1y!oBpd$B7Zvfp4Zwl>eC0<=+EHmHYwR;5bMQZ{e`1@2!*ppJ(s-S}5) zIv<8{+W!DK1f_t$%b}ms|D6kj5%MP+4#DSKop)(I`vPT2UT$jut^XAE2M6QG%GnP% z?6o`HH=U|jFCZ2jv-Sr2;P`Ky&yZc2V(NOXb4~OtC22i(RUp&7LHU=T-!R|I_&kjM zM(cLfvTn@l+Ld_z(&o(7Q5-z;Fkfzx?P%#IAf)EumkQ+(b3|WiK|8#g-buZAna4Fc zi&2a;B%@D@jFb5RgFr)Z5YHWWsn39ZnoVz|*aLE*n>mkpNJ<Ausrm?XN_lyRvuk4{U`Nb7(<}#fzh$xzl-?`;@@~YP!DRn2?+n0$*{0n#ocfz zJd-1v^4-0C+C!TZ_!R>#Hz0totffX1EWqV^98oaMphQPUjRb=T1L#UD@K*RSq=OJwHL(KDY+8 zVeI>&cXAdmwY@b7_6s+U4R&5s%+eevDH^MkM+x24a!5j1Y5w=j7Tb7wvYW1f=UKl* z75A?UL+g&EjWMAyPDWY%|74#sr>M~gA_6uv8xg@7z5+z)n}f`_AN_(=%M9d_T&qFa zp|SN7(zYkMQz=I`rbhRb!f?1&U!Y;c1aLGdF#!oPsiig#Hl>Hk-^V6~u-^_0(G^4l z9O!x?0y=!^9cy+pB{9Jn3>5>~nV5hGjUXnVgTg9#W%IP*Mp;!wV}*eoR3F($m-ySN zJx&t4s``gat(VSMyP^LFXo*3ff7_smg)ytMVV>(8vR+9Knz;UNAnku30im7f5n=)X zNDEni)o|9i@X%fVe6;{QYzhW{++f*m(|-1L{H{}{SxBZTRT4UAD~$3^lnpERVEfgY z3`F9ol?$C`!z#G##`}!~)Nj_d^rT&yOm=tP@b};K(PD85G#2C1g_F`(`WBh&sR%a6Z~(G9fz%JR8)MKSV$vUKaW zF>F7XsfPG5EM5EPIQZa!Z#Nt?dRK`d_XL}{GOIlFD9cDLzvHmcEPpK7kUX6%P^?w{ z_}M27`xJp?!BnAMlkTvwrB}w3(lB)xjphTH5mw<%6EH_8Q>Sa0|#+fwSB!qXQWtUWBXzE5H%I1>w&Gx{s1K0M464Nsbke{Dc2?dq+frH*Se5p^c*Fv}d2@CzM<-*x-h(9AaP|dZffx7dcXPp_Y^oD9oO}oh2eUn+{)VM<+Ewx z5SS)L3%4nLx9@hE*!5^d&YV@3wvsBjxAc+K0EC$FfAlZv89$KDA3oUn#OCL%*85P! z3T&cq`J5df2mmwSW@}6ctCmbTtG#&E1rV5nuBeR+;)?8n$3L54U-EzTk~36c=2o9>H%A?S8StP_%aMu+ zc8dr?XKl2k-ey> zUR2&@yz)nu{{kgOyoE-*wZzRd#m#8qCaL2ll|R-gfBe0AJ_*b8H%R!afc7URV9=$* z5(M|k{z_PJzl8n+PP$*z!sxYe<$s0fKym_FNDjPc%Qk4yNB3i2^K;fNa>rAGc%jAT zxzQrvtr`CAiwKz0@R8qQQjI`ZyeGi~44|aJ`gX@A{01??8)u_LCApp+__bp~Ofc^L z9cd8!2E6`ESAr21BMvrT5{8T!Ov1!bg6%=3)!|(YVc=E{EdudI0hSvKR9*Yq2VLPd zr@mE;Z5=!si{n85q#)pB)8Jduf1GWebT@#T|M#P?m;1E83hL+%3IZiQc3nph53a67Ud7><8CAkH z1Yb7uzfHLl0A{u>ZoSQ6KM(1^)KTK!+ zC>ecM;-egrG8%b>BfLK+`3+PZ1vGG)Zc%EN@C6Dd4-9wI6O#AJQ76a zm-x4=$C!W^3(uNXwx9)UkVV!eacC}ZBsNmCdx@11`29F+y+eC0(OieY*X`kK$20Ur2sTMrbUfWRZSCaCRICW!4p^*?_WiL+wF zL&oW>iAGnffu){aPHDC~djYR=IYm=WSSKA3o!X6|gW^MAR zEP@++o`u2)3DzyfVwQGKkiSRCTeNq2(278JsPyl&KisXK5Po}c(s;DgYBi0|l`<&l z(F8n1XB~QNeF5sRu4EHsxQ1ZxwG#bdA)0N4Nk6)+zhDotJ1__C*w%l*#tc|t2F&&s zTGR`d=ocau$ao%0~yt_|}vNN$nJkTFJm^F3dqcH3Yu1lx?YWy3G{dH+Q-D|XIH)${$WE3%T z{uhBj_V4`rs4+Ny5%{Y@P)C{$BMhM<4K1FtJx6nA<*Ki{MuJ&!b9qE$(9hu1y2uWF z=EtQfuvTK%Y!K{e(1$MF+5Vwnjt*7a5b!spCQNRZU=&F_HyD1?ri(c)v*>`aRk2uJ znGiYX@I@N=tw7_31uT{{`PW=1%;<5F4a`@&CcH|YMErzuAIybv5)N+*5JJP7N`%9- zWUS2${8kkmZc!a>Dj^ZzNoAdn>2Ry+2=ST~`9Mf9UqpkD^8S`n7TG`o;!2#zL+Nq@ z)IydtU^$ww907#)d4zX)_qzs=s6&=O0u2I;a?gr8YD7}g8Rbab)J0+tY)k(@(S$)5 z;&O{`CRW&l72oHagS4&--IYgtkY67cj1vVFAlAHY?{=2Na4kg*k?d^Y; zIQD_t@2$AgxA}%hp%5Zx7i9Orr{_aL1o4jYu1m9=z2Tw^)V*0t9M4jz=VkjMOQ1{Z z63Fsvc8dfsQ-Y#)1|@wQ2E5RqH!c08X9o(}kpplU+T?t93+{s@kX9~TiQjv)i}JcQ zOK>*Ii~h`H$b!Q2oNLoU7Hk=6%hVlIzVn$A_U$fCN%`R-Z0un9ceeSIA9xWR9UJ^O zB2R4d%K1+EWMq3ceWd0b%9TY9N{XfdoQ8nYiL|vRK>YH{;{CH$^ zMTIm9vFBb^pCT4cMDoJc#iOi}oFZA<-lD7v&tY?|1@*(WtnKwde z_rq3vR)Yjyg#oRc2I@FUK0!w`BACFlt`Sw)uM_v<=3VWr!G>Cp6ly&XtP}XMHZ#wD zpF0qtcwO?UgrwCb#`2=MI<)>j2|{O9ES~Q%1w#3 zQur)GI?K&=8-^CH7=pws#3YivW$%u*H~EJ79Xm?Y&>flUXJPRyF8A;Vr)l)BwqNp_ z=;k)>;WqyYWrT~!89m};>-=_ypo|~H@^Sg}w)3#lA-%aS?=V>cNXKkx;e`p4PoH+F zS5-%0mbPaG~<-98g@ zOV!T)b}C(2td%{cgpFzutrLA{PHJZlVH@6zz2p-zVkjt1(=nxp1dSMa00rRqPeNdL8Zqp}C$L(BeI0$%4P%A;2Sru7w*wG$ zqR}b>`5AyR4=Y3iDUdH-CklZ)WC;_;0{Cb5+_VRol|1L`yfhqCfDuEj*i`|%$MOy& z)AYoy0wJo7>ka+BKZq_yAttZYdf~%z3oOIWZo2k%P+#iaCU=9owRDw%#TQZ&8*Z!n z`F?lm@L>i|mIy_}CP%e~&swCLZ~RQ!f*BxI%Cv@6UCzVyAw!rLS8wJ;YMaQiL8>FX z`=`a0W&_xlnvbpzHK_lVKpf9d)~9UaWH%>}e${eIFY!n(rGwgjX{i^O^qgyHmTRe5 zeaI(2dkeH_-5mlH^Pmdg{;lwf5X%wzH4%Cs|d z*lIx7J8$LQsg9-BJ(of|>U6;*-nZo^pE^0L%aUHdN@(ucjZ2A1ZQg}8B(z=>TqQey z+sN;!**9yKj?roKk7~Z$jg7fyFM4jadQKP{-;JUjh|t{q7}!BPVt;$UdHBc;XM0Cr z=(zeMvSy+C?E2eW7`&0)5bk?%!559u!U%_Ni+LMEBi>ubw|o|=Q+T}Cg%^U z;Mke|SN0zeUByH|0~xzu2!2ETD^3Td60kGILU15;7sLHe0YD=l{13@l7Y=}Y009A@ zEUe06DahF8WM==WA};n>4Wf0 zdLy?9Db5C$y(bbtN})#OB`xL|YpmHClaG7}1WZOoUMDAp^RSb}1q;HrJ}&j*kcRrS zncQkK-9Nq|IkT6Y*LrZ`jx^QBvY_Sv-<5-ERq<& z&{fO?a2#yLgu{(qU?!mD`3Di8i^Wp^oxxuy*&#*AtbPmrr(!y0wm+cJ0a(UCo1GIO z%&GwdTz1*F%}bQHTFU3pLJQXq7f3_sntry?6OJHFj~2j0x%)Qsk$8yV?f8jSsjYb9 z&$g-_WL(}}TNT&_ulR(CyDE_@yh^j#DB*a+%hubk_}G~lOiwMJgq!Z9<@{_*+Ft(w zeK>itFQO*#v#n*RV(}2~c*BnFNW=8ucO?cik4KPTF{$;V^NH%FXHyz4rH>Jag7OXe z{>#|%Z|$I*+<58F!ecDH<}&X6F@oy&KYLH3&wk2+OGr3Vz>v>ZQkQk7m+vL`HngUgs?Mzq zav_F%&z@MF>4@`h%gn~h8S*EPy+s~_@URxk7LUnTofQN!^){qB*sKaBm#^1F&L~0n zae{;Jo*d!PtAGWGW4#&>++>sjXgGEa0J&+R(aor&^ytMHSyHxu7#rfeDOpw$LAFof@+az*H^}AcIrdTdt-gt zXCnH1=E}NLohH(Tn38odi81_H(K!b&gQRCks-#{-Qp2hTXXRBL&{MIX+6hY1qYW2Q zi2f222@tDw!yYx?V$%)t=cdw~x@;0=xd5?w^wh*4^ewp}iaT4yf_348mq3FK3dfDM zx0L!ocV6P`IhgUiGcT68&(W{$mr1s^g^(B%i(Cnl2Ny`svXbseBgqY}0pmQOyLX%0 zyy{V>H-@IJTXGh~eeTb2o&|w3X{W%VXn`~7lF0IGgLzDRvNVWK)_8!>r&WaVLM>5k zCs|)kv!8r@v*Sg)f2@S~WcI|jClPsnnKyh^bGO{vRq!=4B7wb+qg^2QE$Lx7pDmak z*BJw>IjgH4yL8{OmZCdj)1BW{RdlyI(4z!frHRMDlZOt^nk>e)^AUBKuol z`vP?!2a!4N-oWoBjzF+0vI|8{+GpL^J(zeJ#tPQ!BWHT8R;jj@ESP0D zLJ)otRBPZaHg?Po0{gHI`s2@F2Fo#+P5#Q}@ITHo;L(+r1S-bVtKt#1^lh^OQ+80c z7qb!UDhrD}1r_}BapCu-fX3)oW!V*MZw6J|-KgeFl%*z>rSwMzxko?++Ln`mf)@QS zE9T20M`Ht5V?(4Z|UDC?u) zwZz-19E%M422EU6*sW~auRWr&f~}r$Kv?9URaz*fP=gPdiCqalFW>|VwUhuu7ZIz` z{%vhef&oNlRN{u`2X6&J2sAXk^L#N953iiRY5`)0&&sA!eL;M> zuL{h!1myz_sna% zFF?fA;+?h@E<>QhZk*0Kru2pr%Ou$79(kWm9!R=&a)4CnF+T+^h@*vwDI$s7lI#D$k7g%}JAWw<7Q`K-ABgT(mpd&Dd%SC~$ zDzjZb_#@K;!&v_bgV)(=VC|r9a1N0}rOoG4iI>|G!^CnB4x4jz#G7Uxqc7nv%nRj2 zKH^FO4rP)dJg|sy!HgzY4Enq~qhfn>eql!QgmjnoXvNvNUv{Nlaj&J+@;F?87~a4j zR?Jk+1Kh%yU#EX?^#w?8RK)YtM^b$^Er2Jpf+zOetG0t>!0Vt!lj z)x|QmQts>B2ll;uf$?(VKLl~~S=*sH)tD_ic*o)A`7vu+xACPSXugZiYsP$&s>Maq zsr=hYFB7R@{gxP3=liy>jk<~#Ej#VLHllfDk@*Lcye22;ck#a%{;t*^ zD8E<#n;adrNCQXRI@PzsH}u3W$NHPxe3PAMI5kw~j2nX(>*n(VH^HFhBt8!KngcO{ zP|&0V2drKkCj!nJ&TjBeTSL9_Q0pLv0@woEf!aO^gyuW!(~R%h6zrPwpBjUT-W>3! z&HLT>+M-#oD^~m?K%-zcN7r}b#@C~(=UQ?>J#X546R1!x*gc;%pEAu`01zL?lT_RE zYyrfJ1#b@S>-wS_CAf=?Z$5U?QeU1(y$L7*{_h!du4VV}8$YzhhJ5jl`GPlo+UHul zz)_0EMj_zvXJ%W3mH#{4A6ziVO271agym!UPmX~1Z>#_hj0jA{e9f)O|3le(z*G7D z|Ksn3tmGJ3*_)C=#tF#^86|s@(U6f9ahG{yWQ538$v#&0K8R#yWkt$5R#xHgzs}Kq z_x}98|HtD#=RVhUy`JN8j{98mKCW$pxlp-Mi0m_myOsCAbJj{DUBT1Os`uMY&d(Ys zJU>Sh*Qb?xK?}+L=I(s_g|vWpS+2}SzB!_w4O|WxCPa@$kw_12>vKtG68c5D!@~Ko zw=d9q=(#YgrDDSa?tweIhuwLP8UE_;)(Q(qXA<~QmHjo5EuMnBa_qc%^&5%}C~O_A zWiRaKWxM|Q?J$|ShM|2z?&(aWCNS;b-0^g4kKSH*YD}20=+nhpT;d<>0*-4pJKSpG zSCE!mI@YjFP4s%Y&pud0YVm3AcE~)BFzMb`2qUw%IX->#oq4Z9p;K+mc-ga%#*3Yp zt-_jNyTHcF@UT0tNa}5{qoGQlA&QGGW1;~hQaAd=&vg0(gJlcS?g;FiV`?AjN!^Kt z*%Xh>j2qg&ePBbVK<0^VtpxX2c*4wVLI;+29(s%dJEz~gD;Tr@8$c=x7Du+hRx3AR zQ{IE;!w)!-(Y++(pcFz&gxsprBoWaf0^26;=-ucq&mngt87wDnPb{H;I*GxwUg0S? zatT4!9G}_6U@4Z}H)szAAFEFkH_=ryzPg)x8Y*=YY)CK=jB#_RAyT;qF2lD-(O@HL zlM?^3H^MCWUTvbc&R9&Gle83Zp9oRkG0dZIjpS2H!`!Lq z(%WP2hdIe;^l#^@o;OHsDv6I=)?4~Yl2Xk1w*T3CgsKR*dlMAW!FFS7fiL8CohBuc zA8#Wv`~@Ts@{i-y-S>CWw87SQ*%wQ%tv)YQ}F|8#FC9S~VT69YA^uyz41T zGcSE%zQSCMNL{=rf<7vGeC+K@SG}3u>=Meul|ccc|9-yGxHTH08n_HU{pkc)Z)*>; z_YHv_&#PCN3tONl56_F;+=6p6xKbUiqZ*>@eKVd3)JeG3qiZ5hJdJ~K zQ!b=GdNKkjyuJLE2c087lBx{n98*jjQ^a_!c99cgoAkOPj&)GlFc%EZNgOF7fyp)d z3owA6ej5!Mq(8OjjJoIOuSHc*O|r7X_Bps?L#BN51yt9D7F(3MZegXE(>^nn{=oC0 zN=`ezfN;g;i^;KcN&(?|s4YYJbR|Zj zoY%{zuqfjyiBd3K%vAzcTA|}hBxpgi`mExcS_X6{sGk#%k>qCaX8VdlyJz$;A9oUV z4}Fy=+0pS)&%jSqCzed4bPl-CMgJ(JSHVXe0(pXz&XQa+&JiiSe6ktA_=!pTX_c;7 zcG(OqpNQ$rA=eC1yj`_=UoMhVH~l_hzy97UbrKzgq|sUm|N#V~`NBj-UG{Q8Ov zQD&1pdL~&Z8^Ls9U1ZX2O!Up@DCg)AozA>MgR?sg8GW*6Pr~3Ice?p4GDOem_4?Tz-b{vgO1^|1 zWBQU@!U0~~?`yxlVmifi%^D=?LV(#uiSb%zr`1E^^`3p)Vv$5T<4lhMY3G*KEtauE z4-L-dZQgF?262oNmx7OYZc=}09QSDZoK92yI>tQ~I^hwUyL94H=k%N^*pWVe?dbBV zJuiV0{wI-#72BJ`%q{Oa2|IW#lv*WoJQ~z5*%v<;0k4IEP&o0f6=xYd#F5SjAy z%vu*ENO&g9x{K*e3Ot@~(UyC(o6J!On}vYK%eslkjdYV$q|;A^SwF?4eHU#5%F^kb zm4s)*tOMZj%5EZ2i?+|p(&Io@6Vn?Iwa;?#?<_!w!cF8?7RdVfuNXl407t7e(tWWa zJq{>$9j>GPUow9{ux9_1K`|X(>iSLihgZlNsO;A#2_7GjyuJBaa)b)avo*qfR^vet zO?#!326qSCVp%OrSc9(p#%}i0{yl>`(^1j|ySKMc-FMt~N3rMQgPD{s+M^1h=wN%7 zQFU34TlnqQI1+n#&bapQXclo=@_6B`Yn*oNIgqA}QokBuL-S_@Z9|vg_xdAs+SQd(k5oK%x8GwzN!wG%Tk^3I@;6IOg>z@#eh;=jh?B zWG{#wVXM|JZEo8H*d9({=0kgV-p{@hwo8ycpfR`GhfUty*p#ZvZiBxqQxz~!TPfwn z9a-b2CJ!4Up zW-D5xbjOW7A@JUWdU70x56`FZ=$&`Twg-)C>qjHcgh#|45C@BagT%nnV>dB^Q`jeF z^1`QwuYyCF@f8T+iuDG01Rd??9K>Q*#K7__5KRYNKx)Ufyo4T(EqVRWaaGJH&04NO4uOjz;M59rvRggxM)cr!(wXY}Ck#-;F$27j-}lY)0rD>ezi}&)S2jiyoXt zkZw6>Z%VK$?kskA251&=nr#_j7LKLM@X>FxZ08D+sA$QHDK z9RA@Lhxqh%EW8yOJiL z-Gxo!3PH+$Mg@ii^7&vx6}qrMqKeCiJZf1i4gZV^SH)!l<4k$otP}xJTn1akWqe~k zdEEyo-_V@6`0r>SbRVk|J)=T{ll`Fz;becO#{WkB@96IyWp(*+72y8nV_z2OVK~dg zRXq9v7TtyCYWR$>0495Ap+VM$rdZqn6)uh>Vd%J98?8rhcwk3C<@K3o{@r+x7YSY0 zefC!@ITE$oduOiMLRXYrFyz;4uPF7&Gij^3TnU|f!O(X}^paBFi?FOq|IP}M%u;l@ zqW1QZXjb}lfC5p!Wu@UzR5+se7q}2WoGzKgjU|R~%$J$8{~loQ2PZINu+Q=TPv*P- zAI?hlonC^Nw0$MBY@S&kExj4lq-B1HMeNuHxB2vqGaY;u^$$>5VgVe5?sLr!8^@F0 zSs&F1&1No;V+7wZyj5Ixm}u1)JSnNV zw{kbao&U>gH=82x4It>DK@^Rz>j8XDtRz? zB5CVz;)EfuIco|-4m%-U)`XZM8K)Z5fpM*&Z-j<6H*cS@v?Z`F@tZ&_`*D%k9MMo{ zaNtUKcGWzv*_$zq*RIZ+seV|9y_#h#alMvVeSUp+3CexH3+-i?y_??3AFU{V;IC(uiI&}L)2|A35FKi~xpjR%VWAn*->0sUg_R=Qft1P<0p!ebpl`yYO9kT?}ML zT>NP>A*A$}-J7M`(kZqJHf!^iMQ%=S@=r1^s|s%vY}M!za@ys8T_^vb)?%_cFAM&4 zrFF)|F5~`UOLfpa{N)g@oUP4)DsNU`%!~gJCuBpGGgiEasN#^VaFsV}*3=9?^<`Z% zA^enHs~LC)Yz{e~=I3e5Li6&ciRKfL5BoMl>2dF;G#gr_;a2uAJOK9wn94A^aa))X zzW{HcEKArL9Rl-_?L=!HHPx>^E*H!hE2#Hya+YcVL$L*)LUL{O&-0s1J(EpJ| z{r_eGLRxpPs_(Nv`!s<0e=7fm*@yg@_20@sY2W`ZkRl=-^IBquT!mQ(4yEm7}#k1Qq&2NcO{cGAlUxTjGCa5N0FnTvb6gC4qW!`CQAS6*q2PV$d7 zH%-a>U>t-j^?UDk%SYeVeS-GxY|HH3FtGM~eE$vR4!Ge6GGaRqV@>F4!FGfcNqTF6 zYNNnIa?HZ$*`jy$6G-r7g=Zn|Ls?lX0GdKCJv^G2ed4hnf_e1UkO!~qR@S1IKAZ-DCpp$<|QQ3u(Ui4pt81%eAh4ql#TgPDS_Hp)9O zk%(h)dd5r9F8QvzqMb~s&1mvs+LuS%fE3;{$(+|;v+AKcxwS!gcokfE))VEvgo1zK zchj^<8aar2O+lQ8@RcNk-02(jlQlL&Fg~X976fB19)qe#6%dYwhdc%&8eT~bk0CGc z|FTp`Kfq>QKbK?E;2=L3=Qn{ikEIF_IMAuy;N4BX^>BI)RYnz1iIMI+Ai?wLX{Ewc zN!_O6IkQL0gb(Q2#jD=16V{qYX-^Z6W(VjH`x@87s0iU9MH+MoM~wpgCgOb7FVZDt zy6NIkcJvO7`pvGcxjn<%O7JLICrWX7%jSdZZn7a-huECrf2sSbEvzd1PJ7_ z5s4;Wv4a&$-flW1b+GNt0Zve=&zAxhz`?4mp4}VMG#Svv3&MV@jG)*;DW|0yjkVI^3J*!gFfFsxy|IP zQ8={}F<{_?0Kpn4#ri7S0HZ?tSv`pwRf_!BG6{gswu*O}81b zxPs2{cRbTzTrXv9>)?_wB*0tEi#wb&J&uyA*pvD6sNz4PC3qG~q;ir(xa^wSI}q*T7|+xM>$BK|J5lAUhkHNU?I{JofBx+X!4bX%0OTBmAiZ{Z^Id zOb>L%?csXcC2ow3Z(zO-t6Q`#TV5m8FZQ0QL%T~>TN=7vyhKpArU^?y<=O4wk~7qE zN@&5V8sXx`aTPi}|Fk)8+M&j(Gn8%X9IkB-PCTMfLOXASBbQSG4hsP+~RH5ZT`(jV&QWK(2~n{Q<&7nwV55Lfy-Y!_ST z37hd;iOs=tJ?{egrvBqZvi{T8e8;~?L_xY|4OyC=bvdII8dT)bTL z1RjmQYjs>NI>t_gG~FMmSGQO)Io&ezbqHe2-H|X9THTZ)uWtq|oSi%QX75j1KrGo_ z+~5*Z+IJzFIed8XTl;5gj6iP{L6GhC23Ftg@P@-RzjxC@IM2GytoU>11=&QXIc^}EiceEWurII3l3Zv1RzSe_LY^e#!hM3vX1Fsk_IxoMkK?kL4>er` z9@W!2g!MkS4neKQPM{s`qwA#T(fc#Pvyr28dD;!PE_dbakYA(=_W{kUSZY601X04QZSM~dde#%zU-4w#U~-hH|DePjWf6d zB^ua`JN$2ur{fN)fn$mT$GGz(axNcrq|rx37b3E89LJ@fnpeB!-Gu3*{0kAm-+3KV zk594}B1o-Zx^3)ve8FW8j!&xSqaf|8xD58-vOjo$7c7Rq5Fua%3)INL=!iq}9c5(O zRk|1wle^DW*a^QzugjkiCM=H^onknIPSnN>e@xI=G~f0`KioAe4-9oW!uXN-Oq&#% zeG{p*XikO8dh$x@<|EHR`9<^ekw$LF>4;$)E`xUy88p(USRR<@bj0(O6dJ_vZ6bq5 z8r91Kji;Dhf6&nWg=SwV!gKI9mR})&wQ`?TVbMHeq)`w+tX{f60P~+Re`2`*Eu%KY z{F%HY-1AG$fc`euhGVz1^qm0A-Ic3kdq?xYF68WKiMmyq0yt0PxC*gvyYjJ9=C$v2 z8_`s*HtNzD_^uG#+{|ACY5)^0QP_!=%0wZ(pt6Ste6py#3JF zS8UZUKxng~fXEqxw}ZBqEYrCd7*AZk2^Gs*rYnSo#@OC01`(8i_G7pSd*IrC)1JJJ z3sw0E3JpE_%}cYQ>b?Nhi!imqZ`^+igPIkCfst-tiF_7#KH0`rEsv`n)-!M58gK;W zwo2w!Q69JSi{)RZHAy^0$`MyGQcCgcjM&_g@QjINQ?s}bMc2a_;7lCg z43mrZ!DeU)Jyj;VMiL+r#HLmsZv8Dngg`DH6)tFTLD%d8?Ww21bUj>jJql#Alw`B) z0Yv-w3jEkibplouV^nw^h^@zl$Kt|Og?>d`E8L^O!oH*ADiCbOK5PK?CnmN)9qg1w z!9{#Cy!+>KFqGS6wk%@vL}NT=UrK#gNa>beIMlEQoRxFeEe1o$8*gdd=e(MS=97nN z6V3}EiiR2Ed_og4pz0+)>oe18g*K7|s_}J^FE`Da2`a0{&$)B66T+!GbWvud)8n-^ zchW>L_TxoW-SO(*54JQ>$Tv;YSpJ5j!i@?-{6^whcZ z?2v&luYs_9v9)HgH3A6f)lvMn1P<{N@ciErpepWx6*JV1{od4Lsh|ftUK3s>N9>EJ z7vDTi?~9NfOhw3|Skam&p$GWjb0`Eo@auE|7Xb}xL%lolW;6{C6v;kZWUuhi8NTiC zlu+^RxZAOH31P@mh26(l$DBh>KE2}_^V0S`4#N-fFE=D8FBKlRbb8}BabuCc4$RYy zCyDUfvXMW+qHfs;gYk?BWE)};;@mqCa1|9ELKg{>bE*$dpo=8NrY{O{azFuBec0$v zaZ-`BQp%mSxS&YZijO_3leHd{bHbeouxCNKNUCpG((l-xPy}0?*{{%_p;M@EBOy+n zfGHm~iBp`kWUXadQD#{7Z>-QSw7(@}!S|1E2ohIjTXL10+GG@~-+(8(B$ zi4AYTvuRr74@j5h9{El-Xu&_Glr3+mOYm~j`CTG^KzzpHk*|f%5Z%X=$7ae%xzdM6 zwtb1dtU54*?k9xK!eqza-#8@IzT4uRZJUy7B+{iL$Y2|+LL1C$e7xK?qJ2 ztBSvZ_J5Sm_)*%!?-7{o8<)G!8GefhZet~lmW|OQ)PF%%s7ZenW)<$=#v07)3n)OB zifo(0q5Gel?x+rCF#5$~EDbsYPsaj{A>~2k4@b5mky_*wVAQ3B#EmdQ*kJI9pZUN+ zL56#yLwKD2!Gva`<6{IyBKLGE-44(mt`ok#J$1YLpS*33bC=6(|4Hh}uw`AGIH7Vm z#ecK+184Cv`JgfR^4dvag@Xs+p#i?y4eGs$9FNuC(A^%i_#j-9 z&pg5y<$CJb$91wn`V)Fzx1UQA`0SJ}PKpY%T2vbZwt~@L?V5n z_SS2bmmlm)XYb0~JmfV=49l{-l)F0^2FgLY zD{z5=<)yFmO&+hoj%9NQKHKQp6SzRl@-j;LX2us};tv|WztUipCjX%M6#{70fihry zaAa7S<)zkLVD4r-fc#Yj8U`|dVu0H}Wdgq-KQb`@mWIHEn99Z@!}liE(Y3a{`gt0! zEBg0R)NC+Eyd)QFDrEf1lBE-moJMNcfFU~>>DlQ$e`875SG~1zX_VMbBI3Sw3-bdR zG?C33X0vV=N&t%^+;m&cAWh9t(w4cEj8}cjOqv(TpUNESiNcP9RQ8%PtiYE>2OM{W z5{}F^VW-(n>M4*l`-)#^US!U8TWTllE(#&Y?tLO`{)IGE3=Bt>Qo1v-klDou~SLYOCB9g(wieo=!Bw#&cv_V zs)J36i?0!cjWquRzTugF$GcMjJZy0cGCob#^u;EU7#2CY$MQ;*xFr6 zyOQv6XR*DhyELS}9dMYt35L~Jk?FjZcuk)xzV%Yr+EGCQ%kpraWyNCcge8v#UAjFz zT%v`}>-Au(Y1obAbo)aSpl-u=_9`4>R)cD)g++lssJj%*gxW}akoY)b*zDb%lp6C- z4rUU(UNm5m_77H{z`j<$Wv{m#oo)~3!Ll|E-L^iB?!p$B$$x0S>b^y*G!Dko%oE~5 z;E&SnVG>`PSI$n&3|D4v1!TQ|im9r!TLbw`;v%*XsW+G75SZNm|0iQ^G}i zT~+VvXiL%BX=yMv z&+M2#Iur}q9otflV>-l*LydeIbb1p(Ds&-;3}JI2&$H9SzR^TCSPDF~mpr#{!}en8 zv`QhM-CMNxo)p?xPue{+R)mS!bpD*A=H96|*6aVbmqo-&L$5r~Oh_@_>Ey1m;aD2- z!-fe~WkhW{Pe>(JWsok-PDvTNYw#@1Hh4<@jJi1`^<+6Iw91BRthd#(VmV12E3r0( z#Y92Bf&q05HmryXr}fRxH|5Via3D-^jLOZfyDD~Yc!AcGW_8mpbXv?j#q-ONEA7*{ zx+|;X6}#JFU-mNh<_~qPZP8fVWpu745>b2&CbjN}q-t9=OY0;b>h2kT0MY5men8HS zo1N*HmrZ%24Mt>^n&q@|!DLI)8=ruC^xJw~a%kMh2h&jvj>RFFz?nmtNe~;WkO4A? zE`)HQ_q3~FoR{0AmzT|Pz3Tj>kclPuA-H9k&U8~kfg6p_VfHex6kp14yGEsBY5Pt^ z?GgMOZ7?16P=Ex?C_%Y3`RYd9eqK3qn{<6!r@Qx?EK{U`U& zl!V#$GQ`c1X<+ofwnN7)Ry3(NJYwA2HZpawS2rz1ryOZ!&+ zl2v;^P5O63k=S9@vl%d7*b1>KT|0AfKj_l<-DZGJ>Ge5$;@Ak@K);-OI4`AxajdFu z`#k=RXwkA^vDPJnz@G_>h4=OD;^TiR-gx#2-I+lZQsTyJLk-6M2RnNuUK4NYy}>-a z2S)c`$yCHP++fCSKql>wDqRP&x=uqK$+!qHP|b}NdMuTcqt0_XWKn`LRi z;DfAHH{q|U?tt3Gh-OZqR0Sng8ul>*AdqGslV3iC5{ON3e*;Ru%Nv3cL0~kN3;cLe zM%RJ<8NL7rJ2R$r69(qRNW>cQIMLMi{lKIcA9oO(>J&1od}?Ym4igI~z$mxAj-bT- z(V^Nhr&i*eZIkLYE*{%;wEV|NDx>a0nMO`{ou=a%5vuB4bByI{pwQ%YYmhFm6%V|C zssLM*1JV|@O znPx1>4Ua$vcbEx(a+ekFw#RyIUctDCP0sWiW^3>^M2}w@&={SaeMNC<$8d0z4UE!B zsiLXwziLTa;vv5YrjFk6Fe(KPp8V1z4(2FzI={Ao3zP&ZKqZ3GA8%QQ&}-(tr1SO3T1{#?rU9Y zJ=9{#QMV!k%9I(LqePn2dY(Rc%6BW0dz4enF-l~X``UviPnB_yP*6UDgHVVm`~HAH zD7BuHCr{aKMH2psiQDI>P>$c1`3>_cu{v^{D)7r#5hLO^=o+4C~x_RMS}j+0aku+O`QwCdHaz8m?^)J1N*=F{DpSE zR$3JJW2rxczf}anR#ulCTVLRoAoV$qe1Y%^WVC8|5O*cP#5(pP%p95&90>3X@1BPz zc8S&9zD{&H;J{NYx$pwBXyu;uTsCn?!mkF8Ff{>L3-j&%G@j2khd})Bq_zrpgbS`EeMdYO1riDtRCW~g#?}@GYnL3$ z&2uSTjXc0KPwF9a1uEAUinqDXyaAYweLq$fy#}0ETM*Wl1NQ3P^?0z3Z!CM@@nA|{ z5mji=VKCb+!hL4>ZK+oPHm~>Yi&F(dgQT zueImFM0extnft}~=n*-5C{k$0@B)nerSfHWemtiOmy3f2*_0iN5nzV2yzp(eNfE1 z5&rJeRK@Lx7;V|t`!AtiUL#`SQmhn<+wo5br&)2^#=%Yq%f7yzW)*F#&t;%Pa3Wj& zbyf;CMN^zZc_P~;f`us0gW?+Yst;DO!roZTN|&)3mr}9>Ef`eAKnmw6wc2Rh+X(B(;OowPm4< z>*{u4I%@%gS2LZi3x|C{-^A!LWj7~_^xD_<7F-V*`T4lGs3&R-9)xZ=3>nSETacgVIEwccw9N^uP2}6@!D8bh$^Vm z?KOE)Ym&X=p1hl1!TC^q475#Wr@R7|rG2Y3XmP2AZRgL@#_q|WjC83NS1fraS+EMU zT7_S2hrIV9gzhBEj@b}llVlTKgm;qJsybPhg#EBg70c3ze`jjlCi^VS@iUnIn`|e= zm`(U5J&^S-CH^<(zrx5qLqF}cZaYtS;p`n*(wtuOMs8@h)q;iq+&Ho^PS2Snc?{8= z^+~LCeyL3LbPmR&lgmY!nZr$)9R5fMIXA*ZpES5$WB5Y#^jVDOb8u_oM)C#I(Q?(( zi(MH>yo*QJ-><{nl$%$V(bxBE#uFAy0Xnow)te(9^#9x@*5P+B+*R0=-vH>&fevA4 zl{e_gabb%=w@}R;qi9kaHLz4a@Ai2svC5kkmukSKjNLKnCbguo5msP6ZE0%V^G8y13of6tvIc%Hm)S8Rn zUE{^bV~Q8)w#*ixNU|cxOUzAqOb@AI99c^g)@&TPa`x<42{Ch@Q|#5^t@%{v?I=x; z?Oe@@OT42ByrX=NZm~bQMXllnQ*k4C_JrseqkoUFUr*xsS;6zO>Z#7Osm>Xi6~USn zTDb7byraC>a50#Q*!OTXzn;+Zv)t!r6`^0^0SZ9)9xdYN{~n*}OqJ@KjtktU$AvF` zcT-99432XXx;icYjqBfqzgUH^UW0H|1M$Gc7%DJYrh4~87({YN}tx2nSpPd4PzI?el!R^-q0_nyR`3OQ=W?y5Kp(I*}c+i>Zq z8O#Mklo5vGbi2|M{iNmV>?0n^INVe}X{E~-uZNAqH=>ary1;s&u-jw;@yHLhGNKYg zx%OyrbaEdD)&f=wV2DahUggr_NFcz%hO>!dG3su)M*W@rdw%er9&r`%aBrY=wPs1` z_e+dMfEK!q_J_EKgD2k!JI|Y~mvz}%eYLlv#nvCx(qW#feEmGmY&iqWX3eF;aqPBC zcczsbl;DO^e;pvcgpf7Sn}|6qz8NU;sCK+?0<2sbdk6Itnq!uB-fIcI?}EMGb(=xn zd$nL#Yjb+-I${u$R7=zT!Sn%Gv9UF2Z|e5AR}rZz*U(Y?)9P&Qz*%*eOurmQ6R5H`5XNxe>4jjJct)~m^|!PfP#c`!IH#*F*E@H%pAn;ETj zUW)pdC+}UUCo?VgGM2ygrIj9pl4dZ+0)1ZJW6FbpaSy)v;G&0gLeG$t*dFmFtPwUY zrhCreQyCoAsQu5$a3P~h#qmSwRd85`T%AKkXFIH(>bB1NeAC69h(gt`xv!ZnyE>+# zC!eAx&kr>z4>kE021gYJlUmX8Skcn9397dV3O+JUcw}tETPe<0S*8iOI_@Jt?Edlp zLb$E~^8MrgA^}v~|A!|CnDSofJ7Btqv-91}|JnaH0K4l2-pVgcSB#7n!+)u660WYG zy2l4qK#)n&>9!QVhOUheMQ%z{=pAo?Ko?3f!C3SJs{TWf9@VM9sX2% zl(eeEZpW?IF05|6|D`N_UH;2Au`j-&Im66EwGgKQ~=1L{pix*LK*RAZHxIv(KWRhcd zu(HUeTyL1r)n;!JP#_mQ*N@&9#&8sv+Zm6+E%R4FB($@ z4V%My$l9twq90ZAkj|h0R7=fsZwqTDY$m`1M>adFYlbi`!G948ORv%q4ZirI` z)sdB`37J6aM-i&`yLTSC)>HSyx=I=$a_xPtD5{mgxo{)DMlXs`!&g#&Ex?`HW)cD0 zc5&TEZe76)s7>3qS=1`%b6<*4R(WJaX;qGIRgNgUD2vVE<9*H9cACH{PG0|c%5h;3 zf{lptDZH3=oc2|YowlD5-9f7qH}ipN>e(genSn&84wA_|S5bNbA> zrM2UwyoO-$_^^*X=%J=WxlTO2&$>d)Yku5sRzG^_Y~;pK~Zamx@RD zh!t&*GAo9<`Y~+e^BM@b?Guwog!plG@?ht?!CfmSjdHTW7?|)#L_zTYCSYeVi{`+?R8}XeVID!Ro;r!t{>fL4h@$y$Fq}sD` z0%QP(_j>QDH+rg!8wM>kPt%Ir747I6yyXE9#Os@?G+O+}fGHp5OzBRC&lon>X%snJ* zH?l(N>bW=9%v9@8x(PAPq=oT=ZW1wii$-X0VdF>>yiJBG81uEJ<5{crBW~R}@yqfp z5$FGs;8)8_6DhxZ&57n&YqUyKHm_RVm3SkCmWW8nFlqpM@!0l3#nlo z3eLy)-a0--gmL))h=vrdIjP~`0@2*M-HuN+TO!!^;aF8Br3W*A67@`}JZlvN(U;zRsgvVg)lk1}>#YyfwG1S!W3!}tb&BZZ^Eq3PYkUR=UuUBouKN&5Wp??4yh0PbKWvShJgGRNNE=yfjUVj=nr_9wS_vp$I{>-Gbryd}S zf~(J0B`bqBGwI4@DFs{zU)B-s=qnnRT7@#7dOV1nlii18vC^mcT-79KrJ&&ikU-u{ zW&n{_UQdaf<5S7v!9p%e?L(9yu0AcC3^S0?1Tvx|zhN%mWR-vo0C@fv0vujr-=;%- z-f#Z?6#F&yTa}7rbTW}O4q@+{)phPL}>4N;IoX(qz6_QW_9`T1t+$fmX;X zZ`VU&o5h%DW1Qb6gH)E5)<>PzM^F&lMFQX0h)B|ysG^L*xq`ykkqw%0W}BHj-!*%_ ztI0p5*FPngRCXVcgbV*S;x7OV9RGhw{0RUeKRrQzGd1HpI`vmi|5pi6i2}L5GW@6& z)5*pflS9pr$BcRvnv|}KZ;gkzv6cp5RyWo##UL&G&jAljeHyyljaF-IvtxF97CHpY zaZ9Twx6WwK4PU{J=GUhYufk9g7M=8&jLsoBrqS1K|8Z%HfKlGerok5GYb>~@l9;(& zdl`RGeaK}0P`m0W+P2{ovGDQH!bkSu*L=gTA%&N*S}$XRZ=Tfn9wk^Zae!=f*`DUGNky`*j=6c}6%n zJkJZ&^&t7LWVzxB2gahd^WAP4liJ7cCLOsB&bhlD z^x({s9URp+dv#%S=3=IgHk5zuti1YZm4rK}4lf9-RAj=V)fEBLpt(03-I}GLw-ra- zJZcxpTuvm#Z;L$~sW_@(dUhC!y7Dr)_UqT->UR)*_7`Zkc5h&J;8V#$dO4-mWm#?CC4NF(&DB5lmjZjnW^+T~2c379#z-E(@ z>1F03uv<}v^P|n;5?k=Af*IW-d!Dr(bXHY@T$bV=R+#Iso4_PZloG)nPwBE&elwPA z50+N2k`8Rtur&Sv)@U1IG#~rlpr9ze=W>xQj1V3vlpuk=)!;zWpbVDwOTtaFz2-U$ z?C^7I1gUb*^qA;EpoOblsd1=-DPq_n`3jg+WyxN=GlsoI&On16jI{P}|6LiY>C$Q& zSjXFek9ECY*~{?2u*U$UQN! z5CyhlioqqY^Zy?`jm@yPH0V5;l>Y>~(KR9YYefPCR*D7EDd2-2(hxUi5m;$AT5GQY z+dOJq{lfiCYMhW(ARqDFb9tKMi0I_%33}$&TXK&pvNKMW=DODzgZ38Yc1x?om z1>D5HcA@h0;cK+L;jUH;J%Z2KzLX|ed%b2jj~7S|_0i?4#Wg8URwk;Wh!J(BQ%Ea`JLWaN^mH3J^}b4TPg zBJ|>Ra9lv0va-#gYeac{ijMwt_sAGPc+KR!a)_z4&N@QxfFTwUz=W$RCbXWNDhVo!48(0w% z7=VItxFYJ4_$Uu1V5DU(%AGlyLwWcsyEsEHg?re^Z+~eB-WA?5*S_QB*2pi9e!2C? zJ8)foN_@alpaqrP#~P{Ok?H$iIXsFlXi2q}FhkONXW9Z4ZzS;JRf;EIQ~;6z6f3cCsLDZ2Hg z(*}eK!2;Tnjf(qWp_^%tMo^YOwqN?%=2nodO#& z)uk;5WBn4VUX=;Md~tzXe*hJ(;<(vy+!JVJqi!Ct{C!SP1Yvde%V0pHkSF+6((y-9 z`tsj>sCFdX3@+jsZX1fSbHr8gbW$%OQ9IN|+M?FB^SsHF{&tB*P`Y;A;xSdQ##)x! zT0(4|-dEb`<4BJUnMcnA-$gou#2MM<4#Y%|+F+cQ`xcd}4m(=2p(E>g3Djx-Y`x~b zZJ-0oW+m5JDJj^umACfk{M1@2X?D2KtEeU#H97--@4y4T9e2xmiHC)c*l@FU9TKWy z!EW2yka=;859%@5E9F-r(GH`|I>v|c%6cyy&9C$Tlw6UxEdTLBYFh;Re(@!V%a?KK z^U)j%SHh?#rJ?9Djl&}ul$)9RxH1hIT*_zr%A~Y52my>~PER#IUVue&`2U5~Fu$e})3+f6FA8M0{8L z9rddK^e=a~SZ>z~I8VQZS|s88J6UARHcm57k*KjqnL-Cw_GAr+<9NsUW^O~e*bTF4~j z92*i`BvkJ~_;)6X`8bq^^)Jyu-(>mpI)MtoJpGhbhS`5n{~r9iM~ZoRpY>((&^nu% zV-UXghd1I4CLB(J$saO<=!Bw?i!f3h_XsATW2cO)4x!u68d)90JASi5?*LKj*(FIH z;zYCcs`>Npxy^XaQCcS+0h+oHd~3;C@zxF<;jC*@SQ8SH(`{@ zNzGdJdWrEgtGj`?pVQXknpZ>PO1F?EMMS=)rf(V=VHBRws=N)=3IQ00vf67&_%z-61e6dpoSKWM#s5sFlmSwCaE1SmG+ia6}jhsi75A8V2bWNl`&WT4ETwLpqK$(ujbxfC@@?GlUEwA>AM$ z-Q9c#y!XEM-uK?$`~RNjJp1gu_Np^;V(+!~T3dK4fC@qa{=yCDdS-A;=LIvawf@>h z(5bm3B;!U$P^S|X%%FFk&x3Q6MEELU19itTu#mXp%6ux-be!AWyDqknx|JOD$xr6v z=dOb8USo9=Q+}2w*E{8uHaMi9SqV{}bmb{cvbc-$dww9fRpKbvSe}HS+aE}OlT!je zR_U)Fo@YQ~deS%nCP3>dpL_%+K${<3zan$Yn-1%c1GCt5_gaHwNl~Aqkry(6Ui=4g zN?^`D<1B7p6;~s56C7Z=6zgV=>R0(k5$Bfu5n@#yJsHdkMZ$iuSkK_*(RdC@X9lIq zDWw^F{Oq&|M&sAycM~T~8TC=HJh_r-l&ofgqhd=R67}iF=e48byzb|D6_31rliLf* zW_(*YM4L!!@gKpm0_rqUmo2 zasP}O>2H6*_8(B+`15S$MGI#!;4hs^_%A9@DO`NB<5SXe4S;_`0JYU}Qe(jXlLm3? zdD1lfKK?(c?^)9OnV}S}@wwot==tAW`F?shC2d71WHI1DV7gtBuTy)atcx%(jPoRdu=4!YTrM(L!u(Q|RAf%t)rAdWP_=6Qhu%4Y3z#pBz zu8QDdx7_w)sUQT)%B^GjIccl1p3cXKl+}};oy#7YZu_NkB(0!YS{IETB#*?2J;gar zKHC{j>)=o*<|A8@x;f1b<}gXWa(BkkXt`;T*>S;nU-KF!OEW>{KzfRGqq7Cpy`R86 zFcSxN@CN>7QZP7|m~f~MzZDPKuzR2a;Bsu_j=LBdjG7ngY))719wX*EK98FR$H#Cw z{ci@~=#MwR_i-;cA|G(ohXT`+hU5)9f}NJ=i_i`0(y%p9l9UWSYQtoJqMrqvDWq!| zf|2G;rX6qki6cg)n)(6J=A)!vk@IsRF`RiWTWI>rY2v5G=y7%bLVxVzL+d~=f8Ot@ zHcD4_bLL`oI;{M5irhpptCy4RRFPfY%y&wX87c^B6Em;mTQCyeVEW18!QN7Rm$JQ| zKJ$wG4W{6ot1ly{zB38D51M(E^s6n0H%}+i z77Xv-xxgj=kqanD>-N=`f8qkXiue=FIon&m*hWyn83l9$K;=^A{tgmv!H~H9FOvUR z|4ZX39ajX6i}$aW_kb5h2xb57={|bvM;&lQuuQ@c?mTmudNk2UewuiGd#;=x`8u+A zUO)SUrXd{oD&KW&ceG=WNw@BFj9jP@KDqD^Or2^htOwV__vOLx9@=}VMg+$6(%_gC z=x9vovk9uZ@}(-*IvhNq!atuRK7Hr>RX#!e;KJlZVcVC)xcs zrFW!$9d{Rlf)|4x_mYwKk;P_k-21|DPf7LPcWlFF1P^O4Q*>aXS7$2Zu#y(#S z6pz77ljky5W)&|&CoClaFzOP_1NBK|?piB2EF7U(s+yw*!>K~7Iy6O*x&}vVv=NTC zh1OyNYJ*p*thgYk@s3I%WZs*Lb^CZf0^Iq0Tm~}MJ}H9ptRuA*$a9eK$fAki70`JM zo`bJ|v4Ne-jzMgru>qbW%TPKv#p;uK-C0C7=p}!(1SG-Lx-QZ?G1LcCF7&H+U9Fqr z05f5^Q-B{-@P%>kmip}6I1szw{Kyb|A#pbrY(=+$)(?O_3$zR^?oR~Q1?-%c%S#(5 zaxggLV(s~4o6IuV%Fw`~iHpHe+UnIIR|pt10;Zm>1jBER@+o$l&0c}24>EzdYz+Fl z%O%4?M;>oL{syi_6P+XA8?A{!8niKNh39oLzbLf|0aI1Ou4kRPSWfO-E%%+~v&GGQ zt69caYOQEFdB+x)qm}Ii-G4W3l=}wR;>I+yy#SFVEhke)>wh6vfeop0- z*6Q5kG4cT*+*{@XG`&!4Q&x}GOQkM|15j*r6QmoZclJkGk1a@NttcCI^nNEQ8~Imc zxnm1LKr;f>egjkmJb_|Cc~dPxg9kes#4^iS`rx{XNh`$Hm{QD6Np&Dx6 zSV6T~E<_dTpYq~vm8#zZaLtn8MW9%4*}$`t(`v`==L2K!$iqhWN(} z@#~7-au>%hGG)o@%u?6C1W-H=q$>NcxM8@In?{D#Z6f7SEq3GKhIH zKtW8VPo;X_gW>`+#3zMJ2zmYi4oWBaFVTP3_(Jq6N9=5AQefL@8oQ1eyjtwl>1Hn) zG3;wD`pYz&*IXpAW}lxunK@d+wY8*mz!E6Mmk{sB#TU9~kc(oj_m5g`c)Dqh5)XSf zFubbK}S`{CBmYIgY zix#11RycsqvfQ8>B8Y2ixLj48rW{=}Vg=@t5&?zc-`M?VB;K(SG}WOm-Z9>u@K zN_>`jf09jv)PCLr(X2fD1`-4Dj^N1=m5VkutxB^$$z%i0K_1;-#NIiA6VJ2_#){y8 z0<$EAfpqn7yIfu2E%k7&wV`RnH#g_-A9y8@SJF3BNzmik)@&@@NbP$Vz7@hq7OVoQ zGi@{78F(PD#?4 za-LdmzX9&~yo`1jNX?t)wh05=)%;`qETJ63?q{p)_jE+~d?wdZ56`Ge%0 zyJDZ?bAhLPRw!jYozIrzl4DhhV{Rn&TTzR%PkP%a``T3%|I<nrFY$WoE?ZKIhYs8gu(taR4wc&a(8i$7jUzeTnB& zo^dYfy#H7Cxe%p`ww(Qza&P+|EJ1CmK3+(Sz7Y3IBB<7H3_;PqL_N9C2~?}htdioS zUF1k0bpi!-HV4QnvqkNoX}4@u`+-ikyw~6;HkUG#^!%H$)s(KkJg|UqN!^YdSLLHY ze0ROEwfqf-fsHL8UeG%$)*R<5`SI+-OY_s{3~uF6`!7^W&jtFyJ-O3c^Vyl31VNCZ zK>ugktf1C>`6#5;^UxizA8afAR3nQ*CW0t{a_G*}zrrdq{wJGrNvVHk19JHvlI_1d zTKqG~Z&HB&OWVJT0cnVYh_jM}%`)PKD?^Hv2{Fs(H}DJdn`rg7iYA(8$(bl!pYiT~ zFi*Nw;0!T9Dnx{cFvS}Mm?w!34Jzp)67` zpb_m{jK9$cOXzPwTW87X;*Fm2?*2~m8wn_8ndw~2zmb4yhz|+V8z4JD5la6|4;17x zoa+h7RMihOUw-&6dj3TwNb)Z-{T+=1%$LC&B;=_h^PMqGG#f2CjgAua@`eN&C-$hp z(^`&UtNq64V?74cU<(KDBm)`cK_mM`(?`dAhOBZuDDha2%p*TiXStrkVKYc;zfs;J zA2(+F?UkE1{sKB@;1E;y7Tkp|zBY|gV8l*v9$y{Uv!I6T~aG7 zStqXS<)IGusaLp^6eDqkippPQtgSqqsGMMW!7`envcCbOuEHFQH-$tIPa`S8w7aDw zh_3+{cAi6LKj+tzUwQ+yC=%*VhUa>0q(9jH3zh5KU|Ikk zi1{!5f7SJ0RdLFfNHN%pGz+;t>g<05@asaDn^Naj($3q}dlxv@hEh16+poq`&+S(# z`>c1N(ois;jRUHuY%`#i`78PWLx^JDWDL`R`+I}aHL#ADy(T0$k07}LQE`eRg3w={ zZUy6y9T^aLH&S+7+LL~Y$bd5-N5?6XOIV#GZNdnroKug1H|aZvI}AL)=&5G*0EcO{ zhvL#skVY;?my0`CdsL*2%Gf!)qmirA>vD4A7sl)UCDlI=by9Z@rLqUeinVo8b`Def zm;OTxQh^L>B$swTgc#!s*8j#302!b_%yVjx=GoHD->GXrJwOG{1)YlwsRlXz6$T0i z*@KF@gBt!_4G$3Z9~r-C4PIrU`e`(L zYKignIWDPUG`MaTq{K2%{}W?_Rez9?iFRLd#lY9Rel~9u3;$fHRUNMOQ@Y z)E!W_$Ldx3ty8{CK{1cjr-o&q*`Ul@F{`oQOyF+RAF47v8f@|bqbAS89}B*oh|jbw zToo|o>@;ywSa?LLX1GeP=DU3RMs9lI(vMk?ixcqV*gXE2mpT8`T@6V;{9R}e9#fx z^)wqB&7+q~xV%-U6FkMYu!x94Q)`vt*{u6`5pR}HMR-KXqMm)w zxzn9{V?mYSze<1Jpznq@#)a4xr2kNry&$~Xoh$zVIAgO97T!;!yYK7?oq1@UoS;vvf>2^NPEG?d zQ5?rdRfn!LXGp;Qm@5p>ram)Ai)Dw3h3RO}pj!8IR7S!^J=9Lze@xJ3o^+0$^=;r9 zo>W(RC{-})ET7CR9NQfiuP<4jxo95RFYh9tC;MjhGnip7WX&9DaCh8YOVAM=!IkphVe111{-S5FQttZdqC9s~T-ob0E9J-Izoxwt1T_HFcI zj%vsD?{#Q{Q1aVLW&1u>+dio3jy>*!<>}L<6Qk{diG_iY;atq(>Sfw{uCseG)UK|j zHKmO@S1Y#qO7IVNrj$q>UMzeIq${Lr`Gzu**4|Ngt#Wka@;;o3Y031qfP1bPJrM3M zNa}7zHvt^h++e@0|1C9??RMI?)IhY5`>JbK?nI~D3wIS>x}u{_Js2B{MB~JlZzg~!*xS|i&%wA!qfh_8udx7#%76piZ+7Mut4yl`&7$U-0>iia0 zR5DIC=6IZagxAKH8JI}dmiLYXdq$_8nLgYq5;*EeuDM%T(%xodpy{d-cDm($EWEsx zTk28WW!h=B#oJLrL6cK*FraCnx*c{a>*qQ;m+Rzk#hKDu)MIQh4iAoEH{)zD5Nn(( z*?kvAnfr1Y{H1+8&hNDO^E)lVgL)u(af_EP_hYT}$kk%^tdqm{jk`72Z!Au+cO+7CIgE`;kVHPURxVWa;Tgjt zDvJ%K2}ZVvfg89@((avRT4KC$MaM#Rq{h9fYeL_H{rkw%k3xg?s9(!XD7>e}Frj+r zJ~=>n;-ZJ*<&k_Iye2n^18T$Ei!24o6P0cgwt*+he@DK6^Z0 z)fOIqMHFwfF*Gff(Q?~14d>!(Ea6^z@BJpqnXS+DHg6jHJ%wB$e`SqRU*4ApU+tnS#ch%O_;+K#lL+ z=!{ONa+;vD@M#Uw)=SHlbzTtwX!ojn+}EtEE}3!9A}rdPa=|BfG2=?b+FmL4a?vD5 z&cTkX$?(R&kp(S`C>L~%6+V6uM^?@qIpq!LzZAKQjBc3(H_)Wg)B;Btiei`#{+Xqf>(^=jfv9qmNx zXIZ$E!jx!61d}wmhXp;VB-`0v^U~Kow$Q5w<4h0@al z#6#7zR3SQ%s%~e5lvEl465nb{XQhh~#CElfG0$q{JboKS)6=)T8V zH+OZM?2Ec)H;#qYZe9KiRQs}d2)Snvknz0_cYq;lC~%c_Z?hXJ&^h;(xlFuX70UkD zz}3X#yfa7D!M;SZ=&IJP^IfSV&RL+Dk2+}S=gqZ(xPsPg7d{T@jnZXul=fSZSpCi; zE?#Z#iF|#HpvUWX4!4&2ur7U0+WR=$=g|0xjK}tq`To&8`_Z{XPQcWx;)=||!A}1E zk}OJFi)H(iE;naW5~Xd=mcZtsV;uUir0ba`)plT7$-dV;yZcdO^z(C=?PchcG#hyt z)LD3~rZ>Ku9_j8k^CBHX&8n6ArPQP&p}o7Q>-@4MS%F7$nE_?dj1;Kxg@K?-?0YgP zYp$O*>^!#KkoE9%@&Q&&Q)JY~OO5WOssrCVg?w9g<54+VxxR?$7q;43mfO6?VDOB` z_xMPp4Oy-DS~pn*w;Eti<(R}YVd6>GRgbq zkcDN@m|@!jGQmORXMy>R_7gTXfbxeuJey*R;l$!YKX?~qJy0|sho@ndS=mjuLLVG- zgiTbf^Ei`RF4MrCPy54|lg&=e0+ad<-c^y5COQ&o;)m?}#rxS|xYLfJ=3lFyqc&`A zz3H@a!+u0~X5|(T**He-q}@H!CQaU7y|HDVVxJ6a=PL+Iyaz2knFy$vDSr}5^8dJEKT=u>G#*l~84 z#pDkx>iQD9tmW*WNM(Y-rLRHCeeEJGf<*ky-(mgMb-P-CFdlV9gHs@S8FjEJrr|sa+(pxkHXC_af*N6+#PI6GnFYS*EySi62r8sQxr(G7L zhgpv`@8|%fR?&~gYLqvL9bdUUCI1%Hzhy;g-soOP`~1?&k2@1=rGY|L9%(;}kL3>@ z4y)~Hesn0ciu%H%bC0bf{(y9$bl3gF!MSWdu|9EjDYTD{yveaOxN9y0rTo?C$dE7v zl=sRQf5qypZYur&kQva8roGBR#xzu;tUczgq;XsmPjaI1MQW6B z-Z%=gA7XEfO`Qr&1f5!nQx}Rk^i|GTk?eh&V0vV{tG9;g*EvpYSX$9h2~Je8H=84$)o)ZQl{3OGmqJ%4uP`Jkt&t05 z4?EB#)KAyKG#ZC;3)WW5H$Ji;#oXq6UmyXZX+Wjn>t1s#3#S=FO~-YD=x3|<5Kv}>@eWS>+DGk@ zIPEaqw$Er3inKkXciQRh{HherPYv$9ld8iT=$4114dcn_Qg>?lzcs%wyE#qhCJ=sK zh3`g@4rYT{N}qF_ng_x@bdkr)cNK_$&$~03#Ek2})T>vAcbDq(8mU4@$jP%R{a^0F zqs@4w*RCz8XfCNL93)9e9#X9uRpz4R0w}V=jD=l}t~Dj*tx8WHh#7~3i_UTw#gz|0 zmQ)sg95Ghl;i>K5|6(|J(Q7{s_($hd2zv5mGRXp9?}zKepKcQ*JW@-N7TxtDKiv z3a5JN)pRB&30Tmgvvwky^jJxP%V5g;YiL3^Ory@20;=f~%L&`1GCI)4jmh;P>A~B{ z$87N6dG~W(2vl04cv;l59^p{ao8Z6+CP+TazmWxb9sot**K~J`Bp-e2R*2h zor$vrKrE{3XJdKp>xWIj7^XtBo0R1c@BE%c;@$waug%Wz&-(K)BnlUrtUaZz0Sf*sMj){456-75+sEvJ1J77;8;vYPRhvlMXnGGTN>#sCX(6?HJir|(q-*w^N^F;rE$qTcM@C_W zqaC-=&h0U;%0HzgwnR^hcd$2Y+&e2F2mE!}Ahy~cKdzQHDAGh(=2`MQ!)V%IwDuMc ztIr=(tjl$q%3YjvqgNjoe)yD=_24UTS}G>G7hoesgvmLYd3*=bfr2w&$)<;$QsrGo zlC^BFvjJ`C*CNP#0;GACbwKJ;5{+5lPewOinOHh5B=l+26mV?n$1 zEBG?cAv=0~wF~#2A3b?ugb(yZ)okMCOCR@IVZ9*mrd>VIX>L=YfM#14!5TbLlxe1U zj_m1Y60zf18~2)F8YyB`O&%`olp9%}241Sz08z>>nubvW@Z&L&HJFZC9r*a94di)U z=dI??MtG-?1ee$4fxAXP8pa#scn7I4)RcXG$VwaCwpW=-FYo6&VCYw(seu^221*n} zCaw24A75@2+4G<$V|wehOPdY3;jPj5P+~wc02bi~TVmVgqu{_Y4&anDek>8Q9EeW*YQG5wCe)mSd4NH^IL1Seg>se5+J5=%c z=edBFLDjKGG9Mm&I34$vc?0fNt&UiZX$gVg0;?u{oV(!G?_{;raz1M zb>57t%4Bl+lXW)QU!?^%lggP^z@nYpOE^pKlEI!j_MSfW=0E$ve|ED&jK4!HU<*HV z3&02Af@cK&$b^%?>8{4{Ci>@PX<@H**RF#ag3qfSb9>1pyMxPj zWCYnox`F7<rggW6NCu7P z)f=}U&Y|a3;7|4cuKuEfYuu22gqL>bf(V*+$N!ugNDo&3;A5KKm+T?!5 zJ6spR4a*y*dida`{38p?SnffS;=VK;@*Do(ABr5iKlNSL_)2FI06t=}_>@_D+R4n` zlZ-zCR(G$tOZl~jx|o}%6q@Jd@Pyi(*mRPEHvxs0qAvIswU~l`5z+#kx5qCO+^)#K zBmP0LjDYG%5dvxi(L_Rx$Xz>NIqw8;CI$>mp2Yi>7OCH`h^DfLmW(%$i8tsn9O^Pu zFH(0XQYW>Deh9>C$xq>fbs9z@zEjce#1RcKtdYXpK@D}RCi1q|X+vc02b zdnbi!D1&R*<)vPv%X>i&fM^W7@V?a(q;c+n4f>OP;VIB|KI@+icl^eAgrxIutgQ~!!V~(+13P^btFeDR% zDA;K1G#I&#besW`(?wRAY&@ztm694=+q74oUfL&(xmJm31YGF3UxBSd#^A{1dU|ta zt!dc2KXRIyV%fP6gLh(Y*PwkQy|l`m&`O8OO6Ti{YSW17#%|xnu5y*TeU&?jl}^iu zPQJD;-}lM|l3PC(SkM{4?Mu2vLD*F%88ALBh>d}L>JoF8{ePD>sY`IWF8hf2ig)uB z{1+9l^qhBryY=loG%n`rp`mO3sUzKw%D8l<^(n{fG4*)Nttw2TTfgpeB~`@Y;xc7M zo|W#lrvo0rE@ye=Gw#QOL|0W}a;q?J#ne<`LSnvGVPcaWIZN3~!ZPShL-O(8btMP; zEyanpTE(nZVbTJ)4zxOUyBj=1O#{YzR}r-X*Bz1Y-F5r4YL8c!boic&1*cd_G}aIH zS}5hVp>6>>4@C$djyezh3H(|+y0~@iGx=p`#e+%%i4u3Vk5#NgwY@88W$nPh=8B_M zrGQ|8XDF3fQ`ZML%XDabn$<{e>E7_I?8mS+yyE7;7Ye|jqolP+yW;-G%g2rC{1eKr zES_M{STWV!#mRep*<2cf*FPwpv38d693XH33%zWfdMQ5b(!Ya2RPrl>R96NiF?eM# zcokngA=c%(AP2!9?1J_eKj8J{Uw139F42`iS&UHfh(r#%U~JE2k|UxB3bI;!X|Ob3%q#kgSDF90K|5eRk23zm@eA8z=Pl05;ahNyc$i<+}~!&UWBq8`3eRd6zzO(x%STno?6sNV;oLIu2>D7u9V{ zVJ^MNf#nc#&+nunc7CMIT7CeBtJm?}WS>|Al&@Y?=N-cF9fHhoklJw2m)yah+yUW7 z9g85=9J^IhE?2Zl%tGiOBc6+T6dS>JlM5$Rlsq_#{fFi?w4oXC9Hv+Gr(g^Ot#zq5 zof&M4P^^Fi$tC)elsrD4eJ?SeoNtQ}F0B%C(-i_{2G3y$PPi7&RNg)s4Zc&L%$?PGeOO;8ry+_W3a&hp5{M>MVfm1G`|^Pr zNxY%i`s!M-H+>5%harIoZ7hP2_lE-_C(jg4r}Ssn7F*n(C|Fu8v}kS5u)Emux!5|s zb0-r4%7t8P$wdBtltD?OAxWduBIRJ^S1F~iDy^^@dIA4cPi1iIimXgKFE1W&un+90 z5W9lT0F7g>*g7g&aBhrAwa?&%)2+pVTy{>3OykHqG&hH!CUxHIe zItY-1bRE3NLDxfv5IEJfeF$DSzWRt5k@vD0r>rXrWatiLC=sR~0|REP^};3e!W&+T zlajEeU^tqZR=&t~@G*@hCnRvsI+f8lKXXPRm2>{!>99Xh zUmfFUK#gN{{!pAG604~<$r0bi5Y|9=zxW$0^sWY{AD4ugCp^JGMnGb1t#9M=UCWHu z)2B*t`#IWGp90SYYZ$fMqB6%)zOUZTE22YI7p>U@kRYF4aym47@IHK9{z@n~&sF6d zrv7Gs5Ard{F~+JGLIs3$1S~Eat4qT6Tu8g1!a@-5)OsP})R`f2U&_}}*LiUzZZuFr zad6T!z&WSqCODPCW=87{x;~YJG$_8;d+Xqk)D_ebAgy%TlT4i_ch`X)OO9LVd?^R|b(&eX!@BwU7)ju~vVY7M(EOcpKpnP!zIN z9v*(Z|Iq_l)oQD(r53f^cvw(=!C+QS0Go#Sb5-ukXg%7ejPYOKH>6db(!b?2x9R@S zc<2=JmZ)Fc0ID%M;gs$ClwxuJGk5Q>4aV6?49YFZKd@LgWk={iQ|bz2Db|}sLnOgb zz&|@weBd4o_-f(58htbKM5CgJB{EODGk@axKGTEMz%U~YP9ZcnF(1xgpZRKNR=eh- zo6B_f4pin+fdHhTOOgfsgN?G7zrYF3wGilJ(gs>#w9V4QZX1K<8Jz6gD+%Q2ioT>A zdg7b3wQ8NgMJ!$)+OQGER|?MuzmBnRzv`w9Jt!b^xhaX0O1VgH8PgD1vVW3LJACU( z(;V}0Xiifbse+ryUiB zo*ekbZsUM~MuFxY-E?J&B7Xs5^!r8$)S7QZfa-<;1&6nI4&BqQ3NXoJ&w{Hy;@jkm zM1c5tYaH{2pGrr3>P@RaJeMU&7`h`o3Y@_-U`Crey4(iwB9RPgdK(RoR}o(r3p9g+BpXn zkLd!-RzI0|U;FSeDC9p((zDwnj-LUTcw3ar?<(XAI0rrf0g>s0xBs6S)`nN*BtiTXlpK1rbK?Hkak;!CjLD7AbzD>Rwp_haL_Upc z^r*MBwKBwe&@LT_JMj@;E=LA8!6d!%`fBwCk784cVQLmHnK2OGryxd@moAG;tOsME zb%{&95blp+bvsFrQ?D1bL@1Rhf7KA!#9Z~MZL6(8hvphz*nkbHyO4b9iO>*Q@N>K*~YHV#!h+k z1qq*ONPK2!eCE{bm+9FrW#x-y<;<2jf|faf{|N4grJlpc-rau`N9|pA%Ruc~t?rN2 z=0Hws$EZoT;0L#wWMTY6Q~c^h6=tQM8`!M}e`=+D7d+jha&V52vcdjv$rCDP)0}Y` zAiw_1CLmj0&(##0`Cr-CDG&@DFThY2>e2{t#35~z$4zLGOEku&@=Sg3IN>($S(F=gCBka!LogafuS z)q~XR##gT-WVZuj;MUmuTo4JCmqFksut+N&E^Btj_PNg_cKA1XD3D-eo4}wpR``Qo z&)9~4eTLtD-n~jS?gm!y*ARaF$XDj*KI$pC`!CYc@vhZF#~fD(Oua&ukXWzdt~HR; zfqTIiQMz`*auSmH=a=s$6nl4w=K5|DT>XI2WI{vykO0E@z%Pyj;tji{~%MHH5k?U@FBSw_^sl^qhwzaP%oY692bkw?_b%oyM4)7x=(L# zD&bZTVvVWdHdMxo4&1;)pKT*Ua0xf{5!$+B@{zFjWUFVjGXyhpW`_68ucO7{HbZH| z?b3*ZQRz3M(zdpg4{a%1KPxtURlm;n_6%`iT-`q)<7SJf!XaL z3P8RD%`>Di{w7<|NAab;8SxMW^$>+riF8DES4?Fq<}H9H&^#p6JcLd&jb1Zx$YvZ< z#tC0|)qfn52(n3cI^z&pOf)w_rf-FpK)bM@W>GH~m=-wWg$>#`x_*Y?KEN84PDozX zgi=REYCqY`;Sk`CeRX$DVkyeD=fmhoqTqJoUH6Hcp@_>5Q`cvjaf&G3PRhP@wmy*% z4IO>Bj-v~Fe@CBqLpI%$Kljp`5AKl?MGrFoPu>tz9s_99cD`{!(RF{>DmU%A!ImJ_(rYX>@lR*NG|h+z^e z+lTa85O<1iaf_tpGG!WWWr&+E+0Y$kT9p$WdJKiOcadX@6w~zge?CUP*O4hJrHytH z;cnVkUwPLv{Z2fqPCnDsooXwd-`hSj(@$)-6?AZv%7c!EU@j&keECGlA|xEY3`u2= zmIpFlmR~E-%hJ@cysRNHQ#5ZDaTp9blvL=(M3DMd=vxNOug_r-E^~IUBc1lHGIF6s z^;g`=lMLw^boncfj(2->%bxA38`OdpDusf&_fp$95byCcfD;ysC9)P=m+^)K376=l zLv}t^njowr@lFHXTay9zcn3&2uG1Ce0J_oSTLV!tVXeMEdNBE+LkfYOkUjcnO=e2$ z_?y_N5%Z+j>6~30Ytv_u26ZX(@690z7!6Nkf)h)fpdY9drkDpDOhX7dpV3^&hTO|3 zPQ+pV%0=e%WHY++`|T>d?nBlq=lk(bduIFU&chlVTXpu*hqG>K6x~Z< z>s*@f>uFbqElbyPXVjqWy|d6o5(p$yxPi+Q{!XBR6gg%pmV8|Jv@X(;`wwT-+Z7FR z*zT!(An1$Yn*O^l3iuz+D5ZaJMm-Sti!bWIg)i#LKl!3q|8HLuOsx-Kv+5_hzP$0C zg!sy>AL1;|BK3j*m680)W5bT9ropD?qUKVtEEdxUPBqnDNAM9D(I1n@m>8;NVQGp*UbyWFb$ z=!$1q%TZi=RoBo@A|I{sXFo7_Al|}aG+KJ_c|xkETP(@23}VZD&u3*EZ}_h^KS*!!a=aGp}NwKRb`EfB8Yz-6##nVJg=dEaRvstbHc8WCR^9L zJp?HF!(9|*$>S62oP)CnH?VDj!ve>m==2_g?3D_%3W9PG|>jA*NA2 zGt*M*y=?{P2&hpMdn%v>-Z?-fVMYQwa_kGL5ButB9TJ+oZXf_4zfXk@;r}?z zzlxiLP0q(|%nM%a#S%c@UGHM`Y4?7Zu?LC&C=9xYygjIsrJoMaKZ$B@P*4VV@y(*z z&sf)}^2qR9Yqj~8F^gCc2dVD^R6!2 zpkSB^FXu5_`FO)RT-)QQ@*I)IAFnR|PPGPl9YDHsvtB>Q4}m|3WI3j3Hs+!vIZi$+l^O{KQgVtAVdf$5OyxWl(gMs<# za`7Wb^XQ*=;`o#eN%*0-F4pQmxb37c4mhN9$|FN2W**MU$C-GG2Q>{C*;mbCld~NY zr}^?of3xD$AdtqbH?D(gSi3y}t9WTw5Jf-W=g|LI{Y3_2!%n;6-{o~dF%o72!yT)vHWoj1(> z8V3GN1T=dd`#Jay5*GE%cQ$7VsjRam-d3Z5?QL->2rcI4`*sx6%_2%i?a;Gz<>1Ap zkla=Wz2bUV?XX>d#>hWk0LO_RO2;2(+yLuWPc<%_H!frxW_`n3`BoCD@=zBAXRau9V(YS`&7L|4|4}dzmHgoyLxLdnp#_60^ZVNQ3cMWMUYAt)GgD`1a>8^8H5J1ef-v@dh7me!}H3+gT4U>^Cx$cI3 zsCy+vZ^MRgV(Yf{@iYzofPw8=BuUKXNs4?y_2hE)nB($1<@~{Ssje_no48lKy6t$ zU0vi>gqfwnp1AIqoqK&21m1au_e>o+S!x`=$tBvbKV+)W&ZOHjatn&#?1C5p#f#`f zLAOMo+{nnvht~Ql?)KYzNDJPjmJPh?yH!K7Vv3)Sc6ZH`J9f9DOBJS8BBxg(osO2B zj#$S6Dc{+0v^9AU@21ZHXG(@=r=5ZRet=fS&&F+E?IA}cxnXjD}5)3 zt7L>&G=C>3B+3O={XZAN?Hw+l|Fimw>~W&+=fZ|w^>=~*NZ-&qbOFAog0SCM?x<#3 zj()zt5;}t1Tc(7$zfZ*UaeutpL42KP_p7IR>LhEIwZs1^%`XuAjQ2gX6{!g!ivb!) z(1N$kkTzLdFb%kRJfbz|k{RlfQQuay*;XWUe@^B8T#}YVhL)sDrt!7kqr9X2z{0TH zX3?4lm15YKHS8~M^C9qc$cC4$Vz1ka?=-*`d7rZeKN*8G#fbqDr=pQAH=(Pdk$AVD zQHg0LwTmh?p`u=-RwN99;M$_>A5_&4N3rlJW7Os0wF+4Wo0s|+)B^pXX%7)9XliiB zR8szN_blq}R&bYzr$NE(J3j6*SOY!jSMy%r2V6k{zN*nyK5aG5prQVt-ofIb$xf9ra&BPo2cmJy7_2a<_~RZ^!*+ZeygG1*PUJO zV?@5s3rtIUR3un&JhM7nO!RYiGg<(TCAA?its!teZ*e}4SujgbFe?x*GZZf~wc$&l zH?qVD^`zE=9O}FkLY)@%F6)#yGMgH5GIvTEll1C$Kj^zGoXEZm^+0AqE_D!1*Pgzk zrknXl&W8IsBtn?R#S*?e&@MS)OAr|v<8g8SUTuap zH1Gvjo4yb#+{d%j$eFxqT3_ z7*rpG#^9U1Zv+>@?o&#)20QK!6IS9@Lyy?Onx|s`S3iAPFJX;OHYaB~+(=P*$ zWqoXrcErZuS4UA-0grdu&nJlst^<_u*+T(8r1J*&xq>IL-wzu= zWr(t24Y4W3;BvD9W~1ekYQY*&(~bVGY0r#~@)sL}zU+ssB&LyiTF^*_X<)Iz@i6pR z(1sYg>jOUbHyS_5uzBrL_){e@RF0&PTA4?)dz70Am;xK%ST0PXiql*XBq~1Leh!{Q z_C^b7im{JRepzpun1Tl1*Xl2mG*BiI$PcnILklIaiR~m+cwxkTl74(J;#kRIU3k&u zp9r{lg#7orplK%EhR84Nek0=wxIU6Bkuap>I9*6cH|p`SbTeyLn~0HlQquXAz6F{; z5E_6hu^eCxq&A<(8^AqgH+|X_U-c{yNxm|CawdydkfPo@kPb=@=BA<-XvPTppq~}v zBbwtf@v`a;!a8GmmMW^e9v%|0x14}5gjPP8F``At8+*V1aZ?2ucaki_W1zq)P;Wp*y8RQluLckQ6B;zk3Gvv-f_V{l3rh{f}dL z&&|bJOBtE9)_tAV`MX?%odZTWTl-jh=ZA6mG_l;6u$>h-S@Z?Yg>`dcl&{^h#qR7n z>cR=JpXqh3+qUk9r|vvV0747&cctY!^P@&5n)^I zP2jBo2QrHVR?`|>SpTBZC{`oQ{shZawA6CD_5xtdZ7cQ%>(?k)CgL=Wkp19v|G;dq zO@ahni>|+FG{z%;WzTo;m9)GazCbqPN=w82#A7cM=Zmntt8)OoBfS6{QUuk1NOw-; z9cOeSd_n{z1X3%CP+VqKRA!b~Os3j6-fr8mYEqg!gI1!jbhNOv-H&Ua02^$BF@s|; zhM1W#7#@@`+92o9yr~jmNt2_OzeCeq=$X#nIWmsbW{iLpvh;*C6zEP*x4mpjGCz3J zNLsj9YbTw?fFvbbDKrs#kjNJSw`ff=eae}&I*n*Gw2<+v_)|Vn5sG$4m=-pU!rbrl zvL%Io06A$Ckw+6_SSv;6cXy_eDjVp02}VB|Ru+|h@sqaGYV_-(*6vs)Gel`wxk{&$ zD!@_g|B)l)Bgb4(P{pc&mc6x>JzeoHPVZta8^Etf7&@q z5<;gxqH)-;y)Y(wZF9?Z>xG}ghJ;I)MBX}GDEYgq6ff4^2TS2letIbOKKOINT%(ok zuf>T8jcy;YM$H!-AHAZe_+whSpSE>BwYF8C(BgZ+ar*^FQ2fV`_>Xf7Me_?Wdj431 zF>Vu}I#AHWKH&n-`1~z1dt8_$O97F4!-vUmV#Aqru1eCz4;P;b;Y1-;Yi(0BeX%Cj zM?aLCdg}*mlLRAHMd}6(aAoPT=X-bEAA+Y~9--29c*Q*RdvdGWW2M<=zXf5*w`H0= z${5}xCN({ZYeA9RPnTBCl()YCzDpKUM?*H2umRLcfpcQt5qn(kX zT?sP*BP~aT%&jMmAN^Df8M#`{dpF!$yfyDB zIBz8i#^M_Et`P~!G=At{Z0krH)UH;fviEG_*dX^A+RR)m_!x9ELXzepj?w)J4L#gp;($wyR@$x_+!^{J`{H*u z7n#jabVtCK-1?KsMIm(PEutItio(dsqCg|C&9 zp)=)_8R;qVQLz6uRpW=Fw*8%)sXILahY8#|w^`RiNe*9gN)9$Ij);{>eTjd>VEQvg`Gn_fKt^{opnKIdyMS$4s68LBu~7rg)}^?8z&Cwlmhj zNkR-b+%Km~C9}@*<%JS5JY&Q)@s~?NBs^agF|4q0n@cHc zXAp-s;}AYmh2t7{%Mr5b8}aT*li_MMzj*^L-z~%5wA!#>vGhqdC{&fiiXG!Mo0#*7RKx=yS%jbYLZ%X=A7tx$)3-KrDFPID1U|la5LVipzMOl@pM$Jv)c4jV zt}ok#-32n-T+hgHoCwUuSWXB;im(Y8D;o-N8;XXG=h$FlDko&XZ1-N!3seTvRN}!E zgJ!FsIBr8ujVEDe^}BSR)JLH%bPUZe8m$yEYJSu#+9A+?DFDV%r_<5jLIi0cLOJRL zCjZ^I+!eBQ{iplhhk3Up5rjU?t&rr2oe>q)7u}9F_GzAV*3XYs1uFCyOlETrn|GSzwdpya zD%EZd)ys?R$E3G$+TMrVMzs!aqwPFZqCc8R@OIC>!mH&6U&-2aI(VfHg1-#rMbeO} zj_Y(KXv6?PR3OC;gVN=JVFOnm7&b=Vftm)pr?-pE9;nW0zg%IG@T8|~+u8Z{32Rq3 zIeF2c9i?cbRD@1x?g|&a2DRA_x*0S zs4+J>&to;Tbz@=m5wV!FRJAa*cV5egBWgV3Og$L{-Y!Grp)q1rlMQ3Rx>=2LxWu;&;pBq=lZQD! zMDTH)bwBd$s)(wMt(L;LDCO2Opu7*uGM>BUdJgO*V=H`oKScglVP{hq3Ep1kDtk?> zVooPzweqpNHE)*bVt={hrlT>(_yvrvQ&T3+NcpOpeTL*J!9PlE3$(KXlg6U9Pu5N-Hup@pIE zT}FUs=?VCyD9Fp&0Ezjs4rT;Qb;^H8FIplaGpWEW^y?wTC<$Q={(J03U{EVNh!zm# zQe_rizM{e`YzLjFgjjr2*_}S#-#FQ>Pwre&8&ip}k-0SNteShr6xfKIOb#U0Z?e-) zrs??5EIL06s?GgWrYn8Jfqu1cA$W}lv{AFI(p0bb5i;&Asdl_8~u`OKpYrT zbOy$cOk<7aO7;&O$jt-nZ6N$JYYO8BR`9l3o8U>#am(LOdP{cyB3?ER{C@Idh>d_$vT z>-q5mjw@RuT9BkTLZ&BYVzk%sr8~IXYNu?BdKz;s5k~DEL@VRo-fv!k@-2-OG*?tu zcE3kjn#_+lRZai)3f+bQxo2fdwn%@KF7|re+LoEb+n```wr?T542Dk%#*`n8EcRRv z!qeZ~ufC&-8*Ua(JMDv0#`9`h4c9riE{L7r=U22^GDT!PoFZ~Vu8x$5HXL4C3tQgX{BZSz* zHs_c_uUhQ#9{(!QSX*1ywf%9wO`yT}!nw|QY>EPMsGach@*5r=r7qzxv`0vXT7pVW z5-DCEa#u2+4QVdI{#x?jX+hn`FG2weZ{o{u^6iz@Xt?lMs#~m^JJR+pum^R!vd_M` zUBd3GYReYglG5V3j#UQVcB|*#;yjmL(5vV{;zii9qgN0rc-2CB#Ee(eF`b0$0G^ih zu$S-~M6&1@{_@2CWx&hl?CIg)N4HXiJ8Pu>lE!wr$L7QNFY(7`LP+k#bFmxcA#SSk zb#V9N71FayocJ~IA)D|E7t_%4&spsq?RVN=CK_bnZN6&+F5cEWTv{u~+As4mRCR*3 zq`$k`l?d57fJG{MbEel@QiG3;lLjk(rM#Fji+kEErZ>7ET$2XJ3dgp%*&WpP32(&iD z*h*5w|9v%Ou{&UuG_BeZn zP{%pO{CftBe$qgTOwgmShjN%U#;67SryB84d_H7q3gTl}b>+etfTiWGEIVb!q zyK;K-8E+0%E+5ZbSP$nk-OSPr`g{jT;uhFHv`qN;Fcvt3Xpkh{{hmPk`T05~p$Eni zlive_L8qZVWgM^Ha2oo_dx` zAqSQnsF_i2@F4R|-)m=T<QC44dQx9AA>T{4hYZ!tzskbI^`?4)uV09H9vp{`nyFL{Y z&my4O! z?&7d2$R(5GsywrMy!_aTUO`+HDZb#TQIf%yq>fjg_e{7%kAWQy$zRSR4m;$o%|OdD z%o+%Cb~u%42oP)1(SapQObGgmi1XL@U&4QAJ3tAdVuwqkwVi&<@j&u9RfjMF5axv6 zb5!`(KcmGT8jZ;=(Y7Vydz5#*&IZZMX*7PG7ej# zdSj%Yzi%rwC@npj&NRch?(r+>KJEGC5Z|CjO;kbyu=sSEDx01k+%|8OFaCZvk3F~& zLRB@`nkrAVp6fTcv;C)@*1eObHKu0w?PyK6*mY&TLZ8{PN7n@J}sm;eeZRKXa-Txe8 zzPnWO_LbaL%cK2`pni9--t%prN#0zge4MyTY*zw&< zq{5sGGX<&-^jj)A6}~Wg6M3glxG!RM;4O~i}TS}+f z&l2djx(FOc*1Ma;qis)49Y^O+9Y?7c#}VmcvEAn8_x<+^5qx&zpV_u7+ow$)f=8rD zlTWnXsYSLtcxscZJ^iwMbEr8f6A_Vm;)L|!ne__~Dc7FdInW;F2no5FLf&G!4^x2WnD9&>;s34-{Z$x({t$*1@6sV>0zE>T@nEWG8K^{H1JQ`zVi3@S-o^c)74bwMXPp`a^^rqY7hd9Q4G-MfF`^e4 zb(2>v;}xl_&0vaO>R@y#A`=;b6fhX`@+J7+cXdVwFo*Hn_0C1;aC6Ex?`Z*9c_F|#Jga}r zvDV3uJ8Zl93d=1pta@&KRG}*btV1gKvM&n)UH1EJ+7a`>yBC`X-7P{ItayN*=E|G% z7Mq=Ovt4hmZ~l10J#@t(H)akve5zDTUD;>45JRZlmsF|l7nF&HxarpdX;`==0Dxl^ z4)T-Qj1bz?R0D4c|4?XxD@%-mAGnXc0n8_+0tdN<&B{yl^lL}fZR4(ej1~4^QDcpN z6XWE07MePWcJhRT){C7#(AEtAN2@a*P|d28r-zrL@q+$=&2lVzSGzzKYeWy-depq6o5b`(o$pL zARA>Y8*sb`zgja{45e6`m=x=}S%Y%)q_b0`=eTT%9Zvl3^cW78#|T95dlom243Kx< zqU$r%U_mxa4rCjyN)ByjItI!+2JZjG$&)SBIN0&%aYsz;7)%_JrPTfU6IS&9*NU^d zm2XBL&|tiJR~6>fd0Y|RtjA6C76k)12+6=9Jw26gkIyxxv|PgYaN2??KsGK*h0baO zJHkp@L?tmo zxDPvhJ`H&PVVV_E2q;jZV$r77n`I{QMYT}hv&?gq+H~wrZle{gCa`=PmQ&rH?)!)J z=A2*2XXR(~PqQoTL)mkUYYRiqI1UM?Y;4u9zg?}Uy!nTC1e7Cd+fj_5WIv%r!XNX8 z$OHkE>7U|)#q+``qt~Ikz;@=K;1PWW7q%Xf3&(mD(@{$RQ(_(vPXX88M65|J$|d_= zSe-OIxF)0ZrHxG#3D8U*P(fuEqJU8~rT@Kj>j$b6xk2~w{yY^tIbF*8LZXWCthFJb z1)F%+2n}aUH|LFkh2u^Jg+*OtnsOdq%#}$PH%+ik-jCN;P_6fj0x#ou#rEj7o)5s` zKBCmmi@thDUnd=7FbR`T;usdaJS*Z~yrWOjSWU%4(#Wk=KP18j`V?*0kC|tD|Dm}o za6yPO?pX&Rmon0gwm`(4^Ce~2c|N!hzHnb8n14A0?TT{Ojll;+HD+@XMy$1*H~9|# zc7uM*t6+(hp98idU!_SpXv46vxtLpM({YV>i$dH*O2KB2j$H+G~r5zg=PCj3wzAU`EQ)d3|Ou2gMOu6(oXNvpZoGH+Mw5E8UT2mhWqcsIMQ%uXJ zBcmH=0)wiKu4~k!*Jq7yr0%_9AhSCVK{iV*WC3G>HyIavHz`e_(Taij;SF%5A_~ne zuA`{}ja#WG>YBOXd6XmZCWwMdz-}Uk+1{KAJuwfESi}%eeGrwwGhg^TzwlXsROiYi z<5s(LxzfFugi^AXd9QTz*w|%Is%;s{)g1_SJ~%(pAP~z zoXGdH8y;;(ea?D~cOV@~vC2flwdP;D=SRn}7W22aA3Sf|Cy=!ZyG)m>IVl?>#(_g< zMRCEU$P)4Lv62&Sdv)DRpV67Pnk%R$or$vbV(Xt%Hyn|N^Kn!x1Pqt1gyi1I5hn|g zie(r5GT)wfCjSg9Snv~z`%7~FtxGg=WBdY96pUY|M0~*e7J>~CaT^L`%LyQ7acAT7 za81TjGe!?g&^{GQ(KP3A&9!Oc&&20`p|uWYxjnwRdV$?dmGvq4{B&!2lgz#2rk^!3f zg*@O5VO8gZabvS<;2Os}iPaIu-K*RTq?lHXRr&ci_7@>OuI%E6Yp<^tob1oJhgeN& zczOv~8a4G&UtFQ4-!=Hi!gClE0kT;MmN~jOZU9UI%r%SW6y99mRy!#uv}FbrA7U00 z@n%=TU-XO7%%PuxepFt5E$hKqBB5^gHN8-o)bf7se5r85vJ60YB@S1StSX=+%`|`% z#oJ0akqoNIIVTRB=XV@wN=OIHX|0n91lbKBy-&hfabYhwHA1*0%?bvVbqxDVz_Wl7 zgu~)F0GK}wt7Nzu&Cz-VQwPo}ULTBcWjq$%6pF@8N*92vye&zVbgNQMVP5J6NHv?Q z3@{^nRcH3`c1uV+q;NcR2D%V1dIFCzTwn7`D7aT_DhXMWosX*t?vt)#vlkDKeoVjL z6WtH`Ijmj!=yBZ%*Uf(++aSPfdo}uX2^s%G)lZ>1oLi_yoBp?k5{Y%WbSEF$QZLU) z8NAb4TJ|Wf&4tk|UwrZhnP1(|osA|dRqxb61!7SJ^Bj`|3fpYSPonK)p;K2{CWEeZ z{xoo%P!=`(+_@)!SaoF|uZ>-9)sb1yz#?1cOm>8qRE4J;kwb8u%cxx^7)Rk}!R%oi zk}BE8l)a3%+D?$ZQR(?_!#?^!g2J@!;2}t4XT#_tFGczua7Lk^Al-*`CWPUleg~uL zD;t7ouoZgp>M{v7FFTFET4a|68rJq7>@i($CvrTYeA@;8CG|X6#~-7hclqS53(ZT^ z!OGXgjVv8~y@F0Q;dGc+Y`Qrp1lrXp$!y&>QpE#Mv3-1yq7-~utqSw4t>;cbe(22o zS%MgP;vmo*SQz?Ct9J;;BNN~GIl{ry8XHi^5OKhDr*DkwE7GQ3H{AG@O~0i?(slJi z0i=s({Hx5#;fuJ{G2E-Pujk-y4GGLwb%37cTuw%R938Hzf@JascrJXkvO%u}z;6)i zBWVqK_!OuMjd~M{%mkh!?d3>)^Rt2xh*iNQ_eG5=19wY2K9r7!CvKZI*&EHuKO|T-&6ye(%ww_h6A5B(c@Wjx)2+IQ z7p=+Gb6tDJ1>6h6XF1Xl-TmM9{EwC}I3mS^-uiln5y@r18 z(!hIXZ4ZwZNwyU}ISwoJsy5JJ7dg;wg!7IK4Crn(z(wkVdP+Zcn)kbXo5iyM^}3 zIMbSs>2{6~80Xh-@`}(6oYU>6_hg^F?9^H?yi^Tus+PUg4iABhUdq_)4?IFrwW3!c zvKHasvMB;Ji-Z765hXjwIhaPbIdr>C;y5xjGAe9}0F;6vtF8N>mxJnVq+MBrNQB*x*awD<_UF7W{z0!PDYFT6 zl)9!I?DkBB3GgR>eGn4CR}`Gi_~98%Npu9r$=8w~fl#tTl22q`q8$aGYR$_{^H13< zJ_?uU0`*!ZTw<)I1Ej<|sXi?zf=l4eToRup7buz|%_*5h1JDu)k>Wums8G%&5sNEQ z*fJhvWLz+Mc}=3Ai(b{4Ds3YG8lh~ib0OQ2$}M}rP7U|Y$xhIjmkGM(L#z`n%d8LRnfjQx zB*wQ^wO4wDT3@=~%>L_@wsFPKQT39R0;+~2UQja{)kCQxOgc>E;ti30O@2iM(P0#l zjg15u>?=zP0w;-yJ7A7Ucn41xQRI|yjx#{IfIm)o+Q?m=7C7ik2VXM_ggBSY0y)P9t_2UO zG>CxLN?oJ}Q=k*r6YMpd+hG>S@2lz*Hy5lQ^gDrGj?-E46r`|P)n&+NXqs|*=Rl8x>tmaHYcEJb%+na(+ z6*ruxc*@)0pY@b`I&3UyEqpBE6XCs?JzP-;l(V|keHq5nEnKqTwV0YeeGeUX0fP(xp8;ALLc_*I;SUDdmp^f6|JU^NFgW`~3@;6HjBkHL6Z&M} zOkn!wX@2`-KqSrf8O9%DqeKnKH$Jnip7G!RdIPF$aYJ{jLFQMbXs)2N=mmi`+&jq$lX zO8_NCR>AGzX%I4jVY#-ddV7d|eCvgSRq8$1hwEGWs)xMCX2>dS%N|G46}Gr`#zz_3WVn@hcCOXZpfVcDFK zFq+kVBJ~n%4WzX-&&3k^>B4l<oF>r?PBM`{egr-miD2R0FF!9zR>Im@eaLeyhQ)MF_& zVp%rget91*0n*}_%xAsn4->e5$iK00*|c?3nx*+tQXxtePg4J7MZ!hfEiivLgnXa7 zu4PynLr7~HZrMx#Qvt|D+dDs~2^|*rPg6iv1INv-(79++)^RkVkAc^5;G?6bQ%neR zntBcJF{DE8)Y36|O(6MaozVV4Az%E?7Y9F>^OQwYGV@0_=Yvv7CQ_#h_p$sYLhZyCSbHtVpr$f?ZPef!?F1l6K;3o^1D2-me1yk6~|=%k3cr) z-+*kxJ$T1yXFj1qvzOABm(p4Hvjq3E0z{vMh(1dTjY|)WTS{M$YGNO8b?FA6HX~}? z^hs*(v%363P-1~!KE>J&7WPl_D>8il^2L~ppnWlQ5oljbUBv(J#X$eV7_(qn4+F*+ zd)t>rSbzFr_+_Vi(({u`B{gCJ>*85>VP#UmhX0Jy{&q>C!dbqD?rWLX^fVv2w`pRq zY=Fu3z`c8R?!Vx)0YT-j{I*lh8wS({%JTuM4UpK7=Rh7Ajei5@#IWDMP8jgp>8}X} zS7CAYx{nerc-jn*YXmm_urPF9+B{KTwF<~sp>kg}H8#%0zv&foC;A4|n zTxX9AAN*dS$6FDM%A-m*wWIGYEIN=k!R@Ys%iOE&xG{dcw}G%@J(y^4qtW=<_M!9S z6Up{K&#XU8KZE}={XipFNqq7~c!r{LvSkJqEt%jUhi&L<9e3HB@%8hq?U!T#yNYnp z7ZkVlaDhAv@94` zh3T{Pey7wOc?#^-ovwaCEd|nJ7(JOE2ZM=lXL+TomOE z>N_2}_16=!Xzo0=`j$B(1?L1%@A&|)t0?UF4&YwCvk7Ag^dza4$0rIF@AdnHuk`pr zI)ZTWRpQ7qMLN%dlEu}So?#d{q(vn*o#SXex67_5oI?t|3xu9;Oypd&u%&m(XEgP& zo~H*Or1S2tobwmf@=f|@v^NH^*LYu)xX{_;FEppT+|1j1rUVQoI^6-_i7}rsYo|IB zyew57#O~-Q&TKeuM{uVJ-PRx>Y!ykC=ArKmX-LWXj_V*ow%LN$gpe}{Q_ zHfE84)mMrzz>JSNO zfj$fzk4zee@RE$47N}^4Yw(OzHAG6eH(bBZthwQKo~(c%i?9=3=06$C0{OHId}YHxW2AOGDEenZy(uLXj>Qx+b)xr*UpWRD* zf@He{TRn!B8OFl`tG4(&*FM+K^@VLNgVQ8eS9g@7B|sHa7&>g1x^p*yip?!MpL04n zF*l`vuzVos(aXVtldtj9_vL2!B!f zr&n;imbf1>dZNEr!;smO9z-RkL3gT^y!BUcf5GqQT|2ktNeH9woL3sj7N74?D)>Xs z*}Z&Lz4DTa8=B0PIQfyeI);Z0Ir-Zz1l&TxXt&VyqIbFrEPS1hET%s`acHpCMQhygq?|BO8Z!&guHyxkSyJemRM+(&myT z5QLh>gOtNByZVDNO0>6#+AZ)0Tg`mY?9$KRtdG7;TTQVbv-VNFfzVlEMkiSk;MTr_ z$IGjPF(QRuk>AeLHuTAB?=}}m+l_t?=$d>4ULeAjqb|U2qYwQ3A-ZcRAVl+=f6@HL z`kB}OkvI{F)-^H60c-aF-n)Qn7;7f^&6)?_YZWL~7tSu5Zh7f1DL%X`}`xBuEd zb6GYVVZrWttM=Ed?6rLJ;|cstQ6GW)=Yjys_NB1pE1oTpnB9i2+C| zFNMy(IEu(Ijw0TwQ%6y%rq|`k1&bLDa{UpywKPHiCDdkbwPJ`6IySz=4D{`pWqv?V zv1-$OPmZ8kGf~0%afLK0M0wz~yyZN-#RRQ^g`mcM#i-s-&6H1gWxH>eph$BscIkVn zFv+;SiZdED$r|(LmX7Z4Z3k2VldBnEt5x^vTJmvJh{Y$@T9A`|SlrtRh;Kdr9bm<3 zhm2y-LJVuMtycPtik6KXPVpsuRX;=yfiZDot}h}-@{I~k zv5>dA&+jSpm-*kO-@5QKY&eGNF3nE*+v+Z|dh?&EOeZKoK3!%X{={9AjPISdm*K1} zgU_#R%~7N`7);)VdA%B#AKM_k!HOCc5-FXdj`k(_ zeB>}e3r{Pqqd<5Xqz$`tDm=kXg(sC)8#+LE%8RW;yOLC{eAoFmS5j?m?8B_ z3*A3+Bv(ylhR@Cf);NJ!Ems`lxlQdV;lmf;EXrLJOJ6 ze9Tuu7$YPQ#N89ZjghW?=<`_vOI#TrnyFSvCGjpD%~WeIX;FX+U?-4)GV3a7P(C1( zrwD&r$|cC!XocMl&d~#Y`LE_K+!P3bYf$0~-&Ue>N?wqEYo= z-+cQst@xYNU!U<)jRh)1Wx}#z5ec+6=^Pua$_n?8vz@T1Aw#}<>84FGOsZkUuE#>7rvr@5TcGBmoY}5zBw21 zx-x36XcqAXAml?V6zMc0=`|zUhZu@@$wuQS0XMdcQMQaR9Mutyx@%-^V`NS=8VCJD zJO{i(qE?=+Gn>LCSMI^vgSNZpDU&A!pu;=6yN$otL=xi^)tIaw2WS!KPd?MpcB`h5 z`tY3Lr_r?DQdYwDv)8qCt9?eWxP%zsG0MxCwtThRw^t=|Y~LMnt)0lnL{>FrFa=jO zEtkad2W0(4$(P+-+%V*2Cru)3&f=`m-2-Dko2|5o(MNA+y=LWTIJte(1HA!4gdp!C z0LoEmXn+ct4z@M9* zi`TxnzF=tiI#9lO{=?eFu3^I9xl;v-H50PBz)#XNeTiR8Ef?}7+xI)el8aHG1R534 zxB8VFM$>+SD-O$p&Y)shFgvImJob!Va=?SJw;G=B1x&Iw!_C(XdJJ?{!3}zkI|4c1 z(1HUHEIse?`ppaVrCQ7L@; z`s?ZzJqA5mO1VsVmqT9_W%O2aTe_{jX=H#^hbu7`7c>si zWs%VQ{_3QDI5K1k?{Z_6%5+J#^4{$$Gn&ZUvZgV};zDVeR#Zz*W__LdLM(vvO6EAY zjt461E)=^H8)gowY3mEB1tqEuU+zZ#e{fj-A|qQlS}ra~K+unDef0!I_XQky_T|28 z2?R^Lbu2J1!W8hZ7P{cF(GXZTz}iFRLqg`9OXgGO%<<@Gema}f^>}1J<@K8oZYL*b z7~(%V5<6*vzL1|B)$3IEkSi^)6^3zg?pxp3RacI^d1Q*b(B*3? zCFJctQENzrOMqOs0cD;Bd2S2%|UqD0=gnzVbU$6HB|`wjG}(l;O>AVx5_m{f3R zwySk(+vwiB2_<~DZt!`+g_=T>5>q#G8mMV4ntRq?^=bf`2WOc&IMstwD@?t4eb5}6 zTZCS}??7vdqqZJVG?uoFZcaqhbi~Cql&C4{toGx#-nF(=pY$=|cXOiN`eBT3=u+(w za$ZU8)yHp#w=m6#+WOl_ot_uGh{h5VqZN3ChyY0eUN#t{iE20``oz8*%as5Guf==5 zpQ^QzPF$MF_Euk`1+Q(*d78=n+wU>miOy|cx)YVdS5yyvEr^BNPjLBjmau+&1!|pK zdSWoPq29-0^aWg(>)4Sy#MiylICs@Hb^uAwVWnUg=EV<}MHBtf%>d;XNa-WCvI$=+ zNjP*bvb}?0x)V_$J`OA|gi~O;6G@09Gi{-p6R~5O6Uk$MaK!%vgv*VwdjX@Nc%}(T zo5@Gk#3`6BNqq1+?LUOGsMK)PBSw%1#t(5@yL@?cF!X?7A!{Xn`lDF0`QUIp@&?DViKm&ZGxPiPt}L8{Ur0>)f%+d8uvB1;enF< zMEb)y-=uCgWyP=Dvet$7W3(CSm39=p?(J~m>`cn9KM>{JJN%zZ^}ifOhmU!hm)`{K zYm}b)T#mg769HcycQL)Day9sMbZ(mIZUTg@BFgstNcm)crBt>iky3k(49JV2W zt*OEE0C;L;rd!~mB2MDq`7n|@;$w1>!A&?@>-AH=Pqy>%x#)E8SrtILeT4e5k(-{B z-|n>ECM;76Ob!S7IV8~L=GYzJp!)G&cAs8eTj#tx{dqjN#8BzV%I2y+scbV?6nvmA?J{rc+kzFd;L7pNs+5)sZ!}JAY~?c z0VKD*+$5?xWfDzX)DR&}ka*DT1EKn7oJ&WpmbmnYOI9by7Ck9z5%_AMu_p>RC-bNn zUxXL75l9C+g~*kUT-iDV=AO^5G#nVnB-vu{r2)VnqARzL(p>xbdSdL+N$M4>KIj+i znaTX1u@9W66#x0 zbe9gHw$z(ex5gdp`Y#60o0;+wyu|^w%;CFaX&j*L;8(=0WiI$OTMaTL?}<Q_y%W$+UCST7wfQF;r|st%F; zotj7zpZ+w21`m>iYMKa4n@k_T*@ZCC-%|*^$G?aFJWeL4(X?N`gc);?e#sK%Y~Ywb zLg-`HGFAAKKBFVPsL20k7r*RAqsP6bA} zDG^Yd5JUD7MgTc6;;&|9da=KJjW<*(Q-3BHcofUy%yB~Ff%`Sgh!g_b4-_hokQ)ko9I-GXhC()nT*}6%lH%ACOCF8fKMTWPa&;4BE37p zv{-6v^&t_9#*Og%k3cQ;@DdioGA?W%I&JYIhi>u1f>PdP_pQ~{HPpb}A!E3S=exhE zKlEzz?n(fdpIDth0)#KFPoF$F^4)0uug(zL+{voi={P9TP=+I$;A zaxd+C|1+)w^7M{V6-yDVVp)d!(&Iihq{F*2g1Ql5da(pPKW9p0`dsw4igiPl?M9!x zEpYtY0FIwwD!iE2zL$aHM-%P%>HZ<|SI3XUuXasPC9XPSsBCGL!Jxq+sKFAT+8LtS znHF7?9$jRb0lEX-)L?l8TQt}e{`iPJTB1u-0~r*2%dKaNi%do-Xl0=A>1Jm63N?%m zTL-|Zdh=?h$2Gh9yvgF4a24OoTD_SiFczRR7Vsv+_anpC_r;3Ucle1l7tb~)h_)nz zc!t<os`~htED}L_71q2@uZ=% zsQhSE^EDZ$bM}8z=$D&HJxnaqO(7~<&|>XYO0UAegE&8eaMW`MR!R~gD?y7DQq zt$h2-W^B^L)Tc}ry5jW z{+=0j?<}-t3tA2Q8Wnu^Q*u=>G*2$ddy~#kWOwyR%K*q>p++LX3NiW!o!nAoki+D& zFzKx9eiXL1co5z?-x+MDkPG~{u=Kt-sTnIAhAj`Snwg8JJgu{(rU-vObUKUM&7Vul z{wV3=@#>=}-C6b+?G~2DZBL(Aj}AiCqbgeV#4*~zAM-*!=FJu5%opWUtS(oqvT{@l zaa0HXV|Y9^@hv~4irjejFAY%Myxy#nK>^B}%TEpy|159L1Le)2x;YwC-E6NGzm_tz z#Brlh$nJ}atGiFS9K7sx-5Y4?aFsrAm=^+=oMedvxf@Sjh06wg48SY5AC{rR<}fq6 z1AoU;u5;@09 zHmlU}1iT4Mb+a~TFtAsV(*h5Xdf2ABdOic(h)iE%vRuT}cB`_vw?2>phN?>e{ z!+lxb*bh*?)6G4-8GIzIBEg4KG>lcw?)vW6PeMBbfIGH|=Oc$765}0T15eVcCX^pwU z0b`80&H+5Vt6d>ishgW#%*mKs<+Z>F3yGX!+cH;G{QXH9_B*#~qf zvIq|`zX~%$$%OjY1*=g39uR8b&V`{j_%)gA_XIjoRzVde-Lz}La% zWy1xH0n%`y5k(q{X}B>F@-QXnHyo!24aZ4~9QTjFYyge}5>!zWGB0f?9@tRycRZH@ z8-U~7z-)>y=!GhSnJe)q6}@JwJV85J6kEGHVj(|AEE?ruWd1YB0x!8^X#ceZ9v#w? zUel|6Xr_ILrijT|_#Er}$DNajn8NAq9MBWn8>b@pO!6uP2Js(UG)zhr zhrAQH^~ot?!fxkaO^Iq>XSf}M_f@fJSH<48;jJv;a<_C~EzV71{x3MYESFo1?rnAgp#X{$_7LpCzaL@z6__&DSMh9We~|Iw%Hf zkLKrPuX<-Db6oO703LhN0OB~<(I5jqOsqzJG+3>PKGCm!%1J*t7svAQF`%V8BwLn^ zJY%19d?e$PpC3BZc+;;PM_8nr9I*PxUwVMs@z9c_xqkx5-uNX~&^#3HQ*)*XF3MdL z+`QNKOnOKFm^~Nan||i=a|Gps5(9J}OCyVJ1llRfVt!2+B1Iklv>Y@q*CC$0N04mr z<4Cb!d++4%%1OBS;ogbUTDH0A@NV0XFI#*&8L?7K`^YbUeHz}biQ%n5F&Pp>@J3`N zD3i8){(dp1$9tocGHHJJ72n>J-|Sl)$()jMXPYuUd!_LkPf2ZBQ*E7$Z`tI!a!&U~ z_KcKlas$u|G`-AMAD^T)wQ7lHRMGN@ZODC4Dh+^UerlSQmOoEutCZa#Z@AYh2j3PQ zu2I;y1+r_*M^y-mO|l;oA+G^qp?CP*w?8sQLc~$OGe*N_t6V~}TK4_lt?)c*wPwm4 zcu=LJ);$FOX6UBXHm8~o%Id0)!Z1*>$cd1 z*I%tAQM>a0G56L{QLSzGuqY)Z&CnfE5`vT4f{OIeNJzJo zN{KYmozmYksPB2t8^8DW{qe2!t+m%P_rCYDx91Eqv-fk|_jO%2`o_3w*N^TkRkc^q zuWr7%?2+#Al;;9MGY#M=>s#>`k6h}iH4{*>Ul-*J;u2r%DN68#ZdxwH5ojl)O_8=A zV?|3AK%N&I9@|F}c&uiQkOIg^uF=E_RsozqMrTvV!=e37iHo|`Ud#na6kj{=a86ts zIaTw!7_t8sWW?S_4_vK*xe(+q%rJ>JsYP+smj`lKQ^&(#LX^22$%qG3R41D|uvLzM5v6E?$Rx+IOb0LsBKfGrU9M2T-7 zuikNPRCN=+mUYIM@$(myL&e&8@S!`yWcn0)n2;e&yr?*-GpHoo%=2euaTU_%xrhiV z`Vr~#Ol5N`>|-&mCsMrSv{p(GJJwu{&NKkCGM@fg7o39v-1Jv5o6_lsdO%mU6>rd) zR6bw3?Y=DoaB|k;Z0o;ta!xgEs9TT`%l{#e_PDNxeX`?Q#=i@+=>125mdIZMEdVGI z;h3ss5r_z9JLvzp=sMUnv(fVr(@I|kR&os`&4d+uTvCLrNxR_wP#I zqcFiaCdqtghBH^SL25eSL%vo{L8gex=4=hv8{UxwnV;SQ#K?79<^>-dSly1?4@2PT z>H_#C6cfNVCn9~80KF0KpXUMcEX8Gaf6KFUq+G9L09P_90vgEVcZ1k$zB&W%?`P|j zcO@7M7z|itto}l9KK6UmT#3=E@Oofh;q&9$IrPf$t>S9~mM>rv87`vjmLX3k@KK`% zO{~x;r`N?ehh_UP#aDRkFUGXC)S{hQZk`UGx_2FMRqW{MkB{$;46b~dNyC`5*xD{v zJj-n%^NZF!cj&t?-%|^BJ+FAbTihmcLFvnEQ)18DH)T>U3v)Ur_4o1JEp`=ZA1W3x zG+6VduEO^nx`#MK3Wr6;fW~7al2Z)q2#-k&WgpHZ;O!{}JJf&9NPBvo{n00*gDdP1 z-RsHRdChaijM$DH6i1s8ptV**%~fzC0$>M`lteSPOMqq&uxwtbx$Z!H%X)5Da)r!v zI&|8sR2Up^B{R|Pq6Fm##Yw=`*5?}s_Goi7?lLr6t-nNBCdd_#qAW%Kuxw7d!|)mY zo(g=rI3qh^WBRmqL>v0CGt!8rTJ-Ah1IYYZuq8d{Me6Va$+B9o)qaNzJI!KLVu2%H z7&kGft1aH>MHtDc7#Hw&xN1@B@Ve>ZCM&N43I=x*9!4G4fi@Kec##8ZW~bo+qlS-o z5_$#BLt@o8z=!r9Pz}t1_nT$Ls|&SBlfOWgpNRl(q5cbc`Jdq>AOo-&V!3dBF$btFv|651qvMPCy`9__&H6MC&-(3A!2LhBY0o6 z?{GL-@s|6!_ZyFz_{|MQ0DTs{-})>cU-x%zA+po)DhG4~wMQsM*4vN{KSTP`9(8Fv z<+}GZfyN=kmLHVr$DnqR&Bu@of`9X6zU2i&z--I<#Yd;+^uBS1{TAQ<1=h@4l`(ze z{DtAX>E&jQ&3a5YdduJShrls{(3SLelktPgCSz119zC4r4dN+KYl?BB8l!4`I#&2@Vmy?3iKHOWlK+UL{DxS-F#x6j?1c%A(s+%us`MWE)KwTP zF(`!$Db##RAL97-wRj!(^ex!g>Z;fy8xpL-eoX4{O1(>+=CB{5i-vvdz7mH|ma1?n zXaAwotno{y*_v*F$xQQ)PIKOOvV0x83iKQZCP0jo6d_Sp%$#w8vO(k2U1L&28%KCB zf86ud9xBs5?S{%ygD{}Hy{fsrWQ$@MRAOJTxQc~VrvewpL1#y)s?w4{S3rSHi6do( zGtx}MBwEvVm%?Q3LvHa6oA&$U-=SeD84bJJ)ouK6?4l78T|#nwR_z+_BGU>R25--j zCXyYF?v8Y6bnuYBYRZFEcpvp6p6~an=b4WY!JjOC(ZgHT`z2&)xNBeb6iqpgWdL$G zN8OLoe4)TgfCNq>hn@vHuM_<2=p6X}5kLX(3E3tCqW0gyzF3!SI3)jQ!|}z2{g*x* zlgmDwd)XS7-iLBX`n8Xy0NsV7%_zo%?EnJ)(j&FhgGt zhuFz=){osu^4~|NSw99R$=K@5r0Pte%RtBpCh1!}O;P{(R|^jDWeW~BXu(Ow{-k0+; z!}1-wlXE{u2Ku6J5$0aVWn)V5Kd%~VVw=Hw0qVVMj`+Q7M10)iLkiN-G6Ok_q5a21 zl~xE0F@e2F@XQ&tQlr{pY*kTsYYrGlZgd=X_ZbhsPR_KA03B7%B-lX=_dhHh+3IH7 zs~)?N^s)0#O*dxi-_jNr_iQ>}HiFfAHbv=JS=M&f-j(fad$jf8Ex6Zph1*^0w2m#p z{q}_PrRjV*s!NPv*z;RvID~mK+*&g<4Hu0ZaO!4FPLgC$%JPnRKWUDjTH; z^eSMsED=s27hkmmtp{&``_%LA&RvDzY2X;+k?OqRG^w7Zm}W$wPsz}}FA&Fw9BkPK z0v0x2qq6;02y@v60r4Nw`NBjtfxoq1pa1B8tOQhOr)Yt;>#8Q%m)T3{S2sRK5@5w@ z{D}&wcgYT1XJ|A_nugg1YWPN}l+*8)h4qS^8CJC`qf4T|8sJkxQmT?ZKmE=YZ#-7P zJF&tZLmcBk>q(VV`DS=PqldwFENM_!6ktW+Si>J)?bfFOstc>G=G;DSk-ojo*CjW> z%S8CD0-*A0)4s3RO{mUKq1964F3wvBa0#DzxTOUV-JP?fxKq{w{(u!XBAv>ZKn+VM zt~?562LX!otl_JS%E0pNR3Q%E4wovSsgIyqJyWb9Leln;D1#2X{>O~EQ!4oB_2(ZE z0{X6=CUG61V3}wmLdDu%lOW>i|C(gr6%c!KY(*OHaRA@Yt2MIzO(CDFEmuwMc*lW- zVJioa>)#p+K_)ZX%9Tt6^>|9XvC74r?)-nucCg4etuPSssO_~ zZTJ)Ir1AMqTLB{!O)D;Xp4iaX@YZH2*4#nE@=He<&!f3mhxVS=5IxzK{00VnkUh*I z?hF1ZO%3#Jbl742nWg!|!_&m78?)>FbL;*dCrchDY?I<5lj31j(NR^=v+In21oJr@ zfl>d;kl<>%xQ*~aXyH_oHW|U_SqMacA6?Dd#blMO#P#1tN0U{$64#hfk)%-(q02z1 zMBwWPb+)`_#000hgdk{rh(H{YwhHRQ{9Rq@QK4puj#wF)Zt4R`$!R_iyIkzhPp(Da?K|R8bKYrKp(o@+A>b zi!4{Rz4qr_FDn6dzd={-2o&30SMGp`g5HtxD_0BB_OJ$0r{AF?>K zMzcS&!BjBsPlAvdmPAFJB!j^skHPZ$veT-oMH0oxYs3_ZQXkWIktd-pT3z{2cIdUv zMVIN>8N~bW;eh%qd2$}dOfq%*y`i_2-AUw^olc<9NzOB#XF#h4@G8 z1I*fysz=&{B#Bfci86ua@`2`R&@nZr&LeF%*duLHlEgHAD#wiVQMJqyJ96n^ueq;Q zEHph+vn8kG(d!P|+N3l+&8A~p+HR-!L5LS^K2x%`r7b9yNPT%=riAmqg@GNVf98U4 z3wfoWj?xxW9S=@Xu|$x9{T2J@x}QC}oTZYI+eye3HzXv0rAg$}muMlZ7shDA6Ee%6 zM#GGABJgbK@EJX<9ebV2u(KI^Y$lMi?je;STQhdsOd!MW5bYWC=i$|oUXpdMhj#j^TNIO)l(hh!v4#P6eF_t-?;_+ zE?Xw^QeBS-B7fdVaqMIO{i-yYV(skyJ#sqib?pk~wfr?Ro6@eYW^uV)EE;iXO3H~! z%5HoDI(!0SAPY8DjX37ZO&Ru%MKn2uGnsR8EHRH7@6)G^4Q6`l~CfdOEzH9b<7XwJ!l!l~mMx}6Ov4m%{g#SGaa(`xnsbJop z1boyG$RkS>)}Gga6gVmM;mw#qh;4!k12i940JG4??6SoV(&6wC1o8pxw5Zq9+?1wP zk2RY-K#jkgADCpPyR~Dss5N@Cw>!$j@n>~y+^H!u?Tb@?9`ZskC!HVt!z~K5_IpiV z{;uJLWWx-z-J9GvKcR=&9*f4xA93z@tdcG-I*)f|3&qOEc4mvj$|wANRG;T9&nVoL ztiJ=X!ThQa;d=KoHsjscx3O0w4g3#{`OztIMQU{(_whfLZ_pap94XdfC4G;Nt)G`G z;v{R})aEg}(#rO@)O{$_ePAUebfr~gz+|Ky_Sghru|p|Egm zL>%B@k%9yAzTGTDL5wM>1Vdv@ejz81WjrFE7sFcF`%JWSpx`0bCEWAqxUB==*v=pA z?W-WfBAQ_B7isF}BbL}@ge{w2Q6p-??tzDR z$y|$-yQcZ5?9r%zE5dH949o5Y5F8FKs4?3iSM>=kkf>H&-w-jgC$OB(Jxd$zx}r7V z2Z~es7`5XfA&FeWJ<>*1a*qqvy0nW^Jq#Xd=TZqk^FLn7s~HV;Lc;H6S_uw8nIZ}@ z71>NjXBi%Z?{8BqvlIk$L&~)$M+XYx{ql?yBm+H`7o*=F!jOx?9wB4OlUpQ3I<-$x zN#;D-EzpC)+pE08;ciCczAZAnJz!|1 zmrhx9qJt-=`{i! zMe6o15HQiFMe&qZP#LxqlTn%nuq--^^97C|bw+kV2mTwh8iV(Olr ztuIaXr-&@1SP(WS6P4>%WjbxSvFgopBV|D#UKLZ}l zx)r_wP*T@?*901pS~HO#T3|9s*-dM}#2Gqju4+o3O)#=1E+#_P370DY?2}-@Zbp1` zC#&pKROHS#q;pSdS{93_Y=Rqb?qQ?g;|Ig8!r189$oa`ZmntCLW}~Z-CA)4t~;PKGGvS^6-8Yzq4;s-P&fMp=EI;oi9r| zRoWRITyC!-WZn2kb>LE_fOizgFY0pEzC@<~!>KLVtYUnsCbp$S_gQ4UQIHfUMuj|d z3c?9GJ6_jNa^E`|y9qiF_JnT~DWnDvFoMc!-3D8bDHEyxQrW`^A7Dhp3HiZ`UP4E8 z8!5^A)`kmRGufVn4oCNsV*?7^z;47L6AyZJ%&Ye4XA@tWI=Yr>cyl<(9wPk)LWC}k zayna}tWQUm_3%#0R3G{TR#CYT!egj{(^{dd9Z*)M(fd?xd~h~1KtzcXTALTLvvgZx z`Ot&=E}>?8!D}K{REF*YyiCHE3ZmEmnW8e=71-oNYlg}F~7xu>QrCB5I|BMS0$ z6WcOGY80ZleR>9A-kQ!cT3$VavdW;T-2xx zT&N6)<;ke!$=d#7dJ#Bsc*=diA+qc+MyL`vZ3gfglZM~0(l%I35wCoflb;- z4UibD4sQh}z$ey#EoTjrh~%LPePb`t?fQ1`!`Iu2YxlVL(EEN;?q9XPbD~rK;P6bqZZ+HCx8F4{?wt_Ei+tEWHg`njURpgDdp6;%z66ujwm z51k8n0Js0^p6LN|{2ClQ1N8qDij+xnpFCZ2r>_eXlBe5{@~Cl{kN>+u)8p8l`L8#(ThOIJx2W$+;UzS)!dlWwwG zN8Dpz%H%M`k)w~%W5Ut5bJXY5?d^WDQgltge?AG72YE zn9F6ldks5!0p;O6$D?1DQmBH`@V&hR+m-&`7k+FF+EtT(o4GyJUI;*6LRaHX`f| zLhKC{&guu8)xVGY%YmNU`=DjTGQ>m@5KkJ&v3)dE>pxZ$6J>q)LXQ1b4@be+LBip{ ze*R^Z!-x5P?(mbxlTW)|)$P5SdwIvH>-Sha-wQUd`;QU!&pZDq?pqV$ZFU=d^1XMg zeZq{=-M^*j#ft}{Jd6F1>VQZ=&+FIVh*(=z;1OFb0N7#F6D&9{^(a38mJM;|IsrPj zi?cqPZe+6KciwWL?>`r+-Ilyl^Odcy%9$|W{U{iMd0o^<8;xfbk7)#B0oOzg(efHD z-84o8Vr4+|D7+5-XYY&P2XQQ7!_y|>cgM^v*9Cqkt-LUsuKwcBfYnT=IjS{*6)stT z(0HQ=(J5?M1Uf*xf)>CY&q)xfkfb&@U=TI4*~feOpI=~6GjsnW5T^v#zicMVYxK6E z@${}gsgkfp&jZlrT;r)%Q@X_d z;OaiIhR2MtP%1&2Z`d|r(?|B8Nj?!gO0cq);?m``?H=}77nDU%0kv<)00o?fA1Lb> zp4S+f0Ei@=S;e&;om4u%qLC|z%l<(UIY8yPpcXukz|zE5Z5xBFpxXw(2Hl-Oh>q0z zHrPl3voucz7)Q1_41=!kXajQ5(0vNv8NJjq8x`$cn(|ig1B})bz5G0Qnk? zY>GhH)85n5#1m1eYN;3fdu^~Fct^3yj!8f4V@#V9D18*^?sgI)tN*Kb5vC>fj~{5M z*d`%sP}k{@tpwr;z#n~LRu})W)KO7w^$+Sv$8gJF18)&4pCOA}P1{3_pQ6D1uAS=& zAPIl5*|guZ$3EYtvPfU%Ln);&TfZrjQ%}?Gd=I@=<`U)f_}{NvHHWq z;4f1byAr4JMcY#stNXV4EjOi1x%4RgMPeBXCC%5oT6tp1rft%sVW}mWc9ze&!!)mv zH&mn%*x6Y&1;cC~Rz3B}q#d6;;YnnLS|uDLOL~~BE2(!J>^*_GbA1U-H5XgB-Dfzj z-4QO3o-@RCZg9yVIln#;cNbw=)*ukGjg`pH8~V=#5^ZssCy^@xei)bhk(`TfZx6}j zH1*A^0B*l0O6z z9lmEpSm$YFnK&PNte>CnzSdb-d$;)FX+Nu9Lqhw_=zVv>VxE$U?u<@PdE82iC|G!j zJ**ksi%+ z_4;bRc7H?c6N08G`UGGwI@RR{b8Fw~^uDnA$||&Syn1LdlcdrA#7Fb>^j|y_kDs9eQ>Bej2BA)9%U0_LlfKM_sLl{qs030iWJL*i;Pe6^iLOcXXLvd3DG!&!S z9MTpwg+4Y|L8o&RjV{1KckI7O19&uS3&}%q8P4zd!iMzjkpW-U*)^U@&yNcpfPG)# z+}r&acXRCXsHKoPRd!`mDD`rl9EPdOMeY1)qV6-UN!#6XSN$etw-KN8=(_gPqwI-_ z8}o;T{bFwU5_L`6Kk{|j-*tEk%*7Vp?Lqwk!-!ht=`01h6b}f=g<OP<@SxG3_-QH5Yq1x)eHd<+#^gl!=(OO|@C`~P`8pfcb}_A^-3p-#zls3hLUT@o zz%?c7+S}s#)yQzHN5OyD$Y8T^re~?eDHt%eyBVg#b}z9_q@o$Kvb?WAo9&kgjhE-8 z2@Q)S6I>#4IR#iwfsaoJ7tkTAS%fig+_B+-9}A$fQ2er(`M=|w+*%{1J<98(kf^)4 zV=gM~>%`EYIZzIS~Pvo0>GVhO-`_tSQ?FHL0*s603?O=YIvb!G=_>$!(B zTQvZBoI!#pU?EnR! zoIFJIn($;*)8HIv^EYV*0P2Q42C%s`4at(b3p}*ef}i=w)X1!evWUGzYAs-yy4&7v z2)P$+{gww^RW&~fG-xkN#OjH57rKG|h4tKvfnb!ShEx0(8zbAAju9uWObOp}09jzk zMxtNMEtcOu+7U*5KdqDG%xFYw+M)LkSE+(p6h@8IOA{d*!4a2IILqw;oeOTKQ>hoL z;T<p14B9 zi*P-qXnHUj+jzjI3rR%zaY;l8c^-fr0eDc{4UL-hx)1a*un#^rqNihWOP7Lo zc&bUZn&Jy+(fG?$rlC!Q{)UIi-s6aUr_BsfpaLKioG&2bNR44!3?TWp$#6{}(7rHw z5*jRyzwE`_uzOOsHNzY=!}S-&MQ!-L8GC3ZkiG8VWk~W2>M?#YnUNzu`TR!W8pA&t z7~~s#qLJjvpil1(01^*34yFv}L^d$oA=QZ}h^OA93?D8o-nWhd$1u#@qbKG5jMhBH6#_2q!zB< z;%E`znIM}OVuXMrQB$oYp!$7oL0_#Osp>Ws!V=1=^_I?voq@{VrM7!rW``&eIPQ20 zewZ$M81|C?)nleo4*EFvUp;2jfXNJY0;m0xgE10ap!N0Y@u0;;bVb!ziJ4^->ftVN zJ#9JusU;^`!a%*1Ld}aeJ}(^7dUURTE>lJK$4U9{%zQyDZ9=)$Fc|0MjKzkT&?|Um z0WhqVkymaTeP~#ABj!CnWQo6$0cTH#m0AY!{_RJJ^(wF;hE-YrN0S=&M=P3+{C`Yp z%y4d-o_McM=AwyOH=T2HjT(LN`ysE32q#_3LaQD0n}Gh{)DjCUlo$ny);L&hw_t;@E1`xk3Gf?|7S&)+!dohBBm&aUnnc%A7AXEp3Z|2!uC zC>~g>+A@+eCk%ITL+6AA7pu;!YWzID?d2`v<&9;OfoGJ_Jd(4uZ~gPw+7Q*T@-DIaZGK4TAZd zO@`HdgUQ~nM;=5PizDoY7YRPiUyl$>LIBI>7LMMd%M6&8hz_pZ zl>|;V9C&7wGL_t&!E!A}h(Sm3+Z27lkwLq!L1=ed$pL9j2X>*^&=hL_bv48w&!^h&Wc1I967) zpIl3Ao2$i*6Y~=9s9P8!XOa-M%ojPlkmvD_GRu5kykNk7?*oyZ2nq`;8<>SW&OVUg`1;`*%fNx zk*MtZP|XD*4Ed>`LWhxW^o2^0Pk0rbqlT)Z?lO*dy%0 zFkxJqJMWn7ozrs?pH?%qBu=b2*%q_G?VBJJQ6dfO%4$Jo|AerAivJ$|IuBaPrbJ53 z65fnFiGn2@4|%m;;brRbIv+28O^}m#Z4((3Ta`2qL)FT4JD0V`oZsF?+TMuI<|1ux z!b8tf$qj3rg!gE9rHL&<@c3`ZK!fIaqzNq)@c5bjgq=8FT3ACIHbPj{3S>r!(B69c zB1vY<5q0G7*dcTxm?DJ^>q#3X5U|>|{(MUg(MCSPa%O`1T*7qzjZwrjn;2#UfU6n$ zaFL8ebHFm@VXy##?gLe;Sd5m<2TGf@e2^xRYqX)1NPTfOP%9M} z>>8E<8>=1>vmQ~JopSu;c6%&k$;>v(%+`vUiM(Y$z_}Sx$ogp+f1x;MYNboYldt}P z>bsG>d3Zz_x`IL6QrG-a7n3JnXu6V|DvP`-OExRWneCYo-c6RdObo_N71kWRiAq<> zVvR$}n1wkNX{v_>;O4vWUojK7;#()*T!3yG6R8kCM2EA3bKQLm$S54^+nT|98n02I zt|hD6!+-c)V}k`3tRJ@0EmuJ1Pt|ZznTjon!`M>^086uORiG0+})1t+tWFiIwZ8kaMr1795Cwb&q@4( zMYq?gW`XTY&|{Gf-Nt07op#_;qt`FAxCjOL7#FD})hzCT`DcE0{WLp1|uc zfd8Dj29>amTp%M@;w>a@pI){e;ys|ZJ?;^ceIq98Wt2W!@x{2IIeLd!jbUbK{$>`~ z;&MV>UT!R^1ZiTj@o?G~pr$eN2~Vz>KPvj*7`;;Qpo(VRrC@p`Qn3G^v4T88(k=|t zHP%LGGfX{A`bYYB-#VD|ySm6`F-4G(Q0rH0n>Ps5lk=It2ezeBe*@np5FRFT!~~=&XHv> zke%Y2*Q{-c!_U6uuz~xAz8iiHJ{6q0)f}V49K&f$Qs0dSj`9JW5>Dfbj&sAmdTqKI zlr>7fJECSV6~I|Opv%JA0P~S1_-Cq=z5<<=dz`$|c&KvK!B;s3Go#O?Tt2G{cu$=> zPQHJ)6(I9@OZ(x2wBef;C+Fbm55Gp~@86-{zt2>E0Kxy=C~WDLO12lXddmrXLu%28 zwXZiC!?!-5tHZX+p8NY|w?)6g4QfDRpD;$SlCW{pfo4F*SwA`_$$uN0W?IpLe{G@I zc&yw)Ox!}L)zdGkr)lc5SGj4mdy|Eb7qB?~$<3|ZhPwCzu{e&o+xFD2VMi5{NU`v4 z2q8;+_N$`QLdf_d6qxKPrtgWkDzg%s?w#BfB7$c9(Ra-*>`-o;!gwAspaKe&N^Z%? zQA~P>x%tcjOba-SFm#i9WEre3d>ENti$Ccvbm$6d3#%VhI0GyZ+j_Qk=XJ!BPruzt zY|fWZ?~n)5TsJ=O9>;(eF`7o;|I&FCaJ(=Km%2aPv)RctNys=fbCGdv__vg!Y#6D1n>HB4GPzoMu)(q7OqL(@Zr$o z0K`7=F8E zpWV!Qf-Wupc6li9b%JypuGLcm-QxJ#VjtM|T{=Sx>%;x7^QF-4rrpI;Hqz3}W*#4gVU=|s9B&w-Z!fT^?BRnXH zq}{4|Lk=bbW6P|C!!b#__<4qScOqFSxUj&pBkRamD9lM>b#11c-cGxh^+A8KeR%AU zlkTgzH^T?E_9eEc?@Y3efRxg;d~xyIh_Abrk0lsibefkaT$g`Y(qp5Br^^d|+AB~C z>7VLwsyA<2fXnbUZCgYXq;Jci>a~DG#2^={2h(-`;n@*xrL&=nN5#V(v0F*I&y+{{ ztM+zaQx}`CpCg|?H=Irt8|Z|?4I@6#cys3l>ho^ssz(?^Ls&o0cF%ir*X?@VHT{s+ zf4e-T^R#ddOT^^E=aIuqpQzo%9>@cTchW630DHG!9gCOv$gY;e`(W=z>Z|RiWDbSD z{N}>7`>dP9+TEu;6uS9bbXaQ|J3siWFjR>Z_Nds7P?w9zx`8FAAyD(R(Tvt94lF~h zULNt8pAfyixDa*uEHZW|_Kgvc7WQ$o^KP+6%vf{}wPsDKZ|(Z)*iAT^zD|=MgT5Ga`DBtLSDZ1Q?ZVp8-{vz+6fB%{g{0dH21+f&Cfv! z8!ucxGB?vM=b$auM7!uqSk7`k+GY06yIC}LhI2=`pH-?s;LgI~lumtft8qfrSro9O ziSh|Afsz#6xgc6zWK={x_Wi^IchihG`;qQe#34s?4`#uqAO~zy)4&`y%?R(c!(;2e zv*0S^ktDcu@^CdI3vNWW+8eOoXofme01K{}^HzQv<8R@lhV+~*-6V+alS|Pz7yk-u1QQI{+@l}i@fN4R(4HA>jCuPvTgp?7KaaCrPfUSQnqS|t$t$!KUjt%|Bi zV@j)cgdl+en|0ZCV*|A*a!SobdLV4C{fyC*C7)OYK;4x6qecG=b+@@Sh>{K;D;)Lr zcj-;Q&UC~x7q_Kvtrwk*z)p81&KKbfHB+*KpLYYda>%HD!1{>1R3ubigqkJ-OQw;??=>gHb^(=8>iC?k5$|V%yW< zDgN5q-5X6Xg}WE*q782}4WElLmithTY|6gYnp_f!UJg*_V&rgTgdNxnfB5ioHt@O8 z$D}7LO6jlmbkn*BerncD)E~GY-j}$0Pnv+35?cNeSj|}+D>S|Oo)Z0Oc|8jRCW~O z)6L4x(S?}RzQHeuA<#9`5zf0trk&P7b!*Flh~7bNbW0=uhH)E2SFOk^>a0>X#Ii!q zMRON+GylkI(vP(zvXe_Xl4w_!b$1h%3Vf$ZNc9$D(1zimL=X5W zj2{ZWem%5gaCQ_U1O&XGcV+8Pm}9pp9Y(766_EK#Yp}WHswexvT(bd2eFsbMi4gSv z9UO2ne8nt-=&ozffF6O@Mew%FLseH!Ib-6??!@0hOs4&)dIWAd6QbfkSesUZ#uadg zTNqM-h7h@l_1d>Bpemv%!~sf$gQpBv@4ow^d@Bw!Q$7)&`(o?6RWtutFF;GehI%aR zrwQ9J>?59Rv1tM07s5liaqo}#2H9-`Sb~+!XTFjV95~A}AH9v~tA@@9&`yVUH%l)C znts~7z1t8)Xd09c@z+-<`7&WiE8Ok6@XcEWsTRgC2wkzSNyK2RUl&*ScU+v zNbFU6F^5Eg7YS0fixxpVi?~V91HvQ!k|w?#Jy;*kt;rfPSS!x`BzoabF#+VT(iR+@ zBznHHaNzNGMDmX)dze6K=thV?JAc%B}KzJuA6kC0d9J zJI8#c+)ju~^dmEN4$M6GOR1x-n-CYJN)I0L$eN=r>3<8Gt;hb%ZMN3Ayi|+z*i1QR zY9h5t4>-0+@szz$9+5DB&~jkq)!cqYS1(olCT_~^GpxN} zdqbOB#<{{Ny22SQ!U2mC;mGO>%kB$%UpD)`jA5l9Mx?ev=Dy|w;|3hT@{zR`l@T2r zVhX@|#wv^<1n{`}*pvm50w_^i24OExt1Jg&!l@Z*#SfJ%T^v^%cbAo6M}7^Yd;5VO z;7LC+J@+0P%0RK2%+H2LJwE-$8UrFV6Z_5U1p*vIOX70u>h8D zQ}dVoYD^%Y9YMi~%;J*%JpslYO-7zBV zjv+#)(v7hu2YC1}%i3UM;7?lohlFivgvJ6S3Qmu%msh5bstFD3=0=2NCt|&SE1;EE zhs6dfK3a_m5^7qx0jJ4ub<#=sSLDoupLcKfxHfpg}77^-cg%;+6jp#A}V@M%ui~EGC zRK8=%0lp+R>_V`}zV++6fzp|7$!x>t=%kwK8h4;87D+Y2G-V(R&V9w>>YP+V{>~l` zd0>IZmFT~P&0l-}%x(Uve0imouf5O}7NrTQclO}uU*WGW?N? zi0++;%z`%4VcDsOeP^Pv|GymPzZyCBq5BOzk^#2Wv^-^-5urvLW>hQDkJO10zEUN8 zm0@<3XLeP)KcRNt;E}rfBXzQbuW3outk2V7#iENxHK~iMF6aR~#_Lg*e30kbwO^7Y z?!BlGwV`W&SpV7{YL{PCsCzIK)8g=k9@9U^;f)+{{8?n9MCj`Fsofu#{rohmG98E; zEWQ`?I1Y7@M{#@j;?TieUfJzoob$R+H?f7exRd)M`zdz!LTdF-0ZnUw1i!u?;4P2J zJ@Q4%9aEWl^ghOc`*P!mJ^nGrxdMjUps#_8@f{I_sMmK{3^#dXVghV%t^{;in5YOM z9B(b_TJ5joQX}`@65vdC7h^K&QEKYZKPO+l-{6d;MimjqzK~SkWydJ=7}n=gs;}xd zbMBf-2}bz(`6cr8cd8pu_pkAw=M`;YxBLTXXS}NjS<2sdznor}vSGL>20i(9Cj0tK zA<$I~I-v$Ncr?2F9-;9sW9rN(R}nSZ8oVh+0On}3$3!DK)n;03(08o$lOQ^+*)(Ts z)Y@as<+SUjn45^08&-50UUXUz{AMEfUHURpqT#VdOe2c>E8C&+_XIe*1$lwxt)#eX6tA4)ZN(2h`JcOAAx~SV1^38J5nN?x4ak{v8!OJ7_EZtL#zI?OwF38 zdq;=P*KRP1Ud<8*^pk1Z>+No~#BfhO+Kxwzi?`PRScF9FSWlK~Lc$AMjaUZ6Y zQ1kDy@yG#By}t{(StXU+(2<@h;)qDOKF(iXEP^B;pFjEzGr@;tsJvUaot*N;%tj?H zS2#_n_a@ls`kMOkUv`)^oKuu~m%+4Gxii4OZG@=rx@mbjPlj|-L%L56%NJjpo%2;( z8$Rmd+^#uR@T#f&t|hOFa;(7TF>h7DM?@jZm)AB=p?bm0#bKz&>p53Kyiz z8}e3GOe{Ktrs$6jhh{jlfLT^=!7!SY*A)oeEKI3GKCe8E!`9OcdxGCIR%Y|Gs(e9j zi0Qy%lF{{jN^6c$NZx&_uKm?K7AH-gYVa4bAV9PP-SfRk%BkapE&2G$?5M?R1 zzB%@;E9AJ%ZY;CTFfZP0$uBGsz#cdxr||*}F(m&Y>gt9D0AT@Y z-D}Y>m_aSB+PzL+S|NOxT9S4$t7dG+;X$L;K7eHz4D4PkQvlZOT`MKlu}h zf&xG=Mraej{{t~t6Os7;Mme`#6^qlo(J<$I{7dKhudwRBS=YPm@$LB(Mis|z6&QIv zJe!>}*bg_-Xm0q(tyC2@OjA2LCxswpHOG1G%Lc*EqxFa z0yd=LZCUEZQ1WXrZ&!3e;%(kd@>f2{+)>~WEZDd@jHEOEgUtx6N`!&F-x^6sC$cs} zoGjp@-0SU4`CUW(I9Eb@5%=xA9#YC5uQy+nUDZ4=vwNgc=g^1sC@KYEV1)E5DJZrw zi)a&v*S!V{Z4a-8*B`j?l5W1=3L<`_RORCn>UnYI06XEs6WTa~8+g>y?xHW=(<&gS z^bf@^$obj_@(#XO zbh7+suEqFDGT>SeWahy-)6_7J%?TpBwew{T4+m@}_o&(K+#4kl#^}bqa+Sa%^V1cm z6 ze~}!ZT7zn>YgbI+j~lYBOHIJO(+yCIMbzBqlClF;=(P0^*d^J*?GM?awqW7$OO&#k zm#!yUfw2ni*DWY+`AZHnsIRF)T3^TRURxGsznH(T9ntq#W-O9zVusCw4t@w@pj>D+{zi)!jdwRpnKQc21P0SG1)WC%TqJYD&qdrCECTEtOtv zPCx+R;0F^LzaS~fw(TYv#^3r2$iuz;tfPN{*hN!GXMXu&8!!%yPWI6$&zLVI-=0M} z8U^|Bn<1!Qe+E$H$$m#plIGsg?9F$3%6lL1ep59VkLxzEf-k8WyO}j-0g`*qjN>~X zt$vOJjX-xLEw5skdHlT9&K&!_tp9q5 z$Kfec6<%#z%f{x@(PD4E9gg!(sJqkk38zs5DmQm3H?rV~HmLg?oI4FTF4Ts%Y(%xV zSp?g^ter5P%B?l+9f_-vHe)@sI>!+t%-=r0Bx;fHw0!}WyPAJOl z6XnONRmDY1YrVIJM@Ir11ia|>q~f-fkaN=aF8=EVH%~{unPi64wxhw!m@^jia2|P% zRA2FI`071UZ4662r|S*HPH9C`p7pbs^;@_Mz=%8R_k2$m?k!%P!asOfJ*n}gBzu(A zbIe`iRhTplp8snKW@GZD;g6R}MO<_Ox$_6i1zdEfYYL>`L$7<-|3e7-=kxU){<;*V&`{rl^GUBnIR_LL=cj19aLXf6ipk=CQqoyTMFN z&*Rqvu$r@DefMV?vaU_oZi1jAyHOzvMPL{`eXM3j1MkNi=7j3>QtX=yZ$@keFW4m*-Y{?%3k5t1hzXNckj2c!q$iP9 zXrq6_5b%gfie2j8L*BoofsqNOb*0C*2hHKm_l0yP<~4XyfS)inBY!*H_G30uCLD%L zxDx?7J^Td$I?nyJ^b|9WcE=#(0n3xLl4;xhuj8r*u5Q=&SRZRz8wK;w`F?JgTI-#E zxm&BhV+(IP%aeH8rDJ%!Rz1(jEiB3{4753CUzWieGtpV$1DW9SC|Wkxig{E%UOhI} z-dh^^YQ~X=Zkmv9;gtLuJjOa3&f|DJSzi=tCEHPWy@hmnNe~+Iy?Z_n&^76*O}Z z^}pCQSDYzSv&71E5ft4Iuzea}OE{AvQ_aGt9|tzfk$KD!re*=SO%~8576^4zj&gLh z=orIRL6C`M1RwZazvdJ>`7Cp;LJt(8-cRa_NW^{39WQq!ypzz0LLgW$y#FKmniOiP z+_#KPJ)DX7IJ4f;DLD1ZPxoG~&U!L`ue^)rz9C?!EAl_7ZeWHjN zJJC1g&o2sI7B4O26kACF+hd|hiEX_b1TaB^D$X~(l<1V2?t~nzAdt?7A)d7ukIt4L#}iX9 z$(T6G8N8$qw%%F-z|$e2Ic2l>u#&o72u^sd;Dpo0i*aLP*|}E8UTDF_ttx~n(d&xl z(fysEq2MQgDbhTZ=lrS3rq|CDjjJ20$O3J|a;TU@sngu4K^Wl!E?Cxq@WcgR{QzaP zCy=m*@k|0*4xotG9a0T*({Jrnr{Ek!F!ZMAe6a92|8$n-#1^k_`1HjEX~X%a#k$%g zj?}ocPG54?X72o2VmIzBKJYXVIdVqis{{eyq5)CT|3lk*hBei#U85ozktRqB9qHXf zdR3`{2%>bPgwUi2NRb|q4xx)ds(^}0?;rxwJBaiyML??3J7*?5&)(18-}{~S{5jWk zUu(_GhAhdNYt3S0OiKe+gP*=mw0o4s}*YPnP)>5o_hD{JPhuMCG56bS(c z;!Iuc4>T(mX*qf>E*_rT9N^p1jO8x%HhzHGSTHo*Uq9Wwd1U+em&wWcP~Mv+&ATf? zKh~YisGrWuz|lrmpj=M}UOoyP@r}&)J=FHgPaja2cVNWa(KtSMe{B3fnNj4t7XZrc zCc{eFr}vq*#SivozMu|TBz_ZB@>1o58dr0F?7}$9YB(r+mI;8w50sq7ySC62fRv#^ zJBKPF=>kkkqu(AUfO+ROfvsDrO8)wS><$=I#s=7Ofg)B__3 z{dHT~sg~4TyUs}p(VWOXh|ztXfmb9fNYaK6#7?iQ6a++-grj&bnpzZ2TW)+v{$0}C z3f*+>e;DxJNEr%1Wq%`OnpAFBen_?@q^4s(Wc8zhxRn@+lzZ3v+Mc1ufWFsB_Y4(v zneIN<#+OX$F`<^)rr`p@p`1%xPm`l{9+e)gzuoN4TufLg^+M8LBxQl91ui=cw>s9i~vC- zK;Kv=Tv_zRW(zbY(5c0Fx)cU48D+vF*Aws?q(l@LoIkkGY}#}oSsomtsgSTWylNN{ z7VI~OkASTqybucC&hNj1+X-A#z8WMmC24y4Dleg{oEkrSud*VSD{*+^jRYMe;kH8A zrDKI}f8l6-XE<8a1{?#A#-~B4De=tRagagG(vEG56$!BJNxi*F(6C=MytZtHlt301 zCQoUu%bG-T)w)G5)t=0zo&LF5D=6lM>1p2=ZmNAM`)-fMASF}Ut^2+tW3&+^pA~P4 z`f$rbmz!4n-x`6x!Y>!VsnL>50Th{dbuMD%Yf_o#e)cUarc)ua&2OU0gIf!riusib z=+%vVVM1Ul&)cMd-MMcVUX!4lL7kjLm-=6WHe2Q-UqZqyTRUV-QAE>Adw%tiW>yRO@P}oEcSf3LK*fWyLUB-WR=PjZjKVg*w9f$@c`fL( zLC3_iAN1ezZXXs!gv#crtshiuC>Cy;oc;lb*sZiA@;Ra!g{gh8`QgsFA;~MM(Cz&7 zMAj9vM_*ATck^iQ;MoXHRjf2qPgzH^}z(~$CZ&mkNvagCgy*F zfR&5_p-bTP9+?DRIhMT=*?LJDp2EjP{N&R$@Z3l`y(Pg?L0T{b28?!XvK0w9GLYB3 za#VtPAwaTvS9f27YI>=B`CBb<9@ztxg+@HTqY*qNWB1$&m5`e=|00WYO$Ty* z`Nm*uT~BmYjHY>CZvXq9HdN+0{$$8XRL;i*2z{s16xgUk8|gf>eCP#!s$l^#g~s2< zF4EThTMB@`-0Th?yxLygyGVmPWI@fe^8puu?Zcif3T;QV^-n(%jI)32=^g{Ffe506 z6Fo?rDbi9dj3}9h8Bi$Ml45KB*W%f*;NG`3oQCiq;8=>CCAc^7?+I~d1|{2iYg|Sw zZqoOzTBc~7lD=fApKnPy(d;N7ekpQbod&D8ne*6nr~gOhapjW|rn@#f`1YQ=0Qi#J z&Asi~@x~#(&XF?N>&KfXKeHCfGE+6M>X*>**UDhwM^!ar*Ztm0hXH>nUk3OG31a!RpGw=OjZIqU3E25^{4Ij=Rz~$8#L;-wd|a_m&$*tP#76TPrBOQ_FNr( zQQJ-$g`;#R61f4=M?*VCAQ!?%{hv&am)WetAjMW5vp;~(fU43KOY6rmL59XWP0`fI z@9#*^c;RjW=wjHBfIv~)58l9!WL4BF`oozVpMe!g!kHC`49<$=A&sjtpX)bOTVO@v zYRLV|d0e}}^+Q&ckbQv+VFurHd#K1$11-YbL4IpLUOW4)MMIlk_j0#Jx2dk3-r;lY zRn?m4RW&O4{>%E^!~Z<0&?YC1Oz%Xz`!^5daEC+=(9z=xwpLf^L)QZDu79QxtaiIT zZnCe8V|oCZ$MkaFpJa?t9)$l9;Dr3%=JEEStC3}_c~!NC`=vqq@9keWG6-Ofko-jr z0Tn#n#}l8DG?s8fBrB6B!iw@uFfYQ>GR;C{|AX0Z14*SX z!)XpK_VLM;W%UH>47a^X^<4sbYSecZjrWi3gDCJol5R!Yl3u5qX6Rn{21@ znakdHTzn*Zw|Q{!IAgglG*1Hr*Js%(p3x4VYI*nou#;PVPALxdlt;UsZbRO8x7ezs z{3rEea}(w8=QYt7j`a~}|NcZF;3G}h>rp)thr^K!gv}ZaGD++v>TZH3BFX=z)je>w zey3Iqv(tR-36R{5ez6TajT|t#qyNkneQ9De(bd9cWxMI~(NTyUQAfXc*s^Z?M<*rU z3cyLQT=*sMFm6Cv>>N^dI;8T^LS;nBPH|;M zQP;OCZ)rMiX_EO+GWbv`Fke(=zSygLI}>5;lsb~>OKX=ld#J$sd+QS7&GYl|gzPJt z5B$0h@Xm+vFIEc@{muXQkg>r2rGK99xR3-4&G@DN=<0u?3 zePO`LNW1#qYz{!o_?y`AKNZ0+)L(3lUL3Ilj7JnL;%37Y0oUWNDOYfJ4_v2WOP&mc zPIK2ZsA6_ta%8-Wt}5{43z=g}rL!PD9Ef@bm-mjKJ%~!UMku5ZOq&6df0}UZvJiVP zZS3Dg^PpeT6pdof8skt{+n~@Fv6Y$gw{b$X0B|zI0#5U)&oBLL8}w4RNPQ=qyv&Sv zrVsErOv8op?j1A{?D#gfs?YrerV5?Iz(>dd=OeWGT673c znjjDQ+4niDAWR)c>;U8qYb>z?(=moG%v#6LOCf5>oZ=*&2i^-A9$Z-Xe+-1_Eu5}KReDC;W3IV>LM3P2w ziZ#bw&g)V!R}3bEVp6zy`%*rE$a%;a>`uC1&0yHKm`8HK-C9PUZ;fA0O7sd{ApLavIU?t2(I;(HA| zj0$=GocQX~l#pB3rWGzmUIrTv@X>&ulC=Kcn=a!p96i%m0Bm&tIHx+x44^mMrsVg4 z5?S%zCi6a81{%`9gF2)BGNI($(?4Yj9^1ZN@uP)tEDe#cTf;5s>;=TOkmNf!Wi{~XbB4^i=k_#CQi&2<3 z`@ekTU#0Y2wWeBocQ@&cEH-slVrO@Vr}QaTk+2tIlO#Zb~|&WZmAb< zI|*<|4WQYgG+x7iMA#oQknh}*3TMy;Tz7m+Wcl8Lgx`=LPl(CFd)>E2JEeWgiSl3S z6AKZpEeaQ%&!Z!3v(}-BIZ#7gy-R(p$V0;Afrnq}>AX(Ioz7t_feDyYttredCU20_ zZoE_S@tHlnKGvSz`q>`~EKrk|alcUmic&}mEV=}s34Lyg+feY~FQn!}tGecUEK=hZ zqW86( z4;9)UHtzo9F_t3P;XC5iAm_Lmshs+!6w=@G__3+oNa-nNGd7_tiR#qVA*WtX1a zv&P!ao1>O*t7C2FtvCPEcK(mVd#)YtRfdNLoI8({b=g(x-D3*Ly~-qCIDTk$_!pz3 zCjicbB)eRXQ<{E;Rhp*yg;Sa)z$r~foheO&G;F3_0Y+~er3Ci$Z%WDfO~q6}spt;U zb?1?MtkU#JE1;1O*5Q~Wj(}Z)qn6BKuJ{2;$w38#oWaeKtAj-OFfM>=f`-NEQfu5W zkqiD+md%~C+G0$_G(J0qY8*m$<$UGmBx$ozb-T}{+WR3fm{>oEGc6K#hE-c_{B|*#m)#nDWvXdyxzD8((0-YLQAq;-f3reRSXziP#$YVu z>b#(G`6CHKc-coYnq1_CwL|H6*t6cxirQ%*Wb^f+RPP^Nb_S0m0hQn(=(00LVq8YM z9;ia^2=O5R|HEsm2zwWK*`gc=v+J7ben|p3TRu2^@NKr?!r)@?*MaQaR1x2y)3ujb5fIAc$GRGn-tZHfkFN< zWxVAweay%#xNcISg)$-+;@NjQrAubW)*fDQ%)iF!P|u>NXzK@mq|44r(BQhQ-StvM zC58KAZB(pr_MOx0qmbCo;?-+7#s?{fYx-Nkm;EFht~e6N86o8Vwb=HWG2`tBtAdqe zFa-o(bqT5o2ifkuv`D_~K<)3en8-PN2I8D|PvCZ%$U39DKD*@#0T5O^)M1`J0v^IE zrT8HrW&>3*6zswd7qh{yq5L!CMtV38Q&|_CVwo4W_Mv% z=|?hczyQlu(_ybL!OIOXZ|=kwT+zQP{i*xl#bPWxdG(khwaM}4O0fsn>zbbvO+1S> zdtt8fUiR|dLlkFEV%xUGE)TaFQfDK5ih z+aj>FU#3H@G!T=kZEovoZc8;{pjf8Eh7qcPa6T#xv&)UNbG207^+Sy7@_zm<3q!D6 zU!sq4{^CA+v`;dUM6|HJC2V}Xo8U`EJa8v(urV?^sld9E_aC>_G=0;2?CFZI{`t)u zKk*UPoV@H_We3pfo)Go9j;RcSuwN+1e>;Vl4gzlr?;VXhZW`$(oE!ns3$Pi#Z1m0} z=|N4l##W_1 zhP_y+BUDE%YL#>$Tvi&jdrVl~kC(1{eTgWHLi+ljaYg8N9~TkCM+o@!k}4mJS26(} zQhEo{F5tS80wd2F!^^tCyRLMApE$7B8VB+n&UC~ZY$AC1&6>Y04e#Idza37_kXZL- zmz)NUgk9~GOsBB&ieS-)LxchZ=!h+oJZp_99TiGu=9f_xx`-zwGbbyVvrlVHE5B@B zw6*YE*B>GpY(IV>VD4?Cx2D|j^P^rt^k@k5xkWY)Uh;9O4P4zhc4w{o;+6urN7C_znP)|t3{@M-xAj%Vk z)IfXq-`ZW}3N5nt0tN|@X*yPVKEpZ?e7>Vx=ST^?Tz%Z1M_rbF-9quw5FzJWQNRs8FyG6$)9X=eCRnR8bz}aYM#$FtlP90{d=0WD#w#Hk8OGu&@LjMo=TOlt`ynu zOr4Sfo|Bp(b6?8i8GPQeP-v)4ZmevxL}y^^B#03(U`K{^umVk z1esq9Q%2d?P{e0(a z`WC#CTioM+wDG&?8)Z`G%gTQ4HNy8naEdp zq5ZYhePT^JET5gFf%erCelq=h)H@wATIy$~6j$+(i*`E(#ZZ05tWpVen}|n(2&CQ9 zSnWn=33csv<6jJt&aY1$f7H#` z^+6aapnJAGuaOrP2;4zaAq$uD zcsS4`0lE3rKq|knHO4wuz>W|7XL^3K3|>YB0nZdv5I_T(KOT5E=d*IW#njMtX@{Fa zK0EVtVkBZ9;&%9ut)AXvemlMhb>HB^39~E_SD|$efiKS=b?OY!DJA_u?fwiflMfHI zd)HgnqHo7X@+7=4R~Y-dJ_f(*bl=1Yb9{4Z-8()<5k0$)B#(5_{H1l&A$Fy80`M}C zb9qwXem&1!tpK953}l;FbELxU2kOAX`xEk9-z--3tBftrGG-OJ{wz#cPmXLIoS1u# z37Ws}rvJ?5pL?O85D#U}6-zJ4@4xzXi#sz>T~+nE9alw?Wq;DW4`MC~5d7?Pz8i-3 zh)3xU*d6^T{A>J^3Wu43!_3-EZKanVw-l$sX4pLJ?FbAnyFMX`N#V`^Vai{Y>z)i9 z^D)bo(vI}`Ol~ZIUe%J2NvkWPIv!LT&cMn18NL+pP89LZL%Bx1?HE?Q*GI@{o>h@F z4}S2+z5_s>o(`cW9&OmREy$Xx@Vq?Iqp{p(YRlj;**PjAw52TjJMLY}DI9|=X>185{;CAbwv=`%K)Qqo!KL%o!WQ{05dy03IHN|#+*LH1b8zU4G)SeiuI zB=jMObF9IA+BarkT*xkAqC)w~5D~Z=UdxfS@;<7d&`R~$C1ibgV?j>3& ze^-AX-8#8Y07zyZk9Tg)Tprs7cTxG%Mv5I$u-cA_gp#*E&S*` zIzCVs+^PSPbZ*pkk^ z-DY&D&iZVeX5mxwE%S==r_1u$41+`RL#QF>kd+Dil`m?#FlKo(N91-k4M(Y0?PD1Y zJGRG4J>u{cABUFC9+}|X_;xMd(IbTthTn$OEND)Q)6I@J~kY8 z)*a9}k?hBx;dX`Zw-wQVi*&y^J9X{fw9KNXjsa*1V%;iK8fu+fWEk2jZG5BT#<2Ux z&21B)0f_AEaYkR?8Z~YBq~Pl-=eTCdPNJmar9)h1=)cbaNSVtG^`*Rf&+;@le;IWb z=Jr&jjU2y4_H6>M$u9bDJAaWft=yGKaL$A{oS8ZQP@8E3SQ9SoFoK)~+mCL!YACP} zU)4c>ZSUMGgNf?6hnY1ExA^8ivkmxa7+ft1{Zc1>9zdqhdjMmF2|<8`B!7OX>lQAynZPGj%C|C`pfOUD6eLX;#jwc6GtkmfXNukmP>rOndfKH7?ao;D zA`%GFsUpq;*u0TMo!Rgv_9#v`LL10% z%PI8(l(d@^S+(F5xjV&N%l)Vr7P zmWQ0Mc=Mr0a?1fbEAfzQ%uVS=N8L{$`2)9!O{^-`uSA=fxN_&kaqniX8Bpz`Dr7o~ zUZdpSDJV7P9TRULy|g~ptvR3fzu0YL+Gh4G)7j+F{@CUtu7k$k3nC-eV=D-Fzv#pr zR~-+y(dZB@82kigiU(LTMSt05udkx$3HClEhuyJ&Rx$2*Bce{#x(Ty&f;+T#nODA~#})Ri8o z78;!bkoB`7l7q49#b-x7^Dgz6dJ_%?3lXR|d_K06yCsyA^b zKz^Q{Iehp+5Fs!kB>B0(i8`mW!+oJb6O2%BlF?h4 zeSH})QGLGVPx_uWFkeB2WvI(2o9jJFqy4_gc7y#pcXA^j2^vi2z{(6}_Z7`|6LblY zhrc||G(*ImX1^I%{blqtdk*l0A#Y9>lFpTs6c-`+-?`lN0bjZ|*-r=V)3aJ#WEMda zts~DzU{u*Wx(+*-@Ep6|Dk^A#oQ+Wo(=^aOM)z5 zUv{{_;QBsTrQB)@c5A)f&HClLNKbC5whRgSp^lc9{R!S-;buT#uT4b*%x+vDifW@dz%XW>wXh8e|lS3v_o$a<>c;n&@{ZGKrZ;CWVk?e z_I?u{5G72fVs`*2=!;HZICMh39N_(xA~WC24(+vu%=rdT!G;Ms*1vIQ)c+NDcHZoS zFW5WF(;YYZUk@R!iJjU<8i(W?eTU9yxL;#>MKjaO7Q0bXIGjooW z3YeYK(1p_BAD<2rsJlz*-ZW*R_#Plxl!(^NN`{^|oMxYd^uB-@kSP%b^}d)j1Vu-v z072%(U~KLGT09%pV0{}ZAdaREz>a~TQPd2$*|6oaI@~-yIE5~3&9_g@+ee(E=`q64 zf>9HCkfBfUSZ$rShm6bD_y}$%Q-)_5u;9BB`9H4Uv@O`LNE|EiQc-F#Y_`$1-$e2LTfgKk=ILZRP zG3{-O%DyaW`S?-B_|H`1oex0XQgr1TYFhj*s4vQDxBo>%D=PCTo}tl--;6eg z7)fZ#gTf`R^+ComSw27Q*${gAR^#$tm(RC>qc}<@45$tsv0>`G@tMTOJ5vWa+zj85 z757m0Sl0LLTEUmz> zwSN~;|0w@w@oZdzB+)~w;E%<)K|vpj8?dv1j-dGOdDe9%ydU5?xpkA6i;KYyZ*g5B zI2;~N;i2PP3B@l~Xwc7?UiqJnsDCz$;Cc}E{`NG{WF&_yg%}_Kc(Ikz>*#xrd4Ty> zCjSAq)B$(6EN`SNZ$?yDW>nZ>=FDOy>%j-{UPqN31{0_5KU5Mex{Cm3{yKC~0}0#t z?V1i`2G5z$Xx&7oKap>$A0D(f%wMU!hDuWbDuXDEG?ieop!V)wVbfBr+_fZ29tG zBtc%53}LPI^FT^d56pS~e4ej+Y!~$u@TfJPH*tTRam0EVGvF$eadn!{6)~%Q5L(Q7 zANbCJewpXOL4dB@Us(wYLeBFm;ZcLGxH>aRQ!pHK!i_!q&YkDaI7h_uRf6s5hD8+l zwdm@WLeb$&uT}{8H;r!(=0@}qvwwhhr(1pTH4aMfzu)^w2Dp)L3c@lo@0Lk^{<7&} z?{NE`CNS09_I6g5jxgh>Pv!oAny4BlyI5Mi zE|bZ!5?9wsSQBq*SFYqF%Q9@+4kd$l55_7D-m8H=?xtb;zn#*_Er<;pD-qz8wYU=) z+$c4oeSim*n-OA8Z8-JUUHQI~5e3Jlm8eGGsxr_vs1=v{k<;+uy|VX`Bli<|M-rFJ zZ9jnk)v>uB)yv+i;sPibx)zCO7l{bRqNhFUB@pOAig_1;&7nSrZF4r6k;!*lW44|f zdoihY8C*$=ZI)Z+&I#2Ry+MF_Nq828;;MV&x_aa8ftD?E3YifF4be=lBoMedp}#wU zip4hE*I8LZ6pWBa`_5tl*X83;1POChwC9TGp5L0xMCka#Ta|>{ZO9-VK|xh^==1^Y z5?R`8`UdL94rthl%vuCIDD##VGGO{PPLX87-o;9}`{e_$MI@IsDFl&#(Oqu7)zbF? zE5adUuF5=n3o9GnH~pn zylX4=t`bB2j2u%~J;TYA4{vaKhHDB?AFQ5X6-BN~+nno}p5bSlo*~DxGdaU&f6Ez; z0y#rW$eaIN&T!8{;huChP5G|&b>Dkbr;;^1M&6ig`}@|!y^cw%Upk!AtA+*o}mF zgRhw90r-^Cv!4)lQT4`NhwE4L`__5*j}s#g=bx@?mTH17+_a$_<7;q*thO- zdVJHH&t@&2!Dx(l8H5eBdTA^%<@^0(qsJeH@`(0!YmZlf3Gf;TQEoog1eo5=oOI2) zRLV=j=o8WQ=VnbtB1mmEjKy;;E1$%4^v(vjcZKBS9)?X_^+zQ)HFM zk7sfPLzHoV9dd4j!GGEsXiyY%C<%1)Gj*y}vKiAkH#h%89aHNhTZ2?@)y%7$UBt)+ z-j|2K0r+J!X0r?ee~??R`_@O+Ja&)+eTx&zY*2GCQ-2Pgp{L-&*lpQ0>tL*mR@XB9 zwG83mmTsqHvWLLM$;}t*;-ruYw*1@Q%5>0dFk0l;A~oYdYDUduw?4Yx7NDK>u2-bHg%NpDv;-7E;-=!SK za;)!C*fXr}()}u>ABSYEZI{{?Uu5yZb=9Xe$^CCjKdRlM+;6<1`MyK&AD}Xg6Je9n zh~gtRr$=t&7^6lDnpsT#7=#m3GX~b1Gf?@rO1;bPVl_-lUSUmAy$m6iP0AGnP_q87t2 zieZ|%ES#K2Fi*w-dCGSj{PU7WoQ%Km51GuYK#ARW3a&n{`^y>m2F@AT;>;P@co8DB z#ZnTk1O!j2tbwhPlWsViAv7oQX2->MBbCj1QRtu5z*gyF@>uD*ocom-2A|=;->>|e<=i3kwWZDT zB=3H|>hsN|G(L{OWQ5|Yqhv5fljboD=T&a8#64iR(SA!QPK&{;BWXC5?uBZsN8DTn zRj}hTnXgtPm|iXnvn16rwWs8;Ewq=Mnr|mN!7x%&z<^u_U`u zh>0gv+!l4hUlBaFAs%o`IUEl!n9MpHkn{+|g}`ouOE_4kTplIC?$};@sueIz5Z%E> zoNVQo%!NhR7=XtSqq}3W44l93`)?5io6sT&Hb_-;50Jrk?)d);ls(dY@_Lx$uuKUH+_>>sgdS?ibgalCdd- z-N$nD1lzGMn2lJDUJWPluN#k#Xn*ecxI|7VOZYOU%E}ZTjRWXr(J~Q%J_gC8Cc@;Iytp)r6QN-%?8!=Mw=D&2&IB~1kc3?kXuk(Z~0glNib6S{&iNt1imx{)V5k; zh+GfuX4vIWTA;}kW^Z+i%l90LEECkfL;r&1KyIYE-XWq{_rANHm$^kllssP9OvlGR_oiO?gEPCck191Mrw-wZE;BvGc zrzkQkXh8-JH_Ig6&d6@gYMmoiMxoXJ>B95|NV3aY!172<4!W(S-z+riEToGPNYk zqi!B0)&S`uJEcS0f)QkTu6nkCb%f1K_rd0r126I2fEZ2aAcL|;cirs8 z{}y!XaP8t5LcxzC!HHaAEJ(GmBL1CQ|Me5Y&u^*LrXBv&_bCIOUlN$xCa^ssKU~Pg zvt&Y=81AEhkR&YsgF>^ZvE~G=t+Gz3!1L zu0{)or~2nejb#@GYs<>rOnjUcl>s2xF?$hI5XTyEZgau>`4}?fFwx9beWbb7I$*_h z@m|tqV(rSX^87fDF0Cl4Eod^vUco4E1si)2c0=A#e@>1q=GB?w|J?Z8J=A$+NW#;; z7VTRxooY1YQ*xr7Y^Bea-zXwB)aL}j^glXueIygQ|0ev~qr+Ri_8zZd$WiN^DX6SV zs*TR62BMo2g`E@=Zt6$mTOwDA?G7Bob^K!vdDwK z;^g`Cu+I>!XwfuGgJyfxs#k22dg^zpEE0&bPnr=g2kKaC(ahbsBsUpa&;>HSfQrrW z^vdC?2-l3heg3b`89PfSCTCPPVsAovebFSLj?h*oa}efFRaPzDg$P8YQ*Bk&7bqmO z5jKoAsOb!^;U#DXm}-Re#kpz8{#M#acN=@{5EbbWj!I447o9jps!_XcJ^aD>T_rv3 zccjj2=PnyF-X+V1nI8u8D?;^?v#OYUt^KXGm z>G}TXuR_o<>a27?pN3p_{tnb6X=3TdIAnF^M(<@78XdMIMCi_-SB%|{jPnOY%Di6A z``6bD-r?q}f0BK|iN0&TVjGg7Dx&;WKj3aDa|~z9K@#O`+(O{%-rA~o=U2BGK*d3w$e;c7v2<_(BntKcb45-eRzp;pXbd~>t==~ z(|W)4-EUU#+?tA#Z?fvDrC87(Z0B>Ttx3^P&Xx*(wl1b$r|fy(ckJCp=#SaGQ;E}s z-WEji>9qK10|=*+U=XuMS3tDvGdJ%uM{Zu3fXh|OnS9nd{B|AhcD9oM!Jsh;T$qYQ zJlp&NOA(s=yy|+l>Vln{hTol&5Ynu}_p z?f$uO;jBW-f$Ln7J14%_1*-nWa3{9r%D6?guj9t#2eOuTCR*2<%ed+z{J?5;%v*#X zD@G{KcW08D`0j<*xv(76@l^n4+z#*6g%2K7n~W&xpr@g0-^uDco|jtY=ugnZY95l0 zP!C6in5u}gqO0M{N_U$c%XCsEN~KseH_cT=J>ZQ$jEQd6otLQXByxDtNBl?XOTjb5 zlT$;+CJH3~TxQP<>jrWaz7kT_&3xr-5-XB71XURq3U>F_N{r z3}|ZEChK*d)qTT#>dcD`)^#w0`)F#0sjI{|7z0uA1BXPMffBf8fJZ=;h)8jrQHT;# z9C`tI10VyA1wH=Ncs7#~QCRN_M9CXm1As}ebBt!|ix~hrCKx(Mho^fd+dA0u0phDo z71!kvCpuq|?7}gdN9_btT{b!T5gz!V@`348IWl0Wh-T8!%dR%kCTuYN%0%KBD2rlk zxV!uLksU%=A92riYgz9D_NhT4*zr&lWRt7tfH6>8p`g+haLe1RKcRj_zH+Yw5C$N3 zk;KZhw%yR~ge%_Ht4c<^raEedQ&BlrPD(_HB1MUmaA-zmR|W_irqOF%d}lO=FEpVf z_NymP4o|-3r91sRDB>+zklJ;?A4|$g+<(>k)(3db@rkGc@hgfcNg5 zG+ttD8&|Bm65C(yN~-R0HYItMo^Xt_vgRg`!4B{sYwjUB1ZTe;_h9AOpb46Tj7~1Z%Rh6 zc1vBsf?<&;ov=6Y^X~c+RJWf+WJ%EpJ|Ur5y~Ag>`=U4A>!of_j4a1r7keK+SYIG_ zYZ9SzyXIYdMz3lw2h(9|s?q2XoV+M!o z&12!St4;1+*BqvhGJay;ZVzD5lNWGay~mG!*C%?q=Z%`AD8T%V~ajKV>L<~4y( z7@AQSGRs(=Qy6N)g^J=(TWDS!2nr)Hu8_P-4Z4T2mvigiwaZ_qJhCtsXzbtMdGEU( zn>h8oJi&nYVK~A@Q%xYUhq9r62387vPaXUb^JEpmrfHU7K>0Adp&y(X-c#ewxX&55 zQD!!9HG!ayO$cy4#{HGxK8}d76gZgn`?-;l?UYs;a?^3zHTjukAmF_P;+Tb12(z)G zVgvZ>XYHP+tEC*^Cz_N`eYT@pw#IJfkHsjkTKetUJOM=u)O42&T_O9ijK*VO@K&va;ApEcvvPQ8}*u1De`Od1^k_VPPEWri3PqZyiq6 z(0iufC@WJnXU6?ijSz-X#jANnzwGeGkPt?HF(Kl7rz)OhaCoui^AQl-Ust%l+EJRi zQJRVp!lZCr7*V)xk>@*=@GO<_EHlp)gTQsp`OltblYuVpuJvVe#C3VHc((fUNq#n?~7R0sOJ7hw7W|%NFwQ4$pC;qFl7>gyr?t$qbM`8 z*qSlrW@Xh*wUm9sz5wH^2-1#7(hj9yD&=6R%!V)!y@)0div=?)KZZHF%P;F)54`5! zi)^vJ#>tmvRhC$K6J0ak;U-qJdzFz8 z@;awEQnMg2q&UAM!ROs@5~2T{njd+9LuVWp>YGB_?$vp}wu!h2ChwGx4z(0#v=k>1 zN+v}KB?r-}2h*xI5OdvV`2Cx!QA}n=f=7qdPVx3a4d)B;kQW8&glv3!Ixh;1=$iJQ zo96N$Z$W>LytXs_z8a~u2doMncXjUYG zt%}R#0mw&!-90Dp+1xhltQW3|WSbo+p5~|q&D;-=V5~pd-+CzH5t}M~^Bq^u?$+EN z0T~ZET=2?xNOt;yvZUvRu;+%$*4*Q*xnGNIeIQW1aakZTximm1Yosb^q(-JnN2E$K z{&Vy{#(|+9aibsp!cK5@#Lk49DBp6z`s3MTR;c#N`(bcH6`reuIo;joq6f}h*kIza z6oLBNHLBnkf{rtWgrXo7UiOwOPj)2c$_7LowQo%P)Q1luagX?^B)s*pS@cpP%K(Vb z+n+#C_-la(yB$O*oaK|eqefOlhx2&4_j-#hL;e2U=Wpsq%84Hn_djr*wAU`q|0tl3q* z*NjsNx~{j0i)k2}`hypTMj=Ao0V<;BCCUF9wsKKg0T@1MI(ha-M#@l=A5^E5q`JFM zLV^j?9LYGMy2UGE^z;#jrgzNT1MB?iKTuN!>AaDq4B~hZ2HtI%C}ZjiXcl3rGLqT5 zjlVQCTTlm?_&WMcPXfM@cWF5{Ck`YK4HKbP*=|%SW0gJ_n+q_bn;_4#Ffzti!Js(> zd8d`oR#~pacTy~MxlK<>tZ?eS_AdgP`X4Te{F;ba7exV6%AJdsEc$rR0Wnm`GdiX;ddCV>^y4*~jk@gjE^_D}@nxa>%y2`FbM+^20T8{ym zA{blninh}8g34=hpiZKsjL>6UyMZ9KjXG|E?p)ZBK_Q2;0R}^ZZWjDAMyqE-cj zd1;#hm^?ZZye2vQVVhif1LH1~oZ2=1tzKxQEg1Iwvj3wdMe>^nG9TlYGAzUyJ>fUV z18$?XJbJxu)qiXEcRh&uM1_R&GQicXK{006;w~nNRU=^+MsNj;|Xg+J){KH|4|nQx@l-8ODn=X!e+329#V2? zXsV@Vh*W*b{DI&vY$%zx8`8fnqOvFKRSBk_hDBC7zkyn0q)U$DGlx;S+Mh zXvZrp<0xe6>Bxw9;7Y}c-6J=*M{c5mQuLUV_>S(#j_zg)s}>8Z*`i_)P9q~ADppo1 zQ2*{Jh3m|SSw%-C&`17=3wXWO5nX|A&ara?iw{*CFGP(7!S77Tsf6636MyKL2)n~$ zrK&*z><-`AM~16rR=p&!?T>LjJxAmf1LMCZn=t9U&K9;{b;2K9;dV`(JuZ8BPV>8) zSwn7aaSVaqU4!O~KT&58<7(~-b#l(Y zyxE0ZLqWy3B9ka)=Np}zyBEcYv^uvj;*x~^<&^GMpV$8d5(SJCA$|k|2%hI4wspAf z;!kcsP_4*DeD`fR0Et%rc$l4xT7DP??aPlMGe$&Nw zvwbDG-<6*d-K^4uiW?kN=p**{@Bbq7M?HJ+dggrnEpjUW{cs9mA)$MZqEjkZk}6oF zoB)L2C+_5zP;pD`5eu&%8J8d#1x*MA4MF3@>fN`a<=&QXOzbKuOweJVs`c* zLMVmE7?@*Gn^TdsNY9gEQmHx%%qCiHb+7O9N>QBRQv!B8KVns<);rJ9kdNYxWjzyy zn=RMcWNw7(Fw{>h;N?ju%-sV|g5Tu(WB?O73mlO~w>FyGcE(I2(zoY;6dA{e3>$>;s%$zxM&d$!c z>o;@H`uM1jHc^IxsWg)LGB58$E$=$E<(1;Fc+-Z4mheBoC?qZ?JMZ)3Cm^Ck0&u)~ z|2O+TPlDKzhSuB1PZ*Rw-2D&I#BTjIG)_K@EMrDnLwB+Lg?=QtoW~Pt8*tfP=CwR9VyTK z_|i_Y#^LB+dk6661_35J0oEU6I8DnO#7sC*5g>1#XS2{}bC`TcJOT}1qJ~Z3{yeya zyH3xvejDOS#iT&YahH&pso*Xq`RORP%nNmu_WNl!EQK=n$nvGFz;rU12dH(8G(T8J0UJA z@GI|DB7fz~c@8L0%~ks7CNh`pzQypyM@r{Tg88wCtxc$k8XIR2g6U`WYxLDw+vag-^Uv0z9piRtnaV(Ys*{n~W;_>XGI zRU97xDRc4~|G}0((CI&AAlzi;!uS`50zbBV}|G5 z4<+e&!`{Q|92*ThaL4(ALnplUy5Po$E$aZ6zu8E0YxZBDX@W}rHEfFVbsreCjBSZO zRRZwy^sM2hU&b6`n)msNZ=k_`OnozmVaxScc~BeUo8jGH93OoWTM#a-z)aBJxy>W1BmHPcoGvl7oBpOaf&f^v@G zRAmr`8GcZKpKZZAaErh^yLUoI2qs|t!?WPjmW^GyS&jkfcN}}VB6c5KjVu};y=k&3 zIc*mOrocx|p$G^**%mLI@ zdGjji3ed5B^~+>cFYWjy%bmdp_C z3fYgnEiwQ0HMziB0WdR_;;(+T#Zp#O@{E9EL79h^*Vzo+GLxcr@F!xIf@|*xIJqkmjB9s9=N3=l+O#wX!C6GXB3axS_wp+|hnu3U`D;ANO(*fjwapg(ER-S@cD zEzD;1)PJ_g2*WXT7X6F8RkRPk54cQ7)bJL@{)*3v$VWuy@2O`i295c=BeH9n7rp}jZW$1Qi>CeC&*SE# zoSHDxAw&c|h#gf~=*hsq$z+D6HpEKA&zXnm#-z;b+vtw)zPy>BJK_Y_7e9ChDogTd zh{fC|DU`H>;t`t_CXf2-2D!^G#0<3&@10*>;5(L^YjP)(1}91PSyk3N2d25n%-Ay zmepyNRr#+Ym`L{tPVosAKP3|QN1DJXk@Eqy`2qFvPpqS=%+QiR4dpBF z)@oER+t8ha{*wFo_T>}t+@sI#$XZw;jb_&BJ_~!@l%G-~3l60Mdg&5qx+@|S>$T%? z?-%b0*Qj1MDW=3`uzE@08PBrl8NA$ZoW|og?WbL|<)v^8u(? zxrW7}n9T3Uxw+g@ma#0beN$>noY9|Y#{e$L9TDw%^(nTO!~RjQhcI2x$a0lMq5|_{ zO~`8#t6*jxg>zECXA2osj`it{y*%ZU&IB5#2WNdkNjt?+J!Owsx25Yxb_sZqjp_mHDFqgLBIz_9m3&gTnai%>S%*y_|nVg zsB63^)e9cw865dXI@~&+u~7UZFi|q|GpEf>L^jfH>#b6LmmdOtH7E)ds{yD?x6BGJcBDf`Vq zRNz10TgPAUjT=IDgfqYuj9$na)~?cz9ObKTqq?R^i_#hcKh=M~XC)s7rbRLHUTc9z z2`ABewj~BARRxAN>)q}g5L>NGixPG-oCZtmAn?)H&gPHf8s~Y*S-6A`fhdARI&6OK z(@G=nrp=A}F@5$km!C~Wk2CUz6ON8@L#7}U!r{~*5Qx*)?O*gAN#>ciuQaW<`h0CZ! zz2gFS-xWcxc0klNbUdA^4??y z5c8WKyzss3!ARO&&Ku93_MI=by!70?mN)KPl#v9k+W@cSPXUV#a zIuJ=d-sMrul|Q`Rn<;H!Z1E}t&kbKSiiURyc`Lhl`i7h|M6?8XNjZdRD9d5wK62zh z*@h^xlWt+;bO2>MC|eN+4i7mZ>L|r{QpkY4xQ0J7Y|%~@0=Rl&*J*&Ds(!hA|)0-*#G}0 zsSoO9rSweB=05c1jvRchli)RCrsa(ePwEQpUCCK>29^%$-gxR5F()P|_*O_TJIs>M zNy{7Wkyb%)&ysy}Z=Mk96yJ=FA!Ok9!{T&BYbIm$RNtfz`Ri((X9be@pGn$%HCjB> z%c4B2_a!-DTR+RhpswF~InbTM(l z{S(&M6qC2zMWwO6Fd39PpbFcbyV^&)u3yh%jKKkvJ)jzZ+*>)R$m)LPezExZ&eq(i zio4q@=%d&P#XMx3O$IykQP_lH&VQ1?-*g_5!ZxE_Z<}4mzWZX_j*7F!(oueo=QeE( zyU|qKX>sy10L!r3VuzZ(V_4a{{q&9f?TN$b6dk6xRfPx77T*nsWgn{k^r3vVDEBY9 z;RBYw9uRAGX^u65fB}fQtvFl^!i*qn$%)_pI|-J)asdo}kIS+IaR?X6sd5G=av-#B zt8iv3{$F`MTe(nqx#7?ok)b){HyOxt$nP_dzj}Zp%=SSZ&jvjAb9ILz)i?*9{B?&y zq!ACEJoJC%p**M3($mqbL{^TLu5VqeU=Bo9$r85+DRD)G#A~%~5q^10n2@!OZPW9w zr4}I6!v$;&kYx4VRb!YbDJT8f9=oa&8~s|&eyny|b^F-+n+ynI?cy1Cgtn0l-57I_ zciUGY!&&~vUcZTFvVb%(^vP*wOYly}+d7M-Rto{T0LAqGmoALL_ zAKyMNfNd3Upfn?gjcK4e=wBj%#wT9BF@-my;|h-X{XP#g{nERzdX13&ID)ETY|V03!P3? z$a&L_9w>W!ia(PYTgMQ)^bcHl%t`g$yKk>5 zt6;D)GD`GiZKN8Q-UO?bdocalXE}=tK&<*CF&7+x`G~7t+#xQ4Jyc115^GSaG@{_S z`Mhtn?=wR_maCUxnW1GqL|Oez(}IxzGUZGhL=kO$&f^?_D>Fao;LC;D_7nVt zD~f^r(6&dkee|9BKvyl2_0J94v z^yvfpb^AA6%KKq{OwV+Z7y{qdPCC_9=rVymK>^aYckr3-!6uFB;8z!QI00lV9yR~z zo^ouhZ`X(#4kpPvJVxftwav?0v$UBg?%o0Qi&y1~#`a#UdQXPDL#V49b+>TZF3Z8c z+eP}gomor;omcQ>0JbMAnunh z>xqFt&~Yn;a;fC#4Ru>^$8@ix@FTVNnJs?rcP;4jVbT0M0%d9tyE0K>jp?Kk(%Zrq zSoEn-5seULiMUjb?oyP&GUc zvUnB--m7XuOT%dNvVRTQ&Rj#ZKhF8sY1Z3sRex*WDa{Q}aW==bgS9}HN5Z6cMC^@g ztx$>KYURvtD56l~$tK`Mo^MR-Z6tk=96)rh-#p8?joHY1vacIl4mI&E6+7V^mvc1HcDw&fdgrffb@-zHwwo#j^kI+{+)5M;4m`ZzZ;v3K3G zFNS3w+j;$8UAiagmPK6&mKf?f|4xD-FqY@-bQ9<(&GX3TU{o(mp+(Vq)I7Me0eE;! z`urvZG7vz-o9GER%&Q$iHe&89|AVj` z_Kt^y5;&Y-0E53f`Rozij6T^#75^5mavZPSO)Xg9#}ox$s><Q}NE)|QB`I&uNlV`D`tx1X0n7i$I3fXbDmClEL=`_z1jT1=^0w#orOUkjtK?i>i4Y>*=xYA~`bOcfy zT=3{=8jahadVo9a`N5lu3gVI9^$Cy!>VkodU7`ZS5{g%F(G!ATbReW2g^9G9M@)+uy2~Pj zo=JHIprGR=`@&z--hB<3c?Z7RXrPxEp(Ytj?-Ag2uj>8w=(=b|j(x+DzDJYQiUu5f zj}S~Ca|&%5VH-x4!+aur3|2SzgtHtypLcHCmvkmQC~vsc@U^_2{tl&7Qh%gz%rAjk z2+VQBOw+cayS$9&x(P=q$N@0C-2-ePg}Mv^ABtP};Ml|e{s9H07HT5X4F5FaMY$!4#8hCc5V{!mY?j*r zB$!)5kE3Uj=*QB%Zdc9XjxEMW7@1yq5X#cJGI^_&4*p61F@5jWX6Os;vxCJfshjmw zh1g#5y5p|lX3^`8#{GT(IDCJ7f~B;xwKZm4TKyJv$H(J`OW}MaHHkvwfXj4p^JL-s z==J)MPbEC@VOLFM+GUZ$b$vBZM!ETXUS0P=$4LUFppgCT) z(}@!bWl|sW9UjFSF7LiH>LO>buRS4CWo&kmt17|jA7-TeB2E>_hujd{Pv!QPa8z)9 z8akGbz4zM4RL*R4@HR&cz0E@H5w1dQc78s(dIX+qRgcd-Ia0$vjaX+Z zj76u+el-Vby^u8DG8-68d1W(o#9V=mmz{OMwMiH|liwOCo$mT*kmi@(V&pIm-8UGz ztDs)JtGMBO9lA#Z{xHg_CZe48JZJMWb#cc{v91%Jr1ycJFJuw%N%O>K??P1fm4r7a z8AMh4KC0VH?YO;W6L#>@+IQrZtt#<)x8csmA-t`^%Pzd1ks3I3biaYVd&RBM=~ngU za0eH;wtV*&jxKVDDhF_yS~hGnk-HmsmrsJh%{HLHJjyn(-lBcC)}(#Sc2s`vB;&K- z713IFqmC&sLHlQy<+>|6Mo9lq^GEcPy~eY|-LzMO(t|<^%8KS{;h=VNxdQdsO4qE7 z6&~>W8s-A(D_d*GDVQS)ipg-B7OLktb6zwWUS^m(h_-Zz}D= zxonBZhDl0i5qA{~L~C2)DEwj3({bgv^Mci>&w**4APSiJ7b^|#u|ocxW1KBxGEFDP zai+qm9Y+|ao&91kuRBhRZXKDC^?Y&tU5Yy5dC97J=gG0qskX#xlZ-%$=*O=3PeWaE zA1^TGc3~+!9+Q6+88SCyxVL9I${9Y~YnFG;+S@~@M6xAXIUTf-RG3IrjAstUF{-kp zO8`O#!(bZjZ`tqvx;aDUr81~#+c#FE<4OcRU7%(c|1TU)_)jZFwwovVsB^+EkmE*B z;61mIkw-i@eqC-wsuy&E!1{TP8t92QiwhI;2J?y#bE<<<_YKmc%tSM6yY%pPx>*nT zW)n*Baar&{cbO-jqQWgYQsV7or3QCuph>qA=g*e!iu%P#h2&diS7T*8``NliP(ndR z^jAiY5mhz1%{YMA50UIP0ejC z-lg$dF&ldQdN&*+BWzE!kH>E_wUHM8e6-Ip4+HV@wHm4pki0?AA7@7BFH_YC#Dt03 zEIj=}FJ|Kv<3QG*^rJHBE2-0E=)CCmi)>@DNvFE|WF%<`^GL0`r7uaA5Ql1t8%${C z=k&v0?%Hz+*-T6s5e~J{Tsit08Ms8e`*l^g?mJ=0d|S3H-)UuOn>BSZ`xzy}X3m$? zXOvoLh#D*%D0qr_?tq+P_{Ty8FpyK$x8BJYlush*{|;_2`j>( z8DYb~URhy7D!aMLBii`$E4TdXsKR5q+Vj((=Zh6JavYe{;zHH2f9FHTD-*-TAIeX!KeL+mpS zzkcQr+4SBLs=-K>%HeFtmWo}K3b0uaJK>Qdowb$rJ8f`q@!Q$+IrR!CFm3Kx>fJp} zF4GHf*j+4sUbMatdeu)3e8b|h_Xod~zWB`toCzq_R66)de~o_po5k;B{rY&;w8><7 z!SJU-!b#TEeA#vOM70l?y6ie_svxo3Ub;+-)sIP^PQqk)Zleo%{8S!IIa@xYAzZ$t&^OU01qy85cm6LeojM^U`nq9H zVRFu^A%4G{&+b&|RoPgF!g-f$=?<|VX6B4`Q5lDk)vHKP?@#jk!I4ngjDxk3;|{1p zM^cETCBF|ERham}CZ4Z~+nAD|G|_IaORrEZWOKS_+)zN9tKrOcRY}Dzn&tCx$R=iF zW|osrNZH*!1&ZPQJl|3K^~03-;pSN&Y%8p3-`0`~?zL8hB)P{Mi-H!~-E+}$8;yzMwU<(N0l|XM3#_|3PO&Bw~Z+wqiu8qLH!PEy}S53 zc~+K?8E6|3qZ@tfQgS=kntIbTLe%2p(TPP@Xu^z}$(H_~t(W{P%<}X3n|dVmH&KP- zYvC3XXb8C-(x`~#kxa1#-E&#=ldeUF4^pY_o(?{h(?((XwOj6*5r0jBjjgt#I*N0c z{C!gaEyhca%grC71jAnj8YZX1NJdll8MIc+0J{`uH-gZytw9%hUM%HhPplmccj{1? zefYQ{(O$`D+q{jitzkS624QlE2csn}( zA>v5MuI!Q2=Lq?XhMJp_pF;|1C$7#y&xr3!zRqxGG@;8kN$yt(ju?9=_M94QuF_oy z@FXAJ=5AtP;?ntYw?gv)Nk9J^Py?>Uw<$DBIWrrFE(_aCiQh0W`__EdpEk37vihOn zGSqNo$b5HH#=MN>o@@4k0ruB3EUfK_%;f-ME*e$mIDweOzF3`E8FBvMv#pg8)};XU zy>x!LsQ4F0&?YSM7!&HZ4he-;&#M9XA%%&dZkMO+{9qSNP#(L=L$^mnrV7{TMJ0w7 zBOQzl3e`wiM+X%XuKKwV7HvmuR9y$hHrR*1Zj-`S4yhY%>=Mahr3jwnV%9J-HPiSh zu(RxUy6-6}&GWP1AB(fH;BPpNyE&>JfmVJ=@8`@LX^{3KZ5BJhiW|AVZGqUtE+MuR z&YU}qBo3jrkxAyX)nNZJu81XdsYqf<-C`Y5yo@WW%=3BZ5~hs8ikYA1*MpW+(PgBd zcQ|Uoj^*WL^-PkK2`uX=Gn-^{IHp#m#cZerrK%one)5$^3=s%c)u%hK&_&;=CUsdG}Ihd+ze zRPH^QDz6r-`KAf(RrlWOgW`xmz5@EK-u;2)q)1!?CQ^RQ@TC4_U{nyppx8)nKrg%< z>6{K~#M;tv?*|L5ydn_m9!X))JOoWa60$gF9XkC1rIcEGFR6K*T)`ai=`zr6Km~oo zh`WOipXb~}Ssy&Q-=uZG(`G-BF`I%qyT8HHflbP-HyX4!esk%izw2f4>n^v~Ffvg4 z${4xbx-a5Tj6woDqsoecb|29nm?hK6r(}c^L&;ODIEUxs>&O5B&G1;f;6J^B)W0E; zDq8{}h2v84RhxM7oD-REpJkD!$gDi$isq3ENonlV5RanIhj>xN&rI@T{r&7IbNPBh zraVXJt>jIm#~=P~%G$I$xX8={_t%Q{0+7VZ2NoaBNf&|I-K<3&vp+2t+xyp>D`H9( zS%(Wz{+!lRJU--eJSC|QwYE*llXt0O zR`3B_k3Lh4Vu40cX6@pe9(68@=-zXy0QUi+8X)&wrOPLEf=MfFN4^Bt>!FCkp-5=$cGQd~9xr+3h_r|ZA zSO8uih|b_5@$Pf0>y`a@Z9;p`B)by;*-vNx+mn!h@yk3`|H?N;Ld1xOT^QY*WKkH>Q+W`s5bBeGjKLp%^iG!s2u)V%$uF zO|rf3*34G7L&;TLvoIg}4)5m2(SwcwKSf{p97Jn!cdS20o)}Sa z)zHXY_J;$kE`~o=l<<_ky&vcQ%!hmlf3rXK2{kXp&d5GQrZW@cv?$_Q9{B9^l?LC1 z%gRHx8UfM12gA37e`5k=dYv5 z9~Y06&+ukK=hib?-}4(iR>t;QuPUgk3MEBKfyf^1s_Nfv)m&){rr_jYEu}5K>jOpv z%-9loocT1&sHVD?cdOCf%!bq>L*R8ISH=V5#J{ zZ?@QbAGS*K(Bjx%)CgPs2w`SSjE?&Hb?R;4CkJoe-u&v;@-;B59?hgr`DTD|()nHt zppwX40~0l*>1CMFni}NA(R*gf8#J!^QJ1)JK_I>*>}cfJci7wb(_B*|3d)nK9z$JG zSl_YROT15d)^l2U>=!qn_vJ{ALDckq6?N6=GlonqNJ1@2)gAZlV_}6K*ZnrIqkfD<=d|L)I}g?EpyfB z8Lc5{x6gDRx{Db7>k0+4-B5<>yV}#jH}J~^cWyQZQIMpK80vVpecwVzvTfkoH~q%y zlxSZs7ot6fC#(3A=J75ZQ=pp_DmKz=hg>CdhAFU&A;j(y^8lK2L-(^VJLw-l^=*a$ zbo8*q;~qPy-f<)L6kNd6pmz@@Crn%x?CTFccv;8J($+7>CZDi%NPp1NkO-!kYkF{ewa;W?+a zVh9nDhOC?)!$KrKTE9qmT$x(8d%?|>Xft&|#+CfN z#4r&lm#ohwgz2#se(vj((p)goRyGzD0xpz$N(|0olM_-wZw(v@kRJg!Qa~T5xz6l( zI8Nb0%|RoFNoT;KGXN^2T`b53J-Q)O-H^q|rq2)&8UjOC=%!>7nh}eFPXXyG*DaTW zPkqz(hL06E@qp9t@YJ9qo(<4_1K&qlk-1(}tgf@DRqn_yl)0!~r23;c z!nr3>>wQNZyS6n$^*V1hOMxaEx+DS~^QjV%YHso` z-Wzryl15plbu2JIE|BLAJyBcv`xjE}{MFwy{ppiJ9}ra;96sgkZ~49F{MI5Q6OXG6 z9zMs>x;Ry&VF*_Jn!y+X`SGf)T+W8P4UW#X3i~n{jgSQN)=uV4@v<;iUiF$8Gh2{! zu{7WF1{yik`ef1lOvx7ADDqwP-@l8V@24tF2TE+5nDkE=ntKHg*>CPeM3aP z?Zn%7kiufR?vnA#lYB2bd!ZC4N2Kvc^Nu?_1en%HVsx+Cw#`-Uy^C6tu)9tYFrd$$ z?sGWgFhRC_L8`Q^WIC0B`ys&_SzF`EjY0lwD9`x9)Lb4i#D#)|fiZW4IPQXDRDR$b zWgfzOgR8APvEq>qH9SRf>5S2E-`p^%R=L=zVz3+pCie1oV{F`XgCExk!(YCCGsXy@ zCmh;nny;hm;Z3!9u2kb`DClORQ0Y^ler?_rzX~}xf}=C7z9cP5-My@b!RM8xfD8k%!Wk&~XwJ`(NDwqfo+eI;(g)p;9lY9HGgM&2nw-H3! zoqZH16Nhc%ribVu8CC0POt|7=ACoEUrYOkV96E19Y$m?Z*^Tn_|E+sw->VPeSuNMF7} z_7`Y0mgy*O=4~X7uYc&8@u1rb_R9gvog<%K_lY|ba!otHMpRdbgepKedG#h^_jt!U zP_)e`459?GVMUg8Y0L_MCGy9 z4;LnOBlcU>`-Dxab}XWBvp|OOu=Fy8guKVzBEaoJ#7^q!n>Fz1)3{uP>Pbjnqx5yN z^3$?gE4HJ)_eZBQ?kXf+p%-gu9#h{ z*l`qR`8OIA4>J63+b+Vc!w$&$c5bnt3Mr@(t* z=?^~>_D$OuV!F#lyi2O>?cn*8KoE#uRtHL1(Ive`J_^AoNb`GtmCRK~Z8D!Bt5%X= zoFY%%0FAJ|F~p90_6*nsz5&!Hwy(hcEB@Pm_kq71=m6iije7sgUqXeC;rz2U&js;9 z-k3MOD4JK@q5hHH@Vea5m~78+7{3a-vy|SR7JP=Cv{p9(mD*M7CCGh2o{z7<734$A zAcM}9Bz{S%;`9Jzl7209-I%zvh}qAzY*l<~;cwqE*(#+QzdWtalE z*ulfF#C>i9-2iUyCbpL(kxMO?r%u4tY}U$PLh`mWFz0#>T&%AE*T1bVNoJfe6N@d_ zs(W2i5G>Y6_h?<(=XBTVY~U;8Xx`ZP%!x&s%j$|+`j2`uai1YA$6WIf>2uyII{EE_ zgNga3+ZU_vO}PgRK{&SSRq=-+DZqb6$t#0r8`4PBT1hR08JYUS>e*=T&qQ;c<3zSq z=`eY2-aDq$lKv(pZcsPG3W32!$m;4gxEYYYEOy%ms)&^nko9|m{3T64x!|?LP5eiA z)1*P!3U)s<7oV9S>lzgvc1b!ufT!T0dln8g->;WZoRm0KM>jTOAM=db0mjYsXur2C zQnF#`M>UhX!BXi`Ti-y3D>gOlVQI7iFSYMek64q{I60O!+gyOR*UeyCNT{>AA@=^IRpRQ! z{vr$DkUI4ATD7b2+|JsH$OarkLl zE21}oO&It5{_LH%T>d(5bGTK_BIYKg9p6{cSLw*Hy;YKeEQZt$Q>}+~$?=?tZFu3% zKga}8!SOP=dq0_fbA!`6TwATOz%m;V1&QAB_NU7RU`Q1(zX1gQO*5kd6nwWojt@Hz zZ`&o`UaWICtBKTHa6pn*Zx!6Z?0Jum8*j0!dKx+jmYfla{Z=yW`-I{W*oLg&O6VLq zJS|&@HnCH7a+fS9Bi^2zX`%%U&+4y|S&;UI@%+F^ogCQw)}QP%D(DP9Mr-gz*8Los z<`Z-&xbH2vIk2(1R2j)29(jp~gmFkV;a)+)EiV^RiKm7hClB7kroOq`$}tadMg3SZ zYAxtLsy}pkY}@FyRsCe$*q_#^c;3jirYo8yr*VB8Q`W!E0^Dzo+B~c~eo9@CdSpEO z>IjsoioW7#g3X(in1&SSry|X{^a-9(3hYZE!ukRL*$Z#F>!QA0Sh|jZhuLYAjgQ-n z#(NWX_l~=P%<@TY{yCDZPY@G_94p?N6tV{O$%2+G!DIb&Nc+&%=Pm3Qy{Pie{qItC z>h=>?HdT_^^Moi9@hivKlBX4$ntPkIhP+y|SYrrWTa(cdO(%>K;K+%-L)TrAaay*ME@ z9RwJ;_VL>$o%!X4#Vc9?r9r{!xaX6O^hx5&OuWCNeD_kd(qG%l$2+v% zikXg}oc=%$-3_3Y`(2PV3`!>3v1yu6Y`~Ap)-R59XNY*wTn}?g%+3USy0BV`0-`-* zrf+8?#P3~~*~y&Jw12>)kBz=#hc121X)F~$7X~&^VqX&4e~Vc{EpO6hu@bl<(9`Q1 z+bR(&Ed^DJO|BcqR6^C?tiwT-u9ypCjz-1;FZs+a28bo0xX}Zc7YBn$Fj5DDET!boEnmoU`WVB( z_^IZd=2qyBa+3$0KW3seNx{&bil2}Bgo`$`l=F}K;P1X+tO>AQY{&_U4(9H5=G*4c zmgdoT6$&|14>WgTRd!-ok6%ALeof}h{y{P>OcJcMS!Eu5WWMuzd~EvZ&E!ESA$773 zl#nR72}*ePF}D_(A09;JPpr|O*uY;8z=dBRp?*EUUs7;cQXu*k{qrALCf|n=($PJ< zc>VvZn#=$t2DAOdPaJhKVyr|BeYuq<)vCdjxw0adO7PHXkwW&hQ za@}phniD3cnstaw>3^43!6q7?wu6KVX)5`4@~hSr>G7*Z$nijjn**4wLm(*+;iAfI z!U7Z}BKcvUrLlGxg(q20nE4Lx*EEjz5a%eOdR2vDu!Uuak^hzb88CAymgIsRvUQC{ z#jrWLNl26(p<3O&c>WBi<1cpOFFv`|9Dk?TmCVPQ)@Pmd&j9bA0hwPJ#=kNI{z$!? zA-1i{6Zy){6odM_k}5R%%ZJjs!#*EYF98?&w%DHeLfxG&&a{V}#y=t(hZx=eA4LLAbHNLBz1B_6 z$&(9p*UMsqCG?iHb|EIFjqtju2r;V@JA&!m)FoEO{x<^E2X-OhurR-V)<$EY?|YNP zm9rv2J79KiT+~qDWwB&=r5!w6W@^nhF^a z+wK0lv~7HJ3fHaw1;qN|QJwA!YwNw-Mmv3f_4?JOsp zyHgzjvk|F@s2ImY+MC?V>20t={j5?n7vM zx!e<56L9*GQqk|(ni*1mBg~_G$eC_c(D(5~p3ybcOW5<*K>a|f;Y1T=vIGel9H5YS zR9-08HgbJlL&TISI1ina8F`ZU{7P3wRG%xJ_Cdf9%>R?b?xv zp04lu$Px;?FntltAP;Ho>YI34mf;YMLi=D-s@#2Me@u7yHkT;dNve2Cy*T|Nr!b>r z`tB_z-wV^ls(iAC+^3!0_N-*3W@{@FFK#$`;;h@$dl)7FPMCWnN2*me7GQ<=#NcPd zH|#WAqB!E|wcMN~GvM{fBEaZtTm4Ri7oT> zENx6)GA#qPH~j}aTx*-E@vg7-n-3QDe57mob$3us3vZ}8)zZe`JhVXX4JXN1odkbV-itq3pQ0_K8r*Y_Y9-sM|!^F^+tkc>t{ z)RQUQ^^TA2{iV+c15%y*#ytgoeOuGZm?}`)kEO0^wE8T;KJ;ytkV{Qcbhd>*hil;X zk3=D#M0U&7qTea3Psb}EIhqhF;RKbp^hn@1@zib`;&jqG7^&>?1$H0& zZ06o;!J3LJ_jk2$ZN;<2PsP!94^$Q6^ASbKmd`srXB@?Gx1o5-s6L^_y|^bYVwqhz*iqJUq{Gw zGg|!)+mL$&d)vo-b2Ld1bMT21U3CWDrJm7sFy!=io7prH|8#4n!dK#M6lS90pk*S8 zROept2MmpRWvk%vTB-^ zy=sbHmV>NhSRZCh)Iehhety&j^JsDzlvX=e@Uu; z3BgSR3#M8tv zmo4kU)v;s~rVGBs%Xw1uuvMK$U0S#m>CfB^GDhxEpO_oln{2cBU5_m_c_&|fe`Wf! znn8XFH0XNE){^y7c&bQks-HxoSM%4P53>9Mfb~n*fYPEMi@e>MroFIz zbwwAQN8OsZictvHsaHOC&&`G2`$jDAzW1#_wMm8c!c2*`I8xfAJ~0$Yc7DfLi@FvO z2B4Cr502?cpoWv(&;E8HM{G7z@Fi8H3YC)TO3Aue|_tk%$^IEIAC)BVX zrwAs!=^3kZ)Sa~QAS+1;P;4kW1Ion)C?cgblAWtw;|1ROs$nW0{9wBx00+Zk%aO|urSxka+OOFsxAtm z62TyfEb%~HL7tULgH9{`POGYj-tvgv>VE{MPlnMa_Y3m23G$8#@}^lCk;o zezpx4{bhdV#VncAH{Iq^5{3FbzO5Q{S=G+;wj%hR50CD+v&D|p<#y&1zp|jOC_nfilOLe7 zf9M+mhA^414&gX%El!~z1cjI_5_Gpn9JZ@86+OqRN23CMav$rom6pi0u!yT$Ppvpk zp6f7QkX#e3cg2`Jsk4ZopYX`Ec^T-gCfLyaLO!`91-2cSS3Gfc{DY$s_~5rNGQPr3 zYjMUKXIt_7j(9g_40GNDC{FJs(i+kIkGd?MjjGo_7gT2-_!x%fo9 z1s)3!YE?}u_QM~Eq(zR|-_3(p#i#ZMjM;-#G`B6mQryDno&S`wi=<_Y+1F@Q?I^-+ zRzJZjbdP2_l={uLGqGGI2paDm&w>u+e)F;wsmpA@5x2W3EY_Pc#+&lN$wStYhwT4I zeoytqo@&Hgn*Ur{%v>5>z1aPFu~Y7PF;AYZ@F--i6}PDtkaey!ewx-21c9A2klo@w>}@zoFMTTAHY5KN=|Y$|_54|uM=q02WhvB?xw~4!U<@mfD(By8e_WHS@l=5>!lhJ{zaUrKl{7+RZ z??t~a>tUDiayeoWqe^fhlRDrphPGzkhfK;jxcVWIGi(U&0aU~!-6SMW=osP{8MOF? z*~NtO%xqpe+UN&n!<=8@8W`i=EDo$-iRnxEAlXAq^&}1m3WpTLy*h9Ts%Y1yd~hn( ztAA*ha_Cezyf5ZlWVUg#y=6j8eCdZUWA9A2n`Epb> zQT;@wJq(IO6fLeXqXo^Qr zjsTlP@nO!}a>eao5Jgrfhgx+JL5}Wt7)19GR5#K_mqf0(h!R~CV55u7(G?*>7yWmI zaZa7w>q8x-e-yt~7_(ORuwVOrzxI=UZPnBQt<(ZPNa}hA zTBqgD|Hs)^fJOCn?Amd~uT0;NUb z9}YH-nyCAlI2&ztS{=1HwroNt#d#ngVZ5Ne{qPX_>1ok2cnf}@bb!h`nV1J=BSZAZj?lN zJjlWWc`t?3{rq9k6Pv@J>|jkIpj}fL0rbFMwLjvj0Lx1EzC-0Sl@HD>ZeD9wcGLhrW1hwFnoz^jn~TF8#0nexBffLgxHhxsZ$>$%45(98D(8|6Wq zW_;cL2=wD;OmMvi7M%cWPYu`0;WWek2f?%3pAUDVTrC|~0>K~Bhki^1aAP^m&_gey z2{u@P6mH%A47eMta_QBA7M-i1mqRlj?Bj4o1w33kVX#XZz!DT(-umia6mmR5k?rE@ z+L;k@j19m-g3EB&r5x(!!VAi5ms3O}lPJ-T=8)q`lv))}JayaWw7J`V7kk=VG8qvF zLRN$?^40s8SN6C+bVzdkYOD5Yo7_oAWJ#<3I}|*1bJ?uUPrJsKUqd>k zSsF2QN#-7~w&ip@yOE%*+W|>EZu_C_4X-iPn>yOl>~&a0Y7r%pjf|nCkEHFD#lx4u zTP;LGFGO?G3`N!qZDy7VW|lH0gep0s;zR6lWpzkob-K>v)U(Yz(!OGj?Kg{G$B@G9 z{ZsR1Maz>a9^j`Vfm-VM{4Y85TDL@Edc_J|RiM zAXl^%VS-pwr;*)6$QP%&6g(Kwr4 z@()=Jp8#M%e$()K6<2Ag(N~K<4t3HM>4Kjp{~mGNQ_S1Rn3F_md0YU;;Tjk~d+rq} zStWb;l^!@#dJ2FuMI?R*SWHdcD5HSnFrAI(#1>lwL*6-r|E2o$08G{Ova#m28vKF!$iM*ChaK~1W_h!u^VVo-M$gU- z!sVSwI}S?SR?{Ar@@?-ItMYs#9gwp7LjdcA!dIi}ddC+)!`1VnK3>QH)Y2zh)cLgA z3LaKX!PS;9`0S=155Hl&O#X>8%o4a5s&PWH-maX-C7M_M*pY*eKfu+vFR8vC#I(og zgnmLXVPDVSIMMklP{QS7L1(tNScI?W2I@>YWULz3O*9=g@sW%IyTVKQQCgU_nwqhd z&CDA8n|EMp^V;2;gJHF|<7X6>+a%Zq&7XL(7y>pdK9Qs-FK7eZHtveSYst-P$;g9H z6*p86lm}1{7QFUpA9y14vUlG@ox3sQV+&ITxy)#tRw^$r=&r_qAHY}TgkYT5WZ&W% zIZY=%N#F2=w={@oe3mKqaPY~8M=|6Y4L^9JUUBPnN&_KQUNm4kVg~wf7Q#uVbEl{x z3z%xox%`^NP7r}%ijOVp-d0YQyw=@72)8>2MO0zeZM&&g|ONEgWW^DwLkfxs}u$-U~HE0p9!RLD_?_^z0M zFI3zQFOS#0ntg7%eIBTUBPI;{K`{V(!GxOk0DQ5#_O&i0WK0f64AuQ`ZVf1aEM?5Qh^FInzZqerGyN7g13iM`dII}AqJhU>%z zINg>$vL!J@vD~%p!|I*IjLzkGV0Xf;R{*q3H#M9fq|voweD%+n`vb%9RW#%R!|Brs z=x>P?Ce>TccD|Ara{0Y}C6+dTg}i!PTg;Q4PL}4a1kk{{cBOdaYb*I!CllJ2=W&g0Br!tD(;f~q4BW( zu(^ZC(VcfXY1+e)Px15~w+wX-OCeR4mGasg;+IW0Fk7DQ6og;%C#qnk8Ra1>7v|9S z)=by4h5`4qV)F3u6u!gaXZ)6|Hit+_z?1+3?DwLOy6a~Qm-o@vLcR0^D*Lo*0$(i`T3D~SAGxekyg z6V*Xb*Jgm3Es4^>nR!3^y=)uOzH z%LdPImiu9UZZ8&UJbvR=le}G*(^TG&T`c5#dt!Q=ygeKILYcSv{%hSmdmpB%wr%yhQK;Ep z(&lL6-*2{@{sX+<>XNZwiZRfoY&Lj7v2$ZZCiDiadhwGi=d#DkHyMKl*P@z@s0v+b zPki~Gm+uq2bj{(>gXuo2qpwaUXWenc2`ySN8i4>i(XuLGunjL)4~RYGmv) zpRj*HRbJQJ4l|XGw@4#XD9!=O{V@6MBH}=&Ue)|DrQURovmB} zr*j)UL)KZ3EI*8d7|+=}i5h_O*J0OXIQ1td!fy_q3B!L`#*O*doz6jkg^Aw`@;?fG zd+B)GXVWH_FM#RV960!?TP-ejp6;2Ibtf5~Mw~nR0y~Z7(@xIQPOyrF#fpVsgQgY(68pdr zyctc%>nshQP5;(3a8=&zx5@9;lkB6PlXtF_ayJK_@*WLu#@$pq?f0At z#16Lgd2#D=@I*<=M9ISHFz4ot47oi&`7xv8Sns zrl~BQ63vIr?cgS#;~DdfYUew#*{FuW&6eP1AsJn*8C}3yp`JVtY@46kfJ{?D#)D1!#<_Du zv!e^13lgkk(Ux1j8WBFe;3de8y5YClMF-p;+Uk{nr5l)5Pipm!jl8sJ65v?Prs$e^|Nt42!+zr;KL4CRe*ruu}b#aJ>Vr ztdX*fu~JZOt!lw6%-JV7b<@RmdOawKfB zD*BiuMSXr_c(_N2pKgY^w@Hn1>;Q`lBQ>;0g#lwD%ps)K445O=z2grEC2>Rai;-*5 z^i3*pd-*h0NgutTmJ6QGt9^#P%6`}@8$4SnvFl|j8VPxT*&FmiH6$J1aI1BPT8y1X ztV2X0iG$0LCORX^!og}U!(uEW_u}kgui-#B^|b7wvh|`8<%f~y4>P(<2zBg(G9++Y`&;O{$b?y!w7w~X?3*;zt^R& z&!rF2Q5i-oa@;XB3ODa$SKkdTsH3KdldKo= zXnARxOd6|m$>Z(Q{_35ppZy}U^CB~>S#z;jGuW-D*{ulqo1EJ>IrRRx)&4mASn|F& zat4q#QQ1SU|T`y*wD8b7|W@9I7U)k5YbwIM<1XX!rC zAGvFWByp&-C?xp7uN~d*fd}qeLmvzPP#c8=j{Cj2^?UA#4+c0;6HV?|f1rUBc#XJ4 z7H84V&GjpQ_X5F$ocn|vMq!+FVcgoC5LVz4RA}|LGE78A zfFKpmzh*V2!3-H!qBDWtvRnfjecH;eQ5JhNkM1F_7T2Siy0(RD*Ek5anDh+pk*V3t zxMFx=Gc`E~K5Pq5S>RLoVht>>I?UOVX~oJT{q$>V8Zeoup!$r}_!hrMH+NaI2FnjF zqV7Oy_z;}F=FZ770HcS7C`#fmwos^CghBv~;m$d@ZmyLWqR4@o5=^1u4o)XrGfy6d zCF%jwj%TIdr4WmE;rfeRodF|9^CYFiKc_Mo+W3@lrrG8y4cu#N2AxNeL->@v9MUK% z3_cjut(lJ`FJ&^II;8DRv$5#c(Kp#B0u*>A!$XI(wSPdcOokQ+pEAZY+hCBR| z6g{D3WlbMA^;P~+x*fg8(nxI8YYCaucURfZK_L%a^gk>JB!>)$ORquB^392oEQs9X zqG8eWV?={Qo+#y6yGK%BeU^1g?{}N#h=&aErSp|v@;CIXsVb0Qm?Y?5em*~*W@;mn z$*tXfCe6y(JBIKSZvs*BNCS(~^Ei@jWA@g(2~i-ohn&VUO>J)FJY&x*5j&q3E;oBu zGPN1~S%5X@%Ghn&gFz#YRV|=O@U+De%Jv#)A^ff(Z+rBWjlGe$!|_YUh)TrtkWO3j zJ#R=|YFbaWqQ$?(=^~m6EO>Ok5nJ+q6LY4q(XVz9{}xcz(s9A$oHx9 z_YP!KWnw0^8f1Kw7$Y&ZW=?ZpG6yvkGBFCAI^E1w&>RIbgy9vqtvSw9xhB%Y9M8{G zR3G*h&l4PCyUUU2+Mn?V^} zXBA3+fhHzDn!+aNo{QPWD?jQm$R)2v-`YEL^^&lF@@_&J`rruIh3+{ZmDtI=fq*W|8T1LTiE1cFXUsc_zp0~|=y`l!%*^lDM0S4tz>60bee*q@xO;Gbgo< zA>1^dGXdmVk3vr3)#jz(?xSGS4C8yjFHLfWR7Z+ZD-X#iAZobT&l);Trex|{qHHl4 z3rAFCj5zU}oFTOH!BMsz1QWz6GFe%XjpnrTi!${O2_|grH~_@YT1BtMrh!x|;bs|x zANeqv05esBO(R=BAK5Z!wHImq7YdiIxGP=_e`{{lVvO%v00Q8)d(P`+Mf;qTFNL5B4$ zi@aYzAlAhOgc{>8&f+}&BhzISni+~pfrm6A;FjrvZ`&F5*`J`~l2H!o9EwUVw^p9% zB9-YfDdsZ&O7ocgP072sjdyXxD&!-o zq71vDmOFvfbD@YpiNa9%p`b8ezZ_*Gdp-?3ESfH1tU{<7v!^%f3b<7|uNsc@J|v#u zba*7FK%K>?#;=;~mTV$=$RQ=^Fzv3xUg6mvvLst0A2~nC<1SKMjYCbAR|A4fqQY3s- zvX9b{hpbjpKhbO4QG)&+W%)lK^wdwiKqBosmYLBrDgrI4lq;W*gP0*J#auG~a&mtM z&I?_Ei)r)2O6S8$*jJ;auSOxTrdC)JN{EYRhzmB#vOUW3_MKpa97OhJyJjMH+pUIv zrpHwyV9I6;a?J#-@VY@e!hxYRH9=J*pwexJk5&>zpq3&bsQQO*8Tz>w{a+yecdnW3 zjM=&i+9@T?gLNpswf5o~i_H-s$*s^24)or(-Y9QH>Msmx?aD|$IJj0#R{s;S1fa-& zVL=@tP;nuGcXa$n?{n3ayKs8k1AL}03_-7;0Og-skh`M*kdIWm@)mVy z>KNuDQ^EtKy|Tmn=EHpC6-l=hN%ZcJ)$S1dP*i8{u2gCfW)2Z%$yXZ2uQY-W^V9Em z0JXUx<1-am%2)cJ<`m@B`cI+tpL*>QqCNv(nxD!d_yP2OB&sTuJQ6q*FID!qe16am zU+JLfn4swdFdNUFjW#{(O`8^Uc~=mW=6Cm(q@Qih@^)0uE!+ zE@Kjf3hkUKEjV4~Lzav?XOy)-)S5Z|pfmm;j6QjUK6&YnEZ{LMGX(iV1ur=+RW3Pv zR6EO^)kV2|`$T=guTG-?eC0L`eORTJ4jASDHci-g-ZSqNP$lW<%mKhQDt$%*E$Lf zO-&3G4ED$?pA|^ z=Dd<$Vavgf8MKtPT5Pr#P2D$snNDtZEF!b@6&7-tG-a%0JXjIk*-GS>+ z&S+F}Xh<|FJyawb^^v@CHc}cghW;vH%^D9;%^KgsidJ!=vlk?1CDglP9CyOFiyFfd z>-Izizmzjd+ag&aOfefHMUaS*>z@#mE(Vo>4CxMA)k*Iy<5bM=rdJD7{2K)Q7LCfl zvPMe8IG?4lvsuR3(I~J29oBxL;#?$A8HAzj`9y_J!{WVKSeX#fJ9}tM3@Rzodo`#V zP(pzFBvanQYoE?^VC2&$kkl|@Ae$zkYl&q)W@PTn7Tr7g+R|gA3X!*3g~(kM+uq(d z2WAidB=oL4173ehfrfaCtqHPMQ@N}0MiEWYu09G9pWk0;FX|t=pFM;`lXtj2F?;qn zqEPdY%`$l|O+zvwZ`{@ii1Iwan5#=%Im^MmRKyHXtsv%bXX ziu&tdQf99os5_A>4GJ2mvqZTKYSbj3R7&<+g;;I!1&DV)m)-=L9?Z8Fpn9nub-eRR z&cmv(oq52+oa7y_h-`0q>z0nu#yKkdj*=4ou?XmjG>i~Gl*ekA>uilnQc0b$zHE<0 z#0)vuL7rGOG{++Ftt*J3wT8j5h*fS~<-}S;<9>T|Zrzw+eRj!ydpFW@h5>zc#ycuN zz_hNYcvK~d9^{%W2<3=FWd`-4e~QA32QEZv*{<2h-EOO)-|isjK`bgWR5T8i00LZ# zvfCLcn9K;`$sNFncFHfDA27MvB=RW=KIHCkSa~@F{0}NK4H=fMdg|0@84iA2EeZ5i zdhhWK)SgKz-lR|Dpj`HSwEsc2_CX)p-Jk-cg{?x8BW8vg+Gn3QyvjH5kbe31Tu>fc zO5mofo_Fd-L}>&09s}+@LZ*AnxIy>w1@B9sBj4*ozo$ffj~Tig2XsjQ5Es&Ge6)Mf z1ou)U?rCJ-%ST3fz50(ZwR;*0cj&*x%Kaw<5OZ}?I;KA|dB?I-d2T}__!px=$adg`*(P)rAEWBnXg3(xK_1RC@( z9+l!gHWV)bl~ks`(n`xzt+_^MrJD?mM3>1}|G`T4_CEr!Lov$3r+=z_XIPnXX?yuOB@q_+ayF*Epg11(psU z{+>3&RL9;6T+BKA^7&uZ4-zh{n|Ce{ljJMZm+sK#`lcy6cy+NAX(H9Kz<6%juuEbs+ZUY0NVWZz1&^$_b1u$rP=5W^QLlS18M|>l1c%FAFBVTAmnU)ss1S zFrXQtO}cd9#hQ=9N7|kg@$9hKT-6=XLrB$1K5zM7@SCdbwZMaHYbQD^imkT5Z%A)> zX3Hu?6OeJ4pVw%=-~6#9K5bi5a2Zfc`f-}vQQ^&iFEz3sF0VajvSEi+%`@^79vbPw zxY*ey&&0mn31F>BgrzfefYjU zoa0|`N3K#-sgJ}OMJRME4e1DB?>Qjd_PQNclc)cP&%4``Zlg-3^-Gbt%O9+7Sl*^& zHd=*ub$-DwWNH+{eSu9Z#Iayw&xiYiSU|h=#yNJC7s{;j@XY ze)qa^xl*N6xl#DEsyWZf#rZ4n@WV?mXDXoG^06dN)wM_SM{;py0g=ITIgG$ zk0}y{1V|`jZl%_0KPhptZ4nU-8A!C`O5hrCxQ2szVrJP6Yd-h+}$ml-TP?l0Lg zIlKut2;PJq1bf!sp%hdTlsGVu>Fip?}H5JNk+Q#my`+_z6}Yjb0r-s z9D<$?yc>z$N3AKRa~eQZh;N`6g8(j?)h91Q9(N1mN+JNveZk_ zO5m}D@3Ex@FHnXT2y;bna7F9`XfH+nI%KB7wLV-;w>Js>I-l#D+G=OEHi_2JDZ)*h zr?GLmIghkwddJOcca!|PulJ+tmN6Yry!chMPxr_c}uIx(+CBZjLB#F3P+Q>)J!|a{jHzl70Y{z1%Q$>}oAKpf>?jbDc79!V{HJJN&KtSDor89#pwdEaat_Xc zeRU4KVVR!{YkV2e__Ba~ses+YR@vKDnPh&F@_&JOBN}-N*i~(ndFtPS>))a~+2J_Z zNf~8I8)c5CZH%We*1w%Q=5AT|MBLn&mH6^H+jIX6yt8---eLF=q0O|8H1Kp8ib>rC ze~2so29sKuD9{@v*IodJE5iuwd1q-N$0vSx~q zOrt=V8?;Ddh>-LG0Km$jKr#6Lb*&x1EfSa4IhohBQ@m3K+ra-#L;j0HD8>8DVB64| z$;g^X&Ykg}`Z>|k1&d!JNXC5C`6^Y^{^pAHI#u*+(X%wA@->%!tpJnr1ikY_cC&0s zv#iG|vFa-ENR$z8l#vdTvnGRc0a-PpGek3|S@un{tmi5*f{Mb1r9U^f?2N^)VsC%s z>JwKCs9F?$Ei-uPaD5e({GNQ!8^Qxs~XaCc@?yvV`o; zr=$YV4)Q&OZ4C{d8iB?RwwIC#6M+P3C>A=R7^d;0Z)qSKZTjUY{mki!bGw==g@QlY zTbPDAb00{_E^GVq(>UD56#4DTHp*=)tYP=!p$||wG{lwy_{=EwmY59v^=oXB@mAQ! z@ZqwyUVeWo^B#uHQDPC?@rz_?2(lxI12<@vqjBEcT=U`pZ)+>OAfHO@cNG+B^Wv>ump{(RKI!o zx~qK{?v#WStEuNtwf@>Ggk;{s+|N9Q9bXoCFBwnzx`nF%bo{e(R71!3v z<;bv>h`Q36fIrD4cGv40=kOb6oXe%qziXf>6DSaI<1F=WIz&1!Byss`;xhG`fZ&<{ z+9kGBUI#!X zN?9JE>B>s{n^qk-u=#t&|48^}%gc&^P2%!_kZe11o;7cefdj@n4f#|2r6xFPBRy&Z zuXzK;9}QITE|(~d+R*<>hu(;#9Z{?YBqA-3f$A{^h8{cV%e5v`b$wIvE#2%_^Y>=B zJ_dlO6pgTEasoUfZz7NuvpM>qyWn*FPAOl*N%U6JMqo*tuutM}@C+lI_*7h9`?5ndaEvu(TJQv__g2 z*bUMw9G&dloniw%lI*0hHToMSIu8biiA6dO_Adc1lP2qL&P~>XQr@-Wo8_L${F$t$ zY$0f8v5bh`d7Fg5Z(ff6K6~vD8)5#zhT}D3VRiRC5%A1t3Sf;k9Mc1q$DYW)x*-4Q z+cFkT0K$eEj%}-QI>C|~p_pkm84s1`*%;KaIP@oN zNZ8o=W(&9N>M+yle*n)7Yc*HQGN~z6C1JaRz5|dKESVpGMgaOdfHn7UIA|dFxn=3Y zzkz9#arOsW!3=}PErUK{0{>oQYsBVt)=my7jtyj}9k={gcOo-<{mMY7>TR2@XQ zp!YD{M)}k$?iJOid5lZqvai0NlGyEt60GN%?G<4WB;>s-Uh)Hl zNGIrl6o?{|($SKtDycRC7p34QjB&$)oikkP%2_omu-j29> z3`y45!jh_Ft>m#)(lb23WiYl|S{N5N!j>3ymm+I(9z7j;U<9dFh@WR*p57(6X6peV zZ)XyeI`G#n1=)^Q&-Hq}I8eG*3zWr7z$N@dm)T<0H((&ZvJ~BaAgA_pTE;OIKdHu3 zoSt9R?QvGX#ZL)NEENzk{mK&-T6&sByiPtZLAgcCo)>ct7)kse9y$ow$-*%?rr>)BVgu=I*#}cG9Vfk(^tU?~}Od zSxpShcS^9?Rc|Z^Ba&504g)l^54odL#EAOP@tM%n2$>WJnFKYnsqe(RP!JZiiVO%- zGy#1yK{m5mBD0!np`he{bEq8%YEmdJ4#V_wEIL2IH$34<9kS@Sr1;-(tO|MI zu|dRw0lXHVYmY)xX3uIw4jS2%;5UE#C`^?e{Rgo@Ixh=D5_iuzgoTp&2VQ<->TqBxmi?Z=y_jnaEWJnn_Ph?-Hs?7gA=9LaFDUo-@Q{W*h6hz*;jQ=bP z37;zq0@bM*ne4buX7T{Z&m;xw@PU+o_~!=Zd5!GfW5$zR_8zL5g%%Zp=_&@%%13Q0 zxed!>uk7V+iFWF~#~kh)L|VM$&nsH;-!Qe}e|a5l&KJ+a=)GB}mN|NzWH0o^2(b zF&B#p6^mm0CA{>V@?WA~-X);C%K;=vJcHOuJF(1@?0hsu%aGKw=c19&Eb7#c(6Y>E ztA#5j{kGWy9iN$2WPubjNOm}o9XE5h=Ov6B8*!;f?NI$ z6AI^K=Cul%50ejvcgqi)O{1B%uY1jHe)F5ub8g2o-3^Q1U868gv2Tw^V zG+8<{`LE&ZFK&_ljE=ZVaF+u}07OfnB=`0wcYaOKBABCOxegX@STM(}Q@#ooufMgM zY3le20j;rGLh!_8**@UL?Bd3-_0kIW(qh&Q;M5LCv3-#KCk*bTMXyzmVoRnW9;6{w zjFfecl*PA9qOeQ~)u)fpr@zCvdui`@{~7+*S%Z1q)Mv~gMRZD1)A49BGPaAHC?(Y& zJkr>Q`4V=sV}{*F=!9SFelR{B81?C3mUJX6`cs2@}LeqjML~&~t z-UOsFcNNPllrU?iDGCUuGGj6f;V=wIMSajHbY#}_V%8*9N~Kgv4a0vPf&aWfX0AZS z;BPSGf8fBC>0iR7e`naeO9EsF!(W$;+E<-*OmWtZ@v41)4I|)Axme?selQ`l@7ns(#w`D z?YWD%fNg`^p?;Tac2CO$S&n||j$hGfJl{Wb$hWb%;s@m?eamvGsvu znCf!v`p6DUN5qwXyup|_J;Hw3v9Z!NEZo}pYmK{??*);C?{nxBEdja80R||E(2CV< zAV|cKGU*L3JrNcUSEH5>1zKnZ$cO|y8v1dB9w!5&v_b>!uaPs~0dCkI7zu#v@6QQY zl}_y1>iB&E)9caq>Sarq*OEX~OHEK7=;|j10Ryb5Cw(B-`Be%HHg}0y!M37@`X?r> zb)OAiCz-J|4aDzR1s%uamw7@co7wrMIOUi3is+kJ>+RIhnOJZLXjjnuw#cpG_x@{tYs4sZG&>Azy_f zU)7yd-jh`By0-1Q_JpEE=$~**Pg0EQ8ZAW&DBhSN-Z+$wB!Z4)WuR|mKvvM*P0$_h z4g*Mcy#EOQFImeyG8%^+FMTJmmLj$k^?fN_u@<0HM4pyZ;jQ@MU5(d+pNx zLSOBnJP7{v)Ymc8=CGBDx&`tga8lHY{w=(4KBlZpi0v&Sl@-<5XUD)9=_D@5-x z^-8xeS+qBdAmFRS=X+cKI@ThNaD)NNNZrk%TZ7;WS_)r$iU{so8;FbGO#1r{mAvfY z@YyRnhSAga_D?_8>5}%4QJ!Inp3LH#;?TjHs;fQLycMw>E(ztGyOXkdlCoUaHeA;j zDO$i3E$H&jIRAwI?0(=I4X4wKpwn9!K&%Wr6a0`8nON+s`~CM1xe%X=UG?lp~Wo%X3a5tjMBG5Wh$fzZgm<5J4xfGBC0-peo2+LYJz^g=3;H z-%oExo|4kYl~Et3ILXflFc1bns*_?&&JnsTb@F)g4v zEieM0e;geD=@IPsw#WkeWW9e-uyYc6KgD6$=Xh`}?&Okso< zliEyD*i43I@J3|tS}=}SFsi02dZa57*wDIc&>!32IK1Xc+{WSg-mQ||WTZu6Gf4^k zSPG2OGx?&P1uj4SKAMxv;jLt=!->Mf5Qov9n~~g)Ov|Y)^z>&wF{ALLUikzSM__NY zZb^T&Zk-c1irMlB!oL1^Fpq+NVz(Rtv>6A=LRWYL8#003bzn<7+eZ|Z-XMzE&-IiM z*izMtW{Z?I=w4z@5&BOo#)Wn0tcG*pnW*_Ptjv$y|c^y*zMLkwI&#Gr|_-f#5O4&oac%<_KCs1ueZ-BTZH#m!jyr z0Qs+uO&M9J$;rORW5mj3Y1`XTZJlxH z*6x=W)fx9gt4qR1DZ2r7-mhjkL>&KU5~@aWszzZEN5J^M)9~+~l!B4f|m|L$T;u}D@4SL7yTWV*Ay3-ge^^UlC>_=P1u zn6=LAqa81$W%Xqs%RRN-AU;_DKGF@O;^FzuHE|;_-YbHPXGx%xW+@MSb zfd1|z5$-2OlWxZb?HlyWY` zKNi5sHjY#LFYiJ;shmq!iAYEFeLhB6@Owof9hzqvZ*?T!Xgz$E@}yMieZD0 zPPJ;D0Ed&WgMj2Ka)6a%)vOu6LKV63oAh1?T=^cLtErVg0j!kI@0HZbx9{TrhYP?M zOWt^RQV$`5wu~tw-R~^hDjb>3ZHbD%Wr6^#W$5SaCD>-(9;UI+yC0!1IDv>wIApI(smo2RjiQW#jrv;CE3fp!*od?)ww z4Q$|%C(fXY`MVxsxO^}H{xAT!3$=-V$+7 zj^b>@EWQJx_Gu)7GrS|t?Q#FOJ7;(=NP&pyv2Bun{t>q%?^A-_)wKug$&cyNPo)ur z!sL8EV;XV=`MHxox)b?mg(rXJCdFcOuON?{otY1BEj?Be9{t##!Lhf3gYlty3+)Fg z&bSNzLRjuBiCC|~K=Nzl`A~D!i*Z(~Sxa*Yl%8sNRqpUinRt)msdjO!6JNQrEkEJ`(WmKtuJS|VS9)F$5Ob=B$=9gmBkJ`vj039TMMyVM<8_^&Ja5OZ zaI{$0z}vW*(<`G;?;YIx)hXwh6*DbdCmw2YR=SHxlEr_ z?$YeBcARl%f_MSyv`w^A{V6P8o6e+5%3pRiJa3#nTgMW&j{i z_Ef%bREX1f>=4YAisX`01(ALsgt zkK$*Dn9Pove7@Dt2c}aGH4Ho-X|2etS}`#~yA7;ewk(;Nno7R<+(ScQ=AWxswvKSU zcmyfGUB2C8{<{3r>SpEo(xU6lDci_nWPWuvFaNd1z0K(N(@tS_SdiZ%fK4@QF+qgk zSd9*PS0CSHI6En^rw9OVdhh6NxXl5#hR~v93cMR#mQ+jQNq*5N^%{6@@-q}&dYnm# z+Lo=sHNnqNq|2I1i(tA=oXlu2-JU~kknL9u)y;#)Xtv3|>0DbxX|!0rAbaUGD+Ae) zn8M}Fy8P^G6$lZ+rbgSoZdq<15md)G(?6T|p_OsX@pS)Wjw65{&$S1|&z|+`I65i5 z-qS(^8CEBi{A*Y^<5N93t=NDHs(dn1a;Pk1n(*)?;(D;vpETdEMV)a$9l14D%=41aqZ8BE{o?jTyL^*qERr;`AuwSg#E6`Hi92&NF^nI`<7#l@e8QlT%lR0zP&(~a~ zN&c8t5cT0fWf~+hYRD&$ZAx*dXlR6|L_nHNj zpOy0EfVbIqNvuteOcM2x5I90N1PyYR&6yz&JFGY>@Sqy8m1*BBvGB$}9uIkLETtg`z4wlvNCKsf1rDyf^!4K7YSj*&wTVAm?mi zCY{CQOJGzs)(hxA{|d%Jsg!?e%{h)V-wcx|5;Vm+tMv^o28C$cM=%@nqcf?66Ud`S z7|E|pyUmaeLUE2z8IWUBPV}JyN2qp?^i24u?ZE2k@k&As6D zqi<*oJ6vm=4yA{zz#EuOBd^RgTXer(P)Z`jw#7i?Wng>l8OH3n7&{SLHZYKzK{qQ1 z%**j2{SN%V3o9h>j{*b0aXjICg>gJ6l}zL>uz2t5#t%fyp1hrCxqn!*o(=hg`LH*Q z38jPQZ4Ddn5kGwjnetRe8*ar@w}11@nbnXxR0LmNNwF@q?5+FKGBP`!yAoU##1>Jj z{l1g~*un20uk59*72p}}rc(BPfe{p1BdmuMRR?+3#<^yorzx{toqlT z6&OtH3EtXqQU{BoE2lULCg;CO<$xpDA=G>acmw;jD4D>Q(z=V*V9%KvOvMcx&qcvp zwxV&I{SpM6T6mYZ3ugk$Vj(xj5aFM{AivrY8@q0*yD%g4zWn?E*>szJQY-|??pqFq z7)yoX3#E-ibfqXE;56hhvv?EOUc#8q(kf|h*H3(N!O~gq$ZoY4%`f7>=F{|eIVzKe zM+Xn#G?5AN(yEr`&Dpnej7825^l8U0kR1$Z$YU(Z^~U=xl^IQ@!Wnf^6thTT+iJC+yQRM3Yr4Viydf;SnC7O1fy)xW?a2j%^a$^h}0 zTo~iq@k%fR_V2I5uG` zrW}X%TEtc0wT5GWS>Wl#j#R%ni`9&(5-{?aW?XPoc;vd8Jsa7o|226UvwXy3v>%rdk;gW;<5>} z9N9d9G_gLrBr@T>OX@o079~2YO9Ry0h8;w;_xleQ(y;weEy@*U=ksVBiO`Mwq-V+S z8_%@6rxR-$TxcEl)bScGdeDo}EgWzx9HeG5rDrp78#izp8AB_)v@I}=8AI`o4tg5Hm+dx7(#6zcqba#t_NC-+w9=aQ)wseDZmwduGkd@3%G&m1QrLr97$@e#zXy1 zq1iuwKS3QuCNwC{%(Co$opniKIpVLZ>xefe&y_sTL&sf))@zB?YbnW|$#CFlmA;X~NIgIpF_69j{mmUiiq$T}JYMIT~DOpl4^G2NXr` z-SYqYDwlO;#+JD#n73Q)Gd<%BBw@RX*G>sgYFgaIuOcxarnchr02#@*JS-Ra`?{X| zENvEdSA{j){32RC^h~I;mUd{QsD#HLTsk}sQ(H1Uw7tar-K+=rHC+b4bJ(svAe^7( zE`!D08>(o2njZE63S>I50yw|WyY|4-_&}`H@G7fWbUr`x5VVpi`8fK97&)W7@7psF z#gzoi$c5MzZY>5GLB&$;A2wR}jId3gLX zi|2DthD79WMKVQlbwrT9hWvC#TU_SYc$>!yOHAT814~TtFN1m%zeePzQOZ5jC_`U^ z0!m*3&l6yy3GE&)FtpO!J)jpDJdy1l;x=N$J&U4WUJ!y`Ra{k8ja?knMi{VjcWR__ zv2jOKHDN7?Nc--|o1x~21Kl0kg3PX_-!>$_56OQQF|^KIFYStv9vlkR4G=~Yj@5+v z3yDYrXmg(%B%O#>hr6r)q2< zG8%C+?!Q?GlbDLkA+cP~q;}yQA2yjO6y3xkZemU|LOp+b7Ht8yl+n(Ow5>2Me$-xT zU|A88v!ilv;48X*i2fF-(J_%=Fr2+I`*VS_8z#VYwnVlNw}&T}Eb&@VY>n&DjM|0K z1ShfcX8ZG55%5SrYr*ieT+Hv`n8?bXoqQxbOgoT$DznJI<-M4@^;FWQAw;;mqQ`_{ zhs1$qt*H)aTYgSIUWL{bojJ5$G<31~yL?$l*b^hZLyY?Kj76t(e+C z3_0+mA~Sv@#yq;MD?j!bRcq|CCIbCQXeNP9vL1%{i<2%S_`sR(!)(}HdD?o*c9>}_ z=j`AIdf0)Bk2=mOkUQz@wdvZ&+1R z7`)kEZxLEDf$jsdk^8&fN;JCu)B;u9>51!qiXp{D>t7)ojNcQ`e752Uj#z>lodm=M{>KAJm9W* z6SoJ4lq~M6Hwt83soNzkp%jekNp0M($$S71%9xc;RV~?L?xd1nSRgU+!YavuHRE16 zlaI(`_AHmG<=J{n-d>e`4;FN)J4O{Q)H56s0)j5Z#NJ?~L)SvV z9+KEpm1Q)X31RIDc`47%9?PJ@!dF}=6YoFDYWtFJvr0qA+`6c5B?HkWqpwvA&bBAW{z}-ei#lZ7p#)h8xD|61!@E=mE~xhcAKjtFW;r_ss$t< ze9G}_auCs318gXHc}u(O;Emzq44YTP@cl5|;X zOcThacAO&YaQg;^mTNcwtXOlzmHR*zpt53>o`&`7Q5ni$_{iWQhd`hW)6y%3VdUIo z7QW2}?3Mx;gg%)7q78spFTc!;KenLQ6;=)l@OZ=Xb=GWH_f6dM?eJlHqcTUww|4ad z$*Q6JPYO8#M|{n+7~01jhff(Vqd*%vL+tyx95|AmSN?0lRFoyt*i`EHTSYJoEzI+K z$WoXYONQV+LTX(NJ>vtXZ=qz%VE{d>mrk@@ZPTL|$U2DBd@6J26E&ikH)Ryo8dtQA zi#vQvlMF7kx+J0knzzGWU-D-P{nrZ~Wo--e#l7xplAJQa$Z>vVUSS#+J~Y9@<2@{r zS~5KR=tY=H-C9u9!OV}Ct!%zXp7or-Xq{mG-W)f2$mxni!GY29ntN;`P~cPi(De2B z^=0ez>E62;s|ff*7M3|a+^t-tr}dDmNFaTMD;K6~n{sGx=syMNzL`&h_-Bo7W9Ft+2UY)87@3`LC9>&R>DZ6> z(O<%g3(+QIjI>6kV%%~K_@J`*#!*Szw;-~U6Zp>@Oa}6SZ&qX(q&NPx!{kjC z+Yqde+q{>JxHS=Bdv(R(j;IS~TPs5Rn!8$7I;A+%PC%qQn2dnvGL8tM>5a17@rqC zIjzm56&{3m5r(;uGiJ=my44{yseSJ;WxlLEwbJw)L9`wIT5JD

nZi;b->Aq$|skouXlIkZ=6F?Ewv-??f|Ug*}o-Lb-03)`?u3BBKp` z5@69OuCWj-2qp%?O26g^Nq;=ozDiO`i}Q9fvGgSRu!%%}^H)CLeNx8-R%;zwz-R>jK78CYMG2;*sgC7&`BZ)bKZQ?|CN7BuR?KAE$7 zLNo&5+Ks>Ly-&ujf2Lop(;rBY-2P6gx^}ryLdq`Q4@N^rU3WHae9Y}vWMu4IS%5V` z>23u^z;_nF%5j#7v85FnX`m(A3&^sEag3E*mcw7Uj;2ERWSGlJ5~ou5#7nsBG4+W@ zwR9V7<9<~jj3&>jigQY#LPBXqZ`?d3ljs~r4vxvZnVggrM$w|GmzwiqYo#J5>(Ych zW|E9iu0Z39T-aETJbd+N9<+hXAtmb`8px4Uc3RB77q`7GH^sTrim^|yph*vUj2zSB zRQ7^M=ea@_;qA67xTV=Gryx{wyyMw+EQ0}^M7Q1MK8b8QpP6Pw8vGiy9d`QP_o@b-xAXoi?ftnOX#X2SOBOH)Dl6brJjbapzvKy+86;3B)Zo?7NVv!7HRKMNE^e;rgGG!mWqjL<$;7KA2o2>E4F(lQ| zXZ(VViz*A|N_@5je%yiM%u|PP`YVqMfuqKULiIPz9y}ZW(NfxQcrIm0++yzs(jB{> z5B*l|`>k}joS(xz1uxvKis~ zQfQ=-jw1xZyqqR{e~EyNA}fm=rZzV9vE3#D^AJ%j3~n_jAz`JJd)8dCz$3Fx{>BD6 zteJ-5Pi7(dn`(N^1`HLXIqk)W6-O5TLfxGy0AL&zhUdm`odGw=xJfcB)I<0(*b3TO zFgqtTQOAQ*oVW};t|*)>B*Z!WaCTiRuQ^2yeG<(b7VV%NHYvuw5GpE|*!MWQ^*E#$ z+pLwMvOBNjd03tfn%~PWmf8L1$E2bGpz+BV4t7$z7B@ji81GmaTP|@kdkL{#yLry-^7yob|t{v0nQftpI)1{!(?W%2) zp;KF7fxq!5GC$sCt)6yd2E{7Y)5d^V~SBPnvI zhxj_>2-^noHnC%X<_Mb!3$j~4YRB;A56|d?}u>=tNJ5V zqDFEo$fJAbfzUu$QK&Z~Z$WAn-$JwW9GFm!F`K>zz;}-sbb~ocpF2Zu*+EBarjKFK zCL70LDWWhInn@Q}j^nQ>&4^Il)$<6{W5XAr+)Cl+)xx5JC{Flf)KE#3tLUjVq{ah= zuTiY_&8L@!e-7>QyWO7b8%McHRU7@tJcL4JM71R~`72=C-aO^9s`F_>hZr6nLX`Z= z-7-unsl`ePb>W-IkGVS`^uXcjf2&0^qQ_9NNJiL7tnWyKp%p<4eDU`U0KCF2M0}6< zbCyN?UIZ^6pKaIdjTf5 zm1_|aZ}jsVSyrh1bCkn!dmwm?NrB15gWti;Pi0%FsaX^D+hrCtKd#tTz0XD!%30JF zd=9+EoaP#8*85<#3sK6r_;CO@;>Cf1&B&7C2J1mM1!#zr=HiiWv>5)x>U9K!B$K4G zYzE)jfjf6n5!g%u#(aaD^Ju82?`Pc;sHtd6g#DUT<>%>9n+e4rPj4JR;@S=@&fF~F z)B|^@U(|{02-0kSr)yiMmC^z4#;?gn_%J&#rWgo7Gvx5L{OzJC_;l34*yELlClO~q zz}o=lpbc@>2V*>2^mn8>^jiHwzYD9ve1U)NV@}pdJb--H1?hC2+4=cliK8K)stgfF zlL7alnisrq70l+ma0b6X>ApOtf;=S-8f!cP-Qk=jIIyEh< z5Ls|*SAEHuYKfo3A=QdKDW*eZx^Zds@A)i@Ezvf^%sGXUhIJ(J%r^52ESfu%!DKf5 zJ$<4l3TPd?W#h)qmRuoL#y8?mNxdjI24vA@77l9Z5f@wz1nZ~bEPoeanX(826*6AD z4h(f?E(4-T;lLlZNRslU)k}h7ShNO#BTk7^12d3qW4L&Ki*#_yfKb}Z(b{fwb)~p> zqP26rfBo^>*mKZO&8#%P$+XnX~cB@oriT7L-lr?U=}s zkS-Gpvi%LVCwkW2ZV`k&8Ynv=nqW#Jk_-%M*hew^Spw`VK-XX%!!gWo7L<&`s=e~| zbo@mKa?AVdRi0X0uXlc3`j$NgR!xqfNWX~%jBq;yOUv4*;fzD-UEHocY{YVj2<0nj z`1!GZyiThcmsyPg+yqr#F4xl{)Nvb+W-{oJIdb>G?bj3g}X-DgM0u5-uc?D^MTAv zc#`IgJJLj)hY#+wInHN%IPJaRAh3b}6yvU2kQG7+e~o~MM6E1dh^q3d!6Zj^4 zYeOnqA=FESxx0p15>Gr8);jq?kfTzw0kM7-rW>A>%0a2tPNfFMs>-G++Fw1fNhs$x z&}+^l`(`ev)pR>YpzHOj%~vy{XD{bN4s6aN$S&WB)snUE1fc0ST)guu7VFGOC`^Yq zFZF8A3zO`+wojJMULb?&vf4a!X?6UN~xkI1B)Tg1}#40R+>+_ zX4Y2fd~P472wfPn383luxygpX6QmrfU-aP~j=xC#WLRfu)ZzBrCXVvkbsSIZb$W9B zH6}lvBWv1^@*KSGEie->TlR~!CZei+U?0?KH!_>NcKCj}NZa^68w%bOlx+zfQ-O%% z-hnf);=g5u$UwYL^WiY{7?*;cV!< z*!nmVFYi491G9WJ`{Gb#BO#`*{$_I}2D0+1!w-u=almxb^XGzi| zsG+HhZGX1R?#=<$7dy7pOwakwTTUh)A{0+s7-(1o8rg%`UWBu~SVWIrLXSRaSw3l@ zZDjv9_1Z}bLnFIjBYQAgXgFKwB6=3IgkBNwaS1)^q~$N;za3NVz916(qqVkA4dv;s z&kXq9Ge%kch8XU*z@*}puQ`ESswcy3I5+I11}SAwkM?lwHf}+fFt>h0N3#wcQo}*i zsZP`h+)fK{GVQe0PZ2+>e^vr+7_>q3 z-hc&6$NdmBAOK5nO84CYN?y|(bHmG4EV%-iF^dm5j;Jax(Iw?=CWdvVawX+o{*|Ux z?Wa|vlB81OJc~1D+iuztClpLxA%YPiFy~(y1ctZ^Edr{(#@8nGt znRJYqytMrE8DBr-_DCpXM~_G|eTg`|g`0p`itp5g<5c?rNvB0m(F!dqIPe=r?1yGf zWMWDHZrfvwGQe0=0U$M;^pBNXM{S>FPy&VVG(Ki(Q0o(owjlecsuZy!6L-$rVEP~V z0xUwmQdlU9TT3O4_ffgyuU_lSMkn-j73<7kt@Znz+aT-3xNX#GJz-YKHwQH{L zeUg0xp~}u6-V7;k6>hL(^CaUSzq*&*sBw8(c-EaeoGyK-ag(*bgm4c{ zl&^WB#Lf9FAEV(ac~J2wjz11Cy-O+n^8P!P7QG(A4vPnSn(cd<()Fr#^{N~?`GI4P z5f(;!ni}=0&h@HvF#Q{9Tr9;!Ei>zD3ZJ=Iu4YQ0mXK772OrsQ}Ie+{*SbX zWFL%V&w0;m=UXp=yLMhO`ooCO8xnYauErPHWLETrGnf{kI`0g3M_fe4urc3UH*)gs z*e(%<_nbO#*1NvXDXn(y*`KA*2O~vkxqVyfbcA))Zfc|N5 zzN4sdM{xp8*a#&0Uonq<#iV{yiTf5kCiS--|7{oEpQJF)C^w(S@0CmKmAi`Q6KtN%y>OVaNFouFc1RwWrsJ;CxK6G+n+v+#YxUg0!6eCzF3BKC zC{o&?h8GdHb92+aiY|ehcEqj~MCiprFi`e&{xtre?9ebef#ZogU80et7sa583FE*m4R0-Sn^IlN|jR42GZ)lt9U6D zV1oY5#sh58QYyWm@bbVb2P}CWAf-sDOiIbqbbLO)ydvMCLd^3IvvG}2>nbWOsNlbf zNBh!^G-BjEnTlo(WFh=vYr4Jo7@S4kTcJxFoiX|9Rjm zKKxg?O9fSMogK%as2#W+gA+|1dD>l(pF~Y}+2F+wIBro$p_Z=?4)R6BS7lz!RrT|q zq!gZaN|U*>jy3}xS9c*loqpA*qokJs zlf>pt4tdd)3W78E^lOmS4@oI7kUK#_w>PK|U-dtU4Ce%VB`c8XUlRobq_X}2FPx*j zl)2vW*cLFg^f(kiEBGW(eMS#>QS~#>W|1SwYeDGZV;r}&9n7MDQjyo+c{nR8M`{j3 z3rBbX5+~uUOnzu)jCGJc_Dqh7%?%=;8`8(%mQd{k3$7<@wqMr|Q+*T###*`_T4>3~-bqnFS9+M&X;e@>qjJZQLn4>!N3HYym z+JMz*+khbMX4vyV1r&YtrQjqQZa1hRd`n-sVdV$ zvoeQB-frp1tvA`d_L00oKmnva=}G4QrOC;aVWXx#<=aHRXtW&J=W{nmKh9CcKy22o zDH~riPD>c9(iitA3Jw}<(#ws>3els96?A|0)w3dKr?nKo&wAOCQK{>E&Ct|niX-MU zMArehG;fvYeiP@B=iuef>YcQaO0tuVf4X0u**#h?l) za2MAZv0B8x{1ABBl7V=xXorJbSmYQU$v%Lzo>pQ)pE=pRMaywcU=GLNO@k(8!uKaz zeHGs&P?LWO3}9T;4_?v_ew(1|_qHn)B8p3lQ4Tb52sFW8oFHAC@O{f0@RpY<4KuBe z$V1DpjnJfxkf@}cs6_gyrQK7@NL;NH-2a8TJ#?I!rm{1Tr7w_$)1bSEq@?J0xHb1^ zIAkmD;e-lXX|7I+$RV{Gw2{*txXo!$$7N6#IzB^G@?Udu^2epmv{OVjsNII!C$d=o z+A%o#9J2NDA=qy+ji{{`b%cpJtrd4>wo(URg^&s|_x+LN@Xsv_px%-ux}!0&^GyS2 zrlg7WZ_)Oxm1eR?8>E&ZAx{;_8Dt{;yadcP7}=k+%d!6`&C`}@K<*Fkd(aZ z+U#NmIaqXp58$t6`{f~-*OD~gV@J!QO|H8C+P)9 z!Ypq&HJB+VtJwg*u^AbsB8_7liCUf=S-Gz4UQKu0kitWmo8~r1u0@K0 z8XsAtjaLFT`S6coQ%$5bYIb)2s=>YM-Y%Z{TuNbte5EL_$tFCyFrs(jY}{}Y?f?`( zx-mijVr#p%8;(BP{%^Tc6fK|aX7~R6oy^CVZ&4EYI4xR=nH!D+G#jd3@Df}5O31t& z%9K-93-nasd(YEXq#EyC*i^;L>!dh7HKZ@_)jA+L-lbpA+94pCq^i~a%`a=4<3vwd zj9f@;C5eGY8&{soH`DFRsQ+huQ|d9}N4Cx7$A8jBL3BPy4gy6<-S1wruD-5GQA_RPQU~Tb*p!UW6K_ zxjAGL*(X6KyP86u)~`qo$DilNIi7D}oXQ(CoItf7D%YVBuNVbi<}kd>S$h)u5hVQA z!FaF1i!T|UzY5~+0*f+W93R__4wtsf!Nc`cB6c6#eas zOcE0aR*)d;%@vK5_;-FM_q7*q@65;E36n{~H)G~2^ zS-MI>8bSJvW-hC5Z-*&zgv&cMk@{PmRu!MEaVn*db#r2~_{RQAPJBtLp& z@+Qv6EH98Q#o+2t*4yJ>Z;uC`0zlQT%|R^=0BL4K6sr}BB8mgr4@3&nRQI~wCpRB9 zwC1M$X5G(riKK4`5nk6$`D+t(Qcf$%A|T2Vr09@#$-2Mg@;zL!dvU0AX=wT7Zq!PK zlfr1ek%_KwH$yLPP*;my1U>}~A1pNag>Vu(%x3~3@*zUZ`_TpvJ<@;r9VGTF+8zcp zuIE@>&pGe@LoJ)|(mvrOo^cGRaf~mKkx5$TB1Ne~AH&_5{zi9S1500>aDq~Q{w}%( zmp(gZFc)WV3I=l@1Cu>xF+XVVzkgc{y`pC35K5!%{{C(2Z4NX2-Lj>H(iDuI@T#7u zpZ?h}DQt1k6!zb@nzkJ0H=$ST3o12w!OPx^QRts!SQ8filAi3{dMPaKC@fBZJwL6< z7{&I9w&O1C-mUL@w`7IIqYmn$LJMuXcw5*P54zJZ-P{o8$5(kCKfXjL3p{(BMEcsS z?`13AycS zKeGC8*Q-jE$mfzrlu!{SyN+pCtC;UK4sar zy=%-?ZMR9}BQo}L`nk=KH;IMQ!;rL`A&Y9zftwvCvJd-Odq9~h#VnV@jkW=)>|0hf zva@N)%T<1Ba(wu$Zkv6BIgg*ke{pck>l)12Al3e2@A#d>=yf>jYm=h78`V~_nn3NV zRFRXLVrA*ND{IO_YKcnuL*dJn7ehi3m-ekgN>K+A z-vuaQmfayG`#zLMKukH1kOk6zw*74)NIj5v*@q$xOfd%%g8~!;EAC2;eJEzwCBvRo z-J&P0%JN-*xw&rjHVWYj^7a^iHpb67qg_{fXA(qJtz(-hH~G@AIAL){d=yNYZ9VcY z@~~h&;6;4N(GB1|W!wM0DVvyD`U*bQWmO&8;4@=vLl54wns=-g?L=g07Mlap5@`co ztEkF3eD%#)QfeqaJf3<=B$D+OKRI3~nv=Moa{)bIY!Mkp&HF`UK z4_^+Yji;t0J|(fY0w%4CooeDIt=Ub-id<254!Oz~RCWhG73_uzWNFQ2%J&JC%YqH` z_xAs1qkwH>;wbl=Kh9iP)#0o}R&180hCi#WbB(ocjg_;ON}OUhYAch={59bwG1c0) zQ6u(w4nHh59Ax270}`k$?>m&<;`O0iG#J932RWO>EF>NNVmCKzq#?VxZYlWG57knteF4@!l zML9S{qu;cu*uY!)JvMp%O%0m;3LU?iwKtIZVv{L>AK?+1$qWw?*RShH^h8W%Sbts>sLW{jYL}Uq${y9^Jp@ zVMj{8()3^KW59nrn|V}Z6rQv!^sFY5D3v7frM2PH)u+ZWB#DDSvGUZ|5h&bfxKL)A zzc9@0!s3wbR?9`>0IzwC^P;C31=a)hRvSSy>JMKG!%vMO zjDBc(=2bku)Ydt2p#nBR`iM6=uFH~KFW(8_M~<3%@Zl#mc}~D%8|xY`cAkjxG#us` z=B?-C(EWJlns@L7&fGu>4|*zlBMU0BIi;D!&PXef+i(M-w>D%jYRe1r*9US%&3p9HYH!5`B}(u$HvD0bQaSJgtT>! zj+ZFAx^MpPl@(o-v&~;SMHE$+ovbJxi(z;7J@=0TQtya_Wy(K4W_uVN6!&rE zQL|z>GuUwq`fUDA))AkDwM*_}GQ-wO9ad~S7U}Np6}^u+rtfNmA(@+U@y$VT(km+; zALC9i-<*MvcV$JAcaXEX{>?XfP2`91U#?zQ7+Rbb77hx>>Gr`B5iXtrjZ7ojbEERJ z3(^Vs?q;XDjRdX?x|&zReE}MSQ{}fs z?u<&W8wp04A>I3gv21?iUGd&@D{>Oi`#J1tr$3ApPnCZ)xtq1-xHC?xG!g`|>P{{{ z<_>6_`Se(KlA1|(l9N&QK1&>%m3{0#KKGit<6CN0!p5d3-=3k8;XywKdWYj+=X*$Vx*6HEBQ%xE`KqqXM0#bR z^3)eIt(?k{^tiaZa?9u4uQadB%zo#zyPIXQv51}gP$ajzzpB4DH>rE%8S{G`UjaH_ zAp7#+$UQA?BI5couKOfGB+Z2Rs3CUFyjCm_@I~Se7(+bR7OKt_iU58>6)_h{pbzD~ zl6!YSHrfeL@}cE(8>iYFm%KS(q3TdL+Md#?u0IZ7U2`r^$jlr1+W|wu!2DQ)>}m0* zm_-le4ukQHrLx^J2|_JmRITvT#%swqx`?@eN#`HSZK_2u#uim{Gb*c@@06Bz?Ze)?{`38Ia~`g*(`**mJ-{ULjeQgV?9Fxs4k_1r%H55VKq2Klj5@ z1WxJ1eOg6u1H?wKRLxcXe~~KQ^+oV>!DL?UvL6jUy$tO%=h4D|%iXmE5RE`9UFFNt z!SQYz{gZPN{nW9#6}k9d)hG> z&G>1XK}Y&ofb=sOia8dFd5c$4tJi-~16{oP1_)k&k2Oq+HSBwrXFj_<_EA)mrYrHv4U#kxc-{GX$~H9Y#(z53Sj30e*bH;R}Vp6=-+_A1sM(L$k~ zLUe~sEQigO>Dt!mTK1})8~y)M9shb0g#z-caRNvFPX~h&lKdPc`#B1V>RZqJ$3>vv z8XiOI?cAP1DhG?XwrPX$a{)C!HJwx4*z0|%B2pD1Eum6>oI7)(7W|-y|(PK3TWfUQF$dWXb%ha)Fv=g`Gvz*0VW4!K|D zii3q&t_RQW8t}-hPP~r0y&S_IQlR)f9s`~`oHOBn15Gl2>xJx-m`N24q8p|9>;54Z z_{*By@PurbKiyG#3mX9EB@BC$lhYTVwZ2z?1@?UzJSeEA zMNcl+seRo1q1<66ljWFSaXY(npY($D-BL3|96{^|YeUxX41PGlD1-BKC|Q-+xn2h{ zN&N-!v$kKL7PUI?7@VMLTkN==7?#3)ya>!^^pq$jOPVvb0l`4am9m?2e3d1cd++koZBxEk|>)DsUrhmF!7OEO*|5)rXqt|Ofd!k zSs6*K&Zr3x)K!E>(%8)Y$`*@Nu+eHs1;WFw<LPKyb4|$kB}qKvPi!$&^=G&vSuKi*S(wsMOYNhG3+fSc7R5IVmQg)? zt$e?`kr2;#+h~^$7-8hY{^qcZ>J6N^J#sRA4a!L6QQwpg(*SbosELM;JRq?c0s6zG zDSJ0@L053HIXCRcIgST5{=^`pl?PUt4>&GiHl)`==y|(@`q!r&Y(8Wbhnqu_OK=K9 zmU0&7=c#+;QVkD`SwQ`@D1lx!s{kyQcB)dd8WA96pi$gF=V#Ed0IikEDG|)f0kUH~ z{|&p?`yG=Xx{vcAdG+>?czn;3&$NiXDC=k|_STydXd*Y-l;pm)vTh}vb*2zlo+7FX z&64MnWNAA8)GL8MwEjtv*4I-k7G9!aZf-7nd3yTuWYGbzisRH;7sM_KkD%kKtoP7) z#9n|6QoyCE>N~{6lgFX&e8?zjtO8oXIdrQ;=B`EoHJM0uDi6?$U)^4@DNL`6LgDRo zv`{fmtOuM(*HhKUAWXUw=AAge1r!X>=HTH3fX2Hhoba14yd!s5sKJf>dJ8oUL}cwu z0*GbkpXA~U(I+uD1GIxov4IonfAs0JsKUBxCx}O7> zE+2`Bw4D8{o*}UySJ;+uF{~1wj#C2+bO#i={wqo!{OzHU%&8nvt_n}p<;Psz7EcGI1ZCaj#SmA)aN}44C6-dW_u+P!xN# zVS=nZOaae7nzS;;mnDZN>B)aWIkp@}w;o6Tx->lTq;5BC+n|;&m+F=JhsV{~VV6X`2;Om^V<_mrVI} zwG7XjY(d-wn!qqLBd$6C%jvh#YksqL^~vT0uB$s} zMK0oBbf==!;}{)1#4nZ2DSHM8AQ%Xw7f4?Pk?eV)^xi?(bW=4N>=zAT{@lFd zqB$8sShvQ2kjruU)masEy+ne4`W)?;H1G{-iXPXvQ_;sD0{B?sxu;Wm{oNOxAFA(aV1&b>`fydbmGk4U<|n54rUPO2je@+V3nTlghMh3=VKC@m9i!VKObQ| zC-V={v{~r#4DlGMWI~&Lye^@%0XtHg+Esa!8Ozjt9QH=J#Q8C!E|G2?Edo(<0WYSv zemA%CBc03r(roodY%{{jWyBji>9Ic|fE&;EYe>}ffjReh^+A0=rplTb_^&dFpkg9P ze_u^q{7sASM|>sAb(S!EU%mVr{4vk3P-RJ_=(?=$Bdv9=SU&pLVimaiw^d78>uw=_ z?#wBvq{rQ7!I==F8H2HN9w+wCJcU}86`SH|W+KbZ@fGHl3Ux{4o0?Ok zoeK_|2Y46;QsxC#;l#&+4bk8isZWv0%&sPIfwSZ%*ZW10%ill{RnpZOU|KwB1cthW z^p+J@Yh@zJQ*4H23n$v=<^uY1S(e!HTMHX#U>NiEyUFjzH<3S}t}%=<&G62{(gEv6 zib$2k;L>6`Fjuzq0AXx3-4s|rSeC@PL3j2Nd_&_)9Mje;=;#1MV-2F>!FV1U}Dz~4vCL4nqM_uv{q03{jnr$I_r?lpr z^Spk&sM+Xn#m(PSKKCG@a09f>kRE}X&XYSh3I}#mn&7v8KB{%Uaw(sfnfS$xw z9Vu4X!F>dYzUPYeo-W7KaV-V5ZntDjNs z;0C%OwTj%ajnJ!pcI)rGE6VG6wVG#dzl(Sh3)a!UkGDBRp@`QzMY)fH6EZ)5{j4h< z_Y{Sc8TAs?-xDdo6DgCTIg>(S?V0V`Gn_MA(lcC)OH`~&R7o*!DKYPX2+H3yu=v3f z@J^@26A+H;?{X5#r=uJ9A1KzfE7@&?O?vvxjhDhm^t(@aaAuQLYbDz|pi)9qBCj@F z(N%kx)@@l(*X~=FjuL5kDVGxYguUM!xbGiygPXOSj7ETZhJnvjY2M1tK|O8`L;#0h zBEubC-Z90y^UVc&OQDE4FP}~A!&~U`>XyYfoRX4?bG}hd7RT?xqWF?+BWJC3*}JrJ z3uLF^>C|%)oi4VWE;##SWcy@(?#}|;pHa;XQq8^Wba}Gxp)!f8U(K%hUV>j-H6vWB zZ#-jl?13uaUHa+Qh$2*FTq^OTYRC88sBjsFUx4)zyk$f&!Ur|E_^=J(Jr4xtN6FC^p5-LpYkOp_V)Csn*3ajGJIV;vq8M{a7=vJxS5;l-udnRJ6tO4<(fKU z5R*R(^%Mve8cx#%3%?U;+HCv8CSS~${B)sX{R-lPV2=5KW695!E(fq?@ZRWO|KH6)9Av*T*7s_An7)jm9af~zaS)NVD)mNe^n?B7BPYAW} z{!zImJU*j^5WOlGWo3nOmpDuGROmJ|znD{AR)35!Ocu7`emL6bz2KSghly1ILw0Lu1M>*u+SY*;v z%)&|*rqHQB(*F39l(fgp;^=Ftt%29?=$=r>gvK|bzyrQ^MQ_>K)YYV;m3A*bsu>E!Tyy*|U}*A3oXg&MA= zxX6BmvAJwMqdJ+p+UA?v?%RcLlC`Iu{(O+7j+pNrGjDV+bRlpgbzoKX-EMUIoqqg9=={qxT*BBEsAq3sr-lDs-<5Exwt}v=QgVv z6Z3V%LSP4lZw?>VY>X^_Q450c;d5ByaahwCOw$@nzi6wLZL5A6cf@?}c7V{&Nv0?B zoe2LD68JH+t49!sx?Il}N>AkT*@5fjD&5Of#RO>pO@-98*MyJ;p7?|M@dBH*tiJ`hYxX0wn%f+aaZ@oey`%12y4UL z>hpA&VT0++Mcapdimww=iA3dS>vxg~F$&XOFMov8$;KnbY{VFjjrPvmu8V}0tlX@o z<+hm7FTi&EDLIWvz#nWw*}ebR`0)V9=%r4H6lXKkDM2&b5w z-KiqRvHa7;eAFVEO0B|vT@Pd7T~7|=1{=(?_VG;KL)r`M<#09h$|g0A zZ4YrKgmbhSK0H20@w@-b003(iD`>-zZ`TqtxQR4;;Ps&JC4b3WGuqtKR?sFl!871lbtbiwJL zWm`mW;7pHOdO=9leU0YJe4h$ks#6kG113o*+4&Ktlv0w=|AQY+DZ4MT?*~6BLn>cp zOe#4lLo-y3`&4pLoys@cZpqFcI8Z5pWSnGYDNZT3FSFl=94bS`zsrKH-+w-~ay}1{H)( zWeCoR`i~#rTygR{V>S8sqiGShd;2_5v)9!t7J3;L`e03k_nHa>6)CqWQYil<26KVi z<^nH=?e7fRdk@=x{Jescx6)QMY`>U=jdH!Jdwn!Fi`R`72}h%e!#`%sSE;4>#XRaa z)8s_u>bb$;TBLIH3}QW#RLGYKrr8K$IV<#@Sxx&2xva#T#(R673!k%XYok_n^xyqK zVR-oF8Q0~gpL>@7{G;!<=_|!mRkQ+RjVkHjKjG z^@n~EA$cZMY#7V@%Kcutuu#d3MEjK1C0BYa4rET^VQM%VBj$z>waZoFGcS(vmJ~6# zi^b67nj6ATugK0A)`~0s4jW<1Js8Z9LZpfAyTA;eBWiu%5YeJ2&18aCn9@YAc{=fW zxaqj+36n{rbP6oYbcOk2Y#DB0igdX>;b2!yplaV!AjQABi^>8SJ1d2k8ttGj@$6`& ziOeWXMio<3g+7|*EF2$t9JdaWC^o<_o-fhOPk-B&1`8MoPLxKtP(IJEda`IwgljK}xzCq(f4~p^=bLx;y>{ ze)s$C{r>kp&pT(Ywf0(jn8P{y?6dZ}&-wIjQQ_AlZ*7dN$N{S&GyzHo&LyWjzsu`; zd^Lh4EzumrvFMrrkDuB>T0kJR{ZFy?cuPvg-k0qyfwusCK`2`w%Wq`M2;UV9_BN#s_ zL5|WL$%%q6qsp>kh2RoK2z1L+a$@dDe}XnM#lv^8WV1i5!1~nOE@$EJ z?cLg^W=>FO|}(7_panu zm}F0R?{SoX%uc_e91{9r>(Nzm+SCh#ZTUa@7t3XEI0=rF$kA=YxN(+y7c

Lkp-qrqS|3TzsJ+I*-Ufjr7GV>ImCxl&p1qMPaEPGyUzbGl+ zlcy2!Zfe;k^+v$@Ub!cxcJDq#?Bf~cTDmk3`$_8*>Qa{-`q;*%wm_>j3a4-Z|JYOq zdj1(1+gh^1Da+56X$nIE3^)4Eq30z3wg66yw@zAxx-^Qw)dy%$`)FZH%a+{gc*7WI z*MJor?*V+DR@Lq$ji7a+xF{! zo-iz4Ey)zMriqy|-lrtOo~HqV^wKF z?TMcJ0s_hczN7bmf;rCxIW=YOah1M+NG8FI@jUTIwm$$-A&Vb?9wCOcnIHOxua9+O z);_g+AIvoF1^9_MPqm`gUJ8Ff z4yZq3lRwlD{v!Rm;CW(>Pa*Y$Vo@og$o1uQsM(eA_3wpgk^bXew+ShQjLA{Dr`XyQ zOT_{MC*^qbFBtO|iXRXm2)Wk#zChmJ6Mm#iW@a5EPXG+^3Y!VwlWwNcfpZLR{2mce zD=s}jZ_6wSQAlYx_t>)ITwq5}{LO(6-fwUfzhDnFIBcD|7kdf)nd#J_P1s=PKR!qf ze(>$rAbDi5kvy8P{b#{5JopRJ(4G{S{bq!21^V7am))v}k()~scfP{#B46flASC@dV-KUZiXdJ_I+TTkT zZzA?{4AN?hCPu01G2^xhYTHRd&y7D8f0wQ+%x=)FMzXd{R?6e?ipym5;QMyRx z2g~<6y`&&&kRFl_uMTLYDq#;v@NOV96M9>B>jPfCzsLiJ#8hNUFNApFx1PK}tdq`Q z72!@rYKaYt-^2X@^Dvb5+M0W{77g1#b?aTF%la{KjrQmxS@A$@2tE$_AlX1T5gi9T z-%(GjP?2zc;SLAt(z_XgQP!h#df(TZKhFIbW^-yoUrdfOjPhVTa&7|~U#IOVIQ0kP z=~sxTSQMjKA0iTsQxvfdA%9Cx!i*vkaE(w&7ws*l@;W1d(UV9AV$qlt*|WcO$gt~$ z3MMD+hmDti4U}F*pr`5N6d{B7z*V;;vwS=BmrtYoV!kMA7_-Hq;(bw8Ts5U%ii-bR z2kc9Vr<|DyS$m)V0!2sscj!mbOmpD1CrXQotfH(zHx1Z$X#jd>yoQ$s)veFYXVT1s z;G3#jms|uOfLZX=c(e~6QVtEU#&>B0*^QazHk79&4pHAFBXH8bk5tjH41xC5FdC(s zgG+W?Ss{?uU(6RX;K*;%-7Ajw8HTJ}Ta!XC z9F>6Fk>274G??={g-S>H@d1BAxi9HX+8Q=7yP9W~1p3D*Wxmn;(hq5&Lnso34`N5S zQ$5e$qc2#ytMmEQG&1ylb)hQ!A_q)5Q(ce0S&tK#TGT{6cZ>X$kE@m4t66|}bseO99LU_1!e@KNx$<_X z$JUaSg|aWt&T)#dXV=b8SB|yYjQ8I!)L*w25xPh~-WR=vmtxC_jlOrFa}{CUf|()R z1|AFIfL^IQygs(c(f;Fw4?nNpNC?sqHS<(nXx=!1yW04#|Egs~erH5##3>^g2tg!B z973Se)1W`mNApYL@89>G^Bq_g0?qmkoWJSw)(MZ3i;PVykXd^5sLgPK$z?D^7bdMe z2xYhI3m1+_ddRO@{S+uHp4;z=>N&m?uQ*<>2|nP`9x&vfQU`J==?{C#k3SzhgG6JN ziuVNcQJrlzc6=s)AFJr(j$oDy+PN1vN?9T%R2AZ_2jl(=D)H7TihR>`O2kn%#8Iqa zz=KhH5@gJjvSG^EFx70>ld(RAen=^^7PMQ}yX29IJn<@OT+kHKnxK3 zFJIh$UN2=eoPaqrDVuL&2TZ{sXPUlo$KfwG2#(3W3$BweT}h7-rB1orJIYEh;8HxIZ0C zrLA1`T;dD-7{i7$lJga!_gBZcWWsnlN?tda+XX2s)~J^qZ(Nq6tv#$zu%7@;T5 z-yh+z6V+O2HaR~Pk}{zmbe-g0Uem0joDg)9$HZpWD$lB~<$!yFwQ=7>yls|`!;@S+ zt&wOg+EK1zNN7bonc3r>dG&*I*ata_sx=P^i+R7ctAk27i``=NzhjYkBF4SzYpX=q&c-TGSDe7MBf<) zTVVj4u$TM)FQV;Mr3S5%WyL$ei7$J-TY2!cG%hpIhpC*gFMHJ`1u3)S)32SS^NAM|&+7>Xk42LP zGWvBhM`WgGo@KW29$z{RP-(ow4?quUm1}M2;sb-up;wZ^O%@Uo^`={)6-}Zu7;k5Z z%qGhuu2y#^U&rtku3L#82)d(&$K&<6=@953lfE$RkTzgR2WW|MMtXSpf>jsh5ma{G z_WngyMH9^|FH60&KhViR)_AeC*@Mr3B*XfnHHLd)#&*B_fs>P6%aUQk#{ERO*3`c4 z=`f*Y1aNp;k@*D7mri|@n%ln`3a;5AoZ^iBY4ZenRQ+@tFP03rSKh}buIZgbIJyr% z{rS@jh_rfSw+@rjexV-&vnN8$v)OHnimAADLaOUa+ZM(trpJ-nn}5Gq`TF>psTrHA z84qT*CW!gR-eSytzw?WE8m&UV0Bv8QLtYZ7p=!v!b27uBCyB6OzfETwdL1Dqe)&Cn z3K+E&#W{!*+N)L#QFtJ%(!Q|}2bcIW%=n#t{LM@IV_{FS=;Y(X$ww`}QCq)JHgRiy zaceT#YAV|5a00z30=;gWk{+CrrT65^@5y&1BThc%o_y>+`6%l*YU(#iFK!JJwYa7O_M=B0;BA%yn)Uq*#4`?MU0pLQd#Xt$Mz)kBaglf?ImMEy2asKr)sb zUQPHmbG(2TJLeg1-{fJT87DATL(5Yy+w)bBOX9lN`K(z|+{teQ?SBMcEV~LGdAPJ6 zyz8BF(o84r6gu+IvW}+am%yb)`_{V|Gwb$Em~Fd5ttr@VVHD7R{M)t(tNwKasR2HE z&IsQ~J!fAqXT6vl@ChcLrO6?mU9GSJ(>H#?svBEdVU@;pG>bqD2ITxY`t2?;nAxLm z%Hd(FuRrM7px+Pf#Ix#_NPY$$d0d3qg!qpe;ek`2P(!_ zO6H6zF6)Lf7qk(r=YAePYti~mBOm6hlIN`Yws;4(c+HO~EsrTH&%ssaV8oPvFn|s5 z&JA&Ck1W0>wSlep%5(E6|L+^(tW9dEbIcaUidE;IH^gl`vJ&Q)gStDwTe?iC7BVL2 zPr$sx)uVI~na2p(qBk&;!Oku`>7`)!QZSuMC)B0$Lp?mX9%wd(;vQTb3bXjwShE1GbG zXi4~;Xm9)5_O-!qtl#Y*j1i)~UzD8-9oBCubmlo(&hB7BJd-CG7>__mPf8FY`P~Y6 z?T0eXmgW3C&Pi>Qo_-#uO)+)IW=h~*J%9ItHB%xIO|W}GmnjjBCRjd|7DYftXqQeq zy{-sHXnXcUyskdfV_3e>0<+ip5~#z9AwKjM8ZWiL+-+{gS(cb$u{69ri67a5$$V?b zUqd05&jC+tH8nR4EwFK>aAX}eyA}`x4JE|hU2FIP(FUJ}!})h;3c}&thz|fe1*<9> z2<|+T;V3VFv|7H?D`pEut+9p-6{5EbAepmyBz1ommIbo3!cXj)+Z`I6(;Vwnxo7im z>;85)G=_z@uZd=i4TVKtC z?~Bcu+teV@cVNJprwGm0ow8roQn5PiK6b|wxOc{WJZ7$23wCN(FbG|Ad{jSkx*m+< z@$0RX8eV=NWn<>_&UnE7xE*HLU*ce1ne-HBy2FN;S-tC4?1|gek*DDf6lkovBB0c^9Acl zr|L>4zA7iaDZx|#8xMyz9;zNiSsq0(onsq?h%O*ZhHzr?8sHn-#l*6| zeUJ%Cp1O4%E#L{}Tmr-7)^U0H z15XbR(Xek;WCiC(+JoO2Ep3=AZHTM*NUHe4bW;IzaSU~FsG25OnkF&9lzCyw@rYy# z0oXT=TNs!oO?7cp0SMEz1VBhLGA6^g8Lhze(e)QT_8K48!;L?auE%k{m$wUYv_7)!Wo+x1w~(Af~EV?OkxUxtHB#)C|i zKVpfazHztUp-^TbVor_>Y-e2T419YRVb(NpMK4YW0z)u(Bo;lsLNKx8PlZJK+Z+2a zD@ZUa&}C_FyxzSz0g*A?FV44n-;z0r`6u3wG)1L6MjNf7@cq}sG$rA4rqequ;_tup zGxC^Va&=KZPF^wFHNUs`7r&}BU}+EZOtZoxUmaULhuK%^J)g@Q5f*LcGPEx>zv1nae{UFomU_QyDQ4wn-?m;F?i3;Q(Ag(iLoc+zyOs{M{K{zy<&o{E&eYxKt zCUu2Hw5IRohX$r1$}+bJfvdUVr2+DL_W#KeE4uv&a#j^hv?Zj9(0t;4pb2bx8$dD z!i65l>;rRDc)Z!_juBO4W~lp$js&r3j?N>LO@+8I_sj(GmWV8X*=G-6w#Fq7xVaz+ zW7wcUV10a7)`sXyy?S8bE!roaU=a{esghVJDksJIjWNN3cz}m&;2wFt<)StjLw!k= zWiM01ME@*CGNZ9jP40x-40AAk((nlh_OMH1&BuVXPz48W)V6T8+QiXn9rYMnv^9UU zHJN-hm3(zLgI*MaUN=!m4^hcdsP=NGwu?;B3l|wXpb*N|q8`)hPSohSHy(re>QV(VKFwNoKuI)u0zT>am(H! zV%~_VrG>Pmh0n*A)yEfcs@l?Wq2k1SrayDSHgjTHyQ_7J8vA7v7l)^Y0*@yAl*jy( zb54`8Pm|>KIc4`bqgM69SM{le>PUy`_)?u%Q=M%17Bp^AY2BI&c>kXPSK9lWiu;_s z$Fn^lsZMT)Zw_D=jE9}|NC^heBmA8DC^|>8&>6@tfoME6bwJ3(gb?- z)2s$oQ!J6E48SPCVqaxSR8wFCbKbjoHTx_{?vPXVkTYscKYUF;K=W|3^TD@RrSFbUiV$ay{xEO1cd$z)>rH71lp8u-P2WR3a{&--D*<4b zPn2zD{|8ZCI^kYARJ)}dONP2#O_l%CiGDs&?$$5r{;e0_NRDiO0-`|tS1bSR0MzW3 zlHS5kx|#hiz0A?}*n5KRrqx|?u|HA-jh}D~|40fRe8d&|6HL?h_i7`U=Jh9>xzYDr z2Ol5$i~?rB3D7E1tYdN;6hFAeKbH07Q1s;hwg94zUJZ!7=bJWToi?+nMuswhD3Fd! zAlS`@7;%wz^eVtB-oiWLUeJq=jw~-gSPwouQ)hY6hh!gXQ_4XkW+M`v{un>`Yix0z zNpkw)+SX{^m0mSnf%p06FcO=dFg_RhA)#2N=btH=zjuZ4Ez6_|#agB-u-{fM$)p1F zCa0x*dxorghNr5hr57r#>_6*_TDZ!Lc*~3kkUC}GicsH*aL7^YFLMKs63ifS%!t63 z;YeL(5D!w18AO7y(MXKsVg^w>9-ya7l$BzO0;&UFQr#~kyIDxlF@*2A)CkoXs$Y32|!LqLiR0WgIJ@GuULUe zTP0QyHJ@lbVPtqoFk+t14vpBPSrMqZ0e4C+<;}nr^O(`gf84zGZ1g7l$ zrax0&^dBP3>^>vKsw9;cU3>jbv&wBg{->^JyUO$xnau;=auc zF$%da6yzcf%lKmgmP;rGo?)|i4-xoDV8$s17PqJOeBs+G|AJ-#Cnt+yrv6u|OlJIw zJ+?fQMT$Jy@qivzk*d;Ti@at7VMF50i<#mpQk8ma<02;*DU0s&XuE?;dG90j*g@>b zm+Zh15$-O7xxk9qg)Y!YOU@8F_YiRs=O8_YYJn0Ju$93T>MxjRtVJJ6^* zCgFEXsP29xy#)u7iUa808Sf<1-{Rj+poX!8D~=Fbwa+1VFDeGy}O9t)~M-xzb`XJ z_%@JSu?U9U4~w%gi!9D7nNFuSeT3rHWQ#6GS8>X}dn*?QvmavAlBtJAI>SS6L5h^% z1ObUtA}%n40fgQFB3BRncRggWgK$wwLgdNsCrCU_&?D~ut|eXUVCe`_55!$g>j>IS zafS~&sjn)s?3=UfGbVWRBzO}Kh*BbLIe`pAp~h-2HLgV~w2#{%k%t!GANMXurEqW1 z1WhLJ^lG#6>cc!n@ay`3yTQr*!!_@QuaD&3@W{O3iMnGEe#e69?f~iC0ls?)toIU5 zmG2Ia`??3l15-GhZK9;5+-Ssio%&7M!=B15^wdIt@%0TuISzD~yBVJG?fo(JLoGx# zz)<$!Tn)dhzn(jrOn9D#qmCF@kT~gw8N?v?#h4f0R@>FH1#d<*8&v?w`u|`k+YTEp z`9vK)rF&5^XFHv!iRxRIExw^A8%4vTGIfs1Oh(40O}X#9)yDGGE2)UMGK;{Pkq?;p z1~%Eot<|?!c6p4zv?7$NHZgY}dEUPD(u&p!(&UoA05AC!?o2Vj zN$yk984QC*#Fr+Mbdpu2kX7}EPYs4ong2soHWjEc70`vH0Vqx$Do&2l0Y_udm6JQm z;L2$?nr=-ABbp}2+(!0lOou0Stn7 zdNibliPqeyO&y?Q#f>sP+Um-`%ImNyC9J6V|kI}Z_S z4%Yju3*VTqOI_$y+Zs5)GHGbg<)Me>HxjlS1^kI=x$=BNJ8sWkmzccbUvlG2|6saf=fJ13c3UVa6* z^Yh=a2*$7oa&T#~acSfzL}e&MwGr`IdQT*3lmcuyPYWkj^0oWbN|VFerU6*!d?V1i%mgy22$ZJX z@LgYo1wJ3z8E#UOn%>DK9HQllZ`Ute%!Dpi8iw=V>P3Ja=k`i<7@nApad1&j9$f^_ z)#^$KW3wIvQo{Zl^{)qorjob#VUv;9U9^ zoqvu)ZXrLmO^~xdo4r6Q*Cruzi|_yhoNu5t zccg6Zc0o(sLf5I6p31vqbk+~kmAXMt!d>q8E*UxX!*JkDS14i1$ea3*`eAhg^grG` zH}#$NXUJbXAaZ0U4~UmOnF^bZAH0+Xe5$ChQ=EjiWz&yM(~s$gePP4CMCcYs5-;#m zpu@hQ`!q|<@-Fk8Lfdr1z7Vunmp8$3bBNG3JpGsuEyfsb^lBhe2TcE~o+7cbmq_AD z3n)@V@ZI;=Ou+O<-7B2r{rVhCP8ACCrZDda**)?IK#!|~Fgd{(ojx&$E6tlGH+O$% z?uL3ts1x7=ce7$;lAEWR;4zw-j<4NH#3F@K!DLx`0`$KQuy2X2r* zjl+^Iny)q8mnqLRV`?ZtZPYGf_F~v@OX)(XnX65~CDm%n{M@*7&CiXr%Mf*vzLASg zlts;`Z&IKd>*B$)p~`^xdCX@fc+8PL?Iw6=w~eP|izM&)(}~#2em#0i)W!*VTNA-a z2L8!))M!$ky>p6-jc`L+Ugi}WCnsK?2nacyUkCUItf0c@_IEEYci;4)w$`^PpW~AP zGgs-kLaP^y$2Wg@*~*1JK;1aMAA{qXWz7N*T>pl?lZ?Q7u15;?SujHLgS_>q(a&fQ z3;E&?YTK~Rg$e(=BSgp_X;RLb0V5DM?47>|N@;d##h#C{sboDyEqSLc+5_w09^5c* zvLX!No2niXaO1ZCo#^z4qp1JoI;JA9e`6~~NYdq4A*Jt@NpZ{Gq56|hDxb*AjyK@G zp})W$k48w|H+)hDSoOaGRocsCh3GWPo6yd-L4?$An^8=UPiSVzLw_{|ZbE~3f&Fg@ zo_F!_=^6d99&nez`_c#1f@Ar6&1T#mYYH1ikLKL@4(}?w@9WPcs59hJ!DLr2?#^1FY!UssMag(Cync=-amM z+vZsDB;OOE*b{lUCxUyLfhTpE5%e$rThD(Q{>M`Hc*3p7!-W zzYlnbK9WWNU=92eam93+ab>o0j7r4LlL}y#4q*PzKiIr)oAG}&5Onc8_~QA$+<~7+ z68m4j@Bead1^z=U+qjBe0X-jeo@oahwO5WM9w3gQ*#GW(1x(sx87f|%J1IZgqE)6c z5NIR3*yx?SYIkjO{-zxX9PA@c@wn0$00Inc>~yf6{LNt$Qjc@bRz7krOYfo47I1R4 z5m#Op&MdPh3KIPt5B%xQ6l)z05nQrdLdrDmn8a4 zmIo18W-}qEVU(wMuSbK&{7}u^edJvs5D#LoW}gW)oMs^6^n2Qy_aA9>+B@D3Jp|ZX zH=9%J(5j^wW+T1)g1M345j-;#b4%|+uIO0MY}Ucq=igzC226;@*;^7UF9rs@T;YaHx#*QiNwc>7)jz2Z-Po7O@%&LwqL(9<0G|&K{U3xYEPxZ>6nJF-ciH529M$o-GrhdwGyI`tn^ zWyR`J^Gsj!J1BTD)oVXgMs3@^WgBCC*c(`fU{P&sk@b>It$t|poeN3$6hw_Y6$X(Y zZq)Ce4L>XT#xiZnrB+Aq*$Ce1O@L0XIvvGlLjkzNC7vjU=n>cTLK?X^2j6d&pvDtA zM2{5=OEq!#4IuTax@wq98-}5uqTDzK$E~C#(R#`GBf-PNHBf>!3Bn#c5oVC z$sh$?deGSjUf#XG>cKtE++s~{SpKpIJuCM)giVkhuF6%xckYx)O==HM5 z+OqTY1*{9p^fvDr&x^XSt2xZJ)9Y*Q$sYFc%P9SlZ_S8aJlc8N%d$3BmID>3IUT*S z&#Z+3OHtbJDdmdvnm@)y(jdG>&=OfBkij8{#mPMGq&`p2}?NW`41HL$1qb~)HW-f+@bIG3YCXR$oIFd-O4 zS`mkQ^-;c8M_+;vRI?i`KV#yE(o^=sbT}1${OZ}Q2`;E7f zgk@Q}6!g8$YD0FXNDM9=)Kv;qt=zYa?@oCV!JEc0%%PjETPft$zck1c!K+Meg$xk| zMmaa;DRY;>jbx7Kgw3rydrxHL+#Dcj)HbD6&b9m_DNk+TTQtS^X8M=T$N0P~KgV{; zI>dHDR*nEWXC=z=(g19?YW~9i=Sa7IX;y@d1sS*`Ve_ydfNLv{(KTxwz2rE+-Z|hS zR>PzB3NKAxw^GDls#4c!2Vr`{P1uchxh`hhOP*&9oBd?qIHMf;n(fQCNynN9_8S3W z?pjdHW%i-pM!^#DUIm}*rv;eT_s;-j=it2ReSn&BBodi100np#Uw`M^@0`u*_xUtI zS(FB*s`mPQ%19!}i?>W<0xnMN$Cs*D*sn|L=M%`oa0l8_M_4mqOgpB!me2vi7|RgU zJ$QX7%Qt06DLZx8Z@vCpKcY6Wh-NaY(lVPpx|V%C)SJ<01?lVw`&1=ychs4}oF?g=CZSI2AL`uu z^d$!L5i4i58bGUOyk?Vwy=ZL-@%>`xk!{Juo~%&Q@-*3eZ@2&`gW1W=N2Lx zrv--1P3iCR^Yojl)^Og>E%$Alf~y7THdPgT**~{XexDCS+KYppAUt?QZtX)Kj+p+j zR|`r{>)$$(e4n@dQd0Nj70!Cl2ll^8VoaDUwwm2ftK$+I5)-}ESMtK$CznxrWZjJb z*(Upy=i|v#wx8|OEq%_KyY$H@fDETzQCc^`0?-&Owxm*;J`EbOxCtgS;96`2^LTz5 zoO^*JlmOj_2}-A=y;x;RXdoMy1zR{urKEitRAq7FO=!4p;TX&#c&o7|G*AxA2J(1H zr!*mzBtVQW(qhI-EUmopM@H6E#alf&jRUub>*w#V8o!1=LpI**%%u&TYpv7lc#u22 z)TOUX0YV{gwJ!7ph0Y8f(VA3XBb}5Xpwa)pC0Yvxxg%R8Kun0f!RTAFpiIF(W;&@k z!cRuGenB92It)WsGefeAu{9|HGcB@4rL3h(G5=#>SdE2#&s(P+8xISAm2P z&@TGGSAlfobY1k|3J@JRJX97`0g~pB3Y8V?%EqnuBgNrEM?Oaw!PS-h&~z;5)oW=E z;zq&<$52^{8}&enqmho>5c%dAh~DNl_+zZl9Dnhcn6gySBci_0QQuBTdg}wXB+ivo z`D8a2I+SQfdIfI7D$#1Qk-~Fs$9Ebq``7~KY=Bbxcitz-A^Gb zuZ~4MbR17QOo~MvqC_fnQ<5TaB|(e`KTeeb7oTc{}C6w>URjs8ITlYFify3um8 zrN-iH#4EDpEwYW*I!wLq_K5x@7+MzsA{-peo|)X|U7+C6d;L&;@$;uovUM&p+%gf4 z%Nqu5rGS!W+>}l_*Q4bo%1bfVszX!ucY73l5-EOMhx{c8qT&WvutDM>pGN2ogagIp zKaIfuxPkofrL&~}xIxaSrLsCl(S$c;&L|oRxFA`jKy-*(QmhqKSp0?s=We$Xmf21N zw5E&V=<4&gLX9bF_wT=77TWvu98y`u4)jXS6)^S$5KiDSOXj5lWvtGJR1i{s5h&BIdEfi+hH&729*Cl!gldZj?(qx~wYP zf~=gbaD>RX2(cVMT{IM0dD}esl-_gfLuRt2=?2&D-Di)7x+eW&mvsrfSc9aw0804> zYJgH+e)tZ){kDVx7+X#OE9J7`axblVspFItcXJgnEnBRmF4-XE9WvNGkewG)+&yo` z^MpSpyFP;rp~f)D{jVBNzo&lfBS=cy>prTvr(MP@U>u z7%^fvcAqzz+Q|jEE)AlEx>7}_cf@K6xspex2Q%b5ukcX|2QlO?_OVh6a;6~scmRHYsrz@*a$Jh5U()NL( zC-qng&qvhEMj*PZRggndTiW2BlXUW-YvNECJ{t)TdS1!3+yaeG$$p-OekrLVKn{d-T-aFluk2=w?WCGf3VD zEN>LZK+qW*;=Dpf?M)gj3YMqohz(inGnE#qWR+3SW-j6bcCCEUQmE$$cb=Wl9$~co z1A!x1p!RB#VzDpp1LN@EX;&VLg>fJQcQ6BYM=V8WEKm^vz}cKjp2ev<;E&XRfCuZ$ zr0P_U(LYkIy_q0#NEXD503>dUhfP53jSY|z95(X)u>q1sZAHw&>L$iIEuSk!j?2_~92Bj1SrxbUnXm_e;!1pK{-2E_{-gCu&+_?^bB3Y@&B}ocVjp%0hMFSKsc@?)Ze!B_VvSlN zi0>THjBRny2_tT$vA5A!;c)hcqyFuv$756k_c?G_P9mropARc^~KA!cS$ zL-n&JoO;O?LJhD^HX#C_Dp&Dc+vF$3`*xdza>`GDVTqpkhLM`AbhuAINroU_vi6eE z`f)w#jPjVu$)!xI`P{Z1#WrilKWj&}RrBCj5BFFP?^rL$w~Gm&^egslP`Hp>wfe@LA@PpsODf^qJ3iN68+eJ>M__#K~}h;+s=|TO%+m_ z?#p;38vaTmf8;QwxIP+0fXHoDFi_{T{9 zJnorbWow_T+ukiFP=>DRi>iD%J{;O9SM{2-^qOQ6wI`veC8MdOq^Z46Fasu-2@G)v z4sqz{6zJ>}ScInm*eU;??!2OdgZR7URo#010RKhrPG?MK)^qARtc ziSv}qfQADLPavAVS>Rvfmj8b>hM7~i1VIy)3b%1K6+iJQRs?OVpN!5}b zBU}C>jiL&qUew$V+YLZU(~B~+<@eAms!%TJaRj8oiOF1TGwvFyrhlYly{Lb;0Ljxf zQz+^2%F#A^_>#>!K|>Nl2`fs2&H7p4N6FlG*ohCQa$kz^CQIsai&G%|les>Z9G=QuLyL8@R3?Hfsq;!of3xuvw;F=QKcCfox%n zrD{vt>fvc3aa4e-6Cg%uj1L$*vUYX545q$CMt){Q)R#a+U(K!j}1J<7=bnUD!#4)Dhyrjr8KYS&r+zG_Y{Ln@6|Lc`I zn2oXvtu7I*hyL4_8|0U5m(i?|IY&&i20z5j^{EWemf(`e<9OwTc_qKk4RH%AN&)fg zrzHmcPOE@~{H_9=;&U4i+LUhjyc30n=n;X)w@Afh22DS6D%zAKhVhd=QreUrpt6ro zT!YJB(CzO-t1}DhH!^TF@+EtP&<=@^6~aO38Fh`s6!dEe;snl}?*c3uGQ)}>#q1URUg zs!AQBot`CAAga4o7E+a_U^ZF}U=avew|Zsh8%bF?s{1{CUkcti@qIy+Avsu0CVe?K z-c|XF@n;+|0@v>+G+I@yk99gB!vd@Rkj}*S!i+s%*;K_w6MQ~qtBi*8NFtOq3HWkp ztW$kHvK~Gg|AC=eh?AN}W36=Sqr3Jt570FT66E4x20BlaA3#dj8(4#?ir3fjRnpA$ zW(3Xk@@v)RtawVUui7r#+ANEG13(tf2(C$fxuLxM1FR*Ba3!PrAuGkNb`s0QtlXij zA6#Wu$e|7_S+B}x+s4w1%{Zp zIjtK3hcC^@5S84XDFhB-33tf!ztiyY*&ELw_{SZQUaZU`GRc7mc0VSChRa}f6||X4 zl=XLnH0HdsHiBV88)5fRKLoV#v?9vLGlQhlfA}RIHfIYi3}Gbjw`id?genH_EE(l! z-z;J6U?kE6Cua2y;VZ^0c^+d1!LRl@?t*OL-g+pHsIHRHIbcrVU{4T@AySAg}c8M1Q#x3iJRc~Le>)f)eldNn0>s{q* zHyY3@(^k_?#D4Z@&_>gUu*x?>Wx-NYgp-L>tSe9-OhG zF6)f0xBkwSF8EztBcy!K5hCZVS0aDV64$@4-PCw8$=6RG_tN?zrrSg%mLw47bLWks zGX^7w-dE9E>DRxf#!(Vaub$E_oh(lwE9S3lx6!-4Xa)n$&FkNPx(;Kuu1;~h2KV>( zcZA7M#=ReE11=nALYlf;|7K|Jp4e><9WG>w`Cm5em*q^XmzgPSBRqE^mjz?c^)oZq zGgHX@1xX3S9wV<QZA~1Wd5(^t<1Yx7?B)!SMe3l(_kj0&4yl9eNO4YZKD9PsPS#0S zf)p_j8-|I`S9Vv^ZGVWDy+S^y`Q!PrSh?Hu;w6lA?AY;5BUQHy+hN9Z0tFlPw3%xe zL;>!=j{PJX&4N9h1K5)8AS{1aTugZWhzHZfhUuO+o0b_CefGu+x~x9Ys}@9(a^Z)0 zdg{4v=eRH9>>NnO8G z*;r{_n}CS@KhoYhDz0De8!cK|+}+(7rj$~O4n7ojXNnXnEmE9mf#MWr7>c{Q6o=w4 zXmMI7#hv2LyZbxOIrlzmo%f%6*UI{4C)r7MvUZZMe3Dpab?dT-w$r#yacGr7y$ZCh z56p3Od^0iwp>7lx+jg)Sgz-?r?vQEe{RL{T{o>2gO^>;sclK9>rHu2--*=mP4bJWU z*S=oXc!E-rH+pR4_`*FN< zcfz+zTDqe&s=4+VAgxiBGvVjH`hv#U>UkcI#d@pg`~%qpNPnJE$yN!t+qVCVEr8nv zlYwJhUUzV>C20CdjZW%>p_&p#TM?svAzta=;_xt?-Jkkuo$XVn;V8MmQ)Q`Q#~$g& z^ok&^KW`SC({br=+$zf2dODiavEO$T>)|y}YX5<-asoAODJt@RNx3nn72tj28S zBT6qhw2I6V^vFB38L1Y2viqx_O?PE5w?nGl+pOlx7uirbjwgR2+O)ahe9SPW#G&|@ z*Vt>8OIcK#pBIa$X3=gLO>q-+ZcZ`+H zXRjb$XU`sj)w3S(grv~E$LxAkiQk50ia#^GXE#sx;&EhZcKDW{;9pd3s?yE-$v$EI$|UCARs%m(b_HqVX#iLqG5r zDI~Y*(BmT)c^>OHZR0D7g~2LrZ3`4--pQYdme>;e*pasZhZVKFKfO%!DTKF*ybId> z5^n(NPf&@y-r2pve)C=&=;lz}^BJ)oOqDf%^PpnN9{`6MxDI_2->%PuC;%%-kg&JDFaG`3~YybTW8XIqht#Ob0&f`DK_K z&pn-Y^-fR3Q)AcK?V{W)gyb5_0Ac2YqmJf?D0jlqf0S;R*n@O0YI8ymyulG;Pa>cz zH`P3&dUa~9ANk^UV+x;+RvK6Rc~KQvP2I-Js46n!W@3cn8=R-;^j?VEH#kgOF9rI2 zu_PkqhBgmPTOGp|^&jT+w!%Zx^9&H-izUw{Zhnpk*%=rYBX-~5&@c;-d3H7;f>4>W zi{`RZImx?Mk2Gn`s#-<4&c8EveqYZ-a602Kqn~3VRGfiIzNjGroN;)8%SO?Q z_l6CgE$7TF&SH^DKK`gzH(DssoNi@HmLPi0gBW+lae)uf^`*z3QfEApY{KYVqAomN zy1VP*WHLo@`!$o!EYh7;UrOEXH(h4joZeqwk~%CV`pbMPz-?wc-2VtgG+BkkeLnL^ zT3Nd?D@d0dam^E$CQ*{}H+Nr}>3#hoUzb0yaEJ|Ib?#_P`<9GGxK8TX*9|pMg^CSw zqdAWz&^)&Ad8SWV5~BYt4g;2cUR853V&^RmHMuLjhy57C4)eV5&0^?#!&8Ri;g_BW z>UTJ_xMIws!Wet!Bexyn2&s2CH0Vpi2;+A+DrE7pd$DLwRCxSY-T8R0xN7UR`YsWT z?{Ge%J69uKxB$?y$LpLPMgCX*AEj=A3wcP(!G+5LhXrKkJ12pAUo=Og4#0~7SEXtZ z;BDdC6(is6R87Qyf9mZA(lubX#SQONcA0=u)s_(Jq5*Bfl4Rl*6%|;zx+wj%3z}Fv`Z_5xkt~iXK9j0{!O<$nPPC3W8h&#X< z*^ROx*CiEmMc2Yq1Csp)m(oSDrW~SvM5f@_LCqyhgn=s#1BY6cL$KD^4i~mw2Q4_j zVI}TEK?U9|hFRiX`K-e|{Uj4>?7)>0BGnZKgg!EW7nNwFpYp)65}wM2 zT~yH`>!_OPclB#P>b@jH7@l;SrT}j$Jqo#dNcy@8+r-f5!8+f;=QDz6HgJc`I`VF> z<4<^?>?_%A7bEQPn6MU7=`3beUd~Zfa6G6?*XvRxiyy=10ogozC&7bH^}Rx*00!69 zfLi~xaf~$)d@to!^?o;@`R&Nhl&2Q?rlLE}Us;IosTonOzRzBS@O*oHoEA6sESrIr zdfcDDkyrb*Luoq3W*+W+xnzE6Gi!I=9_h(~E-qTO-l?QnrI7Kxw5bcR_60+6u_X~%RDesfPZTCQY^nqz?y_D-8 zx`jGg>d-{^(;zvHZm5W*EF}NQRL~=QWXag`Tv-;uM;A2WPEFuR@?z zyQqkS?1I0}N|0Ifc%o_{-%9b!-w)^J0Y3au#)%Ir4cM-%@-)WrQ89*WGg~=S&e;6y zk=%9bTmw;S?f16!>>ozy=7(Q+2XTEp<;9lj9pQ}Tnr7dF>)=5LdZT>DcgNy_dj+uY z0d4rXC~%R3l=Zq${j{jqO%TcbjiCR1#{EgOr1|eDhgHsmbLabU#(OW7#oMc;yOncJ z{=UWP={`P(lpbcJwo)DA>Xvxj(orgUX^+yW`kEP+yKSxC^U_mi#?r8Fi(-oqXRdK^ zB)r;AOg@H%L#tXuNs_EhMMpJvb+y@>q6#s--0V%Sf_DxtJC6;+6ssO|p6%Pd$>mbt z7A-y#%q->Rv|^dMvfa&jNX_J;W0=pM^vTw)TdrU%a&oO!C!*;I+bg49`(dCwo43{0 z{pIFv7^9E5Pr!}2@h}&QS|e^77J2my6RmtXbDulud0q|x6gi{&)<@O^6w7m)bm*T$ zr9@2o&@f7nizDglmBPy;7{^0HXggIX)QUsPg%aOkR5jN~3Nqh-k@&E9bh*_Ks<1m+3-J(PEu$63BE04UPwSDc#z++$qH)UdAL`v^>EBQkRfEr zU`B*`;K-uODz!UFrAtn(2kafEx)@|aYn36Q8R6` zb{TM=jR0w$o#a~LAA0*!Hh_z1m)H5rVdNGN7QI&4<$MC33y#3j&(8~4EFc=Uh1w4sc z4|rM3#6RHC1jVW#2-L!(YI^*tVjL@yQ*GYoY+V&lP!Gi0&ydpc8Oq%&3QbDV>jSm_aC0)kY_*~U$YfabL@wQ zB}_iRCnqDN)fp%0%7m@x_ym1uRjX4Z_&udx0WB>eQ{%TMe3)M5FP_hOpz6Ys;cEaA zGu$iFL-jWP%+H%=FOYK>h4yh@O&>6x{*dC~&^r1QYV=F44QPknpXu)hPa$$_gL#2P zFqXucuXpe_ph!6dNsCYZBTBWOZ(&|XFM5Kpt^&+=X5{mn(5#N4B4?#A72HdceVtYq z78mQxgnbb~a~B*Tc+%H(N?T$JNJXbT+r;UU2dbdUD@bB_P;TJC>h8o8rmjVnDy;X)3ZPMfOeUH}gt>hw zr*K>L#_G7-fOuzG>9FMyB^@sW9ZS$ri!UTRBR84urlj?(v4tc4twl&oYPBwxOAwLu zzDx^ErrlGu-BZ6Ovw9*sT7K-0jCn}MUvEa$@zJu=BvJI;NlqwNz0=|@R(?LbdXwy! z*v(Zw_sqKY@&Rcqmkhq72x$?>t^v&ZsUE(V+y|6pf9e`Mt0od(V@bJDqbm1}Q{>rH zDO6spbVv)enttZeIp&eRz{a8Vo3d0492-Z-SxKJk&Mo+`aUeZtRe5n5ucl0V1_Z9^ z>NL*&lhoW4xdHN_ZfDR!&;|ICM3}kFTL9OeBK`#ajCaI z9KwmA)X6q32c8+-pKc<;lp>Wi`rDtxe;HVdQ$M2Wk=v$eoqLMT+W2u1`fQ0hS$0J% z@tT5VCD?l)tCCS07}vhsQxz~6+GPNjjd@BG?T=QugfzcfE%Buae~qfuXy@81TljJO zbj7~fi~EG%cdIk^N9uQ{?Y{92@c8@l`y<5t-7R}wmCrSghXOhJ?ng7sbLpW54O%lz z-_ypQ2?qAnt>>wE_FG5>NryO>#s=RivKJ-2izF5ADM@Dbt!xfU_fMnGP`zfGT;Ag~ zp{~{n*scu=JHN77k(5Dwi$5 z0U0J9p%uH7s}L`U=gXKrI6A1d?|BTy;C5s0$>f`hnfv;6K-$@jq^AR$t!K9mUy)rn z9{>A(Kt9Mrl@y~Wl|I7l!PCvGEXkRNl;h%Ow3=WOVZ1Z!!+E$&U9Y24LB0{jq41i& zKH>m@HI00n)Es1BxHa(05N-vr$rP1}nEfA4+BFAcpmN5!R2Nr57isSB&hI&89!IA_ zL2{|UrA=;flt=}No`BfU*hNAt@3UN0YwXqbcNR+LTr<4fN_s9p4*yB+^Muof1r0Yw z1o=@!b9>~{yV&nEFN|clX-Pg<=l=7bPa@!R*Z5)bc-sMwMfgB`PK%_31Bo{UxbdLD znOoZ%6z^lQhC6k_2Z)uYDt`CWpL)cL_#Df;p!|cXvTLAt&H)uBC}=^yF)o^?z9NfV zPD$7kXl74T|7P+J!@<|`J!fFngs_ld#IkSqp3daEFi)r@Ig)Y z-uRXaaKnN58g46D(@KWH9r-L=CXA|+9AhsdG~j{bhxF1}hlS5TqfdW!Sfg#$xeR<` zvMWti8gK!2O~RPu)BEsLx{WmPPV#AN&V=v4Gn&>v37v!nlpp(UGh8V<$(rXT^L3FA zyx25ENk87n$224hOwGhJY?yZs{8e}V`y}D^J)9j={qj)&g8RI=iEeP4caE%?va><( zXzJX*+2;$csaThJSsth50`7GD*!;Oc)b-ae8Izg~+zd7({i4lPSI{}Vo#%Z#&T z)~Eh9!({8^f$&XTM>|M?ZtD#Bsgf>;3+OAEcMFLR`r8r9hD0J{7)9y6>m6G0GKN&f zWEicsQV{QhHrrM~K#qRwuYf2Uwa|w58(`92z`kSO4h1x6^3)450pII_eD{Xz5mA4; zV!`#>9=SoRfupQT9zYcKB@ZO2LgU5ujyD#@pv{cn#Xe)>w$T9T!0=g*56o4GTLsMH zFK(G!LAB&mH|BmXU+PA}UWq*0QPESKCb>qlDU`%KI=I~w7NrD!t`c<+7aSL(0G28G zl&7bcr(R!JN$%VEl(@Y{cx%1gcpmUi?CSrmG649g74@0XDVV5jEM9Vzi6IoO#CZ?w z5hJ!_3zUjAfX3wU8wu}lM<(bDBJz7>8gG3?wT+@Bww4YzDpSsJBmCnc@YI2At7tRy z(z5(gF2XU)|5sj2Hoibi8X>x$^Oo`DBC>QMUJkeg;|N8QIGGt6;5*J5=S9T6XXx-OeX!BeYyHpO1T)ay@4~in*;YwmSQ093wOb zXWwQL_wA)GlPP@@tIF!;XGE3Fz_{G#%e)KSb+)K(5Q3y>6rVW~zS=P<@1BTh`Q@xO zI+sTd7xN4ml{pf&hU;f|s4Ubqy9F_5f_y2i9su=a^!!VMQr|smt18~S{lk{w8h_N| z`9{SW*0FY+#poro;vjfc`!g5VA2P{WEy*%KqIA~B#r=(^9#O^x*4V{z{$oWYr-42n z1~Z_U422bn%^FoXq1Cd)ImstbEtLepci;JY#0jG&4{Woa#uU?;z48GsK&4if8xQjH z&2E?v`FgBm1fq8FPP9~${3rUw4-HWok572o3h`h9+cB*!QkaIy_QZU#$kfY08QTxx z1DBwgMIC~|WTl?LJLOfZgCkE);)DkWI*tg;Hf2l^>`@)OB?i7CDkGA--fCj_e4+CW z-e4TSJr+afiHzdr%$k}``PHQW{cBwzD{$Nz*0?r^kN9^stnil9GBlHXED+h+;mrl; z^4P^NL-Lr3A1x{DqL(2DyoQFt?lZBHv&f9%sgpuQy6{B$jDU$*G6?Ex@hK!Vm!Z9h zG@;N(vB%1t=lRchatL=JJ^ZrwRVNOQIoeAy2s|u0@rAZT1NxrO>CvrV=*=JVo4a#h zSi#SwZV{vE=cQkdwuJ9*q;Bt|?hx?%jJxCOV~HN+AUu!gYK7Uk^CcJ2?bPE3EUc$2 zjW`2B@x*Z4!&R|$PGAJzCl0+CjeHP$`Ke^kZIQj%X5$7O5R3fa1y`my!v{!W7?&f8zKF+A8Bo0NO0AmE_MZ3awX^;F;|wX^GhNvhw$dXyA&`b{-AoYwt8TX$q`2QShx z?AF&uo1Gvy<^0nIbW4)T=s_-7myv?Hl8cSI&$p|nx&{N>ODEG;t8TP_q_v&5O%R6K z=%+Rnh?@JFZMPYvRVPxqym78-9=ik{o4#LOI8%n|;-+QYNRPO!r*!z|c)G+b*RfNLMj zh0Wsfg#a9p7=?b~zEVAAEjr6>(zI)Bu-)@J#HFey&wF0`nEPbG?}u_X?BY2b|m(55kaa>!mMCpiR~ zNmk>e$t9TQv-&VSAw1Hl<6&rjIdxQRG6dG%$<<>{@)7er%FcxWcK{n!QMT|-Cc{{!*16E~Lfzp- zl26ZzIIPQA61Ro=-qJ{waTXZX7;9 zaZt6W$}UV=RP{}59!y#^)EVldLJagA&)=R4{o8ZHK+l~-$e9gBtkb;>|AEa&@*Rx6 zpf#k$e=?w3D@HsAZ`%ueLmVD9X;9GTt*M&Zt0FNS0jnl|VO37fVvKGd1T^zBi^?7! zAVwC(v;+0O@6K;&9bkx~Wm@68dzpO#g(TjyjY&%GMG3|;F+UvcA9E!BpZq>diXInO z4mL;qEOKuxF&P3J?;<8ZwD27mlS``(#zsY1^J*v#!1^nG{afzQuJEBLGV6{miJ(#O|kRTz!$ zk1pG06yT6va#oDjzRkQGrWDzl=6fR!skjcAC1Ww%Re{^@4MT%fTi*N{y7MMsj(H@k z!HWEtSxVJqyi$#gp7zT1y5>!2x`(>Jr(kEvj#urI?GO6Tj5muO@?&fksq@$d&bWNI zE-xYu9r6``@|}IoSOIq^JG6X zIC6A8I8-`#8=W{o-aY*F4yx_r>vr+08EnD5+M0^c-ygHqE+f(UdwU4|8c+|Yig56e zg*&NZG1TM`Ycv`^{WpM8d8{$SSOAx}ZAKfuA;tTydH?Os{@n<4-_?t=eefY^J-1-d zg!zmT6R-0MsoAb2zYg{#w0o1QQ}v^a)|no20h`9KA7x4JhG|ihTTSL3Ofk{v zQseJqA6p(Bb)KhTpN73fG#CG%0geC%H0nq6WR$B5AnkA}Ne52F6y>x}lK1oHD&@iO zGDF0Xi#8?{VDK6bKda~fEDJuMJJcE~R=+BsR@vKsSz`)oe4jJ!=wQy&IOS-ZElrX= zSI+|ofFg42JoOG=>Y`mFXfA?mqiMBzasAk%ujhU$x(PR_}QCTYN#pxN0tp(20ApAl5!uYt7C-#WL)Yy3a0n zeuHzw9yobf{nePGF__vR-^ux%H#=k(%e@i$T{Ty$Vg6-$KF~5JW4mDQEVxc;{k%7S zz}>e}Xks3Q5(vWWJ6(O9WVFh5ny?u~>2vmblW{b|43!JzyM7}$t(uz)mjCOmvZ`$M zq-2rJnt=G;`Bd2y-k!mR#~%OE4|j6lc=ghl*L+g*Typ>50G6AB-%2Vl;dM26zX5PF zdy2>QRf8EsnZir~2FTFR5!uCIh^S4!~aJE0ZO9@NZ{oX$CMi>yW zeVag+X=!%BTH*&2c+elDo+(*pJ|FVtUZFL(GI3Za7mM2nYOBK`#mFXykfxeN7y9k} z33q+^8Ty<3`)#M6fXG?D4=8JmJ%9y&G7V4Q(-qH}f4rEe$KxZg8_Us^95d72yHv?^T_= z=%dRbJh)BaxP%BblOQ zN*xw(?9rPS^tHCrd9o#g1WIz*-QynvB#>ET)3`OJG}D44V<|5_Q5!sXK`=0MaWv|% zATS_yH~PNtpy5r!RYgIAo3+*V*_~NCvTG@u{Q{6X5MDS?@CR{{8-7?rY+N^Ka+V|?`O{eDFuQo3D#j0MI z`8DAh;m9hX+6JMI;mrW~4`%q==kSiYO=95C_nLcK}Lhc~Ty&F?2611wl7{1XIP;{K6X zk0#7Oo8%fkJsK5^(UiYG?MaxKPc2F{-2R?fW(5LGt%B%#ty^cGO9oP8e4J;K^sg7a z3^UywZdz-e@+gD02umfy_kPd~$yU4in%K?ko}L&!`K|QT;{N9SkD=2ggU70>TpaIX zN8#Ujc8Gmc>}O`IOa033!M9o$O6_j#&D#jTto|^M!tNpWPr;s{Gibz~{}xBSxe?y! zH-Ed@voCw49TC{cqz~r2Fzm{cPfYk}xoyOGSC5kS@LcV}s5$HU*1?dZ0pO%95v#^ zNs`GFhcQjb#lTpUB;;c3S(LIs@QdB^X^znlGVuaBhk3uL-PW69Q=j2gL+x|^(_^37 zt44-0-$KQbe8rLwhO!ifG6wHjd+%EB&GF)`@$IaC5)#s)&h)>~kjI;a?`gg(O&B5x zbgZ8+p|0ZOEq~&IjlTC4meGfoSD68;hX!8uZit@nCfe|aEiY&vK;U@Z)!v%}()Lpw zS^7aFw&q4J53XKI`mB0y<}L40ik)1Ld*58{LQV>aB=VC&lrZb67cYpWcu>u1J6UAl zQhL7FxpK=%`bXY__1`?MZ)E-aFN-Cm;N_#EjN8W%TYp{6*6~mlu)Sb(baEp)k}B6~ z5i3Iu^XfW4>_rXymW~de>7!VhYz`qT#s|^0nUg%OC>ALhPVJWf1PaF$K{?Uc3XDDf zM6GshvVIv?l#Gm-2O^)78ZvAg$?8`Z=o4tdy zUgd3a__RMDa`dV__%Qp%>uXaGrZK}QvRfnk%#_GS1v-1p^l>g+PFyCmb0MA`DMOe@ zAVH=yOrsRCNT8D1YW4giHM8}kVeX{E;^Uu%lizS^?>}>ljM*~5uZ3>ci3~IK^x(ds zT3(7pYhq-7LS=?E!cg^6nt0d&>D5k$$390ljp;3Zg`A`LoTDM>FTSL|VDvt6^gi<4 z9H`kEIL`VfVK#o0K@8INTzFQsQLu`$WY|M!j+L7!W-41PJeCZ#3SP(?|B8=e|B_Xj z07e9NsbS4?oCY6RO0Xi{CIDxr^=G3hv^WIk`WUZ29^6_EWTSWhzhGlPVlE*C$L*{w0_n0kxZ0kLsi&hOD zOk)b#Jem#T1DUM_U5>`WJG@&&5hUH&mnRStDA5dDfiQ*uB;487b=e!@`2{r#qKAp9 z45vI>MbpSWIUZOg&Af~x--a{=)jQ8GXRqWeYBA8nPA6kQ>}vG>(7e4}T;lwdz31r^ORc&qJ*C^| zb1ite)9&oY?Hct&*JvE53P7c#4tS)&3eHE;Tq3LP^*gPjmjXqMVWXS_66$5cp z{h7g#l3B0E#RVOmoa$QC0Xe`UuJX8Y!J}~Kt^%8To&;h95xmFe!44r_9wZvpc&s+V z1x$J-VKC!s97^lg^<~lwIkWX#UKz0Kx2)G(0JCl8#YGZpz|btBubOL;0Cupz>N(_M zH_!nk1k7vVL4#8C939lna%QX1y|3%w1ft`pXXVrU zY&WAsTHl>sG>an>g`?V|kaEWfSJ3k8kDV33r=*R^oUXJ&!+cn`b$DhY?)Sp6AR6$V zENtb>t1%M(%Nu@wRapN$9zWJX*?b5NsRQI- zJX3%QEOkD&RJaSmRqh_SJ2~cz`@Qk)gzz)@=oa!quE`5uE0RbAuqA_HK0k}?RxMwn zCKY7s0YrNuq>5F2#f4$Y?;dF3Z7Nj+Q2{w?5q-hmepBP+7Ws=)#t z=4FD|&7)F%EAPWavs;(XnJqzve`fTZbetg^+oy~>;x(bXATabF9lhr0&ygT&rLwOe zlqU?(s|?Ae{D%!Y&DwO`+k}jpuz-_O!>=?>rcLr|vL(r)oTWH>ep`-nq{x2(3VBr) zX}bd%H)w`R0X0cjw-!l|MVZ0-ByZnH(r_{2nY5N^xy2&XTW%Akb`J#Un2EgBdi3s6MV`l>C!Ot#x4%yegG|Xhq^Yg@1wslc@#= zY4Q5ttZQgI<0HeaNu?s_V+t^2!98>N(8Kf`+efSeRyfm)!069b`}$Us)3&I3E$jtYz$b+dWkTG;k17}-r6CfEYodSD4@I2nkzYq2@OIq)vTk=9zQk)hX1%d z9-!2A2${dy#4?azT{&L%}Xw$ zC2Iwk@bn8pPsNY0>yh3M9%Nh!TH<`bd?jlD0GOkQ$PPcF_f*O?HMppB9(`z^C6JSo z4OX$z>}i^u_38kSER$4^UA+JSU>Z}vQHZoim$b67Gcwo0G7!+RDNMToEK) zBul2Vg-_ryj^-jUsA1@n^9;Pg_RG_ZJErX5-=3Aaz=rBy0O*>gl>#3f7#~&MLt5R_ zQzM#*{iO$}CXh7bB9u0?3lkksNXGGCB z1|%Wj;WA~FWS~MzF8l!pA1nfH_LOh6T`1kx^Ki>vt5V}Ra*`7@>dAb8C1J|vIqhMm z%Gd)fg9hqQE^HsXu^F<3Yx37dvQ_kr&bYtIu>mvAUM^Jv)oVs9(3s>H)_8XZ$upF$ zD&KQIa$))&3tNnO%$_sJKuN<&4_2WCABs2a9>wWhEm8LO!MBeA^U!>SG@eKZJ+AZ^ zI$J^pao{b-$Fp^!E+c=HX3{!7^$D>bEaq`te(&v>{nQ3=G*Qy_ezJ~+w!OGFwV)qL6@@Q;ttnp&_zS>{>2UN=WwJ8n&nU zGGfuN*#YSn;*w=L&vIGW<$Aq72->_&9;k^Fyt%o!Z8U~IlD*Qn5#aDgK>okboXPN~ zYhpQovl=MJsj4-9A|Bceal(ax>NUAthJmrx8P=tCqaI4_}$KPUvdtyM_ z*v`mT&?7DzBFN!W%$`HTC+Qq#sP|ShFEKuXBnP>I$D<|1U}*DtN$#ZFQR@yf^@dCLZd2a)7Z^v@t=_zNn&A`9px*9^IK-j%o=3nP9CYr|7u5&^hYTDVwQA(UetQNc#&xv6Pe2mh@q_Q) zH(g>M@If!CVQ!Y7|g_Z1{bpz7PEhj(q=c133rHlSj`NJ+u##l zNoRq@fZ3n7sO}CR57QY~V!&DCDUHZA=427!g}lAgclW_l>2lO`AYY$BtS}ifB!L&Z zQW?#&)k2NmO$9xxP0{N+i%F0XJZJb zsblE*%pPGJ9$_I8!V4r0PI!BHRNW~TD^O*0KW?z^ z6hc_ai){U`Ms>;ko0rzH*bkx64-?GjJ#s2)-Q3(T7d;)x9fF74p>AOu8B19!RDgys zb**`T063W-{kRlOO1g+jrSq2>uqr;i=Lw#ND3(wepb>uK!luY0dGE+R=eO0v9SsKL$QyQ(kKYlVe+Cz*@a1+xZ_QDh9XrbghI^+59u5J(88qFFAkK zFKPqUhUJ>N?V-Ph0dvbFU5g=Le~t1(_9s1vAnlCnh#-fQbw-c}HYjFj;qisJQ zO85;7%#Je1*fWYz!*N5De=Os~ffZx^e|Qrw3LcN9*lIb$1hUN?e`3G8*P|R4tX8iM z9x{_-6!2S2bj+I-cxg^YE8s`$_jMPmc6owwJfwu451uKQO&CJBgMDoI^X*0D8zIJN z{Qn)aju7r5*^@sp5Ql2}5KBxSc{>1}7vAL<;q9P_T>EUfouAzS%O>bdExt!%&)OpdJ%V`z|S-wj%W;?K{eC#65YW5#^>PuDW4j|9nIE zyBGrbH)13&-?hBUZN&Qj;>}w=FDTkdwaIr)L5|pk{PXlT4!MN*nrDf!Sdx zmadiGOswn?raDdtGw)UcXaxd!!aR&zW^bZWAgbbkW_Ew+a!){ zLEopM*ilQ;__-LPRe5IAKkzZ`9t(k+=cQ#PPYML0G>3~ZAbaoJ0Um8x1q;jHj@z~^6vJh2-zBk8J^4delS%fI(h+l+tzDZQ@I3#7|F2MNn0(AQV z`M#LQXK#w?h}}lRsI_gM;C!DXV-v9`wOHG9RUbXeC!2PG6H&Ie@8veTCkBIH*7Mgg zGE@~!ew?Y;=@_yVKfK5yXn`jav@kqBw10l+ve{9x-BGgjZ@1mClZ8={k5Lgq(30}+ zm%M?OwmOEgFa(P+1oQuKKr&DU-Sb1(^TU57|Cf9~`Ncr80bTj}RggE8>j(AWz%PmQ z6U6Yq&n*n~Yr3va@)_zc{^jB7{S#vNmk0Xm(bdaQ{Nw5W%d@BOdr5uG4SvBA0qh(@ ze|Z_V0s;q3s(*?(SMMt&mj6_DQYC3>*ONvS6!SQT8CbDHP7j)9+WR&jZvtM4!Gyw# zLaIzeUI7P#Q4Q&WlS=*-`d?ws^89@#ZeOIUG>o^A=n~bwYb=pM2 zyq=3J=08eTRK!GfAVZGj%@svdrs;A)C|Th9H48Ci&};qAR|)`@rZoa)@cVl+(Z@OZ z5T`V1qmNhku(5}Y5XM!MpV0nThS;p)3vU_QEBTP?Si$-aFfR8^cnST<)bU)1{}Qsb zYM%%~w_BI)>>H}MAEp?6%2iL?H7{ds5nQJVLQr|59=<>G-mbcx`8l*HIrr**K=HuZ z?Je~8CWFL}?fQM%A6gNfn-gSR3$%pim;sY?blzV%iEtLl!)&vYJDma;oZSJu)iXZJ zVD{f))Ha1;>G77q@_G*+QlH3ExsQy z(x0@;d=p-Y0CS17%dEuR3PgdIOgag#d9cle-d&_g*&MbeNJusvU!hoI-$t_zUzfF( zdONf4jHu??NM!D#a=Y~s*Oltk3O(AwF_E?mp8G1F41Y;xbR0Z=WLGxJpExb>s`3NO zI6OV^=tmL!Itckh;?+Yw7E_q7L@4wXhnB0NxH9pMmIDHu)Tf%8Z{G2v6lSW@7Q14h zE=U}kyoibR5>Oj4g_3q=G)DqMddD3 z|BTMUZ{mBGrDpwaB3;w>YDbf8qJzl-qo<$EzAl2#&KuBqCX`VrcP3fd82n!DdNJag z{BQ$@%%{_MrT(=|b(G6zvUj|E;EUJzNrkgN9J$k)=6i7+otj!^Ny1|ju969cO<$<% zFC=`-Itv+H+MD-Zq!Dct_MtY1l`z~mM8}YK%?C#z!m~CyIMjMH9@}uHA~W9E`1clF zQhOxB|9PZew*?~qgj`ZPuiM^O`uBeM=cy&--*F59#xSI%o&6VKp(EZ|lA+yQ{iT;L zB-qpMN+jJjC6S&@gw|<`R%wgATVu%5?~K)I&TVd+Zqtv{y{ntMJP6WmX?`>BoN(-% zgp_{&@~;=FMx7UHl=eubNQrW!y0(BNW#6Z(;i-3v&0 zcz1wK$g)WWG{#UMK!(Bl>q#igLh~qi$g>MDtN|JY@aQ)ZoxRtK&GPB~l&!vi`jBQ2>1B;oM@V9s^6H+b6P5AEX)g zxUq>zi{1^+9Z&^f{ti}W-~I}s?q(u%zzoltWL7_Nd#jS}-`{vM(rBY|6mm0saO2ea zhneyRDL3!PHN-4TtA>I=tXPyW`y|BI!=e3%3f`Y4u{shzCi5JaXP7sR#5asmWbwHH z^))Jz+6bQb8BE+oBbx!t7k>TF`m<<63*wz`ZP=N_+LBgi#sJe@!@2S6?U2fewvbnM zBh58zEF>;myq{{tO#w!dSvIYPe z>U`qnv@w9q@$!dfts8(*V~?#1_`>4}~N=O?h0{%E}cPx{`u zK&=I#l6|(;+A!=Qi(D641bFHu)l45R!~L>;Lm{kH`e8!&ObhzKxWCFv;f%G3uX2C5 zL5O$UxzXx4I(T_n0bawFT{@;F@R|&>dQ@)Q(03|itMqsDzu2WG0-yNG_cWwcbH9Bh zi^~lS({*_IH=ZtGUn%qbI<5BJ2XY1%(g{A?`^%y2C1;O(3o^c3!S#xxeKR*~ud z1VT2t0>Qlg8Jj6d#vGcZQ)XyO5x+NKgd_g>flL{w>)!gD4Vd*hQ;V^L* z#amm9!FzF;M|=*|B&V+7-$%JSNB_A?6I#aokUU>WJt}(KW2m!snt+Q`e(OB!LXmdkcYT10r-AE(XT3M-xXQDE8jQZVUf`>@Z*F1``Z$8(<*hlRioT*(-oRo`lu7= zU0K2(Ub?%zcR`nj883=_vEZjOs7qc!^VV<4*MrB<+;j2%ZPj4GSo&1z2eo7xSs@+u@>vcG!9! z4yNtT3`pCy2j$qT_8GL4tpuZNNrjH5Y@Eu3Ln6-0T9A45a< z^Qe@TYkt>?E0v`@Q<4emjmSCrec^d`1Opb+I>tj7FhS`d`Xc}gAyS+}P!BJ!V;ul= z{^|+OIs?c@^05jsxKF8aT|tY%)c4VYLTlyc&2yTg<~7%e!!p59yd=ZJ)ahiE(z^bIhnmS0=BBvS2#HcgBoDoLn}f7r5lLeH zr2rn5v*!!wEc*t4g1&wQ;4%OylmLhY*4KyXHGL^>p>R6V*cbxM|Fn40;BCM{l?VoR zH92@JB6s~8@utY5OWc~pk(&uiC^xp&MX6UbhswVj+VD@f9Qg$z?6k43$eUcx$y6 zBjd}q+M4?xiSGAo_jA{2x4XUb^Aqolg`P4^R_QF+FL-y(L8&@2@Y9Yg1ZK1 zaVNM0ch`mB?yv#E;_eOsLa+cqLU4k+yF;)9hd}Ue!gK%EUOD$Y-#N8aT~$3Zn`JW7 zU0wBS!aI7Et|=hnTYp%UJ=u23*q};!+#r2LJJ zs@lID3fu5{gw9!+oR|WCFk5u;zjaI#PG@F7cl*zTsVKP>gtnMK#Z$vGZ+z@JOF`Yq z*}<0w3hjL~XXwdG9C-^VM?<%7RTEiluLU%{N(?Mq;bK=;_N(kCrAp;ZZ<`?0bY`z^ zRlAaqIFoStrXw-O3Is>Q*(q|5Q1s1m%%$UNAueFKkEw|>zl={EV$f6bpyrR0TUAx=4e{b)eTx|5}IpYZZ zv*JT>gYDGZNsj!OTPep<{6%XNR&?OLGx|yX+RX=8DG`;_8p@%mqR@!$D_?MAwj`Px*X=_r3a|Pp2S`1!=4J=&~478{h3LeDdy>x5q-?DeYp`iv?O2PSygP?d)3P= zJckZu#4f_PmixX?LC|i?%Z%uwdbiw)d~8fJrJn4Ec{K5>@PlAR>9d8$H^RzDuO1i;eL;!B1oP$j z%59SB`(2M*yL8NwA9y5A{nR5?)aB|1VwG)4GQe84o4+!U!i_`F)3BqW8nVXjtx?@z zZe+XM+^r7OEjWsIe2I6DVBuq`?gh#gqH9>%M8Jt~ia_+OMmrrC3AO_x!T3Lnmt1Y< z@K9d@sdUrBqmYoy0%*xWVPs~(v}k5IQ7TGpUZlea6&+nLfgEz4LT|}rXG!8I6H6S? zESK#lNCc^}1NblglmtQs`JtmaHar^mdkS6_WTw;+;COVr(ou8yN+e0Zt7y#POJH9w zuP0$p^c`%Uhu(;KK=7sV4Ule#m3CItOyb=PPx z@GD*}bT;9URVdc{BRa)}gV$N>n>IAJ7gVe<+Ue?)@2hDcIK!AXhWdHJZ1X{1!o%Nl zcNDKAQ(b1F_8H}1@y5S<-~4B7MY`B@Zba#Tnylmtd5zJej?!+JfI3T_-Q>|duSlP) z)z>+wz!Bs8$37_$g*WPjDP_%8aizEFHCABYfw5O;J_JGXomu%}P;su;-0eGFPTbnd z^pGXIMF70mqAh=tf3*SrL56Bqjnd!*;==HzzTwP*WWOWb36KJdUv@c>jmfM{0;+Do zfm#U!m@I|a-kHrJC<2pPw`_SjF251}DX5$qE%iiS-Nl0(?a$~0PQ64T1+z*HKZU{@ zX*lAf5{{6s3{@6D#n8PYLOEV1kTO<%GjO^SMy<<(U6F~R{RW{t?1}p}MyOxzG&b8du2 zASiwhVg^qWBX4v|h;RQLZ(R=-u8PR6dfIL|nm2@c7jvqXec zD~7TR0*QZ~>1NOf-6`Pte?bgcLbL4~+VVM=GO5MfPO6PMrO67t0*%*}7tD`*XSA|@ z$+MId>f)&eo)1zxglvd3|Jl=WG3ktUP~9Zf`d~b4dE7l6)bI``gp6Ru5l_05XU&E$ zhYjhLtZb#DiO|-GuZs;yy~nX)<=k#;Drz;qd1sHDHA-@2uX*<-mygYJisPmW{21n^ z9e(KW8JIfH|30;OSUI58@JwuH6B@`HQoalOl4QSWB5KL7V99VDpJSQyL@8;$Ehw*` z|JX=vk&7W0qc@Z&idOd(VWgMBugU1IN2%wqz~OS5lEbQ1d17|osFfcKyX7e&`4^oVq3D zk0yJL*t8ziL#pJ@pr&1^Hz_Uw--%8d!oG`on6Zc`c&;i{egbKnre=gV-lb&r_525)4GM zPMX<27v-sxRUgjHEI5l7M=zm>Wu}&%|Kowp8_4#(7gKuP=H|pZym~@v{vU2bZLf*V zOHOUi{luaFi2o};DDcFnd43>j0Y8HQPS=a%pXvcovjWpSImHHRq*M0q1bVeZG#CTs71)q02xZpGuwkggb@`k@V z$aGWuAI{-I`H3G3!-X>af6CWYp+em4+`bhjq#i!~X881m&1pmc7}DLMzKFI`ZoU1v z-2Gh}qyJ}iTZ*4i!TV9)3)s;T-4IX_gU-{bVVs)tbGA0YBZi4-HYbwKWr zVnSLwAe`Q}rtH<7v!ay*7+XF0?A04Uelt2U7>4d2gx)R!zF?@M1y}_x8{~%ye{On~DJxk2~53 z?Pu>AW!J%Q>DLO$5I_lQd07l`bn7oD=uV)?FeK$R@tLJ6L1tz#XQwu_PlKFwR)gb; zsb)by8R?FQAW%lg*aNbI`3Diso>4i$9j1A;q+yScn8K|*10n`U=_doYKHHLf9SCml zN*whUB?yACNjmmjb(zCsZ^Ze=;rbiSidrx|`nL4ookej-c_zw+gfJlt<1 zG`B%*@`DlT;}@qNE>bx?3$9n<8^e-}ThRV<&6nu!Xnu@blCa}I;gT1bu?CLx{tnAn zrsHb6!auFU01F&*wG~OL4_{utVM|yLww@OHEXU9d?5~8*oG_XREE@7pb%7MS!6L)m z#x9;dC$Yo%-LFo9w9uO-+8e8~aQ`f`+O)G$Wa2tQruQ-2;6{g08@saKfuCCZJZXGg zD3oc!T_|`^Qrq3?vY?j}6t)amiy3|<1)lN3Tk5jb;CCI3$+j?}XvICCcmwJ^IpTmJmukHTyMD&#GD_3fwG3xtl3KFhgI3*59VUS3)(UkA zyT(O6XV94HG6YSJ`~K=`i492-n+oQZlmgj>?_@&5%^V6ZhsWL8sKu*%;>L`K2$pZp z$?Z}t;)L(B6+5t5fZ^koXj5t)(Q>DP`tZ7_4)6$DexaCl1r8B{h{R@P0O{EU99=m3 zFhg_aU(813V;}|huz<}!H6a4+Qef!1f@?P${wN*GiRH2&z>`%grS88NiQ6AuS?CBdS)*~^ix<+BDpdBkw>N+^9Qwx;iS)< zhW!?Bn%(`Roi0+Wc2E60=DL=!d;Rblm!#Xcw`6Td>Rfs)wW*B-cVuptYvLwBiQ7bQ zZXj($>gAFTt~^tNTXohaC3mbphT~S?322X}u{Ul~1Y3jKu>XRRJ7@Bjj6HMR4?u6p zLkIdMU1#o(H0eb$%JglD8}ohtbdTexHk7xdpHV-eUGRS#N$7>i&P= zC0kc33QBjzQ2Vrg^>ay{G|`Pg^>Yj9G`%dB%On|_8G+g(=aFzC8Il`AY|e1JqgJ5` zNR?8F?fG0z*+`y{)~(pXlGDRQd;1b+6&q8RwVBM7WS@A3gmqV+ctk|9VRU{`xV$x3 zF#IvD;c7p{O6RlC?hT&Ps&m$3l0b{MiUT*X!*f<$QDVZ}g)R|JQyWUTycI1au5a2E z{C+ws8X~?T@|hDWAirkRo4Tb`v*LxtFG--BF{mKqDoB zef&r&LapcD?Gg^G>VE-&8$&<;q$e@R`Z@<>g?J`G$M2_vC^t3z(efRXP zi0HAmz~0uuNPYK$5ObMi!a7}(#$cGj`&pF~luD;mlo<9IluC7)I)#|=S3IxFHJ)0F zbk7SE;a+>;U^UTFx-tWv+uDzc`B9j15NB&1amX6PwX{%CB|75~%3il$9a+mY&f3k~W$k z%nNR`;dS@`ug?Mdu7@v1Fu?W+Y$VhTe#$!N&pWZ-O~0fx`SMXreY>trXPY|!dg(KZ zQK{}naz%WUhQuF>*T2r_Tw&5=P9~CetCdxQ0E5$!zs{{G9 zgRxoROO?F@u|f!hmiM0__^$3NBb#%eaUTtP-n*unBVDskQ`Z^^d0yQyrkzri#j1+w zkQMCkZAm_!Ts>Y&u0J%j8buOMA9NFZX$@|G_#rxsr1j9DQV`9toK_ulw^ti>l8Ur^ z&RA%|OJAgc(o(C65@CB+{Skk~E{zZ=g%F90 z&4`1|s4SH)Cza2S9Yl>Cv(zH+>f|6OeKCe7%LmnV;rC(p{l zj5|QqI#X6UQ_!6Mljq4XvT@>7!9)ZBxIM z8%A`5nw_JTmZRq7DP!a*v$8ovs@H_lP~?@z*5CvU_GhR5 zbK(1vIrgeoG>{I+{Gf10U#jdxwxpi7NPp3FvVVHX z2;olz20Pa8A$f$OY{s-B_Em{0hFCcdh7G!YJzewH+Cy0#%dA5UVL?QSsScPS#r6&J zXY`HIS*#8ALXarE237%p%cyUf9oX00|N8k?EM@VNVQ%4Jh7@T(xHfnZA?>npby0c| zO?q>N7*yM|q_2E^i`aCGg|h4^SJ(kM*o@%KTW~N@X{5V+Ao-BSuwY_-yYG`W#)wJ= z9UH#NU!5{{uGLXhvFB_|WBH^LR?DTbfczWlW!G=1ReH`wk|cf0hB@3zGStr{B53U` zBH;1Ej17&i)@@#Fr*RLHhZz!%V<1;QNc<8w#O+B)VrOA6#Lc#bdPfda-zs-M3sR?c z{z{!24+b8aH|z}twZ;h9ea(J0uS61VmDcWyO3p7_H3FO+%P;{EpY=23Td62N-wd+b zziE7UeQEJbsN~S!^8}svo6A3le$bDJdj;bxRTjv`A^5%dnvBzheX?3aZCA=~SK6=t zJ^DF>f5^R@xu#WrFHo1#;I(50y6cd=stO*&s)~$}vSZjpr5&2LpS6n{mnJ;RNN(r; zKj6@R?_?vQExZIFy6GQXDd51V&E<-D3BKSkmJ2J#<15XJ%$nvCL->&f$Ds8unnBIh z1n3$|bz4&0<2$`pF!Kzc&^fE-U_RFpMbO-p6i>l?E_B}XQCC*`H*e4R)U(S$4mp7` zO}FyV|A)P^e58JK-;or?A$~hTm9uRsL+gZ&|dSK{EDO7`s z&hc`(wD_+St=C8^`7TSSn{XmuG-yq18?*3o_$R~QRk2u_*{aEn`{a))c{kA-?MZHj(FItLghY_!^O^W=l$3Ln!C1cdHepRt}{OkHlx&V8a=N zZ)LbY%5Z+1t(Lu#`1})4|78J;W%T`jyVgsvk;_9GbDfpbCw#xF5^_RC?kB&o{4h*a z5lGv9v-_pxharIrTNHnqboS8Z6Q>@v!ruMD|KBqIdpg_Rb2{vl5cau5r{D!+{pHcg10-Gv^dFr1sUtK%_gv3`X;ACz&XgUV*bK zqo7_KWWB&SGJebEG{+v}NMD#GX61gKCG)k-(!G`ty22vE7d0^wJ27GosDCw~|75WE zYdd%{OWN+@7t9}4N?Ok|cXjMR%1jkO&?wstoDdqjj1Q9Cl=O)?d#b5>s-7Pt^*%^0 zL||9}#2}bVCz$-dcWg7#%0oH3h(4fTb7mi^NQGHj##{BL zX4j`RYsBfbFayAGh$)3N(hyZLfyeYbqJdXEDtg6#K57XXe^F3_R;c5ZqUzWZo8%e18m@yJVg8LNa$wrcA{ocL+SD&b$lQ53MJYUuffC`t<@{ zeSguHR#RfwGzo}BnI@&#fTckVhN$@X-~*9YuYeGRni^*a!IrkBT>kDvSqyZ<6wiFF z(sB9KUXyQFaeBbv8-e8W*nge^QVuhcdyGm2hP>tGww!vrJayVfl9da zL#iZ6s^qP9`by=*9d!^nbV|VtNkyeRb|I}|-zhHPrJ&41(7ZkL)$)a-`{mjr0CpoN zvnol^?sp_PHdnL!TDCBv(zY0XD^04`<*>Y)_#xJ8>z%hF&Djr95SuIBH-6cKC{ixA zQ8i21K|8fr>OxI1-)3s!OGelGA8J3YK9pUDp_Toju}XnGSMZu)2um6HlYTM2P_=fVy8#bDLJi+n?*$4e>56o-{^9z z&IllTzKD&rh#Fq^=DujD%3ZRp>?xhqx3AYWMj!U9pY3ZiE~{s2D6ba{*R(SN-DtI! z&kA1g#9ZE(%)w89N1+7RQuH9A9rWlPGlkX zH?xXEO$aSk-`kR2m&LbEXVgp(ER*2bc=7BqvrTSrxDU@OMVaWL1Bo-v+m&;jSS07ZJ^GC`p zH_ne*6p}>YaF?d`L~o1*yINrT1{aKDS~qWofsGv6Ft5aaT0clv{o`b=8{6a?xt4D+Sl0R;+LKX@LGB%o^lX0B$xAib%{+KsD!iO5bXGAzRZPGil z=#*Q2>uqB1R5nl*^;S4$%9~9KU0w3|Bsle+?37?HTB0c|V#xf0Yi{(d9*BXqy~4x;!~qC$!CqlWqx|c-Sc_+NP}3Mv z0iy29iu~qa1naAi2=>F)?zF9x?lf2gyN(`~vOg7UaDP`aJLc?QsRa0B`CPIWFYw9N zIweBZXh+%v-d?_$JTP$af25YZW147-GY@lMD6c{c9ry{8c(zG1@Y8Og^-6C$4Bp4> zT0HikPA{c`jI-JTKaQLeUPv1{5JfGcesY~e)UcPmlxp}}Mz3#py|@4bfvl5hwtmem zVypV_v-Nl7qTerr8NXjOS5r%+zD^>s-EXQzv4E{5NtfYat#e~j{7b(|2ePOy>Fgq8 zXY@?gpL2FC^!i&v8eLCtg~9Q!VjqpVAK5mcFSnpC`<@z4{J$tKPursa0(zXb3zX*o z`^P0oV@A)WOfPrq&3gP++>*veSuA;lRmaDS)uQ<>U;cS8Jt9gb9;J=)Q%m22devDR z>TT~HPBAdYYn5`RVZNTD)hAZDnWz2ly!T1n%F{koDgOg4nfWXJgPR>ePKs?sM(9JS zK1p}AnevM57q)r%KZb=kD8BK+7#x?^q5Tt?ghta)Rd3@wijP`GCal{7($sZ(jq;`q ziMwz3O#WiGim%U-&ri6Nr|+(7;pDn|#Dy;N&)JBJV&({Vn;;`d!0qQtAn-wC4~_kW zNK+GzyJL&9XcIdCo9Zer$+V6H*X0tw!3L9R4R+AL!0%bPx)wZ#^|!Xn7-G8fpwdhJ z(qj*?s5k6l%cygosl+_3Dze^J3ZVNbjL7qkRSKb#sOuD62!Evq+snl`t)Z8%5?>}~ zR`JVo{_T-Zkbz!mXa4DG7&Z-^7d@8)-ecqYeJN}2?|+K8uEoWl@#rf;a31I&VEB-N0_K3y=oCG3KxZa#X`0xi(9O zM+KttoqNo(yxYdaBJw!Sz`Gy61j={C9!%ocpjGj4)7G62qA4@fbgQBXW?P|gTaJaf zKLhetIg)+({lDT^L3xW5i05G2GzV+cyhV$R+s(VR6~(6Ws+f(9+nt+@RTd=g4fS)}Am{{4Z}aV(hV(%h}K;8>a?m6YVvd zNxq8PLgq(cWP0%mf&p*Hy`w3Ni>(M9C!>X^yJRS)EykuqH zUlE;2m$Ke#Bpb6z8A*z}%}i zR~f^R?%phyZa?K+zvl?6WT{k0$5i#b2Z4E|YjWc39aA(C5k)svUjS6w0fETAhHpvJ z_m)?K6}JQ7&VH{D^#i_G`*>aw=7z3G6k;0$K%vGd@m_x7mo{STK=rZ>dxQ0nM8~;l z!`wi~dKqoQ8hj#`eY?-}2eVt&kEa< zmcD_Uq@g89{SZ7hSmySD7snchf0V=^NA_Er2@XRZU~lWopUr*G9v%{TL<|J0%zMLz zp@Tto-m%;Fqoi?bEeh|yHhC~}Ci`Aaw}3h8s)a-4NPB z88;D~b8REgS{bhP3-GjtbwqEWKFEVy4oU=b!mqO-Y}5Mt?FPH9a0k*V-a<+4(5|Z< z4^P`4?~lzfpx0^bLKF|ue}*hx@TPVY)_Xr*+nWtO+{ofQ$n8?>i`~olJ#2;97ehrJ zSk{*LTpy!?A2;=2Kls}5MSaO%`*?2W$Q_z&eHHi|N5Q)7f47G=FDy}};@lSq1rc+7 z+hJ(5AV)IdDu@8(Qzg09I8Zh1lvBiEV_v0<17=pS&H$$@i8Liq3d+^BOAuU!J5-(< zWpNQ(H6(vbDAjiS{$M+n1-r4YO5AWD-;cZkl@y*r&ObCXGts^(suc};G4L+m|qZMtwgn_9299n zmj_^KU6RwQIC&P5$+a(3oOe}u_Wc?r?&F9SdY+Rn_e+u3JZaV&<90& zZJ{)IOjHtRaShzmFp2TIUhhwsiQk_2YQAr%+_#tI8oTCzHL!|*CFA7^Z>Zd8z}j#N zNJ_aFy`&b-xh2i}>=SS_o68xz%l9o|=Su9c&m?g19W}YT)Q^{;fup zZC1p473l4f7n-0L{GsL=rI;k%&PTGLTy>_{MzE#?w4c?a9Z@|aFsCTL$JH~z{4%+$ z&y8}9`5jd3(XJVdyxsweg+!gsn9qKhzVi!}M+&X&lCS|xed&)rjP(dT+-m;zepAmg zPJD$O9+yx)QwUa<6&_E{fO;kDT~%e%w0>^b`W$V!5T7|mKz6kNKU6K-$Cr}u5mnb$*k2*8 z&tjS7DwW`IYY8uw^p+(W4`S@4Y(^l1<^?srD%^D}f(=IGOztKMbr@u?wY8A_HF z}exN<>Hhxj$OilU!xXb?6Mq?h5l2QW9jx0hufT;sSRIkh%TBO zDZ075eKp}=opO`j;M+y;`UslB$O~j(vMgz9+#l9z4ZKDNZ8!KSiEwH`__0t10JOu$ ztihn`S{knPW6F1s%rkA$M0UNQ_AAFQS1kg6je@{O&#>ZlM+YK^k;C*SGXh$~Qt2j| znXl7Y_PD>x%nEzT&v1Wgbyb@fRPChiIIXbu7Tt3lFx9j5{_-OJW(8dLz5y01|^ePe2? z%mNrR-WWUvWe@K;VNVSJcvyV%&1~gLx(qfVH zcrs6LRbMj@R*sYqRPv&XG@*S`@qRYjz065BZK2zveVWq(RxcaYN(P)WZExOj1Xhm!LlEELk<6cyz+aNk23C;b~Xpod&A4zneYq zySx8x{xxmk11b&F$NC)Sv!hqfzydx4KxbAFL3~+^+A;^1k+_H1K^=bCs=ENv>9huL z`(in@JR-FwGTO?6@^b4iImayrCM+rIAsrKu{XK{tJStXV|V#$FJ;X)@t#vxHJw2h`SMZLXLNXR3QHits2_+0YQ zaAm<@S9aoB%{>q+hk`7JLV6c@@;Xfxr0$K%C}pl{Zk!n*DqMRn)JP%@$C)G7jA_2^ zCu@C$ZFPm6vm%=eV9I(@OdN=Q0B9jV>WP3^Cbs1jcJ_*F{EDozCxt}YK_XtQpEmT9 z2rWnRMv_q6pWGZ#<1bTc2k!0%?s!6EWI|*;%CddRvNcl^HBV+w^5&jD(Tryv`Kdw1 zG3?#4aBrJVsNU$T3MpOOrx1Pp_~OHU@QnL5-kX=CZ(de>WG>lS37)=F$IGsgm1rYJ z?0@+z*ol0b3~R}WJkaPh(B4;qnlnoKyzJJt+m<>Ev6N*Vl+U2-zMMLj<60t)EKYZD z=wszt%1pW)J(%r~;O2<#`2E%9^ck>KpG6-rLN$`x3V$jh#A@H7Pa)Kycy^E~N*1&! z2dquD=Jq4Occz>L)lzmbuPE-I_bP;9Zm^~1>;ia6nTYQcKe~a66lpO3ohBA5; zO}PdRJ{O_szlvT53}+%V{D8rKEFaqn#=5!80c-eQa%3H2Wd z@e7zpxVG6mh2cd6|AyfQADJ0$pxbxJk5{V_9mmJ|h7TqJm5*Oh{y1lcYPk_fwZe%yixTsi=TXBS62z(Jz^HrwaJZ2HE8_<=wzm6q&tVPfD5htdrG zosXI!wT9`*oYC2Z#f^1JbaN?e6(pNU{v4jOT|p)Y>L_SOQ={x!e`Xe1A^ln2Obh@ft+`&eeNRClvTlqEu6~LFXrMH)if{7GwDk!WS#h97girXO~_dz)l7e_w> zKSHAfEar6ZeExYf0@(g^R|TPXil7E+8|B^dRr-M40lV4$FJUfFds;`$TSa;E2OVPM zF;>0vE_ugVBQ(F-`3ZZWU_Q(`WCLnW8yZF$aX5Qo0grRQr!eO z0m(lrAE%CIA%EKJ1Vt{PH$=I+*0OLo95A_Ry6hK_`6oQQJo2uS;Wo>WyT({b!!i3Y z`vZmmUlRnK@FzUH3)~5FFS{^*YQZ146B|z=;fkK@EMm`D6#Ku4yNK|9w3S`C(~p>8L^;IW)k!= z(VVyFGb>kpzrCE%(hi2_Vs#Z6;V;t?bed9!65vJKsZ7FKv5()eM+m^-vHq+vApDDl z*E__4;_LyS;BD1^$2G!~?((iYk(!g7;4o&kocOJJVa{0m{H&*1S_BG!;a#qhN4BBF z29rk`gJijdXlya1Z)+_urQM>2Dfwp(3-(sdu}6Pis&b3ogCafdZ9-_ig_B42>U|4b z0>pyR{gmWHqxx*p&jK4mkCu<^zhyDcWaCt>3lBx07ybhjBHe$6in0YhLDOTKJRK>%F zAxBq<>>3afrUl-3Lf^U|Z~P}E1y|4GH=&B;lT_rB8{TqZ0Buo`ZBmiN zhPj7_x%2-|9E|lXVO`{C=B3vKC-GwuvR*|$a2E=Co)f_T^0sk#*Hdk>Mu1F6;i1qO zC%6sY^IZRx#Y(#l`8u$~LhI-!F|1u7Oeadvxt>r!901I6DX7USIz zOCB(JL?26!LF?MdfNbD87b`@qza;jx=o<+DIghu0#k{MY`ktcu!}CT)2#xP%n{d0zl^-6YKIYoW0~eb-sYW&eMJ2p`5c&TD0Z6te%>W> za?K?{+heb#8VzLs2%VTz%LJJ#UaI63i>yCI1CRg*Cl5fnAyew(<5*Y1uqb|;=w%uVDscV!_Xn~)PeJ+ zyrM(VQ&Gp@yI5FMnX*j0_{lO8(<-ZrL49yY; za;Ei1?seeY&7&4f&XzT?1xwnZ7sg9zmhUARE{!i;gjgclF_ za1-57Q(EUNyLrNiZ`z$xoFIQfe_YU#4-zKa0?M%G=y!`1WbjyDg-rb;%pValPrS{H z)s-vR3x`Ge{A?^dNY-1`5rY!|hpG=N)b3-C!1VH;4D$om#haXMV4QAX^o0p^hY68V z9AQx$Ir}$C`Zsm~*8l8){vSMXTYIxx9j9FO-Znb#Zr|lMo2~cN;BlU8VVtFf2kCfp z=soYMzKSof1)!+)Fa6rUZe!{f0UfzMaGQ!iGhk3!1G@e;2fF5`i9KR2JT-Qjn#MJ2Jo>jrg^R~M4fh|X9$^l*BkIEq_d5g`5@%MLw=u0I zS_IR9Kq{ko`^zqZnLzf2Lq07R4v>M=3r>}xp4;f1H~ovluP_1(!moeDdGs(9gb34V zlL7d8iX=Gwu=l|I%~#GQY%dqk1MB#9w^Rn@=cUypIopd}j5oEH!y>`yh9T5Yb6)zNdq0 zs3h#=od0z;3rV!yQ^E&=!;*-VZWi+1?-wx&gYltIC+25|XStM7$& z-XUH31~wN&V!;l{I21YI$LMTGpBc22tILr-^9$6Wjpy$GUT7}QK6#H9yT0a2!EMqA zDo{j(9cjAlsgG=sx3ZY@%l#}9cz#ILN%X*kE%{xJv&K)HAh)M4ckSRt``3i>F3+Cs+#`K`#ZM>7Qv zGxgiFyzVO5))tz!nmw*ZXUW8>hrAl5u17rwy&5#`r+UWEHBG!YFN9~DU_?!=O20dY zP_3PJUr{^d5)O~=`&$cb3oXg79fU(S2CtbF$1qT~;YkCjUNtp#)b{|O#tM4Aa5 zBa*>WD&D(np3!s-DbSZl<-Z_+qsrd}MWoh$p~b#V8)V^=s7in?I6B-$-#YzSbW22* z1*WzB66PlX`|fS*LDQMfcMb6C8h_UH^HnwPmODnBAA)jMONpn8f!OcTC|@hC|Mi06 z?k(l;ps)F^!Pd7cLc0r__SYcmFi+9$OOVA{!n(qBgUppg-{fscY%x3go%h@eG2^rE zn5W;Hw?e&6zhgrCUL5znINtoLG<$EX0Q67ef8k+Up%lF@#JZn|l>H8i{m!}NM6%`N z$a}-+f5+L3z4?s!D^o-bLO>Y;IzP&ByRx(63~3*CF&HV>ui1?J(~kZ?{}KO>{73&m zLi$>-O$!L#V9JD1;WeLCs>(|8^^RKl<3--%Gr_Ps?6|7kW}LA1~~}jvzsf*(|7m53>Is`!P6#!LH-0VxF5~yH z*v6?QbdN&HE)%cxt@__fH}e3HS@$te5uj_-#+08^N^&;af}V!nY%;-zK7u*>#n%_j zyLMVORKET<(%FJS(mxOIN(fzm)A)F1T>sinlXr1%YE2!Z)86&!5;!gH{>dWm(jy?ga22@(@|14boobTVEQcgpB1Q_xrZlCd98fKC~zEIji6A(j2@sT z0SeP+et8#Z;J73_9oqk<04L#;cL{~#nM?0t9G-6QAC%RK*Du;D(J}Pdwa4}w1w|c9 z_Gy`qSAD_AxDO;+yz-x>P}Us@E_L?wB~aRSo9~w4{$aBPmFOHMMzXR|8|3JhWx8=F zCWtJJOPi3I2{JoA{RIa^p4st-tEF$$-Ea@S<$fzgJagE=wPx*Q-rbWQtgbTRB{bj$ zDQu-us9ale$y~ejGPc@9Pb&5@MsNmqhlhcjC`~jjzu!5MRGSGT^}J`8fOD4F4U@z(CX8!Fx*mw+}^)-uvV~Rs?QqKd;s2uSEf5L3NSyI9YofrjrW+MDjJQ z^=mpPBDr)BgKQ9k+WLgr`T*kzEt+ryoXUreBtTPJm&fyV#q-8N%q2z4mHLl<6O1Q} zXu^?jaj|f5A36#PI|><3emos3@N{_W(-{golAdfth`Eu4zLB5Et;e3**^)0SB`e5+ z@O)fjduaur#7WtOobo_(*G4I^kaIwQIO&It(qEq+_YUV(BF;C$3sGBNx{A!-(`p(# zE{M5y_3{~9$@+a}E;(HAlDJ~7!jR1Pi&WI>2lwGcHQGO^fjL}q7XYGQdOSiiRoBVa z>~p%e(8t`lFxtf-><}X**GpIVOV@#gA-aVjD4jKXnk9Q$cB^4xtKmPMzs`g0#M(5) zFKLRS#%!I&jXtGYHN(`ZTleXMhOZj5c$uKs z2Gay}uBuI8A@?TXi%6O>^D(f}VeAt1!kC*|o7PAM!Lo(ZBO97O6qkN@Rkp4r1(TRn z2_2J%0h;DyOt&gpU6k4UW~EWM_@!!(R}N7u+&;Rw0dhjJS-hEkjxPuPS$LJo^vutm z=3R}nNfaP&p{)F6*R19-I}|43#W9DKD$AOF zU7^g{ZEH)VEih!f9j)y#YNI7ksFefO*8*C*(b_3s{Skq}nIF;GZnjjsrJ?iLz=1%k zgupyWKYRo*6cL#J%LUedGit+=wtRy^2(8BgPHzA4Kb*jE%Vogjv-q_Rlazt1xl%#n zYgJ%p(V@Q1mj#7y-jJ4GzAkeq*4(-&)!e#jz=U@Hp?EwuF==x9IG6+0I?85p-Bt29 z$}V-?1>Ky_p_AUG&63gfd~^BO-^yM8Ni#)A=fvEkYaJ;m^6C!C84`GSuZA(_Ks{bg zlXvFNoTlZFH;d0SpqiMhPSMTwPIDlX2Qm@B_7n1A zpA!S4IpMn6)=N2AGZ*9vvxTIULamSnQs+OIffrn%j2=kY=S!@#thT=|pjJ{wO6s5%+1vUbpp!ZfnP2rkdeuo}`bU=vn-tZZ7Eg z+zdO}m`tqoZSt1hn!9k9qOmn@Rqg-B*;~L>)olIa3J53%rMnveX{19^P>_Su?ZG*?XUvwb!igcY?>Y z+P17C#wT01zAQSw+!y@3V>&ggSc3+w>s*G#wxooQe$v|`L2Oy0?U>I<`J2NfiT45fWe8NFs8yl)t87=KpM!G z^D!d;`2Br^PsqEXYP@X7?jXKRcYyyy?oZLzz#TD5+qJzbP)JP2fmCu3<<*sP6;^$! z|7QN8dAjb4Ix+@#1+x}4Rc1EnBo$n8Q*h-+73LEpmu!)sO43+g%vV3dzj4I9zQ~b5 z%WE$d64jU%9j}1o`}XIiPUgTQP2>Fi99E$@vL>9|RaSn{SFuYjefzGidx@08WOHAA z_k{0~=g$7{cz@AopWYUppjfG2sumI*4ZEdAxT9h&Dr9bZzd=F4B{L2XNeH) zsOJS~0QXsB^Xt=VFxdv;yB;AQr%z6V7>u@Bl7W5$RW4%keCa-S#qW_0J`!Nc3HWtf zl^IV&)gDNeJPbFYtHcD5zePaUVKi}mc%Pr@w;$t)j8XAtt?Psw;N%f7sNu?=NZI8wlnpGs^t;h1V_#(xhDa!l3nG_91|z znFkRUvM1S?U7a0#2~SD5&O~L3RD$SM_7_Ezq8qL4t}?ExkD5Mq7|gv-qt)YemCTT- zrlWBzVC<2tIe49Mhgrtqz2=_H)g^niTKJOV`*R(2?JrdEQUxcUImVRtx^D+A*rk>3 z)$o+EuOrK^I3`fmdy3^UBsbxAtA9JNEv@=YW!_tTZbT#>kHooR1skAU#&?GzX!SO% zD`y@?OcEYdNQCwVs(ropx@xeRn1L_nyLwb?PEfeANI?bg1CN@VMWC3Oi*oke4{Lee zKit1yQdg;O%b1LQQoD44jlf;Fu~+Rs&98gmG#YZGX|%8gzgs4J4@f?GpTREY_0`QC!pTFGhePn))A zeiIw={wYBdn?i#QVmOHPn!JhiVgc^ehd1uk77*ELJ$y!U^7+{L_)6=-yV6bM*k3%f z_vF)9l!+Q4E%PAY9c;s2H|3J4&^O&tUIGu4k-oM+OVmWw3y3!}0AAH`Gv`L$49=^) zQD3ChJj<;!h1p?v-M~v1X%Z9ttp5vLa{N|eSNrWr52wO=BiX!f@QcUkvCb!>4WZ5_ zSd#fmpW4ect*ggWr(96bPf}P>N((P3QF!@Eshy=*kWnGcWN!1SOX*%(`1PPj14UWCk z1L7fnGr!z#e*Fd=u1O%sy}{XxAE$DM49ASWVBi{hZl=Z#f-Y5h?W;f}M$K!BVhQVF z@gY-XCoLx@EhM(a&aox&-2@eRv}&HaNBs0<InIc_>yP#9<~+LchVx0zecU>?Vq? z^2J_qb9c6bA?jx$nM00j_dysD@siS*RGkWZ;_wYi5>Z48LU-!14;R_cJKx8%Gr*c) zf&jdYM8_oXGpgr+b+ITJ8XdrYUW&J~EH_IIz4~s!Pgio3PRfoO<4TbV+8zdFowo`UTkuM&@d8&jxiAmm(WIA<_tj`HHXD1 z@jViviVSjjTB3@MC{rwG=j(hG){el6=qZKeEbXID3Jg=c1h}l99(*O-I!={k9QM55 zqVfnjFkp0I*B@lHt0jya-@isX{Xn;+&-z5xJl2_AUgghGT+Z&Dr+ORIPiI2gepZh( zXj+rDpkbfFOKsG&LMHhJf6$b(yS;K|FFEZXQFmY`kYsjdCxC!Evq2N)S-dO#bgbbU z;UE{8x-RWO}4c31csNYic z*=4_4Zrc6xIApw_%+=kbJyj}*z%X>P16)>%lz>M5_sj;;V~rX|xQf@JSz zRpUJnOw3zQeO3a?tpbV85BAKPFfT5hKw4$Pz@&vwVCkb&>YKig@8n8_vV?)8xQ2Sv z1iwm(W%tyTQQ%_5;A;1`#IBajLcRVn!Cn)jKV?MsE8M*5@+y3Pyt-+qy{Q4O>#RC6 z?gA|p=X29x@tmmHKG7bwkB`$bdHCV@FPp;g?-YV-Us0zUR499Pk}pqLXI+X(5$P&l zMUgGOE`%8J>$^^1LUhZA@~Q+TYq)~O)f_FH7X4#yBgJ<(Ii9W3%&|If8RKPJzl;}A zeI@GdoTagpy=~;x#WhoT=Z4%41^J<+LtIk$$yiNu5chP$=HZ)X0*g$;W$7zhPXk*o zkA9y1Dn2C4>Hw3mslWM6L?b-6_o|>MSg^6C7nvpa{`9c$A14?z4OP# zIdnlg`ZL3P2|gdj?&m4JJIc??!-1mC+hn-tidHoC+suH`L9cA|mG~qM5-h&+qS=NU zkb(O0!gNqHdN{U4_*nuMm@)+bv~BYfyC#MegIov^6T_=$;L!@ZF+>U*Ey%$4R>&F( z;sPYb_$)yiMfWzsmN(Mz35uFX zwahki{Hw=YatWLq(4ifX$n@i5ciMA9MeB?_hB z(YXui8|?-Kq~lyqutgzeCttc-YO2KS9LYwivPhwG5~p%HQO0QrcKLEzMXIdjh)R_FR$ z<6nP@byKpPiG`=Nn&XqW!1x5n^M@O2@frICgHQd6j|zww9ga8CYk=N!LCu`gH_jQt zNrv5Zz`6MeblTu2Fb;9WO+5-Xomg~<0AY7_+*aU?^`ZI}-R_X1K3o%HlTI78n6c6# ztrZQMaj5K^{-c8-{>^ z-G@wDbhb#SrP_@95@E5OCUQZskj12Gw_xxync}vm6=CT++=`3ptMqA_NA$;A+@0zl z=C7=-s`;-EuBP)uGjfs>qh}R%&o<1C?8#M?wqDrO$TQMC>)_h95@GJS_4};ON#C@1 z*xM_yOLg&Ha-K1Z2k2aW)yGl=_m)xf7AP^uA<96{k!VI-RdXoHtd6KRvnCu#dgD6I(_%+QPA2z?OfXPPBl#FPw z0)}0o!yKUOSE)OR{<7Hl*$p3O@^ezxqsup+C(88BZflf+AV)Hfc6DoF`Q{{25SI$} zPJD{tJ@$BpdH{_8m3LZfe(_=&T}3gyaK3hCUgCavwzb)v8#XWx<|#^1YO&a*XU@>@ zj|JGRAlR&?Tco)ruKk0LrUqM2wE`Jd7A#qvc@p_!^Q6*;t3n+>|FVkF;CKvTKvidU zdms0_c(qok1oI-lk=2J>7DM? zL4jiN@q^6UB(vS}{LCy17o02$IbYr$sv3>|WJDCr=^JYLzt!`{b-~1O!Bkv-C^x~& zZ!}J2G(Hb<|64J^3lu<-O01$vtT_Hn4XQG1lcfI9*|!u){E_BAwV|7K{}RPNE&NOC zck9}ZMJ{dGiuN`J89@)pf`x&7)6-kse-Q!}NXeRONQaTCu!zm~e=5KEjpH0~ex30k ztfloE3^9v1w*-dDmv>Bi9=dku@?ad}t`BG9I`>OvYl1Kr3-!fF4=nEE9Q-YcokDV* zLj2TwRMdO(Z|nVm+;v9-!njOM_eY-nn;Q2|)&Hg5|Ds5o#sC0(i6^topxwXp;LHrm zLPiB>$%nsdkm;Fm{4&JCux<}R~B^idgmY4DoKqWCl--^U)pP+{rlhPF0Z=}`E9wf-=$LuwlZ*5 zCr~KV*HQ7%8FVU8MdBcEA-B`2?=uwge`)g5a1a00zqK4)x}d_j&E^H@;rc z3Y-{ER{%)(D3a13w8>~Zgm_4M2=Ni%XC}s5AE&F(PZF6cuQ@Bpg&{Lv6bg5c=Zrn$ zR~h{91zNOz>CmWgrQHT%rnfGA)vduRYroQdo#u0AUIp7%#+Q(4FFh#2kXjJe1Fq-gOHD{9QWVvLNlkOF4vrU zkn&H18m5<8&QP&vZ=6{Q z3_Q`dc}ys-nt=7lYcQaL)S}<{7aZbJp2(?7*ee<5Czb$pR5S9{+e|j$FG}cV_3G~= zPRkQZ@UVP6FDRg?eVz4mG#2Fbbfs73{>7EID4^NdO=J;1oB{Ln-6a&WN0HDwh0|ZM zp6OG(Nv@)a04TsJ!w@JuReMf~;GO^ZEbLM8FE11(l5glfXsaxW+SM zVILICV1kta>sDe+$HYTX?qQh4$}&{Q0r!b!Yzh-eru^>0W2Vy(%!J~sYM`=Gi$ zZE1KI&7UGtUv$qF>gv!|5Fy+D%~&7$wsCijhwDvaI{{5$Mg!fgul(OI12Zp_u&0*B6^WZ%T8I)KfmU|& zv#ZecxJps{WxK;lM3p4p;nfD_p9Vieo5999EMK0zV9LwK2 zs@OV84fWg_!+i9>sGg#p{kBtA*i~8HZHrys`{jyvePMgmw(uHneKWeRf zN_I2jS=nwwn>my4BPZXn-3;n>X;$0SBQ)tF zwnJKNd0!SCi!Lhz7xva5y(J8m3D6~ZV%}^<)7#E1F-ZX|WED6NOJZ(1CV4*V$Xj0? z((uQ$5kF0fe=w5hRx@>(EW1yXbZoQ1DFlY)X)1?* z`tTPHe`S8-zSDbVfm?TTlbW|*08frb3y2p#u8?i^EHD1RvmOdQ zy9K05YXlihH)P^N03iAuA5J8n#gedd?<+(;Q){i!Iiu=?9jZrtn0(rcuYFTf{iR$y zzDnOc%d#gaTGY>9cG?M94sboQ`_z%z==y#2&UlB5;iGDsXm{a|3HzoZ!Dh4+db1_* zv6`efKs<=h8#t4kcH^9WS4V-;O9-eSQf_F$2LUZO#8$PVP34>Z*hx95nTf~AHOWRJ z9=N_V;&n_;D$Vgd^w)&T9e;nR#rvr_^}H&!o5gq1#aJ_B(j~Y?#B91jyFu#oo~P*6 zW6F)juvxB{Cwb{aRdPisUm94*gVq9xE6`OU#DE`l^f2#(7JWFSN00Lvt&gXI7rQ{B z)u~4U#Ca6ix9Z@2K}alLEL;^{!_Ag!Mw-O|oG;&1wT2&62fqH!PQG(+BJoi;VgBbX z_;cjZR!(nK8q`JE@B>q4e~R!8HW%!?Qf1FmkJkBpxkq@nVzwC<9Yqrt{M9Mu68O7% zML76T5X-2XzI)nJB5kh;n-*ybC%L%1qjV3-wwX{Z$T^%c@C7JWXEKe84O@&Z)eoZj zBi0_h)^fR4?4e2nVC7u88!j1^-jX%`re1rzZ~L6v3S<2bwl)+~jr_{%?C`2#8r`C( zhQ#ta$afNeQ~#33`jkvpg@zxgO-ogJOwD0TEt)rAhZCXIp3aTH_Xk8ux%N`Y5NK7X z*FvvZm>bMHWIQGl$Yr^8s=vLbgWyzkaOGGt+StPXGFMf)_L&Ef@b%Tc35x^TIK`Mv zoN-5HhNe(wyM*i*YJJe+|^?@vfIaC ztucFE$otNw@~fB>^@82Up0kB2`+a77+Vw7!_;c1~gT=LT+v#iJyn~C-!qHZg&#_g; z%hSd-0GZn{*_^G`1aITs(lh4-po^&t{RI4g#PR|4w!;-LZC1|=E8?TZFEcc_L;+>4 zX$j3i-#z$EM0%4AZ)UW<4&(s0%yXgP{2Szzqmsfvq={ylmH9K;E^xX3i+1F{Sw|p1 zJHn<(kMUB>w0ZOWd0!%{CO&X!_^Fo~j+Pp#vuajOKflPe94fGVto$l^IJ#`QPsO=T zt6;`9p#bdexRn23Y=GvtMow8Jy}JGzYvsr)iQ6+s)-%}3#nZvXQwqamLwrw}f7gGS z!ZU(DjgtQq;LqFNdqFe4G7aXa+P)qGu(MJ9pPHl%dpoLo=bjm>SLo~wd(UT28TLG5 zPa6boQu3yRxY=R+@VUK%3AuecV80t9qdNG|%t!Ja@CEx;Pjt@Fxt#lAB4fx^q1Zl- zHdLr_Fb!;If6l?}}#+HTs8Rwb{4#_)#faNIE7EYAvp(-9!UpvjY{<6n@7r zuy)-!t*cQF6;Qxf)5byO+pq{Gmb9yw}9i{?|4Y|Z)wH7 z6VI-r<@*Pn>z-XZS;_-Dr!ncrp24Q`v8tXxwbMgcvBxo|V2j~8*og3g*l&NR+P~5- zgS;X3QftkJzxCn76~90wZbK9^hWB1nNW+n@ccSOYETHq*4~4n`NW`SEhP4dbomxza z{YYTs+Ri;WHKU6vfAMpRpSx3s=~pg5>u-+lQZ!9*OEUKY`fxTl-)+$8nK6I~v;QeFiwL07$)-DWOHn9Rv(uguouQFD{PJh=KBWo86bdFC4tF5m{LA`WEp zi{}BaQzv5_WOwB4<0rMUHBzzJ7;+~v$Yb|a=$7ti*Wt8%T?5O7zm@SNHpw6S+YK~9 z)6WCWTts5#iTA9%r{TcuImGZ_rEeYXL$Bo~`(_vV68NF3g(-JPy2quALxzye9~M^7 z)gn~ucKR8v-&|ocxHzQ_Z%PXP5j=?|f14ham_Qv@TKQEQDes08XQ%6@6u=#Rq91ES zh@Af+xKgOm<=Uh4ZY_(9>uH(AhrNj786{mFOQLZE`86UHA1>4f`gK$-O4k8sBhBPM z@c>$@kG)8D#W6TgESqTBc4O44kNqoUoqftT`_!dA7VP}LOL5IzUcA!Yvwq-LqE^}D zjLW!&y;?#hi7^c0L=Ke#`~~O7=gK{%4hNnDdG#g^Wtyqmnoue$K^{LrUQiozL>n{Q zA;j1rL^Jh6hpK#VM`^{r^rzFUI{8^an^#{uB1sxf!HZg+lEa%;_DX%4!bH)$ygO>9=O=bI4q?Fz7qL=bJa;p}V$MXT`_KFj># zsEyo9wU#a$O;mN#EcrH4u|79&OI6*NYLGeRwd3J8O#m2V92CKH9khWlGLVr#! zyAq)`8K>kXKF;7Ev4|67cJ8`fLx|Nm+wWu_M&vd+IjLg;#N000)Gj)$K{}y9+U0~m z>x7_dQitv@DX*66pQY)Vr4jJN&{Vx)!jzQYiB2!v2q&cy!Il)B0CrH2-5)d8%1%$(mvW<C#GuRTgA%`LD)yl@Z%%m+_lo=R&MK?`zt z#{x91JjFA3fS{b501!b5`~LF9Ahac=oGah&P~Z~{36G2jha%xivBpcW6Tg-xe$nxX z3h;>rhbt*=KhRDUV%j7u_bwsL*iUq!iXunPC`Vop5qhCthAhGJ+FO>@wzYA3mIeG*+IvmZ<7|rj0&rY4p`t?1xw8eX5g#qfQtHCB_YFl?SH$_cocle+*|E?4P|Vey93L(Y**t&s5fBqcFX z!8O(FRHg9#-y=+Gs!+D0C~x=gk#&&sS~OlJj<_nw5doM}%X?w6NUjb5`*Rb#SCWJd z;Br}vvpb4@EN12gI;biV7!#~6)dV$f`i>tT@mt(}-!{MDPf*fa?H!?Qe-heEP_jUf z+zdmTM4&!0L?{iw#&IL)xOJ8I8G9{678KKyn$mq%nxSP}k)*BSNH>n(UIYI5#cmvR zSVs(-&D-B+d#YmMjHX@IhCMh4Cq=*2STwtFgxWxh60QDP*5?er)gG8}#;JhBT3IBi zt>kpGnKdvwlcE>S|DlaoIgR|l&@8k>c2t1J+LI%))YqGy`+|*3-Hher;uq;@tN4j% z6o?v!=0bIhPwpsv5rS{Kzdy_sf~RgsJIU4)Recg#1dZ0xwkPW=6$-(VHUxayqz<3L z3-9!z&;*uWG|&7rj+#3VAJ7mUT*GAC_I>2nNH5QpF|sf2@0Qv8PpPl);1&|?`nWTL zA221RW6*BvbXia2I;?I>R6&I(WjoVL4YrdL)op_x1Uc8KIM?TYIo!Ueu`DFd;CQ3N zztgy65V<h_Yp0-Cydy-_q%aks}*2N)qjQFS`AQsL49jaeN5+VOOW-Z-tXW)DZ)_f5)B5F>hNT&jLa zi>3qJB$xiu^xbVmsr^fW?>aFu4AL_UrX`6d zCW*Vkk@Vn5Ga&c>rr0BiR^!)6(0E?+o zBV-=P_T8Njeoo3ADZov3d&(WxU&PDx@rcEFnH5SHybht+pES;M4 zF79+-COE?>D5E!Bu#_k%>v%*_HxJR3A>fBcO%up1RmuS8pPqm`!3?{oQKrU^rG^+I zW-rQ9P34G&!_-7-Rc3EMgRp(h6~AI$WmQs!SFSez2Jfn^7PE)51KSvj{55uFGAdY- zMuBW90lILoV#dd^v);_MBKJjpoQ^PdWja5ic4MXj3G2NQ_xdEG<-iYYtta<|R0RO* zdG6d30)K+vaOf6%(6ip~7J3+xftol>CaxoGLKJ(oU$Htl2u#nCD>SFmYV8yG_|ORz zA^z2fKCA?U=NrF6FyUZ=zUf%%!GTodfcu9I&-C^E7)FnvVn_b;b?Wr>1-B3H{*ryE z2OYQ~t+*m&N=H~qNA|))l92Ea0%ZR$nm>xP$su6{vQxf{cL%J&z8&^n9IDrxgLad9 zzhW+T;Z1eMO?4A{yAyklPU?hC>OwDfBmP77gAN}`c+=BYQ&os`d(-^iqbpH%5Vu}2 zPm8jRBj3;W*xVI-PI}m!>l)WZfukecP6d3>t{Na&RNHB~-ZHq}pKDa_RiHPmAy`!r zbArVYGm(m-$^$=b3%X3m#0$PezfLCs7>Mt%q2$tgAPK`GqzK}*HrR*(08~j>?z#sN ze1b;*0qEV8#t~SE=rj zJT}cVHq8>D2;-Y{r<|31f@6;!MO-BV_$ntH(G>x?Hb1W$6l=3Ul2B0A|6XtHQY&VA zC>y7rxK=ds*98>n)&A6dZ_rhqU4U6SHExmr|697v&#aY-7nAa_=_fvq@O?SM&l*Sf zNXUkgnN)aekaAb68Y}>RImC~AOL|zKWX-$pZBF2P&74$GX0wAqQxV`guQBL&)*FQ5 z4>%k&22CDfr--T3@HA=B@HDE?@+gE4vhqGDA3^U^{Ilm6i}IG?E_&Znaf_HYgQ@@X z0JA7Fxd~N2qG|-a>Z#$xhro$g=$F-p!Mg-BFE%+y)yHZ4N)oA-|L_I(bl5t z=|}-+WKUqwPWrIAq_{l_)$yH9KyDeSCeXL1F7SLV!#llFl3Q&$wI5l1*91hnJzDcE zc*8|H_k(@SHz%p^{j(32(ZtI_FA86no$tmy14QQ0c+2=42m;RHH*nTaUAwL(FDT*H1u*VWly6Cm^Q$u!;WLaTNQt7q{rq1L)dL3}ttK z#Yhgo4}#gG0DF1F$-ODE=hnUolvtkfxo)`^-_p!L@EgT~3Fw80(uhuUi>3RO7Y&Aj zA)~K;0v>L9+~v;99F?*t@Mp@!GJ)yE6L=AR0Np|R3Jme%#1LY;*XO{w{S@}TQu5r3 z$(7@DOBZips#HPCcRLRMXHGoEsF@ViQz zsX^i~LE^7zke<>Yb%EUfppasu!J?-TR~i06=NT2B`_WPnstTGZ|Bge+ThsZdxrE;5 zE5^rAhl9LF?Ol8NVTa%Q__b`?yIylECcJkjbNp-bBPG3xJ~g zkEEn2JG;oJyU2`A603Vgk}Gi5nS({KkhpcB29^}m9V&kpukOr-#IB=`-!URWt?A_O zf%~QA?~HF!v>8q(tq4i$(FIMOxRfSES4!;Z$8;j-Kct{m>$xr|E&0I0x-_P_;Ld~+ z*MvuYY#TFqp*zzVRCA%|q7AccAxk1QkHM>hr_Z-=8S$~1rz7SxhC2^x4T6E+8%+=4 zV)gSj2pl9@ZQ=0ps22(OXRLH6;ZyGE&&#-kQh8#DYyc<6QR_xDv;TJr{qwc3N^o$MyG}=FK4IeA zE=Zrk1h5kf56f{OK9w%vzZnU^5~4t@sG(_H%>N-`Fa#NOEcxbTcV*hOdRzOM?6Vd?(cR3p0Fu_pgx$|g2_sdshwkoki)+>O(j zki)0sksqhuZ)$B;(0<)^C1n46`22Xp9AWD@+qSjkWycgw4T5^W1>WYI5toN$06Zdy z!!+~Jl@)TcnMl{#pEx_27<)DNUYJmlxfVBW!WL7+14OaZ=Ef04yg6m)67|mkwya_M zE$&p)+R^w4eKsdC)+mV0$Sye`x*i(cmlAUOV6`KD`?lGY$C-EJao=HZhXTbGGsV`1 z+m}2bOQq?HrRfnJ9w8kbg8xxr%W5hVIhBpykIh2@dG(qW~MamMDq|U_&QZGc?y9$J^>G znEmMHL-I|Z7?oKzdGjNdKMCt(ezTv71{Rahv962lZ+WdAMVq9wJfG8%eD2t+{$4u8 zz7!a*)oQWOu^m@VYhSuxrPIwe&t>z#1p0-J%z`5tZ1Ve=WFhCdpVp<+@5ZAwuHJJ6 zvkyVZOcBXUaE1_LhLEJ-#iU>wvk(0LA-gjoxf9M%R!;tIE`_x1iOw_l>1ydVPR}#L zLro6DX5fkblC(4S%$<_taP> zaazwojAL@^*Jq2m3=WTCm;R*uiBD5|mj5p)T^q%4L<;NT@m9siO-|1;~~a_Bm`o-QuaF&2~LtR|9xCD1k>W(k_{ zsm(o8L~(|ps98+mC$LH8?sz$N#*{{rYus-4CPwMlc_X0vvCYBAP=u9xrAAU~5p~j` z@J09kpg@P+3+10fl>NRR)3(x?NIr;83&jkDXK>Z-KhM@N;Hp&)v&G9MZCjV=SeN1a z`-uF%Glu@;IsGX_U3nPtV*6w)i+elX$k2&ay@WonNxITLxH-B~ZipI=g&VBs#3b*; zBsi4CG?cY2_3i@@T=Ebc@{oU0VEmINv3n4m4EktowffQe%f(Zb$j5Luw3;qc)cByM z0E8X0JP{*RWuobPs7?+DIHqFzN^m%B7n6XMIMq6fV#Oq6-brJk&_C5Pli$HQi~kfn z;N3$Y!u3-G8Pq-Sj8Qw+$*1#S?&0&#PWAA3igRY;c!J57%xPl}b5#pf1Pj&W^D5<` zUkZsQjLDXEFa9{uf%;YacT1oieV99}or}gfN1WO4)jM0CxGEB79{1{mfxW>M`}tMl zQOi`sTWf=)sN;a!`A#+>NCi}8C0(@4Ai=0era{#ydJ_@?Vwmyq%h`^Tm|v*%x`b~0 z2)Wm_>n>P0HDMk3M6k}T^=kPCQW$xqVo_L5l zWsi-#CN{VlPQ-uh%jXB!&hvN?u-sHR!;)z6baS)1Q+{o^6Q-Y^xTk5mxjU^XpFLJ- zb@8JI3;Ea`maNH*y^PMdVK|?c%Jct=;ha=8#elAaGZ*mGeTNh*M{iaixZL49km~3Z z!+O7?6!3mFy#MOF>FQ9aqtkPJ$#uYc{kLGk`*jPRQuV?L_(e=7f01{*CSs1$nha~e zMk+nkzxind4dWWq>ln#)Krgy2=g!uxY!bIAYo{TFppfp*5DvM}SL3~YA>r)3+^Zsk z5&3|d)U{Tx9pW`UHZ`-y18dSZD=$Bj^$Bino)0_q=R(Xb^=bG1Wa4_6Wd@keUD>SY z+=57~;M@r0$r*tt%*VTW_ip038@xtnxcMnCMfN_gRcTwjE~sS4A4^GlRj5@^X_lvL zmGG)?5@h6RTU`}tZ-rIihg8KYNl$mbKTkPQsVIcxDhmG+{-G+Gf5@WLbO;b!8x|ZJ z7R5g${!$~Ir?5n*EC-gBsU}B@ljWt7bTH>NbcN<5NqF7WmPB$W@CzR9rCL@0#7fK= zQ-;>n!xMeVZd?&Tyv#U6Vi;KH4d1JVtF5r99$hBRpI78V4BuXSSq>2$t*_?R(9J3O zi{CslfS5@!Mhu_P{jhOeO#fc2$=!bl9yzCsG$PT z4nZy%>j4f5B1u1%75~RE38%JnHpRa*@9d#@ZxT03;&nnVJ{>2cDX*g{n;CZgN%3eI z=DCoONo{WVaPuH6yppnrt0WFXx9887>^Sc98se=psF+!N8kLG3;pu+0TheA4S2zi* zEmW;O_K0tEL!2wvHw5QH4j3Oq270*>Fd1sWUHKpXN^kx*ySa}j6t2eE&=x4%1(r5}*%Rc)Of<{5j%w;Bw-qWJi+hCk&p9 zF$b6-=9g$%M*oR)e8@>7YJS^?V?Vb(@z?A6m|rR!`=%(!_ZS9+hixmm%PXAD2RBX^qD>$XN=!K1_ZE4ed_EnpY@rxl8Ebh zz>1NudjBt{W3eME>Lv|fF%C~V?=C=We&XXHq_tPr5nXe442>p`EydsSTgmB}JBhic z2(PUYN#xA3t+cm9G7!*k5x`FZN6>_Ll$b{7ZWGPZr&k zD_0^N&s}KY^B>|2wCPeJ?~?Oc=e*IF?Z!VeiwT9=m&^`y<}3B(8UimoJr-d#A^hFI_Fz{5lRT$CWn;UMa^-FnMp@P0NM4 z+naCjro8Egq01BY`Bte^<04jB(n*KOpB`@gYKPAppn%n48I4w=*YR9G(GmD9*u;oY zmmk`zYeqs2i>K-p`VXhha0!p*AZ5%ZeO80`Qd<+9gkeLp(Moh1gQ{Ue|4m_LoAWXg zR8qC2T_NL99e;AQ#1LG3o?e-!QXqD%T=^wZ@Q2;XY~%xTAy)gSGQmy zffj*Ie8W2Bk0&JXRq!6RqpHvKCl_I3y1Zy(o1O&c*CRtwB!cC)RAL&U$O@Ug@3UYG zF$;KNI-@aAX&*+hw965UO%cJ&BR}^Ny+&Huj9IQaW+_S` z8AQ5}X^(nXRn{m?w5~{7$Oyrl)@)YB?Mz_SFC~Q#6Z0CBmitm|L_gDIOki$G<>oNA z$W#s@%{><#z9)vx+TwTVV8ZekOnq-L`z#jphZWdw-JUTbX%T;5$p|> z2#p-}Xrt}~N$|yTTU(XaZa~~|k8Kz0Rw8!G5;MN$ajN?Wj*L=s zN%2L?)_~}XZbzp-XH=}B%2T5C+bYB`o(Hl#L>8R=vxbJ)Q4dg&x4x5;j|GyT0#C2lh>A>Jo8x{lq_-C_JH&dmb!NA4mUue1YSVMPKPP%v@OAf4 zdd#1@`1;7;>g<)He$SU0mya`z5JC%^bXS7)B&8|N@|L^m@}bwt#cn3ma%K{K;utq= zC}x3H#l9$7DKwE;TOe9EjTcHiTFYEY6j5^q7RfMgO1aX8eJ!zNXRWG94tVdrfBwwZ zoTNp+B!MHP8FloS#BL^D6PEu*0~O&;J?b_3b(I%8jD?wpX$YPMZQF6e1#{b5GQ8L(sy5JBtoh%KgM&vFI>f}4FKv#x=Vr@6hMhBE$nO~i z_opTlFM|C!bL~Te1_BSmPm)ttE7#`_a(5BBdkEb`ie1Rt(q-*2jn@+Yl))U)_1OWb z^TiJTe8ct0#drh8uvjhAs@L>uKFEnt<%|z0CEIfi`TA$EL1MI!_R|PLeP`{!$2c9+ z6;N$XGaOC*p}A~-?d@gPw?)wx#pm-aOo{)vOABoszASBZa0}r2#Q#e zJ)R+H@BE;d(v2Qb;WP{9-!c_r4J1o)3Bq1p-nD;iaMAeE(DkLMa^I+KHuV8gzGkFj z1nP&$FFNF8&6PGH7siY!!Q1yoQV{oa&Xwg{j>6UjWx!O5%?;X4WL%B+AZ7rBAiYX^iKSf-dVdovEpfGA# za%5N;hlbxLbmU=qS}wj%il;K==Fm3Zw^lSM)V6%LR^|4ndm8Ubc}a@6Y51+;h}xr& z6%Mf6gP$3+Z)nFS(>7soDLRfl9+jd>;+(K)2}vqJVz4fXPHCyE^<GQi z*+ZMn=Wm+Kp_3c{;Q4O6^s^bLU9c3QLlcB zgf0Zx^P6*|ifm#ScitTlX^;9W*G5!?7124NZJFfxe%kk3Vds9qxSNd|X{Nw+14dgT zQxUP>OJeJ=T#_j%$vxs@n`;K#%R-5(!cAj!_WXnz0Y!Z!a;2w9N z{yv1JKbmgj?VMxkjBLVRw!>TQkd^Ssq!PQ}>U@B={BG~w!IB;7Z-bA9<4h7h zPJS2vF=e_@$5Dx;P>IP$Q9h5NOv9p)N5@Y4b4cGA$t4jw1G_#+RZ; zMPM7HVFs4V>T?F_-YO!638X;e5vy&dR`fw3CH|X@7{1uVq-u^UMkisI1r?;CR9s9& zJNU2TICEM?jjY21x5Ci-N$3MPm%qgbOX<@L&n=BKx#|#^{zKAijN)vJoT>tps{)25 z31onjvxSwhh4oi)bpMf*e^W!|f9n1(75^U;;GBI`9D>s=Ow%pv))?7;2{_?j_5Mpu zH{G&qjS&l^ob6fJA2HKOO0_YH`6Ce5f9Y*8=B!zb?2OG%uEd&b#D<5ejVcs9M^2Uv zu7A%pZhOX@{5YKazK=8TSY@C+VXLhI^qM2*lLotHDQ&3g?Nu>^>Z>nfEhCSuwS#VY zBRE-_T$K(TtILak%WaH?nK~LUM!L8x-FKz4;>-zg-L~c=bATFDs)+i18r-Ug>=$>& z1P_gY|LF?0Qqu0Glk^_euVFi+{!!pPOCd1lMZOC{7XEV`tDF}j(}zGO2& zd^_a5QrI^+PUy$SIXH(^ltJiiwAqDDTLF6`|M!Vs+CBGf>hw20R3K#K`?NS1K26Pe zp#7P6&B|LQXwgNBK!=v?s|kv^aPE8TixuLPppxdT!M7o$m1PRCEgSdXUp?p=)|_iQ zFo@N>$&%X+B1t?I&N!E4fJ;(6(q4b(3pnLw-xILdi_n_o3HuoaT$dW zI3v?eM{%oOJNBM4{9jVDUdR*ckRr_e0esf~@A4x2 zt0F<}43%KeWFs@0o{M1L2O==G#JY>bvzgYIzc`SyDLB0lsv zYV=YO-AqiwOI+w5v$s=lX04)GhHBX9D<(3#H89{mSg`m$xlFT8!h7Vn@Qr)s4}!wj zmimCfOm^GBk2B|qu}8A${3}Nv?Mo}Gd7ntqhrq$a?Q>ITIX;fxq zF*B$3d52H7W3vUe&yYGWul#mK$92gz3ckYlUf zd)XrrN4x3q68{{K3_w@8a0-&d{5$IuXZY=7)Bf98DYx9Ht-8RI=4`_r9a8H5N{lw1 zUn!c17;l`wDNRNT*bJs=R$8$9DRNgZQtN7%5FnC^S-3)}38S*XQ0@D$KYX(9b5dM3 zs?evh6((wb_`!;(M+r0`p7faI=txL0<_mU|#m|J5EM&tG&ecYdtpqneg~Z_tGJ{1v4OeM{*# zpVg%#XlJ}hvHLgsZvSOp5v#DP>GiRs20?55osRfB4yMfxrV_eZ_PSbFfAW^P{eaYz z&DSKJO5LRY+DHP- zEDaltPmv$C2WAVV^(@|^_WzJ3i}CHLKccg%B@SSLx}En4 z6Lg$-Xo6*O+?NArxm;d64{o01=KEB1F13sTY;Ijsm@L$a4+rM^OOois&Ov-KU7zhL z#75NtRz!(Zn| z+)K$L)as8fb1aHm(e2WgNbM9v^MELK!-#>sGT^+8GB%1t6H4nWt}Yt^L$KoEs;e`9Gw61z1&E`u@G5D2mc}K)So*P%4UacXvoA-NIIo zZV-@GQo6e?-5`Ac>27Hx{|mf#X70?L`OQ54=Q(??cfH@Y_c{CQb5?w@wsX;)_^J#4 z;|`c?<@}gAemXB&%{1SKQ_WY8R++KXsLic2B1)yH&Cl`)WkyEoM^x%`E<=_evL~M} zc{I+G?wI`|pAfqz9C(q`KF}GjbV>k`XTCrR;A zh4^!vx!6I{7w@|7;E()jVT`?uBkt;hW?qnsERQN(S{voX7-wIad#O3d5>Hd4UhYkQ zxopo|&ktX#f4&%Oa*&iMeGWm-g)ga#_MeyxT^_A`+F8=UJAls3-4<`}*PqEge$K>| zM5@wb@-*vSW^7+*HY{Qd7D3mV>d%@P52{P-lBlSH!*9I(NF%SX$Pj?D-JD5!`t7%R zOg_SF6!-9^=3@by^9ETnZjUUevKK}p-v7p%+jtib6-RF!>I}$)X~g`x2aIcP$rGu+ zSPJce<#M)5rZri9pkn=cH=?h=$r&m&d)(BlJEF9QM}$<_@8)-vUh zCHCt>pc_lI;m*v4Uw`OCcDqvUX+!Nbqr{z|y~D<$^%xzYiLh7(>IYd|&&Opk%62`R zg<6%7U%ZYb6<}ovmts$)Mz)q6L|p~fZ!9VUt{=eJ4bJB-`oH-Zv!}}3gpYH8N&#<= zb#{-j==@9HxAYdVq~}ZN_B>LW(m2tB5JB`{^P*zgqM|i;J~5AyrnLPPuRs{B&~i=B z+r(DH8*55qUFmVOEpk}%^jKdVYrpXf_;s1KUhDk}aeZJr$yIzG37jLg}U{GU* zQ*-4r`zgF+Fshzt>yZ$iU}h@2C0ESES`({Pwgt6P&szk7<<;`8i$Buwd3;2k74D zRhE0OBC>7C=v92`n-HDDvoT3A?4O!c@*bF;L`r#1$3oEwmb8)FPm+6v^|JPCa)#4 zCXPb?uq~3(+DJ@OjqO^3rxMj1NeQ&0g~U-9qt(SxP$8gdZPZ~cFQyqIVWg>sd9CvE zFm{PhGjX4#HIm_&$r}VDhDN>U)c4DZtX?XidMn0eBhkNsv~2C4s&6@)Rpa3v7WmU`U>%BE?N|T7;=<&w0#a9D`g12s?$O>A<{^ zP+ooGmd@=ghgj5)Dic$JcY3lCd`v{IC-@JC;Z@{*EC`q-4X@byhLN1DS{3sqqxqLc zvNM6`JT$LS)P=is*ZD}Cffd5sA^UL{7(m-5wOtKTZ6hHo{#Nbp=GIGK<}phlN({c) zZ0U#=EAZsqMlk8$Z_sT=`9OO;OGT&?5};vd8pZ#RM#N=V9`e1f`EIHE7#!FYJ`)IY z?Kpt_cpuzjS?bKA_HxsNPn%}UncB`Oz6zr6-?l^W6U0051{) zJgz2gmuL^!#k`nCTVv?9kQ1Zns;2rttJL7SWLs#XcGNve$mJGLXl>#)RU56O^GjG* zwbNAhfFn%9{*IOOvfBY`hJ6z+R>F58t|abtyeyn}DBAHnO1_djkFRTey&KBjiz@o9 z)@b#pes-kjYp&5!w}^DyXN|6B$&iOWv;ruD9AXY>)u z;>x~eXO&)vsRW;v=+vF>WBRv;AF*@zqWX`tzTAt73G*a-HG8)9YMkLvy*?%9kpnOc zvgzVBVW?=oZLwOCC}S*xzpa6UZu0nXP<~iXrfRV=P+k0rSj6K8@-udVct8BKQEPHThAxH}h* zf$Jc%h1D}M8vOJRw2$majt;x^S@I{eTWxYw($omei{mPfY6rabg1$oEz^L-aib0R9 z2{6MC_}ZGFF5R*X$-rJ*4V-SLEp%SItG3|>;VRZNG_R?Yyg1NG4v~pj9h+Q7TL3UH2EW@6{PZ#5tbv;3gmGIs=k;%jsN)&nda7Yj<9X`HWH-XB!hc91%yZwC(>)zd z$MLwDp({*Z&(QUj!_dIjoEvO^H4av+U<%Cbw{pXHrxuaQf=uv>-*Yes;(xh@!_Lqr zn;~%fQjg`+vuWLe(GyB|e~JkVV2?W;Tg`bARrb!S z`xq9LtQii&5AYR_UJNUGIu2QD1RnM)%$c!8Q_=>IPr#CA{Dg>6-ZT77qk+ z&PRPJUF1NVJP;)!^_+_(q`1Hb_6{`@V9FHaR6+S^$}|Rd%wGFr@?+pwA+es&WzqM* zw(@M)kRwJ#j(-}%2D6I%Vj&LwR*K6(L{`wru_uYxNg$i1JxjJVB{kTO zt`Z>x7k+(rzxjR55_cF`;#jhY?kQJssO`xE`t8fZ`emqkfcN`$9s7q@ZElD41n19* z-xpn;9XUb_2O-~$XeQRU4%fEdp7G9`9eg{ISvbbq9OoN3RT9tEi#HiAd;bmmxt+CD zX1ye`ISF)Pm*3dl=(}$SJGD~WBkOd#b5jNbg&!_LL)pF49sSU!CxWF`EK7;r^ z0%PeK0b2ddc5tsJcz9AaBa$MrWD?%*p#FZZ&ww`vbSB_l$3%0_O`yLZ$Zxa0+rqJ0 zq#Qt(Da8)#=cxv1ifR^tPGW81nMJVP^|BYW!B`XpYi{Z$1?OP=Q?QQg+A#Xgj96uG zvu5D6$c?j|X`k%Z^T<&D1-;HCFYWTxykju)$O_?mq^$3{x7IV;N9anU^JAYV_;ktf zZSkfXu|tl}JmG;md0W1dLW{#q0}aUX<)NTGfox6Y)ax2;HvPh#T$gX7z4eb2cL<*b z>DWN@M0(a-if!p!z)v*ei~MNX<@Uk0l|Xa(;X;5vF!VI;K-)+8YZ1uk9~FEj&N{=2 zRA1(}^n>1%?@Ms^4ma8}#EAgD!Ex^Q5kIp!dwox{Znhe?<9Hmh+bt7=7fk~!!1COt z-4ob~xaZE=8xKy|wrYcz(&m(=YkX@qG5yH*H^qm@OWpIgh8g&mfpv%10;(C^u zn_@Ty+#-GuGKMN1eh{mw(Z?U4-ob2G8Q6t(%mb!(c{j=1DsuVkm&?z^ptVcpn0NWH25$n)6HXfuEV=n8UU8 z>32V@KvEohf>x{M+=uK_?`FEK?P1TEEVj`fKVkv{Y3xi`(=e$s395=q4*|x9k1g*k zxzT4c->neCd`XbW;K*b!B#f4)LagYYvqOc^y}JxU%gIrNmR7pUZ+ftx*ZUGBu_nvQ z1xr95mPZLoeI*!|Bnfc>KIxC#zi z*EcIVVZpxi&0ut-634o5GZv#&n@pnq1G6tMi4EgMU}xydpa`-z!i3+!E?KFS6ri`PB9eJ5C0gQn4YJlckWo1GiQvmbi9 zHo-REcXL_bF;-puZnX2x{Mo|!^P@_kdumS_^vNZvIX~-fd|GoJ@|`%X{RZ0Q)ApX} ziQ2((grL1)(jro&+XrBVCHk@CTKjNNUsP#J_NzWzzpfk)w`pwos|lf?Cn@#7D@R6e zO{oVe_Fiwzp7i#d?mS|CQiGn#+q({pH=Od!xqq7?5JfQ^cBZlz+Kj8I%&b$%={i)* znWxs*;_BkyCn-Rv{{q?_#-&FXg^38vz@ki584HxLpEbl)4K-6ShuWJw3m}txeF4^m z_x=aXq1hd?S$XJpH)#DWr8Si=gSt62YPbnAe}fLnqO};`-JiTxPr%F%ZRm|HEqaEGRC+%(^!+=sTZ*EsPhUK%Ju1O{o@KLb z$KXxAGALe zgum>^=q@u9JgEMN%9_s-bFb-qrT(1#eSSV>2!96$kwEWyz1{1Qu37=KHYH8U*n6~2 zVeN=x`FbM7U$0_5>07oFNbq?QCeCvIS2e+fha8cX5})%iDj7Di;`SIy4r-%Mn_S zdslcp-Cs=(?Xn=qB#I9A?*Jp4VHlj%@n;}fr&rI*4hVDiU!t^{W-fnf5vUE~1` zzIs}c>Zrz-%9D6*YN+sEsY`K@@;snIgv7{3mXq_2`A!}0x~dW3`u8lHUOh(d>1TPs zE>}9sO#cl>)naI!K!fe7-wRst6)^-xSA3H`#20cGF_b(mgQvHar*SminJ&y1w3ctE!x`1Sr?k;Xvy09UsxM2EYLgoiR70-3=7GXY&IjECG#UO5IneDuu!M|*Fz?aSZ5*I z?V^^!pn2;M&?UR}sAyUi2y(ikY@pu(2IcLywe^7B?BwzQAoQ+-_?6>o)Cl|tjDBf+ z&9j^f*RzMSJa|1d| zLVlhHJk}M@;2^wZ9PZjGYlBN&H|g%vc3Az!u-+4~O>)TRd;TE!{DFSGr@<95bIrm3 z6Ae@gryKszgPjsKyWcLHez$Sd&8->*9?u{;_iiox_^k`n0CNwp3oMxEygi`&^y#)| z%F$E%v49x_I_%Yjej8Ck_qLM!1nzHTTD}I`DSYlv<8CC5v%wud=; zO##q?lKo^?XS35KMMOzXyiOi7lgSL~Z zwU$m}HFl+1V0dg?Yw;ryQDfeHeV|YFeHOKRx=s6T9eCKAzABuP~q0Lwn zJ2%BB-Q^}R)XDs~%^gp@g{*1y&_gJx*`zRIsQ$}6x?`^i`-&F|60;pB&=wz2~`gLy|Qu_W7ys( zbSdbd>c;1;w_zV-E#K9K{Fuf}+LE~2+UnJ|&{KXpI$HIKl+iKo{e5FO+;kj~oB&^Y z>*gw7)rSB=|A7Al9h!LqR82&3ihb?>fc9R#YnUy3ne%m-WK-<=(?>_+@UXdP*EQ{@zOc1#2%=aqeda|5uO>UpdHIDdp-| z-fP%j0H_34|GSU(BzJRD`M_*SLiwu+8**Z4cpnAo8!gHtF_=@K|FT{nDTGtQ#R3Z! zHBo&(yRnKIz_M^Nkp_eTfWc6N0G^#n`?rPxC*QX{$uaE6a=6y-SPLwE51(Nrz)*>0 zjTbO7!6>VEia|!g9JWae-x8Gx;TsTXBNXEv?6nq&nh)L}a5UuEYX3JC=x?G00!Ip- zEm5EsJ|FzIicg;GF4wXc*K)*CI-al1%R)@p} zG9!S5B7ygBmHYIHZG+6k&)(0XfE*1hWD(ZY+x}fzUX+lni>9j0X==fO+)>grfz-`u zf<;5HP0C}4G)*WKiLPnKv*+-*M;yIpT~`%kNNe!1`S z&VbWISjyPW_EuIh3v#Ifo6-wX)T|i8EdOV)A=(bbR6mx~fdK)mrW0C4WM7t?vuBi$ z*BvBjiY!b~U~WkL?8l**EvOin+sUQ)7A5q+Kft6HHqtN1#8Nip!YYFIoKBOlRaZ#e zv{mIuRs4NVs`YtI zQ~AdBsZ(_?eKX>djq;bSyIO*RDW~|2Br7kSbedo{S?(jz1FLV$FJRmP{D7Bj8y6XT60XRX6V=~_#9TTA_|bAzpO^)<5%G_zr&YyV6K7-$B- zM(1FoWUZy})>2<<#$fAV9I`Cu&kU!qWV7Aer5u9@`#0+is_dGitzS`R?y@59Wlf)p zyKlv5JkFE=${>OMEK&%q7Z<#syY+(}d*R7C z&7A6T7-yevp_13H&}4bJ=}Jf%nfp*Wc=%z+mg4sn`33_=I;Rc!h7>*={whF7-wI*E z;djXJX#2f*4a5*oi@r<5C)(nCRz0Dfw%wnmyxP1a{7ZGNlD}=8fAf|!-jY@)%l2Ou z@-2qT>S>m@NlV44%giEoPuP%C4v2>m&kGNSLP|^?ZjKRdj`&CPSX)92NnP)uo@+$KWslT>7w&J6pk(1B9Qav^l91eD4dKecukefEDqUY}q5Xgy z_a+q!>zB}CKFV@O+REDhtm6wDr?md5_DiXUQL_w6rR+|7>U z#|>y9Nn$ZQELw|TY_71zEm6IPzr!U_z-|O%J6yBe@`x9At3~z7s~-}W3oDnnl3FBu ziIyG-W%nR8n{4iGE6lkKa^oHx3^&R`o5A-ZKWidjz@)P`ot@4i21)qkp_ z^lqf`@cba_Zb@(Zc8s#pC;KV)%eAb#?~7QDRbCMbB!Aq6$ldm>O3YuZqtW%vH^m&j z+qIKR+@g`;?ko=2-ALx|btlNo+smEg*&8>44w0%45q+v;0?gmP+us%2IHgIAQx1CT zE^eY;ihQzq5^78q8evwjljbgspLu1M`!@U@hX{B-gjK@}8a*3;BZou<0c@O=jbIc` z3LqSEZO7sIeU|p6=c}~rJP1ONRs{P*N%_y76|W0om}^J&Q)6w_r+Hu9R!CSS5akTp zlFh|fzq5+DA6|%9?+lgxD&~z5Kj@-|6}ZrIHc?`p&MqgFCz`$&UK8^$Fx;dt((!uV z^u~~LTypu!>C1cN^aW52czp+*_1jT;?4+x~=4w-6#-0#E2fJruyua(@o1>|h$YVgi z{ju^lM5mr{FtDe`t_Eha*XPCbRt(stgNnTEg>l?!;K0M?0rzT!)g9ODq3PC=fatp> zDAdvTCMXYXGfAgC${1*gUNlA_g&gC>xuw$r6jtXHtK7;ejqTz*^3aAt&B!J{7oiPV zP2nPu4;;K3k3EeYb$lXQ?fIjPTJ^wFw@!>@I$pOfMz>CaWt#G129mdV{_tW^8ecS{ zmZ$S_QCeJA6cfNq8dbJq13v|m+GRuO(Tt^IT~XuvMQL2FWAbqj;Eb6yKBffDKAsw@ zz2Xv5C%1DpzZk!9^@fyNm*C1AXZ2&druZ3mhsfR(p*k zqPwEDG|TM%R=GHjW;DT_m|tJ0AE#EcSkK$)4I7VVD3dBea8U=mieM<~>Cm5`2zX^% z1R=Np{1=%8uErQ=z$<<8Lrb9|1XUUQ9rq_(4b7Gb#eiDFo|Rhc?-yB$LZCzHl%YTE zi{uwuH1Rj0qO}N3Ef5TEMDF;BZ0 zDvuz+VH^naXN-#R$L*4oFZ!kapthE1aF7iNI*@S@NH^m{NzbY!QYft@it#TTo@G(m zJouP(wH>;|Bi3quFXygG$>Bp6{!Wf@?GUUcj8bTHzS-(A5T`?_$|^U^nsY1Rjbt@4No8` zwd`FySHHkZQNiF{I43)#7@7j$9QFc@d}s>qEuJiZqy>Y?Zt?glT#MGQ7ry*1qHUK; zBN2)8AQ*n^(GDHFp5YIh!}h8mPpC#b)AGt=EW>mNU5nG%eC!r}ta83Gyp*nclKENlDh2puz<~kk{JtSJG}Ysk|x%wq{ZdzA8K3 zJ((J}il;N0P46?zG+VH33=Q7!OO*0X7}wMrUWzSR82aIX6AS9GdU`n0AB%(z+U|Jb z=*N(tE(VNCVtMZ#*h1T`yL(3hi{wX)+pa}>b<|y_i5oTB9lLeZe1HI`_cW2=PogC^ znW!THwW%Y+H`BJtVc|FTHYh%th_UoZdpJk^tVHN0Qa12QcsM&?b{7L7^}nq^|165T zxyOLAqy~=rd6H4$oU~|Y>6K1z^66OrIYX5W!6ftVFo|%oFZr~k|D5ca6+Q}BamScs zK72*o`%?MoV7Z&9J?RT9ORFi{duf)Ze-vAzHR5NP-Cx?|KR#}U0z1R;A``m5Sg@t| z8w%re1a|ha`{)z5?L@;gLgvl-+pMuXB|pv?Hex$ZD8%Q)l3BX?M|@UTcqL<9QEXcVRbq47Ua#=+C@5u^@4q#iuA`9DQKi7oeb_}|r`K^GFS^>-Ax zw=o}<)eHMLY?_R);e?r>CMwT(l{Sz}<1yFY7gqc(>B&kEmS~FZU7X>A|6ULotyHBz zo<9zo702MaMTXft8NrUrmO`mDMz4FPHy4xm!25TVe>gr86L~Pa<9`zt4XhQ4a;Me# ziX6$Rxp_Jei~a#0TK*hbBJ?T1&U5zV{#!qmcpd>SGStc$Q#H&n@n8$eFveFs{^Y$% z!(93BQ(Dq-He4QS4QjWqRJnXs_UNRQjmG8gf7l#sx)%!^#+{0|7yC_H%yGvZ_beUv zP`MZLTob|9-27kB5O>@)4|MVB#{&OQ+=-JqGsdbPE6LnB={>jk!ebd6yW*Cz9QVF~ zyw4lI2li6W6Z?VZJ7MluGy<%tHvBS3eYS3sJNox)5Shz8JHD@+n}1{zZjV!pmhmLw zXXXuC)jSS#Qrlk=LT5Sc;^Uyk|5aM@rW+w-08e%*5~o_vL@E z@J6Dg{1m;UBNonl154Z0E75{mBlqhE6Gwv8PbsHkK}|A=5Bs2CWPOmUcfnu%A>GtNXn6@=yu`uolLx&Rno zo2V>_%A+SkFMX4z^XDnB8V2ub#>`uI@C3K>f^*^8t1A}6u-!ZK*9mTn_UfC9_?ep& zmZmh979+-$>YV9~%Bj2+UBr1<3FNw7O9B7Z6%qfnNCWG69+gja*+x|TGfjtpw&#Wk zgwXeHJPi}{?pv)R&d9bPg=C;eqk?yDUESfHic7Cz*Xz$i@%3X-lh0NS#x5>QOc!^G zOAb4-OUNt}{rBi{+p|mNYQ*Vx>2l%u1O5Q@0uey}wFt@|sKIG;e6Ckh^Xt_1j}w98 zqJYAKshkf)IqA9@sD_rm$Pn3-$Pj(>FN|DCN_p(u-VZjpB>sh$VEbKY zGenJs^_1?EDdCMFuJa8(`b-@GO2N_$ZQeIOe2BQL1hjinq5Y z3N{Jn%||z&w@v_Kw2z~1B$aI)xvt7PLr-E|15<93$ZOr{odkg{{5_v4A635pR0f{f*9seFy{xw<2a^!( z0OfYw^+=A~&;~2*^~n7ns>p48Iyt*JFb!sXYgZ70k2_MOYf)>THZ!6-&$+v@)Co*h z(LG?^&U`!!94I3J=HZGUAf>i~3RNWa;pmlRv86O}=u{=ejukjsU(mH$d9Ac?>Q#)d zP81vE-^CViH(#(*VPBD-{=|nvQ>FL`7)$(_0E&KNhe8_dVTbY%sZotInitd{LW}j5 zT*DlyzVnU5Kt-(82RPu@DTmpY!1{&jDTvQn9(Jd&arqWLo1Z_yHyK_Ou(1*Bu$??v zoibVd@@VVj5z}}r-*|0+!}fnemlr?#vl{g0!?RNwwOvyBsgA6q0|i?i`scG?I^~9SeUG>V-dXkfrZNaSbm|*Iv;koWMKCo0GZ=)UieO`a zNc$=KKSjNFU-jbkQ<(_G*$S6*;DsshlS}NNYLm9k1k%7wgyeC_ee8ufWZrMxc*Gj- zdgsF<5RJAz;UwG)(ms1@@5_NvHl!$@B5KB;y>7&SreE+d-Z}T?-2jZp_{anl?DZ~^ zE)ZrqHP@6ac_(lmNrf>(Nj%qWeQe~z_ei=orQOH9u!>si|bYr?>0L_T)-rZNuL#ddflXnQOan^ZW(_ z@S08^zTZMH@3c3r*X3 z?f?jY5?ayJ{#j&$|AYdF;#<+g00Kvax1x2Jo_(TkRbVJuxrwM(0^}bkFrd3FFW(1E)S162u?-ZgDbM7j$daHM#`ge^xHeZXhl3_v z{ds7vlZ3B&lUB~`mg=AOgd6dl%Gi%sHwl1CKJbP0*0jaFQv;2UPl~*>ID7oq9l?vb z_ZwyJw*ER8yEb zbchv8gd0cyLk0R%?LSxeOuWF6H%%QzC94T$$%kVW6flXj*~?<_S#S)p1#j$3>1Ovg zK5F2)3)-k1-#E}4A){Z-(qo#hCg~q)gF>+%p&vtoxE`yzY(j<7^{q0H>BXut$@4tfpG6b3%}9d`M=Y% z!iRGtlM|TU^g>I(AH2Dbl_u9_o4>ms^PSnhDk0>@cY)u|XSQp9GkT28pM-Uz$4gcQ z2_!!4tx_nkBFkXW^OJBQKH-Oa5cj&BitL)JqcHnxWH#&9%X`jle0EQ3 z2*nD>@Ffwk^xxY_u9;!#y|<;WZS~N(L2KcbBklOnL-)}G$%`OeO4-H{1%tWNhM7VN z`!LTgRccfd8JSNgAa+3d0rdv^%XEFz#2{riehrr0*Wcc@yJ!0Y`xWF_V-%mp?<^249RqEdw$MWp=cNk5Cb=SOf-1Peu zSTpF$s=2_GWh<26G6p;4n)O$e!A~Wg`H!@}kp4Cz=AT5Ol0lF=EckxmZuTkWQrEDf zijz}(?QY-h`du{V;#Oy}m+_+BQCRRYa>6fxmkz7n2Ttp28_a?$S6XCqBb2P4VD;q%KmvSxnzXkdxUL3b^zbsu) zn>}EVf1xGf*$_ASg{Pq4*&YckpmM$n? z#7QA|AP4HnB#cVoFb|L}E~DugpF_j8tjbzWT^_bwqaL;mSL_p$K8U9PNI`&F>>z#t z5b^@lJpU|;S>qAQTBgWMj7W8P5Ntovy^P0N<}u%llXWMKh)u4AMkvZ>L5;nPf>Vx@ zru8k|Dz2VBi~ay(Bh);COG-IOCW%@RY8UX<{EhGfkbW|tMif?vv-o`jPXhzX)`Rs^ zC%&5$s&F@chZL%D5+_|VPL;d;$Q@hYWx&qHyl%?9cVEOQHpyP&9ONO zro+s!3;W4p=?|sCwK>FG`YAFV-j~-himH5gU#}wciHZ}%xD}!$a@K5LbN_=iA(r4M zhNCrM+&nMWI`3Rw9#Wls?jwZ+>0dLMxC?pm46sJ8_UzZdZygb}Uh%;g%b?D5tg!?y zqhPGa3%=Y%Vd0|fqZsWxwqNr=q*`(~ec2wq*R}D=MVp&7na${)%uSKOA}`ZoqkGP7 zcp6X&>9FIYv||qHwRPSf8DE=U2Mq{)r2&tmrQI5#X_>@NLzJFlx-SRHgP|*>W}!lm zcB3-obW=LxlP-k%)*Vx6+$Jx@CyyLmJ24z_8bI7*wf|molv%Tu68V+{XP!=Nb}Z?3 zhTssnLvj^rGSTR~?*W^I%YI1lll%9;Hw&c>d}P@gxvc+T5u7A*(D?X7j#<@pJINO$0n6VtxJRrhXOv6{aveBJfF}hGOO7RneYB77joOZ;# zcEmMr_{|*iD7Lwl$|~RFYorCz(?kq|>;Zf6@n5X;E2yo>b`1MmplM&>gO0?5I*dtD z3fGai@Zt&4k-K$if{sl6fK`!j6uc@iCgonZj&u$D2HW6DcKJk+u;wJx8g<$>2A#ep zKYI$k^)|H*o?h`KIDLn;a`=1>cKoC;c0)+;j0ncr${qKA2hpJ5ncEm=Gk4t48_@n5 zKA}0DK(nipw2}B2uhD4u8YSWzCRHV+WSm1?^L965lyC_!6E*M@-YddG8~?#}YXWSW zZ(=;++q{U^-^37)Hs=xBfA1Vp&OGtO{$MnCJ?dC>i_an;$Wn`)`N{q_ zMgjM&!vn0L>VlY>bn#x-a*FNA@VzO<4%hO98b^uUDMlVZ0Q4^+=-?SXit}+!?SP!5WBh%!@rmPi>O6XBxumAb1R;ekkJeTkVgR3^8UCs4{f1>)3zU`{?uQ09su<;{ZVqw^4n<-#TIv`mYXDzwc`} z);Kgk#udw!1%hmbaytcCqnCSS*;R`_Lg#Mw0EkNQ7V%nKhZtesWiuK$J; z%Ra16STVk*0=RI3@H8E$Ga7sSm)c5|5o3vI7h4Hm-1UzzS1pHXVq2;u+%vD9TGK5sRuwlTB>^6#=bMG=Z;RcZC5Dv`fp0g zl_!TBH76YR^Py00l@_>48(hU8J`T1_)mrJIPu@95Fb{oehI6;UxmkOASbL=cZEXW> zvF5)K%>RGV#nd`xzrPXQ@29(ZbJpHr)?TGRTl+v;ocRq?HJo`!lW<=1=O_D<@b`}Z zJ{D|w>G}hoH>V_Sz8Tu9xiLG+D0Aw16p!Zc)VaYt31x9NPl5AOB|04wi0K|MTDx94 z0^jD_Fi4T?JrY#(2rV2v;RFR(VMgmdm@90V!J5YA^S}}_&CpdmvD}yhuCt|5{E@Q! zvv81_UQzYlro$J3hmDTQ=y;f76wL6u?yPcZ_)D~-%B9D}n5_fSs>X@Yu-NH6b z*9@msI_mO4CGxr=5!1qXWCkJA z(eYHF0V>w>Er2Xy9)ZN7fL!N6HtsP+j`lc4+lVx_XHzBJQd2ql%Th@k%Tk@+Hl)wW zB+knCb|#p1CbpU_tHBGaeiO5PQyTh93731*13uiQ-FMOrjXhD7vtV(CuG_p`*xVXR z-oQ3U-%QTty_;tA+Uigts;<5p2#r$)!C^jQD|R1)2K2ai0RfP-kDAu!f1v)&nR1EvRH6%R);8NI%cas2 zMXn)9L^phjR!z<;crVCYD5Rx_;;F6gR~3!nt!Vzl92i#R+gL$nP#AA826DXb5M&+5 zGGn&UIZTOR%b9%0{VXdxf*CZ2Yuc?~O_UQ9u==A0VdTebke_p~K!GZpI&6$;EEC=Y zl6g`?3!~eQ(VV<97W*#NhZqVE*$kf4KJ?+?y=;^S{s=aBqfS zQodkPD8QgS*r0t5Rx}T@0}-)ogWn%9M`%8M0peWpBDFG@aghw9YfJ*aL{;H|waM(+ zK_U*N537|bg%?Oxbt~c30}DJ3n|?4A0>uZ3j{*kyi|HVp2m{B;{-Ix@PTSSG8X+J& z&gMp`C-}Sr%!}qAn-P|G$S-DaGYuI+t!sEo=efrM3yf;O0BM5KRqZgVhC#NkEdj2e z@6LG;)DFwx=t*P^#h<$g(skOET4l>d)<~Y&+{*6$$gDD*pz(2H{#)aD#J9$87O(YQ zbCsi;QYBYrb7LsgN{a2 zhSKWg_>=J>iu=9^j1p0d5)NeyYGn*#V?~DGWzrRH)D`}(0tz57>15z$H$_IxKqrYmJ_Hk^#w9)JKq2<(*`=WFiil^Bu_@ZS zMjQMc9f0El1VFw2rwAx5iAi()P2D1K!O%H8Vo^*wU4+7x>ktE9K}*jzbcWZ?N8F?3 zmlqHrDl)0cCo-$|vo&?q^Ku&|;Ual`oEu$TRVkgPVdo#VbD|OX+5Ile4)s;&zxD{} z(%$E)v%7ms4rGmJNoWhUTm^*HiROLM2RV=Vzv8gN>7wJ znGu~U|cm_gg%Ql2|2Pajwt)qe}`7yl9UWEHf zsR(_mwUGqd?$^^Ed%l71h*x@ln3Y>L#9X&Dkyp{%SK&cFcpF2poTxf~h6i~?+MzTJ zN9_$imZ8mf51&d7C|^PSEf!z`dsjf`m?ubDFo^!fh>|`CGL9{wl_!FT$hm zHO^@_&Vk1jlEoFy{gnM%0T7P`-p4^vxjF;T!aT-~h6ke%K;73T0p~%?k(vva%Q5tt zN`(!^&c%;l^W@V0y@K+f(=llz{)HK_&oDGBV>aY*zaAr3?w(w;5>F)PCL`5oM_3S9 zyC=c7QiV60m0MK($xzF(`od+n!5SSwaK0~vfHUvBj|0Vf&+yl9P8 zsVdK2Z>OIy0Kb{?ftorgaGm5nsP`#V!+SJrlJ6`Rq~53;qz-se9481Q!=sDAEQWh2 zr@(r9f}CzBd|w8fBO7a|H*RHLCoVD3f;E>8tFqCqo4e4LS4S*ft+accw?t;`Nvd+? zwkHioX@smwtq%h~FT8ve?kN&;!3|-q~>Mz&lFqeO+4dSkB(_b>&(YOnSBy0#a+-6sBWl`hCs3Jn6 zzaiLYDZIdvpmwZzB>ly3Uf@2tH3+)AD6v_P0%$aRl|LKF%N2Thx_+em0X?4QIv4?Q zjE~CWuNXMHHsc#OD}LRC7sJt$Ev+xlp}NZ>=-j84z@d~V(k#TzV<*3e_>%YHnCt|a zsNZU$i?+HrILP0e)e$*a|NcFn`)vJer`r~mxbOw(@M3*kM`SjJ8mb-CIr4!fSMt}J z>htzT7sqr=Vx2FXmS~8}OZHDz@@*UIdv|7aZlA1&7%`U3M@QLQY$3`{b%yc&iFWt9VYTm;na%q61@nea^ z&8WoORwUAMv@ZS8I6tH=F3c`N34Y5zNc#r8`9n^dViZ!B$Rjj~yuTT739JN~U&JT_W7ueEPM?h+`A;j-=No zldKuTqEdbMvc&na`d+QQkg;A{?w@)gWWc}=i z&cpNdjG_^-5uz;$tRIDaGK{j!+7#tzbDaJK5^q*+(HgsaAGP*`YA+8)(TL_DS)sJI6`gWB-n8ckvY(`5bO*`#!H+M;gAVSlPzDYTf)@7fZd#Q9kW{YmAmz^;* z*SjAH*Sos5?}_q5mk9n%j8RvpVrq16?QQ+(9?AL1deDW7>-9~1yx>fg2yKYw2P`;i zmI&M{p7z8!p4IDG>}RgOaQ8nsI7;d|;XD;D&PSs(M#k8QX^wwWA7a$h$ z{X={VL~xO6+rLEmROj+UQ^MrCUGCFMO?|d}C#YiX5p+xETx~`8hD%6dlKK}RTsnch zyGN|goQw^6b=-Ao_f2M1l4II@*ku;(&s#b-NltyYJyl+PS{-GAn>Bzle=xc^wk_QZ zeowVnYQFnlpHYNI-Ht2vy*)l!-^m`L*zxEwVt~qI!v{l@Qs+dMooEMt=4@neT8rj{ z7A+;|^gBe!&sSB+U`nMEm87<4N;vR*-O4#dc{!?^ z=b^O0c3&&ge)W_3sf|?1QJr$1$8X4q>jX;76Wkr4<5BD<{1sm$>?-gR3GZbDF&Uq- zGge;k4(LF2O?O~BT2YygOU%74PFA<6(9S9!GT1?eO2kw@u&c21(6C+|KD{0PLofBw ziWTP*KBC$S-a(yZAAV{=2{ikhP%V12O?9&BD5CMwXY7#GWaLULGk?vNxZNUl*)*bm z(o}7tm^^!ERo=-JH$N>XcT;QGM&XO2^PObW2DK(k)$r(%mVblyq$A zlopgyN~F7chLjSekrwHa?z{2C@0|PppL_1}>}T!o`&P~D*|XwX?|Rp$gqUP>0sH{QRO)!Id`hxfRM=TgH* z{j(R0B_uO{Yl`*h!En}1O}5o zWEsQI03eU^=IFSP@))`oCN?ia)-j6%8&(2|D6*OLC=IXSG6+*eA3AC#*7p*u^@=MR6%i2*~tSwhdh z?bBqLubgik9?2vr){7r5c;TLlxe)S-WwGE}(2O0*>L)3l!rVHRPIdD@%x`;X6Z(eDL5BBcR7?Vs)|jL5-1h;eO#kE`jOt@Cx9h9B*G-QxG!HBVA6 zpUc^&``?^?QK7J0?wY&0{s8tohQxAwz0hCK^uKJ^_M*Er8D`27l?1Mwu(-s;OnFhs z>K521AG6$UWaIJcBOeHUAeC%6?e&{qVJoCUZm^~lJ6Z{5fG-F4Alb+g%<||=KA&cj;MIy@J{_n0=WJ

#(2ZVweG29 z8`77*y7ztzw@dxucX!|eH^KWk@4VT*EFw{cYH*t9L)JpIHkQZJCS{+QfZInlGmxM0 zglV0X_Mgh}6U1%O@I(-!r!1eg7yLBU80?ecId29>xxwtcfM%H!+Z}Vdf~rZfMKnFh z{tq*u;Fued22zF5 zb=`5LLn$GRcS9YlC2*)?zq0*Q?*98J{pI0hcZN`3rpQZPR9~l@`hv~lh4$^&zj0fT zuZy-8Dw;r&H1pj^QE>EyxFRS3d4p7U?*Vw=J^zKIHPUAg{+^oIaffQ36j|N|=`(+) z^gc<pBG#n4t#EKOi$er* zBd_;#YK7pGwT*uRxzj(gj}B53=w)t^ec!xC_R?x$hr(ovV&^mFoLvo3r@p6=Bs?Bj zVRFYx2z0Bc<}g5X(G;v8y5wuaQ`q^)4ISY0Y~5+KDE1{6hc39C*Prikj<$`XqASI9 zsGv#zasSWa{6XWt#J?(n)IL1741poZYS0%rx9!A0gPY?(Z$x$@bO3j5-h769E0IF;}6=H2bHRLQptKeto zYX@nlqK7k+C`UhySfKFGsMQM@w_jru8L@Avu6KCLc0xO5Vqb10OrF5aULYF?^`r=L z-M5acwEh5Yq_N%tNkUT=7Qy+6NBmg_R2j*&22+8u6h$)-y|pb?WDtCKx$fXfX8ucu zW)-OG@!5i1gO5dnv?2g9+Wq0WzT6wDwxp`W3_B%cV#k)LOS^(HF#nL+ZP4bu=o%F@@_JO@^h zH4m8~wr$xcL}5l=%__wQWMNePqFzLEst{dtkYwm>4*|IhPzX*H>+oE%XAVxuXt+&yC9|K!y>M1}Ce`(mRPXBfrP} z8%XX3U~Soa0bAUrfJ)+-Hn{pQ`0Oyz;ylDU_Y zWiMJh_V-rW(TqidaoGP6fJDTZAQ7>iCSr?joRLFx6|>z136J*9={&Z&T4LTR+%g zY$RvYEwHG9UCP>9s~6|<2y*bmqsjC==&l4W==XU0YL5uy)cI9YK1~!>{nVGwO%nen zeDU2EtHiVVapnOXU6gg8?Z0)R&C|7${yxZ`EjISW~{i=Iti?WLP_=zYDLk7H0Q0@~=&-+R3K(^iOSs2-**-8EF)u0QwKX6|=gmKV9x25eC-&ICOGEMIu5=UrEHH7KiVq z{63KCF_{0ZvccS{CCg2sz)do$(mkpocckG09BB}=YEk{MkEu+)1)z2B0Gns; z`Rs3!&C(Y=X!2Cwv8x`!tzM#CP`tfI3sBGN&@l2#lk*JXtQi+yQiF)yh5@-3R z-?AV)lY!jgC@1$EwA z_o7vAiTh_b`bV{EiDR8tAl_pXnpeOFL*Q?>d4>2S<3x+?EE0P>f_HQKN+XPIwgs0e z9wJehv9X!476rMM1-Vlj>r)%79wH)tGp|(|#!MbNZl`uWF7F{*W(?#rK8ye4YZB|N z@Vz#b&lq2otmMs&t_$SN{Lc6| z5Ju-4P6M&mr0qwQmN-ay!47jmDX2Eq8C1}S57Uxw-*nu z%BfOrIZ@6QDnRMWEh*ZS)A~77dAt}97olf_K);?XPWuSYSLgIT)TyDGh~h%oVN~X{ z64IE6N|7mDhu4w#(^<%ogKz(AO~I#PYtK|(fPI`SDmg~_81EI zzB2c1PnF4ifi1&UD~F9B9WUc_bO`Nz67j|e8XGiY_zs~`P(^HM3M2Z0T+s~_WUaL^ zR_&n2Az8BKCoG2UOq8e^Vw_OehVf9{ADfI>vdba16ymKR#X#um%*C~u7OxnVs&O-{ z9u&5W8f=O|ytv(t+HVmH?IUXCBM$Z;_L?lnJ8i4UtaT*8p4PSpH(A&r|HFCAuWz*1 zgbdE^WxLUV5gl@^%DTvy=^DVw;Ik*qAJaOYVX3u4!Z^RVOTa&_p>iMbc*Nba=^^}G ztMJAOny&GD-aBUkiYN}8a_jk|mFa2woER#@My1|UA(uhj^YIim5aHK9v$W;rOi)=P zj7?`lIcgq+A0YK>^7(^8i0C z{0Sw*C$6~1?3A@bc>w_W({2V)zn)fo`#$nE!M5rs2jjYkQZLXR>S!|eWG(#u3m)j$ z)3R6E$>7Ihc9e-m1ALXc-zK+hyyd zv;-yS6jk1z7d?%;ZnC0gX$ zozV*196N}HxD81lHgRQgtPcyvi7+c)>O;bRWgmTbERdO%4@AZMB!SZkWCqlGgzklZ z`fgeNVafZ(L-sLJ4?cMO`&tmsHjgpN14ug!Vn4>eKkeLwTB;$dQoPz_QkNM;H^Stz zF;sbjU=+SP(L_byF3hkwOrW01$l%2CPdR7zpAl<}3^d5Hbs??WZx|aVaECDg%bKix zx=0uxejPyfyv6nt(4gZNYTNT-ql|_3%e~^~;DZwRhK#HfGsHqQ+<~pcBap8~_TIqC zQ4+nZBdxvFP&o@aIdnZ(S|Z;Y#nw^?uv zu!DWBr4v$N?DsS9HHkIk1*ewSqp254&8l^JeJS`JlYw)_?VA-{xEu!-$Z&y6i*&i( zS+hO^Dzq34vRQ%${`DTN56oA-u0(sghmRvBxn+|8(mF$;uqi<@{cA*{8TczU;#mqM zvUWpTPirYGV__)ss3)6T- zAp@<8s78SQ;&&_8r>P~>F|LxbDZzf3OdP3s!T}*{sk)gLz=RwE!e7Fmpm2yTIVeIG5Uxx{{zwRsXoFq3HncK%zvbhhT z05py;f&7Ul9i3>FOsMFT;2++rqH{_t<$XQyt@*WIG5MyF(+cY4qbjs#gwsjMeQj(qSYqP(=X83_G>bmu z;TXw*?O1{JW81)Z&ik?W^izaXs89&ti<2^721AI_*KubfWikW{6=N+*@}=(kJ$UA{J}eZ zs8}jc2#04u2^w6{J`M!JcB^?4he+*-l0-a!$Ro`e1rHIlPO!tMgYSoTW|{#NnYR0n z=_ms$GpqOYPCEzP+Kbe8+UZRLc^u#TCc!{t$@C!62_bcjU?9s+u?dF5GFF>w(bdz- z-?=Y2Unf!R4t*1gkddd55gG0zHST06!ae-Io9Uqnv?(r&UgVCCYIr;5 z=+|Gu7F{^xp!*GKevvRUZR*|B?XQ?rQr6X(ntI#Yu(~Ag2DzTsh9^!%ov(@@FbQpP zGwX>kPhN)smG`w$j~sH7^eLIE1%%$$j#^E~_m{j${G+F(((Pf8(x}MWp=dQb{3%YzB*9yz#_mN<^i%}56&Ej3R5`jU~iXX$v8QWCmQ zx8S71thh>YdF|I5vvYcQ&Fj!kR<|QKcOy7ew*U^*>K4FPG~SPVJiu~K_bqDe0yZOC z<-*-?Ab{I()~RUO4D9?kyW9=A<~{kGLQ00TyUiW3U9K-Ija`)2WmC)dFevVuq<-1M z;0G#Zw{@7x0Fs)>U zeK9Kx%3{0?)MEm1I_)vg5DN@IT7~GOM7$tQ-^qbekD1-|`ZODS(L??tFCKjHlY%o% z0oK0IxIrZ$QD77FVU>%}!CU0zhfTl)4%%oeBJz^TFFaURlmTD+W9=|hmNoXN)`Lx; zFiWn8+vPPK6`c?j9R@lEE;)!NJwApq` zE<3aG@@mQ=4D*Ve`_;sjK!;IK&;5$-X7YT+!qwA_XY-e%GH60+cE3>eRu} z?c%V3g|Od(pFNyiNQ8NXH~?R|u=dP88_m^cH+3)}givxjCnO)Z3k|hE%lf(V*(~hp z1qMI~UkF1igzeWa?bn;1Q=Vp24h?$UjfhsAgmShf-?lGpb9{P!KAUB2B07jq7xaNp zYpwx`WbRCHuav$@7$sBWUJw-$t*bRe7{&E5*rqx~KSJZgZSq!zW`uqf5wfDD8cq}0 zPBZvnF9i5G^a;;8H_XPn)h+FJ(62d$yA6AQrtGU%=6zysx40nh3x3mC* zJ+wFs>4Z=Z3(tZ{(KDwB!XB;>@lRfu7Lg{+sH{B+&)@|kzte+~+wiMC;qObJ!FBkR z(0_;m{zqI-p&3(8k%Nq|M2Koubq~2)6h?4KPB0fYk%ujdc_xkY;ww}Ib4BYEN~wvy zsh2O@daeaCPN=#TF%ab}T#C>PZtCH4Ld8;Y=i#D{-P#3m!!5g6fe85X(wJiYzWByp zQ4J#I?~)D^!hhb*pswB!dXmG9!w|+{&Z$ezsq{arg??CLc#`A(ck|D_?SzKm9=jF@ zTAfYHv*ZwyFB~6y0Jg)SV%Y7@hntff1|vcKymp&DpT@jX%U|<4R+RgHAff%p2UQMqvjtn6L;xqO6j()jR&;q;2%oVp#-rip(x0bF$i4g)j zx)O_E^Kx?%N=O^cWOAn&lgn;ZnMGE?$d4HRL#%IJ8#ay!>6P7$@akb+W1 zdZpaU9)NW1KgRug)M(=LzTuXd{iyU2c5|^5v0+32y>n8n9sPyI&o&1atTs|E*{8W` z7$-Aqj}09`YlcPL?~0c$G!vZv;l>rmY6(o`kmmGG>x+*|9|;X?71!d6mqHC>B+DMM z$+lOBf7hs~pOQYplXXZVE_|+0gMSV8a4?FvxuWzf30)@(Gu_)^XA?HAs{T|}!p12Z z$5}RA6T0p>GFkLh`snN!+huc`xUgC?!=kI3G-qwvdeHP~`;(~L*_~QHu1b-1%3;k& zZe|@@rKpE$8b%3So7+s?BuT`&Ol)O}pq|%Yk+>Z7H(f}{Meg4e!s6;Ay-ZaL_mr+p zj>z$8rIO)9z06EdNi{#gAO$k=8W{eT2P~cVvv4n!{F3sEfpDs#pfTwvn%3nnqGhC& zYcb{t#v(?{kPGbz=IRA*nM)dl>!q-9Z5YX}nklO0IgNlhFU7ant7oukwBScItk$qT z1vZ~L4eai*H5)#4_l}BFuO@I&Vo3?ra*82y><7k)(cX_SB;Z_NlFXK~=i%wP(ibz+ zW=B|^9gOvjVL;1jQa^Ncggp1Cj7OQ9JeR45RkVjSnnyX7=SvUNnnzwgMxE3$`3=t( z&|nc$Wf@Zi&E1CPa{QG}xd+P3Bd-*r?i!;`Xqil9ncM}n?!C)9{U^HzSVF3J9VR+( zXM!>sJRCThYN5QMmfel9zaWvN%M~H(caSQyn`EYsSIsO$lQfTK`Ez3;DWPwB`DCH} z$$Rz?|G5I5mK134#J7V^irsV0mGkT4Px+gNZ5Gw|8p{RxgOn&xYlQ8@JRo9}@Z zizLOsf)Td;vofR+Znk|^EHOYBODqy8E*9yjd?1VZq7tzeA!=3((o@io(~*?hk;Jy@s9CaqY^?iQRO6bm z$3hb5C52ndHaS`%@W%-(YxYkPi+TDRDS)J(_D@{PdYBq1k}`^Ult2lLtJ1ZkapPu7 zzz&{QP%RJ9u5J^tFM3ecVOc7`rWvNOCBjjr+0*g0E6ymc@rk0(K!_h8YU53eIs9$J z5G_*e2PQI6Vh80T=uL`SJJZhL-qv}%Y#WvNVmDK7s><>J^IswGugU=P!D=FSt^5(d zaDTOIbl^e}Te0*#ooOsAV}sVo;j5wDDzZ~{tyc}XZb0>y$=mZnM;qLg^X7fA>9=r1 zoEw3_3nS%`b+_6zDBoyY>0`ltOv+REHI1xFU6IZvD`tr`exA;8i!SjI)asp10nL+8vE{>vCWBV5)2na! zd`O_@V|*MNF`>1`4e{OmX#nW<)wZ?i?To^mW&7(0!m5KE0(mm5s~SU=qP*#=>l1oj zekdN$TNsRLR^iMGROW^1IKA3L2^xDV{Ntk<9i84o!aZ3Y zv~d(l9d}De{#QbULN@i*p;YsJldC(vE7JU0@~YU?pJ9chaBaz(0y2G8hMoA<`I^ zP%4@+%qwbleRf*XFfiFkCas&EhF^RlUF4FlwQ^CYwQ~9+T+-qy9Jn~l7ql&E5!PHC zde7iAthF}up51Af?t`T1;#7OhJRRF`G_TxzexY1`QA=YG-X#UZ1Xg%9Ol$eIe1~?` zx$OZ`>n3m>zh~H3l4z)(8Kb*?nTEbCYFXVqsyadtC?VCpHTo%`aiv37hg~+%4e;ai zpOvndMDtaA`_>x5XarNI-efc|hyW10htJJG~+HY}Al51)Ot^R2{IOGrww}(sy6pqZKEGJbs z@*44v2BCH9d9=Dpl0DGC&bGy39?rDN_wwG}QSYz@piG7q(P+(yoCO+!&s$!X<_!b` z3UD(fYnt!bQ{C5F4^+uv+pULJb6Go=VC(R0-eTXFd&`9tk(i&pO z`~1u6(Waney`C%gW0mFU2rr=SDZ$9ag98Y6-wgC9ZY%3e(LJ4 zbs>hJInpYOnX!iMCGduEE{i6{%!wE92V=zCD-n}zvr+}Zc3R2kgaXWb3u^7n%s&Y* zKSaS;UzZn%0NrKtt}kcN=#e~f#qk{kxgd_uf_agGvy%}?6vi28?Yqupb;6U@Z_^M9 zGryd+q5*2I24uE@VZm_SoH2fET!j~|b7jJQo~Ho^?|wP2q5U|QUT2^-pUOcr&ipbe zE`Z6PArcO*yS-~}-3(9@X_+^S})FJfa9}jplzbx>n ztJc19GDfw~?df+6&|5?;tp@sEUVNy5LVRO+5ztua+2n3Bp`1IP8KkZcI{fG)i^quP zdbT%PyY<>uJIFh1gO=)%xYMJylEa(cTaJ~Hqq8mF@yYK=PsD@{8i)a<5F;5M^Tu#q z!6SX*rOMIYh^H;aLzkv63n@Ny0+8GKq8dFZ_DC)25xRku-YtLMIkPiE1u9Vic^u^R&(ieYxI0DGhkzBd3~ca{^89W0q0bvbPfnnLqCDxk;q%b;cU zq=%DyPus@=oV%y^mSx+1_eo$LMtbf{aZTS;a#8;gHNiUoe9F%~%!pt9 z6xyaT0Vhkz>7NOLT4{tp)>Mp`-0ja|=0a&qa{v79nU&l|)G#d26-;rl+GIasY(GI_ zuDOZf7UPmap8il+67jCZ0-C-lD#=Ex0y=Bug(d~S+J1yjW+A}cJz9Z@4S_&22J!Jg5hb&Oa4G*YoE1RG6tM~GEm!s~3#@MTh6p_hm zeC#Agkm^<>BnammpX0=}yNu%t3P{P3o26Q9AxCs?kS!Yson@P2> zv0Q=*{ZCt!&i54Wd&*O>C$n+hX$SL&n%m#?dn!2-4+Tk0qHq9flY*RjN%CML7azBi zq9BOI`+&^6kKK>BbHP=fAk*Km1#QSE%@Eorv)f(+Rar{Hpn58>)K5g22>XTA#oSD%Kd>0yb(>CM9>A$U6Jtb47W zD1iocKN=@FL&)c^!DWXLf;MeFWUHIT$&iDy^_o@&cXMYs_T_w$o(hHLlQr(700!pk zuBXh`Ed|}TUYu(z%EM2SGd|D>OOE!RM#PIh8EJYsm0R6LwPjD2c(whOUYkhCl{aW!&VT!6xW~BIe*^_U&tMGW)!R z{BnkOaR>`+G{M&%^1I;kY0S`7;pmVM9TK??Ul!PW4r5z3^JkdKew?h)fCdx1CJFCL zEm;Ld#%u#Lv%^v~Z0hQaNYd4F!?x~`=aD|5D$K-krN+-O;h%Cm5Ob7mwTEq!jcLVB zF8POCw9Rk_4@G@iOR&njXbX{=`>uHGj~Pr+l(2b8h<2>UIR29vlAlpjs$NtlUvy6e zdP2kAj}(;qnB+O;pA{8poF5Jk6r(EMX92E5?Amk85c@;v5rRgC%WtQQNJ{*pg-le; z#}7m{mPW@ApU&k_e4iA8$81b$Qaix!`o95Mq=WE%`kVMT!K5(LWjv`fnTjiVS~zVG zf;MOs@4P}7v9FSPWBZ<$5}IFjwYc~bg5FP#t2XQ$pRx3JrQMXoT|W#sr74X)U>?Bl zdW6#Z2&EFzSqYKika6aa!5_e?KuCSStGRnDKahG<}u$0q*~Ybr`pe`ou!(duwp`xzb0 z?3~}z!MDYWC%RYVjePvWtocSlg{MxRzP@+L=H2<%R8F8`tsr(Nyv;EIZ@|x+7+hI7 zz@o7f#ra?ZuBI)IoL(Q&y%CYfS6}ee`5gzMTBvi>!|lenDBOi8+#}?7{zA1Jy`sG( z6Lac$TnxfgK5C;s=Y>CB!(qj@06{H&s@MsfQasSdAM@1+pzx2AXL)&@W+-+o@qX3!4g+^l?C-xFVe9;#6IN66F0%G2hIhUbok+Z}4`}Ns2f&N7X=)Oz9T`&sT_)A*x$N?_$uk< zD(Q?l6d7r+zWI74RD|-qVUrAS*eX)-m8!K09$IL+Xv+^U%{@NlGw@Z)bsx2x8?`g$ zGw|dyAbT@G{boWg*InVxoH;e!czXde;W-{Kn|&BS*Jq81EHKNa1ISMeMTvS3zwVIO z)v5`EC4Z}jgKKsx^>7gFUH;1dp`-cP@r4d_aQ~aZ+^E%D8%YoNsK7H!J$9~ipn$j~ z>#E%qF2(J|i(a2s6*Ris)G8>|Rc%w1_SDN|lVIl-3c4%5V!MazkbgSexYzmi_lwal znroVsVONC~IOjuv1-PxXF|m|c2) zrF@KHA@WKyi)V3u=s+UPi}tVZZ>krqYD?UMc?I{DIQD-D?Voz+M^*ajd={5A^Vnh$ zk2~E&?Yc?Kd}&djn{HWjc>B~C^Um>&{AXN!pCwHusO?40hM38GOY1JE4Xp>DY9N2?jzV*hwEt*v>eJev62YW|upHSJF)rW?BhY;%AbNGF_dDVT8_@F$e zzc{zQ*zWhH-Ea1^7Ll};s0Zb-z@7b}{cjaU-OC);vI`B*vW)lfFECpu@9P*I=f7P4 zF8?!s*XTTd*HPta4&%hYbaQyTZA{hjC2XId$Sq5KRV-Izja++}_urMau{VrO0mi1A zJ$G)w)FzjdN!=}#xf%;Qoa=_Ne-^n2%wd#4hsyiMe@*_}^jaAI=XKCl49fo_)Na|c zZ`lJ=f6ofn-0j#AG|32t;lZmpMq z?j=C(%+zDJ_wi#iuHMwL8nGYrzV1gGnRzYLN3};E?RGN zS#K0H$CHTHN>@&cjrj(526Ui@;?z|$y9Hk3Vr-8FF)u=cuT3)S3=Gnk)vaH+ z_m+`VI253<#=hZ*_w@3wv~-F-i|wwQV|5=2K_Fw1;0X9DplmC+cwquDaOn(1XC8tM z27yxNgBo+2J5&ohqY5QhcA?^CQtl%{|0J7 zJmmf)o%Jj9S=O&6F6ef2Vcc$CbYc9Ex3P0luW67!&vD>8GR>zbT$`x=JS2~#CoTD; zOrP8#BBBey#Wvu$dn|M(OHy9V{+uwWk^KK^1-!To7h|5q(ya&3{p;<+2?IvXi`u`}f!8R`8%6(BIH z_yL3qAI+yM4b~6?-CqT%3Zk-3=^=)B=%E<1t-zqDgc=|_MT2U|sT5`eZ8kcG9eM`-B61#0YIUTfUpS$G(Aad26{pv?I-$Murh%0)?(5`?g)a(l!ZjJE}^R^nC|u-)29?9U*C<-_I!j?<^f)qUA!K2ZCzr5Rd5f;6X1qUOavER{HN z%dJeBN>y%C%~%Q7^n)-N+tsTVAil-c^Y|$kr>t*Ul$zr*evo#P68B&^cSF;UudP=h zF7?cgP$DfPPJSu3e<@e#%F`M{6 zsZQ~MH5X>HO2=-Qsk@#9Qi@mQee?9^l|wlzFJM-jxXAK_(K{GPZHA)4Nfj>Z2i+}+ zh|Q%fvSp@Qrlg~U5c*F;ayqxpTu?;0!Y(*FXS(|zOIJUI4woVFKAx_noiWKM@A2fF z5y~jTf%rs3d?GCGCoGQ%!X^x1!<13R1?~S&GgGp`Hhq8o$DdTh4}{g84D&}YDOf2* zgKNGemfx1|VIuM{*gmhB?c_%>EPktg2O@JE5jWO>pUuiNSQi(@_Wbz;Q!b>x+e z-!-4gM(ZZlM)61(+6WJvyk}cHqny{f20Q z6*g5i{q7E|qG+Ga4E{6CQXnVEBC5~e6sVwAnXd5VDl=*?^1NO<|E+w(8~XgNGYuCyF;XiJay9l7etEDS`}tVEcmhBo4+p00q=)b zq?xGTGM$igh?$Bru^DWeUym!e6T^`#4j-!YiUbF(8m<8@Da$xS`0Z#1a;?^U!uM!s zzzU`2N{?|91*)YJhmt$zk811kKJu2;3tun=_i2Bb3S)+#38OVkKeDrY80Pw+!YkI|EAL^%-aFuhgWUH_>MwE4g$6tw@Nxtmp0f-r zk^UyYJ$-3M-wt2u5Z+U>%T}?=7R?Uj$`0KPA#DOLB7=28gZ2L&5$)2U7(?Rtc(;4X z%Fgz+sMOavv-DnaHn>1st`pysjW(VYus{L>Cs>cPaKbd4&p=$qfdm|M=i(~RqaoD$ z;5OzSJy@SWf0yX{a6_wYY@6eBdsrqra?De0G`;t=$8&=-zcp-L)V{BMWqSLi#Q&FM z+Tcvl`&#GnTPt+cGwJI0wT;t*Ge3l?PnP6VYo-jqZX0( zwc}P3I{hW3iTZ|_gEQ=)4k`lGDZU^=_5Gjzjjx(i;nj7M&BXGyk@yRNYLAge<Ce_OK5sKv%}Kq&Z)09riOQafMDgqQ00Q^wx`>+C(-2`)#Y4QfMD;x zna%zeyPz_s!}nd$G(qW!Uq#EXy3mGzR(VUg2fvf`+@UF5+%FWFDK#W*Wxg~E zi=!d|r3Uq%G$oMWzfI$A^Tq%iZvqd)DM8 z3gTd#ov~WNY66b7iOunSKfn4V1@&ssrY#8aAr)Nil4b9b9X91w^UHtiCpXyyfV2E4 zqEohCxC#kp1j-rJj~z03-mU(Q{33hJJzlMN%#8ai6j>`AS!?Ou_|iRXp~vn*k4bQ! zQU6!-TcM7No*fl=O~w%Xid(P4d2pmZh>Ej)?Cv2$LJvWsaduz6rwuIM8;?ZR0xuTa zXJB2KLXY2qb$~H{uJNw>MMUVI3DG~RQGJxATBK;@V6kFypU8N9g5ODg!-MuLqW$g2 zLH!jM;J|%Q&v8{MbXAH04B!F}!`exfoaD|732t;Cz(ddgUx-31MD5ov@7FW@GaWH7 z5Y}$J?>EwXp2~uIGU3 za0)feyVZn zi}?=XBE(+PuvvpK+3MT2Stpc1-Zo!I!Ix+M^?DXJmAhlL+(abc{@@pI8$>VbY_DFf z!VQ+Wkm%2I{5o6cWh{u;cXD{;*_0w7;m+w_37*%Dy~ka-&%_}n${~i0{S+5_BuqHe z)_h4{&x@2a3kQ1yw7}uj2zYhH{&vMa$6pDbhJ~`2FDdDHx$1cll4ennW`(4_83%tp zF!ywYv%$j^dz?pBWsMAFYS3Rl{eIG)w|hu6ws#pCe=~njupE9>4_wUFNAEWkdYkgRY}kGMjTs39 zMtU<2D-sCoMGb=^HU?A>O~UhGA$to7B0vwh7LccHddy5u{U<_F6{|5HFiy4ktNgEc zmHCdY0OP*wdM%gKglbGpK;dOin=k6~7FS+Ut|XK-ERFbwik*!?#6Ph#oXhA?K`G;* zq7*3o|3tl-(+pi`+Rc-|zXN}0<18Q4gF?K25y@}<3!w`cA8e7|AE$q=1j`H#eY4GHeKQqca5L9yV zWHzT2yOSiBUzIdW!yqESyqTX%7PxsM4yMCKl%INNr|`ec#uZk&|I#b&(S=au{@g=F-?|8F-@s2r!mO^FgMgv*1`HcO@DLXg4EC9xolG@lqzBQ z(H7G9C=X*oB=QHr_WML=)9iOQd5unr<*lBh>w)A#>8VMHH`%pa(3*+3k#T2$D^l?2 z+v#L_N)hd4)R44dXPJwvu^T z7^1-t#<#;bX~{+OZQ&99!a}S*ja+#3(V<_{_efE5Gieboa6NRvb-4Xf;#T4Vo;Th4 z00ElG6HmWJt~R583xR(zk(riJnwE*S8G!;AqMPo5{bgLu$FVfb->Vpi_cx=dx%FRv zqt8n_9N6)eUD>=Xzdh8P9;E6yOj`7=a(zqBG%Gt*tTqLrOs4A+f$&Q0<>x~Ff)d~L)LJ8QK<~u$;Q=HCHDQLX|nM9JV$jG?KeY2E( zJxHx-iyuoPX#qJQk&v^3P3hhTAtq>-?C~$bEn$zFp%BBCT5Nj!In0Jha)dfvs}ywo zZVyZNjI=(CnhwBEg1y zQbMVGa!9eDh&z(hrYkPv7$ypegWPf^YtP3tv#z3-;NPo>8Em_}o`M^^gy)mYAqw}w zi3+%4%kMxhUlGT~fhIA_dzMw`=W)yxm&T~W{#@h?D=X@7XYWUeZs zTW?@_iB<%XYOga)q`|fIw2qJDko*n|a=@`3&EYlvHh&3o z@D4%W9nR!L@plVPo$dc2?k%I@=(e>{JV@h#Gz8aRp>YcmAOr~#+@bN{gai!~?ykWh z2@o8D1^31+xNC3;9w5M1$@`xD?S0NZd)zzjk2}Vyxz?Ih-Bn#(wPdd6nX^llu*TxS z+$;br6w!A4Z$J~O608`jSLKP?>C^rEP`RglFw^M|CotuhV0V~|aXIHt>lfh`ws|iN zGwJf$xiO+AjsF#C0{H*`i8LV#1>6wIqHmy!44Es8lVpK!W!$%6n7a{pyAj9V;N``{ z-rE+gLn6UXjilaaTi%}}qx^Fa7*hzIG57Yx+Ewnt;>zhxjN!uC>lXHqo`kQ9CY`nD zWOEn6JsNz|Q-hJc{~2up{DGVNe?^;wfoKy}hCgT%*}u^yQGd`T^hrr23twU7PYH)G zAwjBm%3*JutZ(Z(>Q*=OlQqKd03bZArG(Sv>oVRab`fey!%)>Jc0mfhKB|@p4Sm#vy>p0iqji@f?>q;p|NS`}UAp8H?J!1Ka3K!XdL zx(8^dG|Ksg3L@poOCdGSD|@VVir@KFoVI+zyHmSKrpDtdHJ>5fZ`f(%KvO2vzz#@$hLCxgD9Wh_O$>G?x4!ZrUx2t z1u>RmG~5_;om#5fHG)GX=QvZU9AQ8Gn2p5G$0_f`(6EtI5KJ)~H$UPN#4V}~qds%HmHRliQ z%1I@g7kk`I{cp%xnv%6=O~VHP^`D+5R3AN?qfk3Ql9qburrr}lB(Tj=83uwu5IekY zlZ4DRwjy7h;zYLE?igOdGBH&9oCARYQoCuazrC_ zGDCZQ>?re^v!4$&n1@ZzdT_%YHk#1XJ55R;Mb!Ag z%a&%+=b;rdi{t`OCxlBGf@FY0G?OK8s`i~|EQ=E$`b+d~_bhzG)L%3={c?+&`iAPd zfkQe^THNT^FP48=tuWxkpu{N{i$g~BqL$vTq^%xQvQqE}2l3dzY)js$)J@bT=t1r- z?tOQ8JX4>%mcA3F0Ptso6Pl5}7E}$^&?QM`H!Z(mW5H^7DLZ(TrXc>(21KSLi$(IT z^}ltn1z~7)zgX>UL8@&_rol}AAlRhS>nr!$CYitzP?|wl72*59w(<+(G~A$%J{tjG z^up&}ZMxb#Pr*f46YwttIde|gi##qxoaFbw3vZ;V^aTjbA-Rq&(7BS8C-i>a$drfLDzG zO`6^NE-qwkOKqbTAUARCg_V__m+l_R886#}EC_lEc&oFA(+s^H02A2#IS>Sr<-=LQ9BR?8?~2VTde6I8zmwR(5Se8O>b%%D3 z)qk+&O|Z*Mj%zgHFlo&C&PdRicxXO=M_^@OaAiPI(AoKqg5r;^BPGpoW5aQSDZLKM z(mses00f==eH`#F2mW9Ee^!I%0l)L#e=T@!p7c7QKc~X8v~U0WIPlzLL-T)K4|wkX zx*njZGv%Sv#yP#EeP_yCx8R8|N^jPSIyW&@@8)PHj5+HchSO9D@ecwKWc;e$M8iOq+1ouyi znIyW{)qLNqg7dwC)gagncPTM!mI$vax!yi4PqJ~e? zT}B1K4}0+$!69cPi>W7XctqY%-#wFht|!iq7fU+M{rMwS-i7QH2A%x`PL2}QH~J*< zUtrP^qx7EAQ>wUx`&=${hp0F9gIX`rhS}Z?3}N%vd2~7$C@EfcgAyZ?&9@dbTPMvj zmf1H8Dgk{Gp1)e9xJN(?d{06fxAV?t4nL)oxPtja-x^3H{?i6=_IR7k+ZR4l=#pxA ziMA~`IUF4=Jl$mGL%x6(nbq#dTaW3t9{S`4 zXkyy9m@sGC-^vr5l1;HZy>585Pf*nzy|EMXHjMZr?P~vM&3rP!n^{tK=i+Mr(Xbv* zx8eSCxihTgWO`on*It?md7RI;60j7_kW#tvE$3?jM}+h~-gOfJZZ$~tnlj!fyt_yl z-1yxe&9o^UVCo4$dk`85Prv()llGFE+05J6Lqq-ZM3 zAY@ziHg?ulIOmr$PiH+L3rk8TLnER=G8j3Yk6-9vE;xsI-P$Q$E?!fKqp>EFQe-!6 zFhgcZUNI!4w7!(yc%+FFS0k}C`RL~xKVKK%AecDQllOr=P+;RwzdtB@?+NGA*2|?$ zI=B}y!B)6e?|?-9W6YDMu}x)A@LxXy|8>&6dqFN)_r5&wJ9owZ@(dHa=VXU2h|TPW zDf-%Zk@j?MoxSaX8e`h+=2%$D7Uls~f* zHbrDjWr)kf6|7ny%!_v)mRov0-q<4@Yr8hD{xrrrcUrQT-96zlUBejCAkM_o3iVXZ zkdVZ^q}f9!8d)2Ye4SM9tCVsz;B!x8kl+{Iv9w>F$qU6{gp)EMuX$!>dhFA!1R*~= zoSd?ooN>zlr4(m~?;Q~u_h)6yS-WTB3TXRc&&M6m_8p=>Gh@w~_HbkF*Dco&%sTgZ zc?(+z9uhtnXCdAv562=McX*xfQ>(FVx_R?aa@GzONpj#077I|dsBh-*xKQ9hiNJ%2 zwD8G4X>ah^*~Y5V(8X=!nC+N;COd&yrq4ExWd6V}Y4QgFLp4Lb?MKhH4wSLdYMHJl zSTcr~PJ^=5m@vr6kTwAEe8_XKwy}qGngnKVc;Om^;1xf|s-L5yj?7WZBLn4igF8P~ zDz|LCXZ%8w+^?rWN@TlQ+`Q(U;^vrUju%X8o0;M-Zn>q5Jab0$p)J?HRF0R({Hmq6 z!N&@|*_2ZA_{`5s26GZ|d%jddOMRYPxQj(Wperr_roya;C4bUP^2jchK=Sl1_(La$ zBlk9Cj-08(0&eDUvbmHH!v;v)g=W9z`^zCU^n06`h>@Bu?}JxwA|sBRIXl|z$iy#h zOC4_JTfOan&J5%3Z3f|A)_u&?@&4TOeDdz%;%rn##7O%Tu6Oa-gsm5tPi>N9*f52C;-CA&J(P86n*qStnpw1>VYUYyv*bLAqzsOJ&7Z| znZ%4+GBc^pyfRO46Y2U{un*w638;AJ7@w-s2UMM$zZeJxuE9y4!JwT8V^sLw81qW?`CY}x;WS?}ZI>kf^w2 zB2X~l!m%27DjE!SbkzNaRBVXyF65=|=>+WVUuVdsT~J_X#mC9gmOMVel3JqA*qQHQ zlMQbiTvb(99B%0^tm?)*z=opx$Ex>Scv#xjx(uP#xC{?0bktbR7z?7<4THQ2*Bo$0 zu!Fg|;{!r>Q+%7jp7y)t+gIe^r|uWCA*?v|>bSu5MavW}3M_rCDS5GW!6Mv6k>!vd zkr6q7`p#SM$CkU|&7K9cEedhJqc_d2#2e>{7(5~$uF(nOf_hrVf?`M16m~91gn_#6 zHJ=(u@IHh74_v#C(20+j-&H31BHi#Bg5y~~+MPm+2e`Pgl{M9-eq>BSZhg7IP zuN5XwC%$nRB#oGB3YV_fupf$!QMX)b6LKVI*4l$m*i2DAPo^MJQnjxH-^2qJmPkLY z5aalAg=GUsz7Eud}wnPr*uG#f7} z``h8Zo87O(&<=w${o#qdLSmr9;BBil9C9}P@&jBQM=Il;4)O0o*cVX64m1*gK zv!dU!#oMUt3pC2iN#-~TKT3DV{W%Mw*_f*086t1|UFVVSo>dY!7JDAfwf8_i(x%a+ zh19Poup)SzP>Z@I0o>!gt8LnnOS&qzPnx0eu7_2uw7O*y_Uq;L2vb|2icyaydA*Yd#d^XWmriYY>xUe`SP06@xup6H)pz0>!>@vKu>p{ zvXce^Ztf@Fcxv1xb-sIp?VT{&XR(;;ON?+u@C^qRhq!J-3HMbO zJh+$1?ovyNIFr723(n{o)qnlG_TK6+`EkbE5iuA`k=)c4%dlrNj8qM>F;eR)rX9d} zSH$WG=<86GYkpW(8=~tO)9x~iWjf-VN`f%HPsPi-#P*&`Um2uH4B&yEGE@dY&XA*M zphG5=IF`;s*`C>Zc!|$bNgmI6q8HzN9~N(6Hp~BDy9Ptc#$`mZvz40L+?}<{ek!tr zZ0}0=-CS}`B5-J0ZD-~FYtMiep$Qwc@eug1n5a=#UJYgn(Mj$)e~c0rzg#CDlk6Z= zPu=uM{v<(MWX}D#XCPGUQ$_>>{4lniyXHBZc;!YQYJDYQor!MqCp9;HlH^m7fOl=y z)6XnOlL?8jNC8hom4;g&#WSstHvXn;5|eV4T((Y-2_0GuP1!^e)j{l6UnEm?XcaYk9OA3GW16JCy}ru)6v*aeO#J>WG@3WK zLav4B=+(VcuzOJzdSZ$Uy1+=~Gl?B@rhK;(7XPQ z$DW6Y-oW**lSl5&T30^|%P!=*&rHrF^S-4WI{I7c_Pu)*M~D2|JacKt;$Al#gLR|o zz&v*^<#n@4s49*SNONDjyrSzOh4AODZa?rLCmA)h@%A`>xJp1+93L@f)bJUJjW@Hp|n}CySzGj7Pq)7e7`Mr zV-nC)(B3AD8ciTr2|dO1^KSVvIs7GiPB#niYSpd1kZ-t)HRPJakM4l(2HKh~r1DLc z6jay`lJ8EA5M;@eFF1Sxp2S?v)p7^7NwBO#5a}F1E2jmWId^l zw&|&7g1SHB{&${&pGnjgA_kpO!p0(~;0?LT0ViYMS!yn7PA}?Or|>-9T{k`C zZ27p7DTWHV<)jrYQeP6ehAh-ZiKJD`tZsX~nV*Sx+H$oxLw~Ug)VhQ(Y-=TZM~*4) z&N+ZqC1KH&<{Q#*#e%W2t-lmaA z+_MpLQGK2^)d11l$Kvt2W_!C|c>F!0dJ^2Os!nf0UKBZdAb8_`4|1?gU2S+x}Uq+e}H4(KeAK_0VxwBUEFWFx!+VkIw~Mi>>ZBm z9R&R_ihfupH>3)pV1t6+bk6tcGfxecD!7528n(RuCBfz<9T>Riip#huc`!=KP0>~ z^uwd-)yUL0^{^h-t<=_fkRQy{@fh+cm1*+e=j_eyAk$VUbYY=CFSJ=?(iLzT`vlj= zcm0L$tcf}Is`R%Lq%)_BU&(u)03wlsEK}ygCictPpJ3VKuCPO?usnXJJf3y$6Shb} zP=4E%$a>j>JWk(nU4!->O#!@Z=398)n04*fsXSh_&%2n9kZ)+1zlIAy>ITdQB13TL3tfcuur1_y;ucEqMnK-4II;Co-=S`{2 z`um*aMk)t*vZ(qaM$y3<*oLA|~0QCfPomg?&5=vuawkYNFFE6VxpW`4=_tU;5#c47w>?YSa45 zgVeG?H{?1pu0bj~t)L5_f;+-@36+BuFmVjqOl82U(@yX1zO1|S!eMmfCfs{Q;wJ@BW(J{q8@7?phzd zw~R`+P$IH>O9y9pKCi|WzKEoYHiy6Ff>&Kq@)WVf((-_c;e*Td?7)ESvMC@Zk>o<_gWc=#Y z9vSL&GVQZT@V#i7j^?Q%2zv*5Wm8>`2bW3~PUs=eKRnv(AsBno#1dx$dBP4(c#Ki% ze%*?^u8kZ%ocVbh7ddPKtW}z>RZjn0o&HJ3-z>=A91_VH70GF`7EXNaPs)iOcGWfA zJRQ08Q$ZxA2k+DHjPGeCX%h(AkjbbxHCI4t~HUa**Tfy8cm9 zemFv*{!!>-`KZV8AHu(U4F9tAVQ=dLd;KGk`bXi9`#y&!DIs$%M|fEtqhK}&*@&6S zwd$NiJvK;Byy}F?wGF-ieX^=wp@A*wf)XQy&nn4;UU1_<^zhKlIQxZKr86MT*MBp< zH?KVSTAWV=)XXdAf=dE=o#24UGrDpBG>LmS{h<{*8Nj&+LA+6L?DSj{fj*bW^{fd^ zVwKMz=wp)TLAlUf5EV0raV6~M)hBdY$6BM0NfZFr3<^K^vco#$-c5I++y2}o>o^Ml z={}{*>BI;t(Hv%F;C%~7UoocRdjC@hgLuglcE*T7+$AFu+$If&jly9sp)c-Z5aarX z;`xWR8-M69{s4Qw1$+Mp!Xyk~!v42vL<*$|Oe=i(;|>Km1o}d{C64PC2ZaXWVA`1> z3rg?<_2U}h^P`ZzB=FCbe_xLOF2x)=t~uKn;8 zt;C=O!@-jEfxCcz?1Q0MfurJG4_HuBz6V#pimb{$S^Mv` zmjbR-CzfFAB47N}BEyW3Il%U}hpvJJI~WL`;lHM2xBQ zf=2cQjUbmV&Hu6k+0rFl4VPW|a6l<>rtY;7+=Za-=9`2o4-#?3B$`ZUQdc!Xqi6h@E6T!Yl)V3YeQ9Yw~3R%t-0 z@49xmQyHaGxinL_0{TAZY(hz&xpgqh28~5h8l>%arGmH~a6$REyEhDvF409cvZVG4 zr)ZMz)0viyCIHq}8)zU?YKiVU=E308mJ8$hyeSWI8Q;PS+{8^)O!+@74S=`~NFbMO zEbBTEhK5l=v6$(IiQ{qSQH4KSvve$GJB&)|C2o6bN8XVMgkIpj9WCUEEo<;FR9?uE z_T`Y|PdHM0_QlUuBpMj@FBN1s?8B3TfVN1OgNvUTKzD2k33$qmp7jQ4J*s;IYgwV+j?w6n`X~P)56E;fhyXl8#{sCvM@rN%avBC7l`!f}$dRT%gC~RM*Y=K8rQPJ&8@R|x97P?vssJ(^x^XKAHn&(UWzuLJNJY)JWHylDiqqo)dF)i;dNW4t#}#1LM=1V-%+dwzPeqwTXp|Ip|q3{L_4S14ux3AaLsICe^d6mwjrXy5@FuzzjTh=tSr|KRQL7=6wIYn#R9ojD8v=XT8zt3!7ox2Iglw$Fq3IgA$tYEM$ zS03&l2%<;E??m#W_YkCK1^ZGE(iQ|*njj(5YjVjtHZ5Y+_w6N+SjKfQgSeIJw}ShB9ouh>E$5trC2>#|wGVO3PI79;Rtfo={`_e$5Qpml*(jb@YS^_YLp3dvPYV zO=d)j;So=pQ75p?A&W{mx;dcPVVwm0Rue;H)3IOaRX)~%pi4?&l4ni~niOc=;S~k~ zEmYe5SrrEP6Chx0x9V7cyQU~l)2(3yMCxROT7f~EPxDaWBdb0Flt{jr_#R`vpqoK* zSA@&W>m(BhaBKwr8kha)g%cx0@MmGk8~wKdsY?;~H#gLG`@yX@mmUrG`99Bta+qDC z*$tj!&88~a`YKQpoF~X1y}0xh7zANWZh`S&>(ss#03SZnSx;rWP0aZDAc^g(41Si4 zg{>&|i+w^AuDoJpdZ}S8TkPj;pr<0xv~2q_izf(}sk`bOCR^gMoy5DmR5@5ao~nD) zzFnc9>+IRExo&S){);@UW9@?PQ59Ay+eEad#npWwH zce0t+UPGJxaK0Ly7|nEkbkmmFlIJKhFp=DtN^3ai`2S8Sx;CahHpG?V$i#EN6!rhW zs~bX7DRbvnaU;UipXT8esCn<|NkoqFvYU+5laDL^Lms%v7(Ds-IP)bSkonT}PYIOv zOBJRph=Y`za{^zogO>BK$NnxcPesJcM;80duGZXoGbv4m@hU8Xrz<=DNdHBsFh@6S z&5k2Gxx7J(k^?nijghPe%?~0%(`!YhK2`2%kGas}49pp#^TBU{#tK*G+wJGO$}xw} zFuj|ayTaB0uNixAcCdGe%t?;?Wl`ya7%|G^ZT3YX*m??%@PTFsycn!Dst$c9=%w2z z+63~P2=u2ymNUZ)v3x)sXJK0wr~HH<<5ssu+cOZp_pYdm3Fg{wk_I*>&4;h1)4a&6tqVv%sMudbW4<+(1MIhf8H>2OJsJM_*%x@ozEgOhe|^*jx9ma7y_&LnjYOVzxTF34dz|p=U3Uhu zj?Wbx*b>&yLMVffIZ81rV2KTzY{Gjms-IVEC!&u$b)k)WBnhD$kVQtEz8y!+QoZx1 z0NHB0qbT7V17s2LiIi+^7wb2@BwrM}<((+c$ZpDtTA5|DE9X)4(YM`{r-s9jV-Jg% zDIWimKbaI^8lFJ(WJ91^c(3tAS_m>qZb0i?n48p)F$3cy0CExf>6XP77o_^74@A5! zlBtHK`W4RF+zZ5d6waO+7UFLJxmU}L>eK^&R~J+$q$JB3-{bhhqqosj+kfoFnsc2q z+H7>ct@5A3nB%b_o0D`56y$WraPQ?ANy`q{pNts=flGN>@}LisA1Si2p z1QKZEJS}8{dsdHJm;2aaxtsI%uvI=yc{I>xD54_a!v%V`zt#23b68Z~sAVdtipL!A1843bMk| z#s%<`eFrf~017m9A( zDWy8U`L@3GN$CH11?AxcmaRINx+#N~>gRvTJZ6Hsx@GSZ^+!m&&w;o4amD#nnAp}@ z^j(j<7qIN&)!`b{;ZoGpj@UrxK~RVkvmSPn@(C=O5F}V#%?n1gN4AD=gHF`u!Qp%lC2@71(uLZgvEqs8~M)Y?yf0uaF7EpRCt3(o~M)}|L|E#_ryndfnsH?XKqhQxi9Q1&-QjBo$2p`AO#tg0VcJzk2Bz95DXasHFUl9AGN?| z(#LQ|a}KpPA+V58GiKogM&X2vEtRA#6_*cEIv=EFLh;PO^zV1nVatKheIPFbLSX6p z%F-d4jH?&+6u%rze-x(ZeJKIAisO57qAs0g_qNu#F%m<-$w@h5R|R9BZEw}ihC1bM zCVe&Y!w&h*txm3>#E{<5Cc@&Jy?NUH7!LOdLnba~?`=)=k2*_F3+>ogOya0Y|0VrBdE0{I{(-H53!OGMk$SfMGn zlyq7<)q`U|e9<^A=b9s7sHluIHr^bR^oum3g&+||8UJeB&A91ay4%g?J+7&~ZP=9eX#Vy(QuQNN+JwC8 zsS(k)vFb7+o1Y?hyI8BaF2iauP}{gL+o!BwAnap3^47}Bk9J@x*NbO{)y0Hu4A#Y=gHR^3S{mAi!UqQTu)$~#=ml$npe>pTm&d_*qgl5I zeL{Co%jWw+>vZAd)z>M7>dDX&>k@qF!fGYRMm3;S@^;>ab-`vQ|A6mo&{NSH*fv!A z{$I)8Q!(+s67B(?#sMGS*D3Y7sqy7MNBp%r&~xzn^MY^i;!+h0>DFj9<+HW%G1p@{ z7DQ_c+DBRx@4_(Ou?XMcB2^vkcG*7WxG6Z@p^=OOr71y{w5Jaj#XhlX%l5}Yhm;S8 zEoi{fOddIsRC8Sa^_zsZ8Fjzty4f3AF*iDH)!*mDWqtDQQ$Dt6*;+Du9k1^6cN%Y1 zRP9of6m{6&;$)QFpM&BXF(GKMMZFw5nr6<cJlH+)QF@wfov90D!N$ z-w(4NbozD+tP7@4z0zmk&^<2lH4xL7)a0LNIXwM3W3m`;GPTLSn(1x%%0yEug*J@60zT)n(#0gj^Vv06$j(v8 z#x%wo94jTQXR!B*2+1z?#x=(K|NF{gcV>lSC6`{2nPkGxGx@PvJG;HmA#xpj)2}G+ zF?*n;Ou0BjL8&7CL-4mAcd9!P|{Z@t9YD^wFCPvcUGSV()4CJ<9f<2QR_ysY5G`Qy7A{kn9;(jEF-co@`qis!p|pA4jkfEm3_}k z9*7jPX?xi}f@r!z1!=^Hip6RdJhL>sWqTgZ-7_%@9-Qr+CB$&C?H;E`v_|cBe!!$> z`T46CfJQ;r?G%bVazC3QmUDA3Ef7AGd$YBfpB`&g*eqSuUpJqf-C2WJEYC z!ML&p&1VNq8n*(Zr->+N8rTHtED|dIe@f$`Kis7Jye(}LI-`50xXne5)Y^SA_L0RG z0<#YOAu0bbsuf7Q&teMqS?{R*H7ESr0*aQ+)PX3jk@Ez`F-<0n!PB+V@TFtbwGSzL2 zZ)pYIJ#oVQEKTy*2vt|rE1e~}2l~D;+Z%bv`+Xa^3a55xD4KmKYiT4o@ZPqEDwAhI zP;GqVoi*>Xh?PQBG%EOKT8db%aTnuB*8Jd%Dx$knwkz9^5gXaeeM;g}&g-S-==k~% z9#M-4ITB_A(lQD@HYo?>Rm4IyuYn*zwF6(jZUgh(`Jr2lYad;Gs$EqBjqapFaB<+L z-enCH)vj;wCE1H}344Wg0OW=2gn|8Ly?XR%4k6_^x-xF1t9Ei~k%u08bk4Yo9!GQu zA@%H6W$);c8Sxl!YGPX=9T?U@rB~Fa8o_}(8iJ=cyD?+LDYh5dDRK}OXYd|x)(IHG znC!<8JLo@bG`_nCb|F6V;ME)4u{UFx0m13J36-WC@!j?6clUuK2~rJ5?c^PKm~GGU z?-)Z;phva*@wa_PArWs2ngiX=!R#PwH4$_q<-<#K-eSq^ybp&Dye$0?mJv`coV;wYS#01^KNGEIv~|!eY4s9WxZ?%_Fx3@)e zW<>T_66HyM-`}~?lv3~pC-kLV&WIE%M3Mv~Izj>YT&H0RwCA2flk-*&f_Pf0`dUuE z&KW$OdMK2HM;SyfHk9UB0_`At_=MWjz*^+GOhB6rp%RQcnNi`*u>NfmNfmFm;nFFA zpK26tU_CtCvd^?1u&jq%vyX7hCo!#hkYrC0RQa3(w0iqqTkox#+N`Z|FZs~7@o)#J zUQSOr5Mmq-J#`R;|2TM}ba@sL(U$UdS*SzXsO+2~Ef?xvoli&x3NV1NO*GpIOt2~X z+Ke8p%9&x_H^BFq5Yd4}`&F9LCp{p4tqBQjkur%EvM5C!S#j-^=T48ZiSk60?osU7 zJF;o=(7ko-F4LKL-@V=5gN(W|X8M3`H5S1M=E(rAssLtk_{F^5Vjnp^f%bm4aj?<8 zukQvqH$K8Ri+46Lpv}`q70+J)|M?qeW0#<3a9gO)?lMf!K-Uq2Psm@__&bp7a$vMn zSh+~qmLi_@q#?x!TH1bs_Q;;(F+@43a$)HSMUVrRtPq3`mtGj+iaW3fx4BrbK>|bK zWm3^+Oaf~JB@|zSyLA^22abLYc1JzJU#lvsOoSzP42?JOY--l_-p7#K#D`y0X7rwf z^0N5qQITixRbYh$+!--M1IC4PjIK@KFpuW)na zIn@%-)+KEu zok=u#%~%OBH7H~zG-?qsLjoa_i-SS}dkX{F$+H(?;vu%bpOayuAmxw%cC4SgvY1h5 z`)lBXf}jE;Dvp`f+9DhoIkbBxTs>@RvJ|b zBhPeCQZe6FFafr2dGamaK;_|IuJo@N`C?_QBcXe%YA#1Y=eG-#-Zu+ltKC;74sPJ% z`krskIJo4#=WGX_6pOZV@JdK|JY`U2x7bNU2}$eYlaOV3-SW7?L}kJ6&z*d_+Z#{d z!V`z$9tL8=!Akm9m(nt`KpBglDymSpe1?i-HjvQ63@}CV)O=f|#$<@(!8j4FsQszI zhyJ`#Z04vDs@J=Rk0$()XEJw#BAZ9p)POa^{vf3I&BZ&DBUFS$A-oL*aWFb7e`N%e zonCh@sR;XUxp{npnR+qKl?!~03n&@dc|r%?O+dHHo&Nak1J31qOUNfB_M28{go_Co z7Q%P~_yhtVNtD`TL#|wLVYu z`?u#)E#AsKrT*VEKitBqG!v1g<=p{-{aZq;_}k%e#SSU!)N{BbH73PY#F+)xsHuCm;Zkm`A`hat;AxsL2Ai|ZnrNcp87Z)O2+#-H-b zHRbUh+CGANkv4xD&uRL@gDNSC$=o-=f{@&pFp?Ij1Ec4EW_@P{=y?0ZsfHD zs-(`1gZI8jxy;Zu%+YGN$i5Z%)Q5LD#xS_ZmiF?PjU;{V2T3}U-$bO!PFQk<6q}%; z?Zo5X?!G8LSzdA&wY@Sa%KU~Ji>*Z5F=K%qLZ7P^Md~!{Yo>Uq-BiXaivte9oU!CR(%H#aT24 z=xj9xe&2^Kb_$$WjgBzOf$2h}F6CixX;z*)i2j2n=(Sp{>0P@c$!9|`xX~9^?`h>} zLL`Fm$NaVC5LfJ)UXZv5z$*N?C&lw0zm9(lcVv6BB5}wkiYK})VknF489C#tx%{}Q{XvF(AQ}5vD#NR^KS?j< zlU>{=y9949vbNy+i7z~UWmFh{JG&?(Z>8s|;cnF4IXxjufx}2?*>-J*@G6Il5gCr} zFR9Lmkwf>_@5JTVhm1byi?nOvrRa&aPs&oWmpU9W=H~AwO7rj-H7`6eI)6R>aa`h& zXr&SB1?`C82eLvau_Ui-Z2vJs@E-n{Ne?u z6kPqjib$d74*z;`LjOf|)Qu73Q4a#W&r4317Jq*&xGjRFgt0?iZYo#G!)*r+sJZoA zK6my0g5eRT4y<>a|4*u+QJZ5>oBO2EC?`{nUb3pF+od;~#)*0v zyk7K*%%uY2Y5ZIAl|wYxrEuA$NcvS!`vH|MZk4e^ck3%`{3qADQk?R*0dwlaHT6Wt zdu0AT~mA8gst}m7QDcIGYYtXXigv)ychb#*t4;OW@BhWBm95 zMLy$(uB)XB9M3%fBXbj@yi36LKmsZz zIw@xi(fa0>$g8zUHyKvOiJ<4kuw))ugkNNQQa#d;Sbo!W_PdMN$3;w9WgAI4PO864 zg6ShaGQk0Rx$nPGjm_*gBVUbm16eh5Ff?<*Gz3wK97Fz9jM9(Bq&}wbW8;#iQ9qV`54JE$xMNvTGAm`lvQ36S|3F`o2fsqdkO$ z=(SQEgJaJ9`h_(uPcSAOJrX#c<4W}bTUi+Z?A42WxbPxY!Z40_eO-9RiKcGI9;&Ci zK=lkhsfaX;4Us_P#aM!$sv~>kQeecQW1RQEAEDO_!UgHH<$_oC+7^W&TD~ay&qSQh z*tK6egI?S~Z=>OIoj4IL=wT-VWd1!wy66ZR`9RGCt^~<_5b5$EkE~;6trTus;s=Dg z)wxm~ZVz|nG;SNPm!aXLofdMB8N(A)4?lEkmY467v)eY{lhzpc2ijeF{1?H7$>q-23z{ zDx*>B==$Q6NQA}YPQFzstUR8mJf3dv6Q&4DR7QeN#(g+5qcQWdX*sNEIYJjz6c^Qi zf2$_4x|bVt_E?NCAlKziPk^ZNi67qnRzB<%5W-b1`-v9eXb3FY2yqN~RyV~rnK^}N zrxR(X(~)+ggqxZ;v6l-n{at)9rZ!+PvHUP+X84fRJmb|`LfXOrbUU%5W;boG>^dV- zjdQFZS=@D}Y3l117@4Rb`Fm4e8z{&7|B|nF%w2cBFOT=X{v(0Evi~S?s!ZeTt;l{J zj8B;~`pieXuL|x7`Ejeo*#VvRG-i-qzD?LRd=8!O;$GOrq%YV%<$m}qV~oleVshY4 zg4Ho9_FmfRu$n^tS|R^UlA7?n-?APp|4l3?fxogpa)iZ;%K%z&4SA&*(u!pelfzTv z9sI2c$#-jCGW0jD@p0S2hn|HGXs+ROuHi)U9AWbu@{YBSUf1@tEztGa}K)|F%{wq~;tEAmeUQACiQ!+g_yydX?pG9e!uTNn3{~1HOTZCU7-lc)a#B z11Y}{P8teMT4#G+Ydcd#IZJuD9J?(xyDibbS7&Fm@-r-b%&hK!x+M{L)PwF>6;#1s z!@1R#kUb+m0v+)6;H#kK<0U)>agFqGoAI!8(eIaF;6`|#5YbQGvN_8qqEJEDhKs1LKc5Sy(C%ZekR@*^GFcX;mr*y`7(qu>BB zbm3=2OBL$F8Q*|Rpw13h7m3o^D-l3vAKE3^gh1a28bmbClfN{p_$pw z!XlSb@u{->evLC+7O?avE5>D|{&Pj1tq&X>*25u|(Yh88Efj~}utusYC!^o6#-u#0 zYdjgp?&2+*Xy5TXbXz8u=o%;Fv|WeyN55+r$}O*uAHJLGF0^a&aymh`b(2O&bff|E zaDm6h9pI?tfLUJ-;V7O1E=Ju12)MVe-kh>ws41Djri`fJuY)F#cdz8=pK9oc3NFt!^g{@QkYgYdhs5}cOqCP_`Oawj6VZ`P>JvE~0I9!wG44DYS;tblhPmxkCN;2@0gZW!Z$^e7y;vOu zm;RV1A94*f;(2XHLit1qn+TOb@cF}|PRDZ(dKtyxd~mOoM{$;rgP7bvlTWNfKu(gl z+e2^mV?D^}yFg#DMYC=RX1{r&Tqb5*=oS;?4bDGFdH`dVgLP=PFLZf^r^dDy6kL-fU!z1${O)n{LP4`S+5(jQ-O-R8?Dk(uXxi18YzfArfO_4m@E zl-7rC8soTuy|+EFqZRaMRkpngz7cPUo=&9+r_&8_G_m1b@t-rHat``ThHylW*#*0u zP*srUXko=k5TuLeMm*qs5S8VbpM=ZvrtdrllJTV}C05HwZj>cWc{HU*{!h-5mbaBK znE!iQU*R-hA!Xo++gGusv!wYyl)VL59WlEuT3kzUYjKC-P@uSbarcFLp~Yp2OQE=X zf#U97+>5&`THGn_+-ZN=``)|HIsbW{nN0E}Yu03vNxtvRn`Be%bm$v{hxr+JPQ|xY z-+*UX&q;|KTtq`s=2y`g=lNnEl|;SIAoeWPzd_lj$&8WO(5(p=MEN@~hvdX-J|%;N zH6u*>rUZx|)q0{&t9b0Z`&6ZI=ATb^2g_`A@#59*;+9TMOS_qiH4P9As+46h<)xgx zFh;jjx_}^eCuA>z^v2?^xTf;_wJ)EEGOKNZ^vC{Ejq52q*&(klZDiGd*p4)!X*0f> zNr6OfA}(|(fwl#G+3ClG%9-={d_ujOG|*rx*|nBk{Dp4`XlOIYE^Q`mv`i)i@(F?} zc=FUAgoERYfV)(manIp)1#uALZPF!Q)^{(Bao-Bo8~D`aw|Z+hR=;qp{FJi8{vLc; zB52;Qir%oyCn#LMQEI=_jrY)v!)A%#fDyp|7?Vx%Q0%wgjL6_E?z%6faU&oyvQ#3VyX*`+MLVM~c# z7g=M8?)HNY{rudkRg2@bBlJ%2TaxLDz|;xN+VezEcRuzB0@;rBHkIJqvXV@usDb%n zG`&KQ?r5~u-|58(?)EK&+xK3gI9h(b_*S|PZ%qeEMCY4L z&HzvL;LMk&^_cYz^Fc#D!Ra2i%w`ZaN*+XVbc?9xh|l~q)}#;uJHt<#aq2m`#16U0 z!UjMS*_(m)gTy!C;4HG3&AFcmX6X6KOc5)&F}_%SL?86fyViD_dJ#dRr=Y|9D-!#} z`Uiz`_oy&ZR`v<;#vfR06XMA`e_ddQLgD_1xtu`n)Tu&vQ{02{TdHd-}s_(3uTwF;b9B0=DPZ~(#6vz@HEss6n=c1d%8b% ze6i(0jc0}SfkZeS5#+(WNk^0e74RUebX=2%$|j6Zu~8Fb5c0gpQT8J2T3hQ1)D9_2 zWXb;%QU<~o|F9Y%UW9$`FQ-AWqdtliCLD744pC_#p+q7jh;CLLhwSAW*8mVZCU;ylRGNz*bw(*mD#07k$X1-=TVQf0vIdVl%RgbwT{Y^?dw z6)bW-vzvga|B-Y&D1)uhEGeNC2tk$=!6rB5C2mRp*^~hvP%-uW)G~N_3Cc~13@$w` z?pg)qV+AeDmiYANwqrcumi)Yf^IDV6GtT6xdjs>dMN}1J!V&%>Z~Uh`TnYwiZiNmf zG-5*}iM3+OMZh0X>mz)4a`s@CNrmNbY(%QWEWL4DMl4++4a(Y99ZIe?b*fsK$Z<+;vCq@U%%XpN@(IrZ8DWsQ zkwprzKPd;-7NJG*Kc%XPK#vq=jO zP~Ln80&O6-5tZKbA?;3*R3^M?VUW5B1asYyit&c#_cBxqHR-|c+X(?|4+*utYx4*b zFlU1_$IehuNfrIDU8K$nh~3O6E7I&K*i>7)s0Nn3ExV71C@U^P@Feg=x9p(A5=2x@ z@O+DjNTmtv>^?&tX;oE1>N3W&S|QTA7zXFohXUXzonD>k+z7Bs_H_?=^TQ05oadDA zIoZ=)2k?G5OY3{|HNNVao=iJ}z3iX!N5l907sy_6n;(PjMU*&0a&W)B2SJe7mlAu? zGJcntG`Q_#zSzX*^U3%OZV>Itz@+S74I2ZFtzW|x_Vk}1oBc-KQ1XOdWfF=$q=^#2 zj}pNUfYlLz<@-m}cdF=Ks%W_XZ3G~KDgY}h087n1qIelr`kGte!=>awWg|f!g9N{QUiC$2v+awJ2e7NDwyCKW zpr@Fir}*4~Tf+eyhM!Synwehv-$v9RHKZCia8q-8ygkSCd@E^Phbd|id4<+Dd)!Fx zn0oQPOtbZjE!fR}%|d(& zkQU?3(NRWsAUP`==QAALQ%E zgnbm~0eKep&Q5LU9&O&Q<`47+_InAn1$0^a96rw#LMh0Ne_P>!7&BpE+P2@q|%_79^1CJHmSXd731w4&iWN-v2Rywx}0}Z+sxo6x)rYNY_ zTChPru}^cjxX{=g;_tmc8;CtIurQ%HHhP|H1xNe#3Ii9@`1Pt10AyCRr)0Ue_Gl}a zlv;lOzjK+5OBD%i(b~_u<7WF9)8jf^zZ@(6_S%t&_)8o zQYydyC*CmZFZ;2$->jOhIk4IDd?|TP1yp_o`;o$zV9!=)4h|l@zXHjs zUOW9hK^RDXY|25AKQ`sLXOy2hO1ge8UsL!8XT0qVM!&d{&^RW~l5pN8xwQ?(U;mVV z!V+FOEikEMx_)hJ#7|$b3=pYCF)#`2z1vON;J|4b$)ZfUQFOKj$&If!H~_S!5iynz zA}lr0e}Z5L=D!55{>qU4id7HTSt|x5{F9%&S#F)NQqenuF!s+!bhA3?n#~kq&kVKN zz1t<6!=ux&qthv=3*e~>kOvuH1{uVh95Ey*-^hvJ8Lt$GB3mKtyiZO1)b-sr*@7`!T=E`~ zCR>vp@8E{f>ye{d?8ADE!`u7`MYE4n1rZLOQ#(hjL@U%%JYf@xkdJD{AL9uB8S?ut0q$QJ z!rw%giyj{Iv+kU`=$4yoY|UYe^Vr~)5OwcS0^;i=Rw=r^)5XFbD`Oukqqd66wu&>H zV9@-=$p6j{#P{&W|Bo^8)OfLZgyPR*LPFW5FX;p%YOsHM5g`eip?^tjYPtqbUM3)R zdOdRI;2CREgeVOJP?n4-xXsJ{#ad#3o@aBwEpEb?qYjUX^Zm>P{?C4 z^VzUAWRuoZf_H!%pfO6Xhen9HY@DlkTL?`sZk~y2GGsaG=C9+GoHr`*m2kzFC!Fq< zsS3?7ZcgdtdwZ4yc6`iuq~<(${&zH|Rb!|?_m4PUsZQ?ZTyxj2@fe+LwXuDo^i{no z$_dKTISp&aTH1$r$z?leaH==Wo`9V@JUe32AF3mZ$4i56AmeZ@7zxjm z*Gr&~P*3%RVy9^DJTZILezus|s6f`^y!O0qkoTLWy{!)2C}jwvfkn=U9Q8Gn*okoik77BAIhVHpPMJnF_(2v)g46cRuZ1& zTdf*lz=`Uj3kU#ZKE8<*P)j<&KqUbjzD-+oS=3FEJR}94e>^PdA%6VQ?dk7cv~q?^ zjc6$=`9qY^Igxt(RURdh>O^R4c!cVq)y1jRwfe^Cp!&vDYw>0XYVjGBWekUIve1b3 z@vbY;od17gD5B-xV=Vz!k4*uscyA)LV|3(PVD z!C$=Q{KQlvM2&<%cuyuOIHiH_gHahb(u{76x-GM4-7MvduDo(tolM;7<9vkT&& zg7tmHKNDqIaJ(T2f|3A=lsNpodhlOv;&9<>A`|LOoI(5KfxDy$y?(+Tx(N`lmA>m) zal9=&U{*VSNLu4xQin4h&~o<3x~wkeOfoXdGAAJH^qwa}1-vfw? z2dRlYf2fIMFAq1$d+*-#bti?f^D_QWa%j9te=dyssxUXsq*9G}9Sg)3KaaUlcI&#;G#XFW>?fX`caO zc8X7X?0ctYc)$hvt5T4c7(kY>sysu3c=F^TOE?F!9&$LJlqrDQFNv)Z@ ziegzK4Yb?h)N>8)qmo5tJUIjJF8|CTT0u4Y05b-W<{o!!g(isIw<*F4bxAXJ%q1@S zr8giiF_iXrzHgAf{$_(@$@}z+eQK*|n$l`c!pC_z18~r`T?8(*6^;t{a!!=m(rsab zQ3gXH-<5<_Ia(0&^5OzqOgFMLgk2v-0p1K(!uuiG04(h~jr6jxz7*toi_ya~lQ4>Gn0 zs?(37Iy!OL5KBaW3>hME@iN-*ykHg04V000e-BPhHJyW<<>r%tjW6}5+b!@28T?I$)-y=U0xRPdUiu-#2 z;03MgK(Xt98EIMg4i|{6p8ZU+h^m?O5;b6kr2ZA=WsLDf)8Xn}>8ZHb>bwvp6~CP( zg{?HFH@Q0zWQf~dW8crJJf&3+Qg-vD0Cm8nN#Xfh-zW3W} zbnbm3j7azVXiq=7I%?LTd}~mhYd4H*H~hy8xW|kjVEYqb`SXv=^`8H4x~)RjuJ=Yw z^q$|XjATa@r>l=jjEGr_MU1n+^B=rKKUo;qH~ZTwnAsM#rs76m&t5eBTn}T;#-`O@h1G=!{fL(~Lm$ym?s#S?Jd%wtjiw2q z^h+D=sWb5#3_keDrxwX6`FylMO09>moKbQOE10+oi%8ra$zqgO@E|YYy1;0{Qao_` z5?JnDWfy(AJnjI<06G`Nw`7SgYx{OS&=Uf(!}t}&P5zrezHe@t%IO%Nvcwv5NvT{0*kB>g5pwB>D{r;gfc%J z^Q(@?xw)}qIzy{J$1U~luHJkgz&VXiK7Mfk0U;M(%yAaiKTi4~!Q) ziIMs^PawbzGPVqC${Fn-eabd1vaS8bJ#ZLYA)N;=mU|*Peq)CEmoFuGNvj@ zn=f(nW}p0!p~>^0zRn0u1_wt#=S!itDL6O+#s+9u4e`*rR#=>Qcc+qC4)G}4>{K&A zzfZ8to`?iGDuAq|&6kaurWggM&(r5bz(A!bVq7*z+>*%{qE@!Cp^Sc;;s{hm{C&$` z9G6>oN3W!B5D$Kt>{=7>+p(i8_JX9X&6n9JcXJ7j-&xu%i@o7oe|v9z^MYBUx2DE)f?KkPZwlfmUs-WcRaa2x@5NX-CsOqlJ;F3 zmv>}#-1I-p&DOVDoIzcHv5o7|ZQu!J-Qejsb@<-RHWRqte0sQ*b@hy05gf!&s&1iM z`1vQ4k!j|pRNWnSa3t&M{wMUbCF?pl`0nk3EFJ%@8C}LfUR=h<>bQ6RP52~B=Ovmk z(os$%xU|Teae&}*dX4t6nqYeHexKOo(Pb2{dT80U+jcZms_tufB-?}EzB!D0bxs}P z6$_WS@m|UK`=1b!;NZ{9jZbuZ%Wwef#Eu73;i?feIaueTC*8(r9HoW!y0*DLA?uh<8w1R-h8;KI9kGNlX?Y~-sr>etbT`2I#&8q(w&lLx@FI%;K@b*1Mk(7*W2mP$^;98`Q*5#YvISI(~1iFn@2zFh-S!112}a(J7<2 z3$*>~J$jqtS#>&cAqI?QrUl`$VOnH}R)s1Gc@W_uMc*8Vve(BWJU9kB``&Dc+E=~V zmsUaHeze~+iCj|lkLdPfgQEum^Xv_)<{UJNJ$|Pgav9Os+Wwe;3eeR5tlgPVtYS&n z7@^XM1n*x!uO!W2VNk3O^fhQHO%4&W1xwJhzOx1e-(c>v!{(h_C@yHQ?FfU&Lxno(Z)vZkp!)+}X zN8Yi0r*(XW)m&{d%wx1-PP<2B89C~qirY<&=N^eE9RYOtn9zHD%8%R^N{J`3ap$b} zTZn_*YdQ}kcT?*%Ghc}WN)Q?ys|qcj)2ilI5EfZtd8NGdZZNFxVcHU+&%^9cQ(ukLV)3ua18H$+ettCq6G(^v*b}v%h`vSsRzc z1j@x`bn`^c*4%NW4=x--Epo5n-7d9;XI+k;n2X_C6s|f*#RF$CK4;7xvGN$?a#G{^ zT^YuvWYU04KlIvJqB$_#RfA)sS>22J#hgU5L`4#?T_nXGGbF318zHfE^yO_zC4@Yv z+aID#ieV8hy5eN4elP)3{}OAQrVhC#$tIU#%1bi%TV&fhJ(bEq9pLJTi<`9RUE_&g zl~mq!W#d9$vFhWKA9Q=AhbJzh<-(zb&H>JZ`Te%Z_fy*FyDFAV@riYpa0;<})ecs% zy3Y`x;)3dquu$0+f2AMK%jxI#;*PU(dwh2_*daTxx1f95&JNe@inZN9ywnY%#0-)i zC51c?Kd<&Cj|x@$IgOvb*EonuWU*J@S>HlH&fOx`klhA!wU*(BOHz-IK3k!HfId zfQ!Sh?GmL@Dqp8^7a8dnGtk36(>+oSfcnKqIbnQA_g9FrTe>A4oM5yh8(c;#Zq1wn z+UqJqij(v<CFJLd>0ydXk!}XF)3j}ai%b4ap&C1V zOzCH$c$wK=g}m4~l>+c(PNhMVDLwbYMGrd(Ea5$$5lPKYva!#l7llN2R6&6ku*Apx zoS!FoYglHY4vP&dl4W|o-_lZIYb}4qQiE4XLgD`Wgy5sY9^KVm3O=WgPF2CGS&Gcs zLlGStoB{Zp1&W2WzVb-Hwry}{U>_p|9xE{HjCAlB1>XkNr zUgr|Yh$QNA7P9JPsvkqle{>1$*m*w=q0}I81Ka8z(gkD@KDJL*h%ShV9R3ac zZ&c8^WN$6Nq$GL>`QDmUkroC+D;jed(F5q+bj)lxMLXt7aJ90?W`&B$q8`)DBiUPK z3?m|FW-cRuVc)lH_6%~nk{kp>M?;4A1>2_x;*b=Xro60;$z8sAwtA`h((!|!t*JfR zmlbWX#L{0xY_Qb*Aa`u|EZ0``FI+qQqr{X;CbgzB)s&n$P>0ei6B;$aZ_FtvQxdFo zKuHF|s6?@B+%6VYSSk%A5*65bW%ILn4s|(5!UKEgn%|LENy}u}$EkH-z)A-b3!zmN zRb-Wr=#n&W6f>a3Kh(3ORaGfPyO05MAo(W;^?!0eGeY`2x{bnvNYD2PUaVupDVIZdM}*y1UYnWGKxOg1-cgD zRv*?Tds$Kf8gm~qH{ZAT&K9*qowcYE$X!xX0#I}4T%VP|H+<%R!eI%5&2u{gr1!YQ z9QnfYE!*#Sq$;-YY*yWx*%vvOcMOe&u@`6(j`lSft-l(qd()LZ&wkh{6_L;jpvGC6 zhbn3HIyD!=Jz4pLx!Z&gu9Q)K0zxJBRmQ{%kiSx_-?@>Dau-HjV%ih=I!d&ZPD0u} zkd<-_Ol=Uz@5*Fh2liEPlLBPSoQ3^*E+pYO9{6)Un9Tu(H+1i3_;Oj|%6}gsd8?Es%SiIIV$h9n;}m&MF?i8NNjxpjNyjI{%XK;S z;05Te^ZW|$yxVpnd&yv2?b4HQgiB6|q;IQ2CT(3G)j6s7EWFKCz6<5!sIpC#*&MoD zAm{H?(Zk=FsJ*1jYk&`dghU#5vCdyTa=-rZCC()z zH;u^9Hia8#$y83ymN|YS280y1*Uj`?SJ>}e*t1MO>>2ah#twZNJ{KcIDn2A4R6w~{ zIZ0Ln2rW;M$b$bKcsQ-E*5r2LRYxZcV$?uq zR06wN4wq}gws$m%dRyy)oq=1sZAG#JNg~ie0w6?Q3YqW)?tWDB#sQ-ZQ^YfO9q2XA zlh3OcD_KoI;<%$-i5&~rfu(QCL!xhon0oqP7Yl?1ZfZInQ!Z*ga`W7tku}|4-gd(3 z(v!L+;(y`>mw>F_jWI*y9S|7qAmLqgQnq?6v+{13oS4H;36`GA%xrr@$J&A;u@2SI zh@sYe4gHf?3pFoH_INpasRUCk^p$HL-XgIXkwgnX(^$H7{j-DzT4sYl`_`q#xBI!D z)qwu0!1ig#wz7EgqIUtc`WN-6ga|8Pq%R2!wYH_1a69>EPYyl`I)g@yPtzi2H5y6e zT!|j4s>hi=(hqEh`ph;|ANIE%8gFq(le|^LH+FJ;6`J9Ok+b(Oi8)3Koye4OR5YQ_ zp)Kx!f~&ppi;4==YcZM&6r&kXhra%vR*U*PWn`%hbor++qQoe1T90x9>qk~UK&s`x zvIl|La7CuRYb(;jTD;B0r#ttIFE{fxNeTX_6B?|5Ufd@V+=F-FMMZ3j%UyFBZHHn5 z2j<^FUZSPl4kz@|tHz$f(>m7&s3@NWxIW~LwlyONwQ41$vh4R??alft?Cy^0UW_0v zX4MgO0FKd-NGB~F5B(i?DcmW_woswRFzlypqsPaN$KH-x?=UyVm@N8Z;m6Oy4=>ma zk6t{jcX$JQR_TjHD=+n7O2s(>&L)Lpb}WsdlluA$3o;kfc|3C5Mmelp)4nCZy{`Xm zfGL_ycF(XZo4y)4xuing#&}Sku^|m3t?H>_)gRTWsM~Gdg?^&pN%xqFBGnsxz;Qm8 z+*dW4?xKa!%OMPJgbJr<5hpMN&Fs^FbT&37%k#0xP}vM`Zq%*FV(^)pxyMHQsu=)ooYL>`1hC6FKW8wPYn8hqE4&OJtSO&d8fs~qE=-o$ z^nG&fC_%{!jZ}h9A#eF^q9%bV`9|W4azW0R;)nfK-!*4j|CF8m zBcIkcFYM)K7KJV8x##ll^vZ=HE1dhP4@=kbbyS=&;e!I77lx;QdI1-26Wl}ecJ~uQ z+n{ZiujMd_9p{CAK{7bvPLE2nBzDY)o*XjlJaUE*nArSf{I^Gr3zEG*XvNK|ERLxv zw6E-2Cf?|PUr+q481cpahJ*Dk^Gxe$j!!vjs8*g;>2@f!Nf^D@tKz<@>t;e z)fy`7XQZu5VihQ9A(JZ!YhkhuyUbo=V?ab@3HQtC8sazBhDVWLp&2{-d?G30B|q}$5@3*UQ3& zuZ+74p=d~%J;NF-29Pkm8e5gykMFBy(@fq1)j#N=Z{wab(LzfN>orIE2x2tkXY|@T zm{wRxpmcM-HS4|WxnM!K9Vekf--7wn#nTh4Sg{NZm1w^(D^8Zlr~cOAg-#U{Gc97Z z9g#PiW3-@{STH{>0+lOzpv-LK{-jX-A}|vYwy4cMXgc1tG`q(q+J|I8wd4n%{Qz{O zTzhjTN!cD+ZtA)``JWCoJf*y5T5y5ck~Z)4@yUu| zlI^PbO`xq6?gJNF*Q>DS5|!gCuK~>UGu5WdhHcm?m9|+p|MXF+QUG@WxN$x?DYeR+ z$P8D z>@nCaYpI+#3{A0_b>B_I*Sm4i0=w1B-F9;sOUWraKaH6?wdHMDOg%;n`^rP6 znX{4vzeuvabzpNuOS1hTdi=Xsa zSF}8nkB(0#T2H$Vg85Etmp?}HPf5QdvH17P(~O$+E*TxSH@>8jSFDe^s8%0bnd!Dv zjCH(9bV&5KOc-zZWdP_=n2_9?A&%`?JWXdV@LCY(8J@p}u&RX|&kiT@9&-k{wd(Iu z43T8=v%r*2<+xedA9B8WVXzVNy?NmGU?o|McNBwGj#^-{oxA~Qs4Q{PV=)3 zsQFSj(+CKZ#N!53ZqV4jPxK(00D>%?L4?~ z(X5w4A2@9jJwtpK%>3{4M4`_N?+B)CMrLI-Y`CAXsvWuQpFUKJ@Ynb^C19dzd2+bp z??w|%r)5bVnD3pecrIXX6TNenhBj!kj^n~Ea5Ud0&E>)MHmeT`IzvFji*eYMARa;F zapfx1|27t43fxszyn(K)$d2`qS5@IL#Pyj*BCkPJrou&a9$d##8%qbXSD8O~e+b$a zBx)7Jr~?rw3GHH!Xd zUMe`>rB{Rz?*=0?k2V0i3IQ*`)6@vWE}#U`^1aRAs=MLI=FtO(4G%Qx)+3iBP5K`! zC~4BtN)ar`C5g=uX;k;w0v3dD4M>ZWec*FgdOqTyzc6y5ei^&BZC2z%kn>il-d^?So5p3?eEa7_uJU9%0{#jENN?Yp%d)l% z4+7@d$8ui(L*Y%RSwn(nDA+W5c~38aXwX4ZxM)}&jfGN%thCIfU~9h{lvpk)YSC8R z4tg)Y$w2FN`&Pq|@^02>@)L+lEUF(cJYNEWkf(30b>L5qtd_#tBHBitKYq<$tg()e zAfH2mz7u%8QvqTHKcGd+zgW7xG!~#i)z4ZlSqu9{B;+oS*BG7|ep^1C*;LkaovBKy zL~hqQBFln4#9qF=Iyd)9&f;3A@43hmO4!_O*`U5!f_TJbYp~Y$k1t2L6@JnIp&Q^< zG(1dw^sTYxzv|Cs`G%l70o_$YY&Gu75I9PSh5h#;8w31TGs@|-m?LN<3+SPtJv8r_ zUA22fQ29F`O4hugn0~jvjPnRv`BR>8j#J4oTrLjvV=s+3pK(T(WIUw`*J&#RSnpa53|vbV5k2A)+eVZ28w8)BiOu?zK~GHgt~w*K4>2? zT(oVQSNvktbj;Hz7J~TvzUPZG1&1QsXJaIz*W-~>d9uA5KP(oQEq%atP0N~Ar1B_4 z)3gz?U%orAq6OkMM&K_dz){NtxQ?!AAEk*(-oX=lK z44$z{>L~q`2Yq7ZLO+@pDhMC(u7+h3RoX;B;uqr(&Ws)Oc=Wi>ZUxVG*Ny73m85p~ zs>3Xhix(arh6!&7XYVf`cwy$eE6e=&o3;<5 z4_bn?gBKihUfV8@2e{TF3s@gD`cI2zYvAg=tjKeuY^!Z~4tX$;M~$ju$6?^H^Un)C zO#9sElk)S5q=0VJM`-^n7w*y@q$U~{CBdnkk(}L=%G)EA zTiHsz%4qbx2MEpG*eQo?hM<-mt4oE5H7zFRaq+IH4^hehD%}5knI-&CAb#0%9f3t5LbNJ)n*eOQB?3Z~Lp-XY8BYmiw0Tc}3W;Wx zVUQMkPGCR?E5iH*OdAtucGOYUlEq{U$Cd>V&7$PXxm~??NU)88O$gpV=-?ByOnT$$ z@taS^@Y})h>~_Ya>wfv$uFyh4AvF6>M6<85djIt;iyLtq9J}rgSF=Kb$2eBA@@NOgU8 zHBudBA8d9kpz5e*XSqTR)n$)|&C+6jjkWXze8$@t2&=m(p%Q@iG7Fnda1XS#HYd-5 zAQg1t^mCaDs~2X9Y~l>ljGV8r^g@YdeH*y2r(rLhEm%#(8-tLWJqKj>Cj1W+V}-&( zB}jd_Ya_rxogTfrkKtwU1sVzccKKZSPDula-+6{bJr0Y$vEP|SraJQW9nZv(!LH|k zjW!fdd>Cut4v5sJv(ToC9(s8B{&ay1mK&HiP5ICtQ>xE?BQ!t5Gt&h&k8j%RA*Yhn zJVFNV-pvWgk*bX2VZ}5SUy|a+`OGav>R4Y1^eUrzRzb8W_rk%@O8|B?YBJ*(pboP%c|G)SvHCVeUL6-9!vv4WA9!(PL%T374fpXd6wdJNA;?8dGs4RZ<$5ajNtE@c-PrGt5{Uvyo-WsyC~BuFMq9)th88XFZ` z#;^sih}wb@uZO3pdTG%jShbMq&s%T41!#Dz=k{(|hFtVtb0*6e_=J3|%WuiTL$z4{ zRrUK#gLim!`CD;XTR`PrCtg)S^TMW%ro5TezRudM^(@zgQhU*XfLa^79*r|*$e|Wy z9-A{3w!|!$=8|8in9+%?)Z?XGmQXJ`_cPUS6Q*1mi5mw%uj}@uo@3SC)Kc@%D6lJ3 znk7l#JAz6{iwvlnR2y#h-9f@e zBp4a&Z6d8Y1 z^)vsasFc!-K?9JjDpUDR?^k<|+wuiQ(z4q3i*`pHl3-6Iu?q{@xq&;b*DiB<>@mf2 zl|33vl-dQ%SkA_Z!D~Hk7e{;_vi9z0)Ku{S+^hhJb~>4qZ7a&teBe9Y^h*jXl;3x< zJmE3wLu0*HBd*q)rzOmx#*v-GcyT;Q^a1(FVbe4v6})Qnm#}SLV&YsLBEF>^w0|Q^ zL~he$FBP26gA}5~L+ZIvH+$zPi7NAw&BtEaeF`l_77f1B7E# z=5NU|Gek7mxa>L^;Z8eba-c15etoFzW#Q}#ZDQXKAWhD}-HRhi3}x;{w~ZSzO3|ctad)bok_Q7i$hf_!A!&UOS$TnDr0Ns@DNFPZMxVdE0L!GVA zV^@1@#n;yP*Ot_mOJf~bs#`cF0;A4CkbPdutobxb%7&7`DP`EfMqu<>FFcZeM_>$F zLIkozfb|*$3N}=PQb6y`xj&7c3qx?7REtS4 zu?T}jp&~s{HG;!ZVm}A93Ng=Ab~l@ap16YW$A{Yo+T!e8sN$2eaRKEIkTNKVfqqmv&0fhW#T$pF^3Vt%k>_WTym zR*77O6ppxFYeeaV56WIUq5A)Md+W48Ds+arRQ~+7L*gUzt6%-sd~$6?7iECH@5z`~2y}BqHGgvbEn?^RyEX zyQ~C^)v6S5>9u>vpVXj0p1x9JXk0E4m$z^ohM_*)i~*0}_5=6Z!l3tQ?7_pMVQoy2 z>S_3C@$stSX$|!>{T0of${`Q>=2qGd;oEdJgpMcpjx1$30ntbIE0pz&@NT0^;Un9} z)04PgmETVLukwW(Uu4QVQ&A{+efHnaIsY?`K??F!Qhd3^>Kufajc$n_e1N>1zY)XgqCGEGEw&>GkOm3lkpG#5)r ztD0k!v!Z0$M+3JJv*YA2M9+>AuO5)a-XW&E{kZAS*z_Z=^A5`V!)5P*4uCUQMKV~; z{yl+sm17`cQ%{#La8PHz?&_4Euut%O0B`3`XA~={*)ZINAuAOk=b*B!jvvE!cgvJOT4!5A{tf$jBM%=S=JhQnrL>9`FG7rC+a=dLEPM)q_Yz41& zncF&JI0i{Qx8O)Mhm3N?ITi6I3@G$5OqhuR{K|Gw9tejbpmxBo z464jGeknWho+>#DQAF_rZ9ZGaCA){jZ@5veE?0%fsetu+83}4B+u!aY3VnMMy6WIi zdu*8njig9wX=Dt-yB0hMh9LB$PwKfT+4-K##bY(@{(~O(IR#EAoO@m;Msi;UxBv8h1Z1 z4T+_=)Gd=W2fLvrdzPP#d4w`CnaQFVGQ}8zq8YRlwkES)bKLu%KGThegeS&)`ypx* z8;2WjW!Fgml4bbFi7$LX`%(p80jwBh*89&UwC(l{A-|ZBA>_S!L_OI8tGE=G(`gM_ zEY&L)<+Uo^2NR}Tp0&W?Z}at2gWJaRzVG^dmbnbT`vsGs{(E`fjM<8AwK!IypZghi zXM2{x*H1$CcPB@Lz*S9anbUgX4HdM_XBIjMaf?pN3n%QDKcKY#=pEszUp#*{pjcEC zadUNDj3EoWOPHuL%c{81CCstX~5$1Z(OqV%abwo<+%^(#@eRhiX72hFFesZMV@zC{( zDSK+9qYTXt0Os=q*j2viM$aF2NCuG$FAHTaE9p@YoN2L{TIIx7>#so)#l{^j3#hm@ zyq!ey)YH-Dvu%l4Xq&5^s`W^p zB(<{(xJ_+2SZ&IaMcZU-&{4E2WCskB2c zC|rZzXCl++>Rnbw?Z>B{OsIR;a??wt>$OayW|GHKBrjj_wW8g*gPXd+eVtJi3%48H zpiQ!$dKN)|Wzk@^gpD;=4JHXV$Kk=XysfxNYfQ6pzP z8yZImEgG~rQ^-afsw^nYT|aZj-E=UmfkF%k zsSo5F#c(kb;H_>&%ml#y0Pp|zl4KBxN&x)vuJf*N!uNWRhi2w!ClQMa%*cW78}8X3 zg2`D6?&}zxq*OnYnT!;fNVi}ZbOZ<{ z?bxbI8|Cud63--Me?g^8%KCze3S7^CvSaDeBlOPBi}j3)i**vu`-7+u?eyKRUp+hm+Acdme=_aJy7B94DV| zN7Se%F^%yNjy6y8`gMVAsN6dm?o+!E8_L|t49e4Zkr_=%l=;A zokO=J$r@E1Rfh9A(*Zx9AF5TL;?ykl$OD@4Xu3EyWLp+*YuWVrqB&-SBpAmj4LhYR+kAa4y&`q#606~GYRqm)dbwUHYoT7L(LT$!);{a~l910t z{r^MSTR_FJbnU_sf(#Q}hF}2#fuMs0LV(~J+?~PQCDD<--!pp*0Vy>fN4P4#Xue*H49YM5{r);v{Sy6%1tLSjWj3dr+krrH$0dC zoVLp4K}BS4Cd-xZmNmarvmmoDZ^X2X^P8)QMgg}!fsA!oi!IY{HTQvE{WX^{$S=Sx zE;e=cqrm)U`FCsRyoW5ngIE9MXXrcW-Seol^^NhM^Y(Pf;W>fSHw**y^1C19SB(mL zr>umge0X`Y{OdbP&p(}iht++6z8fe%3%TH!^s%mAjC&oF4U)-&vtRSJ(K)k^2@MO50899E3N{$6}-dE!q!IY6>)5*frrEllsVx9^4N5yAPy$Dk# z3n!jySRRgVsoGF2S0A3M(V6?o*6`ewRL?cYnsEqTt~1E8?kQEYs_(mBT{66-YNB9_ zWsvniP`D)S@O(T~84|r_?Q0#0YwDq0p=kG(tJ)yTD^r245U4$aZ>a+N+OP#Vokp4zu++P4Y^+?@}&dxfXt zNvLxw7X7P0>QroC`Q^Ye?qdAY#dzTW#C!k(_qH#=+x;T_WRbbzz?3&s1N+Hs5tqLQ z3XYE(%oPPp@RrnXt?tN}jl6*1P+A@*1mUD3*Yijf?>nLIo&V@Ps4p}ij#TgUSC=qR zSIZUh+N)*!rcd4G8R1`>9c}PDfcw4TS7F7%did=n6S1km;ZN>I;ccjj`*iHYk_N#X z`<%urZkTlJg#Q-|`9Df+Sag>(5r54Atn;69acobU# z1OsVEA&EZOuxY}^n!bH~lqNL%`^usHlFui-gGKmq==^I(EAkli@$!(pV)VKi`|9g? z+iqt$D&=8Iw2;Ws_Fo=^muvBECoPeFKMRl6kzUt_ic(yc-xVuHf4kQ0@U1R8ocult zXK+XMe~}v3NI4HpxttS-y_|dQ^2$6>ey$DKJblE{6n^;RqUDhk=Y9jO4uT-o@$#K? z+P$!>i{n%o?cyvB%bvnl1yWo^u_yLs9RiHL4_1}D4tz5^{9?cOv*&oLj?4(>A9{4i z;x5K{M3`A|pQc_|1xk2jh&gIHIK=3@LuXd+J}4D9A&vyQc>|ZSlB`_ImCtSFN)^pW zH)_%JQ7>8OhEm15E#kP9yLLS-uVlr5Yl-pr;im(&aA3#I28=V$AGC%6FfV<4QP$W> z6rSKr^NB-+i?QnaqM!9B)Y@<}0xS77iEKDQW-8a+$T-1ACb%{9(xBan)W|0QF1wBw z#_@_HvA~1i+XWqd=#-$QbRE~JL>af0MdIGVM5QWtbSvovaYXzI|TFL`6)uMboQ zq|j}@2>6+c$uO?uF+8fTRceRh+_^8-cZNusl^I*({q~-(Hd)jzXzX-uNVdVfKA1=3 z6~@u~ZqcG+kFsBq6)%g90qFB=!vP>i75q;%)Pd1kvHxb8>Fahv2h!gC{N3s)?uf$l zu)-OKfEkxSV)wS%@U|LGjVioGm48^FcUZxa-{ujMHySLD=otBkPZTkd@-dkrE*25Q zb!)`A$C0qtm|~Ke6>|>g-nH&ujZeJ_MQZWSIXI1BT(*TyIQ z^kVpt(7twV>CRnH!*@$-g-vC?dGP9iG*|3{m+ty(yVDV{3FLhKvk^J280T5iaj2~8 zP@d@>9*U>Q~$ zA1&EFe5+JLPtmvhPYmn`3{A*m1fA?dcb|q(`gI1*}ay&N zRa174`^iZCdf~f-H$pVniLit!_AG20s-mwfyJ0+dYU|!U96tt9-%MiozcuHJj&UeL zPwt;|Yy4~Zn^B!z2pD;qP_BOg-GSJQLU*ifmRb%p^Uq5B=6YW?ilE!!W0 zaL~b}sYhyVnOr(OZy*KK$$g`T7Zy2=s9f;!iqz_akJ+edT6 zM-%rzpXWedaMG+x zx=3mC-2-u_{gntZHRbfo#IEYaqg!Z*z%S{0ZJDA_?&$PGz^B{jB0-Qboq0Joge<`FB4BZAw~ zRAV8gX254|$-nEi5$*LHg&ns&gxm~S>v)BXT~9qb{@omlhXpT#XaWwD&dXKPB!i(Rir9}#~NMQ@(4DMc!0a)~r^L}JQDrcuXrWD!`Dw`wFd zCsh(}sc^AVNniSGH96P4`RTGjd%Y5UbvW1OQs*F@FC}rX>$`{<&jx?WBNL>Jbb5+I za@gvn$}jy6-bWI%@-4jNV@T-u59Fs98%3^I*|{Rb%w&>fkjjN9!AND#;mYM0uo(M> zpmnc7#Pb06!8cZaN&bdgUz?rtQ#MN9q~A3xkOLOjY&I1@>c2o?e4sBe*&kbhzCVtc5GmZw3%S}L;O&~54yUxN6i+de#z&m^@!nCof=4On@Fn$m=rv&JaQce>ybX8M^u2_hP<5XxXw^;EF$Kv#O{|nTngYcCVx(+f#AQojF&AVJyN48t$ut$^# z1#`*dZakDfQo2Sy*H;A7kM!aGUa{l9jH?XdW7;^jF1K~urc{87hR{}~7e?_n0t_a` z&suwBrKf%@NNqTND2c`OZDtMmcaP#8{vphi+>QXWCA@#IH= zNYkkkK82S1>#La8Yg?;bLnH=5D$CZNB>HNSfg9yWN|!=?37HT!hO7A(^Y*MKb}M`O zJi20iW$(aW1@pQ}yw~8@gpzkJ==dXvn;F3$r}!<8KY<{063mzpbXPXd#;OROBG>h{ ziUD>wwsB~fh>hxw#k12}-7MPQDW2C7e~>=8WNa?w(i9KgC7Ql4Jfk`M&{JEG$IAJ^U!)Q;Ex9{%=KRIwUN7=NO`kK>uhyeJ{K} zyW*v%vRYTTv$UtO{Qnzy*%j^ua#8OJw$hk|CJn%!tk}W{av$g zHM?-Nv3s>Nrzq+SDOT($W)t>_-w9rKEi6AjT*(+^dd2@#+k<;5=yS=njhFN2ItAO_ z{+@PN==hV*6_05)2tFTqGJR`%R*@oorknY^-mH)ao-pIBmTDa8ZKlsvdSn?0jwyMB4u+e+IpNC{e!u_i(OO|Wvu1r z$n@t^8YtC`2}uo2L`R~jU}3<_B*!WLu=wDN8Qfjlr*WDs)HQS~N$lR~8W>b-wF-O& z%uPmRySWz0)SU78rSQCX)bQFj9pLsu2bLx!6gp}W96D9wT#Z1qI&Ihcf1c06;jml`|n@JcM(|(b&6Q z$p~6vvvSV~%#_w_^+|(ggmIq7D?GFGJ9EAaTFVxPG;bZEH2URhVfJ*rP+bqygecWd zY`Y%noe)LDME?F4LCe?_>5;vlcOf#NHJMY^H`XwY&MmG|!5Io*W4C{R%Jum5g7(BW zfz0~Og+L8kVs4TMErCmY5AsWLTekXw9P^=a1vil}`S#FH&p{_P31N)N8dH;f+*nd_ z!%F*FrcETq`r&y#%$n$35(?_&05`~5co?V^-ma6iI-$KHByHEWK=l}R18Vd~LDgUrl4O0&l5tpm8U{D!(Pz~&j5O0~1VI>G~Nl6MR z8v#!-sT<03d+YuSgT8v?A`4lGZCW#XYr<|y!uL#u{@DAi^f@obmd6C7U)~}0vt&>) zK^=8{j7v%2!)Y|+)8_Q|()X^m-OK=;u88>wB$z766Mb%Ykw*Z=6Mc9cccNuM!{vmt zG((+uqn!1Cjps7}Ngq=I`^XVL)LiLe{TVJp_Ps_Dajr4O8Gl5@9Kp@-q<)_I&CJ`4 zX7%^{1hI|wM_1B4u`R%7wnzs2jmx^WnIdOMvBr~G0)bDbHf0T}YU3bT8EM)-KT0Te zP~njwDvP+>n<}Ll!OmC3;7J!ibV0V=pw{ zHsi}zwm{6;k90@B%SB%e|I)@NZrsVo%OSv3!MKPV^rJgMduY^+Ut12Fa@=mlw(X98 zbH9I0w(=hK?MMeKTB8=NI0T4ndOzg;x+M0m4+mO(emUxXen{9h8P2Sy*G0SJDVF9? zn=szQSewZL=xoy2F0Hx$V3?1bF67=N`WOR|d@`uXD>$j>2Yn5UgA<&{#%C4}!7Rf= zd;dC})w(Hn_e6=o91XKcK{v&YSXaS}cpx^(7nyK4o{=&x+kRoWVsKCi+;PuXcJW4*d5{@nkePS6@85Mn#jZ3nLxNH~o9}v_Mm2z>_KJ)PgNc>mR&a&`x{z{>D z&se#9Dvnl;krF6gvhmhHt}()pH|R5?^*r#qcF1~dr&)R~OETbP$tq9J>CF*2N?NO($je;!I_{N@nc(yrN{-pO`Mjws@`dkjUUG`D9$RrdVZ{kzeXBBD=~g9f zZpp-Pen%v{y8-w!)&HJfa&B^TYSJ^TZPY7QWMea=*4AS~8Gu7y&%lzWHDeTB5@#vgim4z_Ra=&Eggv( z?=>p%k$lcJa7hWartZh!V&nm5;TCGmYK|cJjlP48pfR6MeV2 zUF~5~G#~cSFQp{{JgbwSe41%*&%hIs(4ET6SZcF1@C-ap5^yVbk*mi~Gqtmw8zUKK{Lb5@<+)PM zSGXLlt-zWLC-Ze>CU1wID#V;!Q<)FR;Wru;f+B}+C}oEf)0S!_ZK(FCQ2^l9Jn9{7%gx6?5>Sv7(hvrr$|v7)q^oO!Tr|LM9@ zi>GkiXKRCh!QD%;n8%p9z`~-y!Xr5|BPDar*vsAA%big9;>-U(x~ld`DqPZ&h$ z6+_5T2E`Dvn}`7Uq$m8~{z-#t_*6|gS-XE4A)ap=nC?_ofBH7uG|Oru-r{mOxFFxU zAm1xF>3wF>J|#{(3J|-(e4i~VrUiGM!3My--1|ZyKKb$rxA>S_zhsi?bWN#oUkMIu zx%>Tl7b)-8#C_-gmsREJX42e1uEm!v~f>kQoVeP{#g>`_Z~uldIO}9pQ&jp zK}ALr)^dYOn5`T&nGy-ZE+&XHhlw7ja}M=(CdRPC0XY^P0%5%iJY(OIjZON>P{VnmCpQN z)g)|76g$+A{}a>>HE;wz>%u?l@{2o2P%m3BnJW${y^_uj9Kxk*kpC%j_2lFc@{8a{ zYG=i2C&m3v!H<1{A2CiIk^c$IsMjUntyq;nSda|PLk-WoMC(*+sReJ_JiPPOq`+Y+ zr1LO&!w~{crs8Vi?@#;TMc2mK!E#~y1u8A=M6!j zTs*El&bXiUNvGMJmn_bX9h{AZ2OAigZ2rtoZM+<@a{?!Hsr80i^@sCCW*8m@v5NDG zKy{I6-z^aU{+MgN);?iIe!3i_cJ8Ql>e%nR9PGP%f^kv;J1L>~UV->t0kRBp>KHT* z%t3Rj4BgD15}F#v{Aoxi=JC4SkFI|1_Ost;Xmht+%fU#oWN^r|s!k9)W>$ys8GpQs zD0E&ZK1~d&SK#BA0z8;r2sIiiExFEAn>sCLrYcUdQ-2rnyjXomr8jh^eAN{n*WUW} zQ@5EA2P`i=s3LSxcql|fkNtyCe$Q>HI5 zBpue$;aL=4HiLs|ErV)3VPA5|zAPC_hnxQi)~L>#Lcf=RkB;^`j2pcWQ@PJaOvmUk zdKG4UGy*SNJS+qtwDWXg0HA}V!zK(CbT70v4*D7*UGjux!RP)^CF0!nfXz-4^*Ph7 zPlw&6)*?;$F79nR&TfJ#vt;8DCNKIRoowmksiCPc+#N`sCSq!Esv|k4cS<*cM69^` zfh@NT<6b4os~qweiEG37xim-Idzr-AO^^~;r_-e6wRi%vlk6UmOY3@h=QNXy4<;F@ z^ztnA@?0Gw#xtDOMYGuO^?_#@x*to&q=cbVH8NFpT*wI}dKq##xT;nXmV?dz1(pc|QQK@kg+Gpbch^yWFn$hWr>}TVFN&joR~4U5Pq- z;&09BYFiq6mG;CV&FVL4HTJ}~TNWBAgtV*JGwamw0Pv zm@XRBV~Z-DUHNBy!IjL(#C49Ci*FT--{#ZYo)o4Kl`T4gl6BEMzjvR-d1~UmIW{{* zSK6(`kxjxe&S53&ALU$Pk#VYu;QI`+eFLlC?>q{g5vJ=TeRj0yqHuXhQz!&06rxB! zH%dSEbll8y+Fb4|j_xat#wZkeP$(3qDx98>?Vyo8!;Jpb<;98rbo)SU?2g~9+bEjv z{FW$VPFEG2S@X~717R4Gd~a=@Q)c_8QFe}GmXCLuMLW{}a2>+=iR8BF-or!6W{Qm@O@VxoPRNb+VaATe}NrNQSX{JGZ^028#SAv%hQu|XF8_ITYI zLXEjf7iN^g?wo{_J&J~Ic*2QUGG|9QxQTK6ky(Q@tD1184nR=+$c%ruFqt!TwZRH! z=`(ftzX__T3POZt_KCg_g;@=OqZcI@O631WIaGmGpuQSHjH0ZDV54}efoDKzH3WhR zsDi*yQB@F}|8w-d3WAM`Q1K&9+%KW{n4ZKj@6)(y)`iA8h6k)nTs69eMUGpLjF@jOOy&!tA$=i9ko9m+ew2h96MD-1 zO7Y%;Wmd9}33<5N_lj#7@7{sOsTePqYvrCBVI=>sF@2w{m?xCLe!#p1UprF`HJ9q#W_?dzsmqo6FY6Mz#TYS8ff6V7UXB zy@ErE@0qb5e$)fih-*_gt2;W>YLht&^h(`A&KLX^6%-^fSMg&FQVG6`IZyH87w_sM z0;%Plwr>OMu9s(ZFl%lq?)R#G8M^&GR$F$1>@0g^ZSsTcrI&jA$Goeg)`)V^i*92w z2b>|#w0&${OToE<-(3@alIrpM*sK<9b)ZPr7`qD_jkwG8)_Cf7zje0yW(7aGxRJ~e zn$z-Ve7V#7c-V9^leq#oN0_y@s)Y_C>t}1N!|Vws{K_Kyy>nqQb|>OsvdDKrFn93* zb21fBRLT|BTf%qk;{1X_G4-!;BR*U=3xvX~?DP^rx|Bw7t{{qW_d=SwWbD+({ksst2OK zcGll}@=?%Yt>MXlyerjLrbQmJbWiACz23jtVu9-a8PAtW~PD1j4q%9R*;}igAF|d4Y8Fq>r{sLhnoTEoE_N>|XTM|#AB%^KKtagHc$ z8g(d7JG{$$fi*&IL`ubiB*2)pH+_LjKo`9Oi#cF!?1E{TXq4n z3sF4Vo@tzy^BO=ZxDLo<@V8W$<`W9TLbXl?M~_X_zkr>FY7@5xiXSI-$NwxTccd{0-aed&K+Sz&C|1uEy5nA4@dn4rVn4s3_P`7rfa;bcv+e*l_?G-OFoEn>+efqV zndT)CY%jUA{N%DoV>VnY7GZsNIdU5z(gwDc{74b9qP1llV-@04W5A2V!3#|{;aGtSCuAhzhP1hRoy2m6^uJ{X@nJAtarA<$n z^YUgUMy`t)ek`aU`nn#r>2fsYQL@qU?Gpk_&6+ct<4$^BLuLDX^z-pFBr^|YyDxMs zoi-TCb1FTzDbx5-m~|&sOQ)Z8m5#Rmp3+Tcx=v14CvZYZURH0XW>y_uI*Fop9?Fti zS-T8I$u&Z_k(1O($Tsb(Hk_djl=f9Vnu|;ErxM-R)=#)-I-W`7c76}XemwiIi7OS* zb|yMT9YyYa5ST4Yp?|!FErR4j-x=ZDry-;Marvrw1Q*TA5M{2%D~$KUSMc(DulThz z*6k%tdbE%u3)*;Gv(MoS%r8oLIYZT@7|LWB{!k=vHL6Eamqf`URtFwwkf-##r;FFJ zGbOl5sf(2KUgtnvESDVP(v^zMbiv2@RZj{Rlf!Dl-mFk>byB&p123EKvm8 zf}4_^9zZ*)9c+lj658T*YgW(LT%3FVs=;eamB;G|Mh0o#fsbW}?F>7)z`!8RerINN zRiRJih^;LbIr-=yvhN_L!t|?V*oKS5QIpq?mT!l1))w$y_bq4BYB?`+LE@Ab;UgV( zrf)e*CZ`6_HaW8bVn2S_7kZuc3L4l!KNI6W0A@Wq53A$k-*~m@n5?Oi#IZSzPUF>e zZ}a4pgiQN{yzX)Fe03d}pZznrEt9Teyah}E^j-Ysvgb05H1|1`Mk98h6zQFnR0`k0 zd@|4bHmo5k8vq7T*`Oyakg3hn>xph7Oq(bvk5&hhNx`&+vwZ$rR)bum_dOkBTw2%?x@ki<8N+%3>FYuP+QoojZzQAV~3q{!0U zd81Rc-k3AN%*cF9@w9fBVUxmx#V0CFM*>_IAn^tVpw0@J(@)OBgpyK4ygys5BdWl0 zL(jTuUH0M^&U$e9Q8O(NI@C%F=m4+&g-NuGsnnjrW4D3bqh+nIr~Y^(9314P3q39L z?E+-V8mXu1+Ez=CYrdS~(vN_Tj%9i0;#m>Uo8HKNCT?|3}7IaU^_8z@X`P z_;{TL{)Z#CUZ%mumU+osL#7Y<-xIt7&Bb6-1+=cZ+$+KI$OfuzQ(0&+To!qP!seBKj&@s^ds&_oo6k!B^D>Tvczs zugb{6D+Q31E&5BF={33u$*yXwNafJpUeos6tao$fwe+0D$u&W*eYDdu&fVa zAHFTSL(f#N3!2`Q{L(el%ZaNbri&VCer*T^X1du#fGNq)M;j5CiR&j z-DtlM811{lyczCC2INm;TQ>`ZBfil0VQ5~8OZm)10BpWcLKY0)J;>5Cwvz4%TJzqH zuH+VDVzIM+c)Z6SlX;Axk(!hFWHTMMS={$KLVs8sd;g<4_TjD|Czlv2k*Ab7Vj1~a zhEfSQxqW*-C`Q}d%=XIp-jGSqgG;2x48k$CEORq6Ycm>p`lF%5hn9X-q$;7 zGP%hw%sn(S*c`SmRz*me;^H_(Afb79@CYxc;cn$?k?=|R01{*OC_kMy-$F4XUMG6j zJ=_n2?IgN?z!ZCik>W%&qC0BPqPO85ki%ht zSL>taEL!F>4J=$E*B=+BuQVX(K3pTci`s?VHEcMe&*<^=DKg!jJ>PQmocOw^O&V;G zfy({>A;V{?T3h;6`gf8bB@jV5c7o2?!UqVtTqe$_7f{*wRT|!1qX1O?=Kn1Z_-B-v zM<7d3km8@~fOm89ocLP>=-==lizO5X$QAoPWKfiy5D^q}Cj<}qUwQm}v;UL{7*OWT zJ^g2fUVP8J1;wcMPAx3&$1dO6QQn=TDA|#O;*13qg>>GY8QTr%+|zJPR+!p+b!CY^ zF@5w@LrxM+x2t&wzuUm%{(8tFCXAXUC?#!+i(>Hp)Ojf>ydDPU`)h0AyTQfq(`Gvd zyNdfW2IL6T(=G@c=~C6UR@(-i5nGc%nqwQUb6fpWy$aSwgV_eXiXE}Zt>dJvy4bBc ztVtWXNgKlQNy$GUNw4B%qXGH9ba)rN>{h(&x{hWBj%EV>OJNJz>QOiFgbnV75RfyZH%F#7zvOcpa+6S) zlT?`dcLF&oryD|qrMqA(X(N|jOp8+NhR_k;WY@kiZkNUHcKrrJo^w-NQa=VY-VI^8 z|1w6Ij`ImcY~=6km!~Ml9ti2}Sk!h8Xz2KQAhgKUX4)(#IiY3AKY_ugFVd&)4gJy2xb@6dgbozsMRK z&LU=mg_d_%|0odtM;V|PR-l98>VZ6Z0pEU z?li^%GE;1SF3WTsKQeBKxe+G4xqQETz4jA3H7ctY!h#n2w3!}d*9)FuM=u15vg(Bp zpi03Rw86nEZ`NY|2hx-DCF&Rg0HNj>A8TKme`sWEDI01KS2)V)CT~n zCw&lNw50U8NR(I~$dL=MY&(<|C%@_%ky|!S)Ym=Jmt~x%3Rw{sc%Odyr_TjDx)GKRE&(cX3;2;ExK>$KXf32U(%rTt)o?H;5|fhdf4Q^#f?_CU2k% zWI@S5K#cECUVrlsElz+sxZxWSko`jou&h$r)=E^<@9FNr=Pnj79MHW%>XABGQr<^=0rGkB6ex*M+Xb>H%e z)7#2kj3c@})cF0b3Nrqc+u-o(^K7ue;Uz1(7ahA7p~0b~!68HIkH|lP_0{LJU%5~B zbDu6sIIKuGbcN3kgwF?%w#AaRVX=c{J^rNu-yD?n-+GmydH=yfgz(lD{b82^Y~|G7 z#J%TXKlSCpUGx+WiqHV#T{s~bJfe&45q>ubT$c*IEx4HzvM3GPb^nms@kvc8`r`Fv zsUVpx+_(}0-wWv*f3L>ZtG0hS3bsxD8ke6o<~EMs8ooc&@t0&Y%oO>$`+X=(GW4!c zB+h9-N)+r^XgeGYDn+wh1>*6ZkgoDiJcPNwW}cK3X+e!}ZT=@)+JG1NM<3)4?6v-T<%I+lMzJqn>7k40ZA&nAvY z(vrZZo?dNk2wW7lN@oK2n(wGDCqb9S` z-zt5nt))1S3djIUuYQBUrEq%h^}rvzf@D%BRYCb;^t7V~8H3 z^;=$118o<0<<$67y+4+u)eGs=jWuZ98%Wkj@qajJG2#1{;Fho)jf@s~5rs+hF~E3y$B`P(7At+6(Eae7@y@Y$l8bMbV>-tZ1gzJ0-`k-6b&s2~ z)ZDdw@G)&r2Ys$>87lJk99NJs;!;PaqtlHFU#q*bLkNMa3}Ron01hboT=)j+^FPXfEtGmL*O?N6%yLE>r$^-@MD-meSqlp zou+q{RJ7=i4NLgs^fvOQWi8@t&MoW1YDN-;Qp=XoUGs-6_1C}RcTRLkmDldiwCAUY zq3NC%u+CfbEg?PI=U0;+QJJu7Sa`4J8^$h@DxVbk!Bb*eK(dO9bkLhn;4ZjbYeHsAVy( z=%|U)hl`d=NT~JxVFDu&XD)TLLJU1c{Nar`6qRXINe(3H%vs7ES0t#gPmqU5b&<;_ z${n;thBTX+A?JzWmtom{fklS+;}v*E%x}+$bNwWgIz-1SZXLCRK$_S!7u)qd`ZhsM zR%Lle-~Zx$zhb8r*q}`BkoTlD^2sZ5^*wE^{L!wkA||DQ*&-#ow{fkJVMR_iOo$g& z;CEE`DN#ktg){!oA;1h}Ar;t;F;qqlD%h1qu1;gD+gIDaQ>R!TW2laVvc?hT(bi+m z63VC@h?DGjU%gawL4=F{xn@iR1dPMwc^(G|TD<3nQ`{>^CG5sGdyi$}rd-aEi>0e} z;1k_FXt?`uijVR+yM3N$GtpN2N1^Mz3$n!XjWYuzlMnidI z4AJFhWPZj?RoX?qNa#8`t+3E0C>7J1^|-z@3%*&wG+I)Ur9|Z&j#?iDe?{?EVcE~= zRWsLp2v$?3toP0+HqQnt~&^%P9V6*3^H zHn>IREfLvFnPd$kuA;LBGni-^1gfZG=@7y%Cnv(6np6~()pxtvwRwVCWUDs~ZtK)$ z&lx0WSdkX7$XKdr4YnVLTQ4Z6Ht=hB7azRe#UGhseqZ}l%f3y8%UxVhA-)-pxH3;d z0NQHwh|^vCv$QGHyThQBth?xFX#`f^XrNElJzp_t)aUpJD#-&j93bz*jzp z_m$K|Tz)7;jP`#k6FbtZ_i8SRwX9q-;5zByE<_2~@l9l_xHEfJlJA10LlXoo4|3*>J4>qJbArhfZ& zFj2ABYEjw7i`LD8u|lj|UU!==v+_uQ!{atTlJ--{2lW_Gucj!6D&u=gWT>&%lU7kT z>TJKdsV9#<=*Ymt7eQ1zKqoXgxJ~~_ugi$Ykr+HZZt-ue8lPTa?$F%f(nDrgG-Eno z1vL0X|MF`t=AWl+4a9W74`{$!WPSUK?uI?~ZC=B+c!t0*o{5^=^4%3*VbFJ67e|xU)J|mJM1RLz>K|fE z^hqB)Zi+YHIUN-Hn{pWO-Fc&d4#l*_Mj+3MK(EZ0od}1Qf_n;Q!-qdhCFuUq1a~#=tCNNKn zvi%BbohQ=1^eTpE#q8pn*syg z)2{)b0RjX5e3M%!_M+9GFUGX|z>7@xk<0WgaWokQmE#Z*qE7~0KPQaPCKmdUg7v!H zd<@X?cC>v8j)zMUcuwGNm5r%_%X-}8Rn6CE;_ZhOMk|i4cbPybTB(M|a=>P?rz-MW z&qfN9DLIizFo03_mX3*a#Xg;CCL?N-k|;mkLaH?9XfUO_wkmh zkB~}YUG4#iWd30X8F>ccp2mhm13z+b5H<4%1Oo(+L0%f2LAGF%`ZYbF;4 znWDYoBOueIy(2|yGUfdzb;z?4D6{DH`I`-Snoe_4fm6Dnq&=hQw>DSwDjW>7a`i?w zWEXF=dow*w*8S1f9Ya#6xW7J5>@m3HnI@;U_jYiIZ4))Ez^v8`*(Me_hQy*Ce1qU3 z0|yN7O)E^RpHj&m_tC|-?G2bZJg1!|$7S$dj{P|A$uUhHEq{zq>mivAXO*;#75wo^ zU^Ji;TJO^GY}lo1Vu;L}e*T4f>zuiE*a7>+MKw{d%$CLsT+N~4^!kMQdavVZtATyk z&oAcs_iFzubfX$~8s49yvxLWYuk8=Fkn#Egaum;}d;ByCkXI$kV#lN%jlwbtu= z$fyifNW6^b^)!HwHry&p#>Q4|MX*72w{K#NVb!mn!;B|Xp#jF*{GoHPAuu@nA zu&-b@Ryv~c1WVUt>L5%zk7J!uirbhbOmjFjW2+%UBjRhAlNQ|N>MwgtY0I*2ROfR# zX%#~ebAr}<^C2Jjc#-fZyU69MWZhPe&;9|FB}NoY&u{W=VVIb+b}HB0_U`)2?}M^5 zO!8ai&r<%>6ZYi{3i$R}Jo~3EQmk%e#=3mCCk#LW(Nj+2N`p;J-vm2$m43sUf63WE(5&{B?km^E@jHSkv8CTD)HJRdo z$tP8uuzpSL;r+Um_LC@6)jGa_`3hqK!Huc+kENHDXDjocmY&jcdgCctB1M_0O&%h} zrv5!PbLVJbA}(Mzs?Wgf$!kfg!23X3^?Cn^1mIRjV&XkTuPL|ecgGPu$S6i61y^8O zG_2g1aVw4kKw%wHLZIum$GcP2i|s$J`-T`F4hx+;V2twD@3mX|VT|^WgDWRLq?0As zKnlA198^x1V-L<(o11_3RhHiY?NpS@JtzHp+l}!%cq7KW;?P~wh_I>V$CZNOzvjC# zfsQIgV8+Ba+r+qnjqK+65r+VNi#OF889wZ`7Hh}{X;Cy<4mu~ndr|jt+;u z?@~E;T%3R-xM`tZw`n26xua#^@@K-uoWxI$!tc8ZO$&mkgeizHDtijTiUe!-{++15 z;^#4V8I-v$U`wSK>Kiuo>@{8mJ3?x_l>}5DTSh%D5f0sj5oKZ|PB_43-ZB`5)rVkM z)7D2JO4r529f_!1AKu#yV^*XBJ*T@|w}W5b(w5>tRM6E&8TH_Yz$EuX9=5iuRa97r z^;NF(ap89>YvnI$aRt$VW~w^{%ZA2?Z)oZwWHIdQt?_nSconife9zN@bh)nLfd`y{ zfa~`wQj_PNq$Y^uj&=PAJh#+5-FP1*tz*gOPnck_z4}DdOxE-#PidZ}Jwv^(SJM`Op;~W*{l(n}^DWg22e%dLdRk@AP4jXiF zSSP+81Yhw76K;Inet0G`dDMzHRC4k2h7#mE;YpNY;axVG&Gb;vg?BRV}-5G^ob5L zg{|wJ^y4zl@}DTjSpcj&cF|EpY0W~OC@8MgD*Op-!&Vu?R{F_bpQU_l<|uUIEOZ0f zAJZq|`OAPf>A&PM|CnqbOJQGW-ozf1c&qmSt%ItOQmZQk4qXLxr9@ZsK=b`sl_6>XV3o$b6v6-9Q( zPSdM*oW=E+(WT%B;UUwf!%{t>*spM&|2nuCgl1G)0MN;FDELgcC;`|r(12kZdB=2Tw*zSj+%#HReUBNQbd0i%>izH`o*M|#{>1Fi zdLn@2=Sn@0AdIE+pFO7Q@RX{p`88#OI{lLjohn{39(otNr5L`qv`64ZpFLLq9OuF8VjW?hLUPi2i}jzwE+*Q2Q`p z5mg27m3gN;`Qy0*I#n`&K@7YJij9CtE|!XK+p`X{(~d1ys?efqQfuh<_*uTZPI8WX z(bRXsIC&c5{H=j;^5Gy4+Uf)Grl4#+|34hTD(d$fgbn%D`F^h6U8c$Hw)`cVs$QE5 zKgOMv<(k_NxhG1G(Qy@Sy~##6Q5(I%MxtXZFbEK=aL^==D)l2JR!cIt;Pj(z(uuF z0q(^f+8rSd)xB8r=%zMbpV7rV5W#gJy@_u^EY%wGlAmjTHO;7@!~_;5hyK^k#eH)< zM!3ijLVP^8;s_cA%@^S)1G1%e=!ZV-$vwr|HT++GZKN3V891^JG#gT3wU$k?6QM6gBTMXFLM1yJohN%<%mx;Pys5`#m4q6M9dB_q zD>|L(McR=}@!h~+MtN-pjiV(OD}JY=$m^|!iaF%@dCw_-`Kr9Z^J^g?xP9B1yn!h+ zZ&Qf6n(U$p*)qXN_p>AV+RNwGoEMqPH67?$)u??S+&Hme0FYPB_6Ne^gHoBks8Bvx zSU^!gTka=k%$yrktUq`u=L8FwbkrH$FtnABzhdQKl6A+@aCS7^XBM3GZlm@BL)y=g zvF`Ed0gHUbYCRlb7huP8+uNZ4KyJRC!`YF5BIU%Vb=Y-Zh=$Y3mKw@!O?GA6!YnoR zS5}0T8hc0TN86ls8QmAQI-=72_2xrJMab(9GrdU!=r*L@85~;5o|jnuO-(n`)bBUuCiL0HKMA~{D%l0o7sIVXVyBq&Hwat6s6i6R0E3zCCG$r)e6_uqT} z_t(8uuj*BGPxm=}&gq%iotf?vy7TB?azI`D{uu>S66baQfKFcn_=y2^=L*XP0Lv9u9AA-GuHfYc5oWp zz!b+~6L$>UpsGd7`f7*`?-b#;Cz5&*1*Whxw}!}LWWHmgbr1>jXFn1r7k-J4H8^j) z5q&hz8_|;UfO0Eas66t=OS0S%UPYw__Pd_yB{kVwPf-x~dr7k~)>j zcrep4D;p-d7h&o|4c1l`C<6Pfw833ARIK(m8FBEXPk8&4Y4#r;RP3q`D;sWu{5=oF zdmi!Zn~67xLmerXUG_Y?EK=4K;l7AVfOx(LzO12x7eeC4$-ZQ$gN&NrJ~de>uCKRD z5ACJOS9X43ZCr3>l7H&@da~YVeI7nC>2GF+WJIYcP{Mg}JHR#fZ^fN<*>*I-oZIx>q}b3v z33+o!Q22~bYBCQYYk-aH?qQ`RBxn^H%1y}XQ9GVQOm&WE$aTVWynA%<0k1-6HXgHTG`_ty#=cR7n-w`$@6+In7es ztRNWny9N@I=x9EY6GK@%gnFVbKbu{a)|}akhGVENkN=*wwB$P>JU*Gr@KCdQHzAE3 z?q@$B`f-(6)e`mwuYFNC?yjWr0FpEEXM1^an5m{Hy^A4DV@%c(V>dm#!sszStJ#L- zc)D>Haw<0>!?Ljf{1+YOU(_iBS6oQc)b zHsz=Y@}WKZt({7CI$C4+)UUqm@4LCIG53YVnt!gHXejj{rg|G{9F~5ZJ2?IJixM-w zwH3|ukcax4&8%p`=y}rO-!=YAy1(Z%xifR*gP&FOMeSW@(w&^F85c%u>az_5OV}so zVbf42!WfyM;R3qu(z~@lg@IKRyp?B?y`qu1rJgp?MVI@a&jC!n-j+;O+Np z;whfKfufK(@;u382b-z1ahcQE8Dp7<&Lx^CgbNl?z}8OC-wgGgB1Ur z?53)SkwlQi;`+WaTtsX=CoGu#3!`xPm|h7?m}pa2yE=_rGNoQdYu~p<2quR|+&E;d zRNlqKv*}xN&j-$uk};gTz&?!~^%5v@(%)xl|C|~9bJwKRiTPQr>}Kfrce)9Z_4jXz zQ-_jTSp&F2TJqkL%Tm~_Td6;P5SjK>_vg<+o#zkw)4l?nv6b%g2hO56IVBW?SQ%wq zl3AEu`)%2IuNLM=j4lntFM`=(mJGxV=JBkTjl>HYZ|6;n-mj(CLk7H@MFqU1dnMhd z-CaLIDht&ojINtBQGJ@xjbR4HT0AStyNrM%c^JX41)(vGv7OpgQ7JyR1%@D zG*#=uUD~7ja2}|u)~P?7XH8g%R%Ljwd#aq{(yrngFPI++Jp#F#a^Ct%~76);MY&Z2Af-7;(+9Z?vy3LmNb&c-5dUUjye9>#PH~aSz zHDwvQKezMx(fV?%W}Y3>YiE|t)ho~8zYDHHH7=)jlG(iT4Ehc{s8Q5^;ZdfMIn$vk z&=B=G1q7e{?y|*%MkL!&MB1x_xkLmo#kjny@;+B!*-TmNSE-OH>C}B}WGF>^&dNg0sy$+$H)0@& zB=x@;AR!X&F&$HB_ZF`{I7{unC^x>=sM|#$&qBIe%ec+^2l|7GQ{#(Mar6b5^aY_c zRPJZztKe60^lnArJ^>#oH`f^(ejr$a0*l*cQPp%Bf4|9vZrEzvlF7 zp(;q{E=k)@Gk-W)y|<8drRXstDaf@imGn-+g%(r9VzGBZhmR`~*~hC_h960OMLHEH z2b>68q)5338Ed#(l*zLwD6&%dBn1T|1xBV27-1|<9Fd8r?M;xLc6VD z-PWv8!+1P{LnD#h)@Xr~;n&AhOs0xTJ*=XTLX%>NI&MDLpi9`rS^`E{<2!M4`VFA=(Znyv530mve)vhvj^Cp8}wL`xS>dAKuWG{XfWE+ zd+FVKc~;VfLX|)=u3%VbtFV$0If~Q?^#v%4|iJ*t_ zpQJ`qP5m=LFpo@a4ZI$+Tj3JuDI@v!v~dZ%vjD05LYpWChBYA!C_8NjRe_4#Df2c^ zQ`kr#pVet!Ydy=&9+jrp0{tnsEYO-JYb$Tv=u-cyaA-8Mh4*vii5T&dVRB*S5QBrh zGEk^)`n8W!A2phdvm?8i(#in7ikKM(HoK{zPZyzwUKhtK7d%$e6SJ2?!q%H4!h(~} zveN2VHUgNcERpA0+lO?(bx-xDpCcFx1Wm~R2Z-S!H}GZ@#M<%f%8H_K^;w<+ z!?LG8Q|FsedT=@}=ar6+J-~caLeW|n3tkEG;FZv+8xHiQLpz5`T2{lW@XS_BwNDVA z0WsC%sfY1(nOccSTPfdYylKms`nFPXZz{Gv85XVnmo91yI`6?NWN`C|ogln0u`#|H zX#513>;$3XRicIN>=%SwDJQ+ zMDZ?Ipf`9Imq=U;gP%rL8H;E%KI}JPryC(ZO+X4hW8M?OQRz-MY%{U26d8tJ3OQmU z>@|9A1$NJU%nM0!D!N$vNU0EOySUUk07&j5eb26MuUUhXoiwAGv}FO~NPJN|z(886 zdujgL4C21C>7(`j=;K%(N?$gmNJK@)fl}8Yt!zr@%SUUAYMXDiqd{YN@nd;7g(^&i zDp0NWFvPPx;3k%_hs%w~+{0yIUZCbrsZy0qzid*`b6jBA)V`~%x0+FweU%yIr{%SC ztX3q4gV@`{<$&8`3y6e8)zh1+S`36oc`=&v_NG87T&26yds83+E3(}Nar|roD{h&t zOYP4;HYrqURd>I?Wx=KUevGp8OC;9ZEH9r`SuI*wO(i9hGBp#L#wQ{C-yE#iZ;2TA5ZU{<0`SL4 zk5x3$g_&eb0tm^8(4j%CVT3947@9;sgD46=@@$cih{)c?45E+n>-#J3kPbz1T}!N*1=foSe2ckm7P>l<|tF=()ikh1=_|IRVhSF1MDmU?AkFVH13X& z2VxH?1Dy^~-p>w{_-R@HyyTHt#gJVyAVg>%fLo+YD8?jXExX1|BnAx{3j3Z)?*X8f6_AYb*LQm zY#Q*9R_;(lPKI`yT_u;N#FwYwnDaB4^FzDMU^gi_s!N$Xl7b>Km5)?VfK`5iSkl;1KxtfCC+Y z-zfc%q+r^laQ|eedaz>3^M1Jjd24*&#Q)K z2-agHrWm8?mwiTg}i;YNvZcSD8xj6q4sdwv5B(T4i3+h?ApLeJf2 zf{Rukmy|S^H9s>veyH+y?a9^F@lN0Y1DuF%kL)b2C5+${f)GCe5xF!xcxr~F0fVI) zbkK722|E7Gu`~I=KI3AgjGVGv*P7P;pHont5Q&0CtZPb0aH;+ z3sK85B@kGr;3G`q?dTe!CF3~6&5Cs! z(?+UJTmaLiCFI*GnEY0Jtw_H6Sp{jO+aK~1cBDU^%}|X)b>B{t^07{U>CelkzFagt z&b##7ePt8-y7Y>-g*fjL!UTaTXb8BDtbV+3Zgsl%M=}aYQ2bG)oz(3vV06L@-->{tNL1{#g{Deg z{)@7!go>nr)Wcr%$+2F$N;EJU9v-96vr=nLuf}U0=R3URMch6EZxGg+JCqI(p()ar zHu@jJ9p1uF=qmO%u$lgck($R)6nb>comMBjrXlRq;Tx*egxX@~Lz09ng@@(-d6(rsyM8-K- zVd29$c)-Dd4(=5Ac@qBUh|7%r7Uy~FqVQQG?H*mv?pIlP%fGwfZ+ky4C~M^sJpMws zBn+Be8O`Z~IazRQ59oRtxXtN#3*`-dZc4=zGoT05M#w4-UM)IfFovivOq=8in!N}+ zOS|k@9FWC(`u4JiErhA>YLVPsisT#oQBklREO@SX@-CeE>B3H~{iSlB{axk})!!d~n5d3j5zhI!vaMpz+2ES{8fJ=_EAyls0FDyDZjM z2Qm?bi#A>n`zR)WZ$V-@@Zu~1esDNp+;lsnb}>{Es?{Q~_^9!G1DQUX70GMi91+!& zJ$4s_qn_uI(z~=#gw$+mSE=UxEy6Vj6m-t zk7-{(qv#+CE>N$Ti0CQzwx^2r0q#skqCF?H>R`UVXU5?y%XAbmRVrB=odXmDmoKUr zV_tOf*>a=ThH|eUai5ridgMzyMwwOzUYrYkt5_ z;ZW}>A2Ch`yr7F;FodTaSDE~X){5O!lvs(%8t*A^;0qDFH!j=iRI_^(PF|9J-}kn_ z+4%b8m>T)s4qdEA^W4Ri*hzR}X4{`jCt0J|^UxL}Qne+29&iS>rR_@F8(ryO`mO-Y z>dlB8LJ}>bM*0sm`p9M5$eJhuNp~F0nOqJoKGeeTjgeM(Ftp~eK_q`#4GqM*XBQ8+ zf87DjAEgD}&t9x~0jFNO)f`~XYp2I;jvXSe!kpE!#`BI5e8)$A=LGYSd0h!TrzxMf zDUU4P1j&JC$X+J0zUzcYe9BA6 z7;C^DYamNo|BSXi?2*ey1lnKRdvK**rmV^MiVBV8gFN1ph6rT5uJ7h5`7Bce_7Z;w zm`m@aSng&-Nz)2OUElqtWpEF9yW`54)(;(C;Y_}G?1UkcDPj`0&%?M1QFkSky{apk zUQhDmWh67CC-W<2h@aq%n`kDCXSyH9ls|^x-)!ogK_uy>Yx5kT^;y9A?JznrWm?BK zgU04|n^OfTj~=3jaIr4&5BEhnJ*}%_dNf1incPrh&&wFs!Oeh0!`Ew?95;+lzQot@ z63p~F`9I3^1c6-_$&ZYDc?b#}ja-o|*yJ$<;Y8I2XH8*WQ&>p!aJO{pp6?8}5jD_% zcg@Lv+D#v6)ahI!(=!Qg-voxj&VM}K(J+7+c$0Y={`Y&@m1=i`Z})0Hoxm^cJg4P~ z+EK3tvu<%P57XT*mnq>fP4No0*Kgy!pZIqaGc39Mds@KckAeKWrnZ}dwBSwKgeCUE~_bX1_E%&Xp zj5hIpRtxe?#33!;q^5d#m7A+i#B>=v0rrEGj5<>EkPXhwJ`C{lTRlM;eB;s|_LOVq z)2DO!g%)^WclUJvjj55;(!y=b(+Ns^%{$=!#J^X3`btr>8Opcj_tEj9zln)|LWGtL z7r4Gpddb53GyBiT)E#S{F%*3_8}!^5_(C?-Q19cvw9;|Ngs%ISGv@6JF-%e`7Bf#f zQ-7kjw4q7F{B>t#>x@TF?9MzFs->PaX2SjC8jpY_uDY4Y=$t8X;>u)Ktegb}9KMn< zd>IuQGkX&fhFcUGRqen1xNhT*G6M(u_^u5&_?mJXv4!NcF1VYCW^)@&=%{>*U`i`z z5pa?v(p?aadD)rV`#Od;d?K7Do~Y;Au^mt%86zjcRlE)^!~uQ|yX>`L;p;xZs6O!Q zqeo7REhI)G_oH}pBXZ*Yrz<_b!h9fqv#8JtbWZ((w3MN5AD#h5$pVqujgBkpbBpSt z;`y6luCHmg+Y7=U$!bcD9~hu~6pV9K>~TmNxdcKk(^fvidE|{^^VKjE{FLJE5i`qS zC&&bR!j{&MkGsbpj|*0U#86<6)(E2K(2aX!{SM<>r&i>!u0p_)Ea|e}l}dnxnccli zY@CE}9!}aEMrh4Tzv~w@qscIlt_D-g!yL5z`(qFFLq9e z`BS-jC{DM=ysF~m1$nZNgNOHi^m%rDs<)gh!d>wo>=#j@%YY}f-1l?SjK7XU@H)7O zLr}2tc|q%?nC>EJl?A7Bf2il^|1LJjRC8uWTch27d)7Zj_DeOMwa#oJTUW!Oa%txD`JXbNt+#sTA)HX(#HQCUUVi1?8m7snbK+&V_+d- zaJ5sToN&z#3dnMSSX=QM);3-ND;Qr8y&kUk7yN7eE6^Q5zJ9?IK8^cp(Y-c^HkS7My5+&1IN@ z@oDFgH&rn9W0Qd=N<(h{9>fffq-$E=g3&oDbp) zr`fgZ!wr^U^?lJUN&0;+UNLIdtCzSyZ{YX6FJ2`UPRo|Kv?|CWyuj z0`RCTArkID#NoOBCE*_qyd0;q?nN4BDJ~OyrW$;~&W%VA1jz@Jv!}5QXQC{3f6O|h z+F5qYNY}7D{9+LV8rAex2RPjs%5<4wFc{qbQXfL}i(_^4*h2;I0ic7}k>; zZ?6t?X@No!S_x zm`!1bax4fnrb0Vj0$(6P8MMRyX_9G=Vm8H8NRcMC!p0GlZ^tWnT(SK#_)qHLs-kLM z^YH8!*IE|y9>+oc=A5fcSnTl2swTOd2iYUCJdhXi#-@}AgAqvQV2!LXygZ<%l)#9lmCeDz8Ci>ec|a)SO^CRQ z4WWDX?=qb4Mp|ofKA>1}B3>$HJ4dZLjw!Dg^>VdG&@`nJwS01+El7vQ_ML`m4$Wq} zsA>+~Npj70;VnpqUYD5#=@8CUL>o4Q9np*pp~2mkT~5DglSUBYKm_37eGku0wuNO=M9`PwW$OJVsH$`P)C<%yYv9bGEY7o#BxY z1lbEup6yC&`eJiRh9L%UAb9sAF-YiSy9}Q>vvF?Ac1fr^lW9&P=5ZiU?Cgcif;tH2 zHUbM5!VG69IHBj1L?;>c?Ao=_uVX_;7oyfz0?rZ7_G#h{9~zLq4U(389aePmq3DEA zcUM|>m!V=IuwvnfMHiN3m!U;hM#X}vuCto1GnuY)eo!5M=gS}iBmB~?q+~rKU6kd1y5m#~mZv z$*0&W+kZH@NJ9k1fpMjLPxikA-xFahD0huEAsj>NpupXG1WZM3r1wqgT$-PW?fDj?|aAhh>|7)YW&Wyfn)F_Wms%Q1a^DZ@#rAP3bLk>^Z{i-291dI=G%*CcKX zBpC=&JO~rq!=W)hR;$A>zU*+swvLN9FH$`V(P;gIIbu7Mrfr@QEwl~54gp{GXP=28-JeqtmJ=Vd|p5O!SpnAO4A zLuzXg*muF>je8e7-ehKV@5r~ALwpbncOj&>Z2Z%~=(yAWFbYzWSXf{a@Np@c#h zFS9Hg&PZ_38Z*P!IKm8OSu*16ch``20->RNm@095P!JztN~}4ihmcY@YG?_kFL~pme8JdKARdubUo)avEkZV~C*E zn{c(d6l-WI5w|}SYUmykcQ@p37+!Fl?@M~%QPEX!KKY*7S=-KB(z#cc%ts>2dGkjk zlM@qP+Vxk|3VcNg@Nv*O)LW}*%jxL!p1=*X>!jkw*V5EIkcPuY)nZ6%6EkuakI$4_ z8o~&D0th!vw?Db)m;H%JIdbbYBc(b7313t5l~G^y@TcjkWJDPOgo+=+F`>{W%&<(U zP!H26Vd0nvO0;8MPK$Gi{$v2vD2Y$Blj##qxQ3K8N^m$P#aLJ3%R#H2Hxq2|0eR^V4O3qS#kyXa2J z$1v%g4*REgu!RS?pMXk2&Yz}=%J1?}JG^6l>*V9G$7{F`y2a~bvf;h+r4gC&5E&47 z_UgQUP*N5cx4j#;6gmhS4k(4s3^Rda&%H+H*V!Q*&_kb4w)N0jEw7C~vbi157u1;rZm+W=I-p7T zYMNq?)JGAGQ1B4_gJ+c8S{>IlL}hKQbG%A`;fd52vY%;HM*ChAhwCxM*e7X)^jb0^Nba zFL!VS1?{L3dGDx(mm{m!psv?Y0q`G=h2J}7VMobW?r(*+g=vY*W|IFzm}yl$|12hJ zx$j`VJ5^9H>FaZNf!qWoRjL0g5UH5ltBIfx}37fxbe9O2uTtMC!Ag>xL1Q;ye_PVufC^t zE>D8)>u2T1ILZWsCV_2^;$}{ppTce%2IIStIDaVo{)Wp8O=zLou$6rqzCgVcJ)RskivP-JHKu0p9`n1l_BDt$gbMeX+y{d>sQV1XX>1-y?-h!i?J&SGT#1m34Xdv0QA(BKsTO(m@bG;mIsXot=%_^At zVPc`I3SQ0$_g~+;RfKtAwuSTW~-g6$_a~0*lV|v5Ax7)!Cs$W`ukEHC7(uYwq$I@&g zcTcw9S3h`&48*O>2&?yPM#H5eeI%>B8NcsmsI{m958c&wRZx9zPB7d1{TVo#&W(Nj z`#I_h*5LB&sKM3e74LqX0R`9k?*^)A{lP0PKd#GnD=r`F)04>|Z1BAgq*jrqPg=>{ zoAI(*k~kZs&gGJoq52^Bb-k1hb@g$t%##)snAk(uXCAlrr}f=%=m7iaei*sCWE`Mh z=ZZ~;wpXFGQ@^SiEL)Y((5XU34)(^G7F z8^{FkKP=*a2&r)`BSirm*yT)#rOb$tT7E#G@cKDz_pu`Qo8BW z2Qf+xKF9y`c{RAWeH0th;Mh{TU*R=ZH5i!jK%h?&2i6`_za02W(3a*y<6jzTVihEp zEv;P0?R*^Z>=h!-lVad3HbL~;k0wl&9M-1(BS<>(b)t~zcb`X!X=U2?Xw+-mWG73^ ze-pm#CE69ErkRpnN=e!eb6;}?U$8&x$G-4Kr!XgGCQP0X;6*aynlfv=9uxOvedGk(wFLzYKA_SOtmk#cJXg*Z424ievTiV-IuJ4L+@>Yq;}j zxc>*a+zm&=cN!mFUphFKnVqZmpsMUj4B?Q9?0Cr!S5kPvpUck2^P2`Izm7rnCf~#s>i00)@k46)(L&2v?=o$oS9kMIaFp{ z=Y7b2tKSr#wbDO&jiuKdN{}_jf-p9Uh4y3QLb@)mZ){Um;ZkJq&Sgj9%Il|8;<<1k z!%spP8fndyB1cbo$!Pt#OgE4n(2u}hMgQEh^vQX>!Aa+exSwy;7 z`GJT-K^h^s1`fvFAXLbj{HJ^{`(UVfJn?&MkOp_e@CWkl{s40mLh7~aQ`8iShc z@MjM@!)GGQ`j-jW&?CU|ZZ2U^h`J`evsq!a0lqVcJmd`(g!TDGC7zNq?(?(nf872eo%$jcGz1FJJ+Y9)w7gD$ z0x$lQJnMbc`-r}Co~Xt_>i^V1obb}!y#jikb-xdui32#%U_TWGb@V8Ms(@8{=3 z7mU9Thvjz`d47n89pFoQW5pY-x{g2td1zO6jGz2GJ#+Ducxq1~?-V*ANdHIiuxITw z$=mdVn2ZX_lqGk}P_TGw{WTGW^b@S_-y?0Y6~#aNY?af;kf2S}rw*_+|0$P`;Sfry zrUyHq^OpRa5l6&_s|6)`Z^h)0jFe}-UUpmhk@33N7X9D}@gyXz0Y%^@V=hYoiOiOOrwt{Q7KZ*ztr!y$T_{{cx zQA$`--%*msBXz!O^|Z&M`&xED9ls)`-=vCEXa1As2~JjXPsL@ zz~-hfSO$W)a@BO!CvIBgwFPj&fnRa-n+O zm~7q{`>1WAO?9G;aJ-FhUsYOf6{V$~iiKXZKryR8F)URJnyU3*6Vo2o-x)K7-lU7WVWM0;OaLs{J==(R;}SS$mtc8ONVK$to`YtnPzwL#I`LL zV}B)?PkQ6@3e2gJH3;a=Gro+4Buui9Ye4do$bb8`&9ksPvc-TX)bP#AA zNfkOTyZIt!^4UUoD$Wl&wT3It4`iJ%l#4iWge}cfD2h2evW$32C@kT}zsL50%EZq* zx?P43O2co@f0Bg7UBq=zfR8L4A3IcUSx!Lh(ngVQHtO)!H*L3_OFU+&-KA~ZTkgIo zv+6ZnsCM3mhu!9ZVd+IFy;|HH$aMMUkpA0i(7=UCdyrZJ%9N(`s;3}qhE7D6w?4X< zV#bgnFoSF{0VN8@orsAJ57dTXmEN$DH`#%I#%cnp&X&8;?#~XL-stS4bNTSW2A$%o z;S8vgp@fvCGuWd7xo73axN%FdJ^4YQj}s=oPMDt8WsqrPTcoH7(&s=phJ2gMeeW^@ z>CzYtmeSa+2XVGMY`;KUJCF9PC@~R4o$m`!t-zf_u5~LV%|N$;jo*fL{;~Sr(-%ee z-45@P4~cBLFym?CZx%A+Wk*TmvSIS*9VJQbLbFPC`r}8@Sf2W~PQ8_uX3k%S%cEgq%VRS(ZEeQuwChm*MS~ zPIN<;LaW#1uk$K{I=bzS`ks~RGJ}c;t1KPggo124lp;-{+Mw=l=5MdA?%;FNu7MFj z-f3X|9tv`(U7Bb{^H@9^c0*4-S)6$(L0j3r|zMNtp;V76|3N zQMv5A=h=CY|J9foWt#${Ng4iGc~zwZbm;Oj`EwM5jj5; zZipq2fF7SEpNJ4YW$mnuKM_HwvOrX*v^FBUt|5lz=+0W4T?gjo2Ey5lPEWSo8AKZk zOuoR1fOg(H>-p>GVMCZ_)>*CLKjf-zWN2`0_T|#3Zdm`p?Tso#Uknw zJ!^Gk0tpK(^$5Kfy`8XsAYe(-8_|2vL0p|9cR-Fe6}`oX>1jzLC4I6+fI{tGPO zOZ+EW1;k@kpiL@eg%{;)#G$$1pMBs0HIO8A1KFea}d%lql5zpHE)V>P-t6~fpZenLIT(e!!V5JFl|D;z#*-_8}zCW3CuN)OxRqr(+ ztk@vxaF2vHhVqZAn|07{YOSioWe^;s0=ftT8kIsTjJS*i2N{H6&y4my?oBka36!`j z9>ZP+T_oWeQX($H!9mKSdoZAhE41=5o3P;^gE2Ol(Hc&)D)MD=C8$W-XM{Z)#Xy-M z*7ACD;r$O)7VWPmlGV?@CGj@9Z^1QPawB)F#J3hbM7Yy|)i5O3$#am?PepUvZnBIp z$KOVW{OC67!O`uk;iL|+C8pgo5ENS~6*e)7Lvs8_b-%d`?n*0SS7s+l6g73p*Bnp~ zUck3=E<#`6X)Q@B$5v0#w^ zu+Du*)A6{YA{c_)`7}lJuXxyGKS!TO1C#C37WOpeo%PZfQMWs$W$~1;JAUbbMWzgM zu3yZrVR$}6SbX}iAX9!0o8fIY6Jl8SifZ5Of1{Gnn0vh})jCf!8Y35a4>6HF4hY6X z+U==rw@KB*@ptPi&YgPlTAoZ$S^j*Fu;G9RBZfI3xCnO+2$PhHACaMBmHB9Aj7kp8 zdsYv7$}OZ&x%ueJp1hG56(w;Il})73RJo1W4Ga|*iT1EhLzdeB7C@4Vc+3Hzg5z*m zO}(Z}txV&y78bA;HXX9H7_x0oAL~sY3wl@>|F96pRg1}03%XJSTPZS3sq_%A-m(~) zdiT(dY^5k;K(~SK1`dA6wUysR%7nR6bUCq7gu=#;T+{~{-fBAXt|J=~D#sQoM}{A% ziXWLtO)4SE{nbgw%|*uzK3}%BRJPV`I`Ylb89TH~8b9)hC^x=~4t2Y!b6m#)eP~xa zHEF1m4)s!*Xs@X=b7&U>H7V9ZZv6Qh_#c+Q8`M7{|6$B_Y>CnP70ydd>UVCLsMC@> z?&w6Wj2{UQ8PuePqTFH+uah?%^aLa)bSx0!M*=bb>M7`^=YM*NaTMb|lXB6ShC8Zn zjpqDuQ}i9|aiiSq*S&B0RMX3F1ZuNCCkwQzD;_7zHOnm^kCN;og#};2~$P80}w;K1EXg{7cgB%(yPT_c=cg zWmpvW-)rn2KIJC(M%}Yb##FvCke6uwJQVqJ9{H0&q`En#xWj(Jw|4i63NE3CEBKRH zk-tL=%?CZ8Sm{W1^Zk*V?utK}+wa@6IK`(|hdnvp#xYy^6nU27<>yZ=XO(**5@SeO zcxkx&1!xNW*=e{G#kAahlxKf3mt(sD65WbkBK~qiC=gfNkbAZ&XUYJ>{#$26p(i3C zh9u)ZfR}(5w6M}}iT&152f(`+5|$f5LjNbv{~}GprvkCV4WWjQfD`%Od-`9v{+APC zS~K+ABRQ0|a{3jdXc01--LDoV@!Rkx8*a3h8mG3KQNo?i!Yg8>KV)nMM_xb;i`9SC zr%3j79gKMGW9flKR%g7Vu#2q5etJb>b30wyt{UdNDlhfdAhTRwfawNh}JSN~#*V37;yKn6H6I z03?2@U{|mlVNQ}``^>GVq3f2=m;Ijrpk)2bEv8_p{(qcjxCYJ;dIksFBAmGUO)Cx^ z_h8v2jdv0*Hgi6U_r^es9~TkN!yRF^hEYp@Dt>tqr6KVj-?rVF4hz+!n7z&z#7ZB@ zHH_XFm%*^5&Ct%zp83rP5y2Bu)ELN2{QZob>s#UV8an zdQpZzdWOKcAiMyOZi9@-yAPp76yAs2#c1Q+4M6<8522>Op}>F&V6$2frpHOg#YsEK zU`pSlHpHX*5Eh&pIk&6Xs1T3uL#QwS9W&y~ec=59#8AKqnE@Y*@IlGBZRDJRC(+VZ z$XcL$rX|i@fd3_cAUy9)SqDmL^pqb5paOwBL zTo7%*BSY$RK3H6SWFV9nXHQb!sh!J2yD%@)aEny0WeVrK6dwqjWoC8^HdP zprkl}Pad($2h^5p^j1Fcif+6b;V$utp9H-+ol;dF=n$j&-M-r2!R8&!cpgBc+HA-y z8taU;PwvV0PvJznh`&efc~it#JIPQ;0|XG-Yd$UA&eis)n6mb5RG@S91HEiCuXtAe8$J=XvqQ|Lxa`Y-IN3@j#+O{&Q?|4r`UAVUqSW`@>m z0)mf;lB)IO$px{`c|(LJK+_YRwQDr&&{>gt*V|=B1<301vE%%}F-{r2@h40C6|{X+T?&$D7L@#m|_UqfH7CQK%# zZMVH|6-Nx@{WSQnbbGem0;ch2h((Z_2vkF=;XR(bsZDd*i-2OKKXkMl?0mUF()Ci& zqQ+Q#X-W`vpQC~`CH~&aB#PvM`|;QJ#>`IeiOL)#8GOr>ieB_I&t)iCA*W7&%qnW% z)dUK8_v>RK@>m=p|6zp&bc3n47CNORRpbzVPw@KZrl@kOz3;Z2Hajj2r!)!i2%fSC z@yNX&XV(8n1fzIhlgi^o2{hq*ha%4MddnYH_R7<%6@&2)uom~4iqXkFqvkbxO9Gp# zbb{EyzkB<`@RNPdA?=}eYCHF1{j=^XKj3i48ZkQxfKRx5a`l!7VH(9Le(-si1HGfH z(U}`s74=CGIm?L2(mXM14u?k~C5?|*IkusRokzOWv}bF>Hp*7#1E}{0!i~B$!$a4< zJvD98OQhuJ)9MHI8F!f_2-`?8qA6h-4eoe8iX9%T0xV}<9lt8@Yf?B#pVLHs9yc>n zkF2Lq_}n#(r!-*8|KN6HxGv9%l?gxp=dMl*9#+=sl=pADJ|vo&!1;BuO-=Z9d^$X+ ztnB#pA%!#QIU(_hdIPUA&QUM8^CG%5|9gK84y!Moil0*jYa=Cu6$>1hKlCiE@jFOl zvSr1*OY~a^pR5Y-=z9mGdVVYa*9IG=*BfkveAFDsv*vM-H15tEz_X)u@l#y|`!@%r zMB&3#1EzeL!%PYvD}-!~2a7?yC<;#lpsf<{BaA=0@t}f&wmLGhy&*$cE6>WR-nw5i zTzFJ;0~<%*EB6aYRkXGPXCKHidEk828qNhi2Y_-7ULYo+yE4zZrp)w6ncF*7lKh*k`@91b;?q z9z>``R?l)8*nLM!7xF*jpc1w>#9J4Mq=7^rlRAv!yEFc*P-KpK5fL5m=jt4>&z)of7OQUp^aqRAaBg zEuc4(L&48p{yp5r?AbZ7Q#vDN8vDo8_}9eW*%fcSP5zjWBF*)@IQ3)K2h5bF&X8ss z?OKThL!u}8Yz>Sdmm#VjpdFH7U~^xo?!CCV*KdUXAKu;rEUH-B7gw4h0|+R+Nk@7W zK?Fp)bfrq~F!UyjxCLYY=|zTKgaMJ>h9*s_^j-xN1f;7p>Hpxlr`-GAyYHU=J@0;B zSV?xWvp0K^thIh?C9y$T5TN{23AnE#8Y1?6XLL9BFzQ=-k;I!Qi#r6Yg6{jiDI0sD zHYL@cjGh12%qNlmr3B@32N>AFJrxr(sSR^%h=#tYb;t7mJYzgi?D2ni#Q*u>|49k- z@7_4p@6)3nXOItuYAtMv^NDOFkL6^%FN!jvF;O+YKKEGOr6+gvXiVK6W5p5VmqL{g zvWQc`S!Io}`5+Tu(CivUwGke7qk^KcjhFCK{Idf#i8>$$yKbq+;3a0=n8E_b0v1J4 zjnf(;sq8Orrcv47fc|zcWyiFTY}f@y-65Y|`99^7l z28Pa*0$yATlctmL$@%NT{gikH%>q6Ay+5TntxIM}A2U^O-t4Q9{}x{}Jf%<2tMS@R zFJyDLc|XLo2%J8fh1WbzDC_tZoWM*~jtdT+)$_Kt1ryTzwJSvqOf$MhWIjQG_Yq3p zg5Sy<6XmN4L)4Yd*9--S!7*GGw~y7N*d#;)y^r)Ui}#-8Wms{bhcf*P=g;co1NZ&R z>(i+Trw>9mO$x!)sF`v4qRN%mDzi0ms#{_3JHt7}1w$ROI`hhZ1Sfe3eLOl%u!-9A%ox!{4eiUV}S&Ru;*pkJ!7h zU0^CDAo{{)wMtFfiDH?$Yp72~khR-0hEM!%ud({tN~37VC&>t73V;8&R=6tq`QAq!sP(;>QcZB^n;THJe#QPun13W|zLOjlVME zkPG7k0zlo=@y9YFZQEO|8f4!l$z+S(R>Ova{;-)R98?w94YXX2$QjFd=E0pck30$d?iMwkuoQZ-TgXN&cTHP{F5a4 z{Z58eb41e|q?73U{w))bp5YLRhqRop6m9?DMpBMHZJ@JFTA?Tajo3eKpo^^o52ULM z8hhK%s|sq{Ef_`HrRi+ZOV{JJncCoT+MyqYvxRV;3IB#9b{GiGPuO8yc!M85emNfvs z_v8ccdr#9aA*=HI*k+D1ObCyk+F2)vcN=J zbRu6Fr)N9rcH47*=~PTWm@}n3a?JlJ44vv1iWj*_=jpXCMlJElhI?#^&+d!Myr#a* zHrjE;uYD}dk2cys0P?j_zpDIn=!Ff0Z{M^}nA&iAu#M^af>0}Inq(v%g4SELEGRLH zZLr-2X&}dGJ^4V7NeJ#}!;m?Jd(G}G%gh5-qlQe)(PYYwElw;o*xRy*x2tXZ-Rdzu z=GP+}OC_u+mA`%rrHa=xBktgT=NEK}zcsN=vH07R(zcTR{Sb5w!KSNHdZVoNks&ix zd>3qCOT(Q-eIxya6zL>3qbm5M@SE1^cB74onH`p#L;Jt?R6eb}rIQR-Jzvwp3~FJX zkAXioP8zAoc%Jfjo_;M%mlj4$Ws2!fFi$9N*Y73=!G_b&lG8914Ua*?T@%XX{!+mC ze=PZr1*lF*0#?+W`vj!W-*5kqmHyW5Ukk#L^;9HF4-l8NQXhY0!Vk72?q&2VOx;rX zx?7ggGK@AI<`pWOm?=7XYo&Dfxt!&Nt-h9}zE-N0QjC?7YXYm>pU}>&^16bXvz$A> zzdWZKD$fz`iM`zuyT+~Z9)t?+{C_L?*A&R8a-$lWoo1FEle7PPr|7HIcl!zJQf_WK zecl@?@u6sF+JXS=`HH(v`1d5F2s+eY&8l&aPqbtfqB)#c` zKFS3>q3Wdu?3wYrCS_TLPCndN{J^QVYG-?bG%5&vDZ{JGh*VD$SUv1LiW4m=^VFSQzj_l zYqpi{$6uENimgW|HZ$P>?1qXu>0%yfk|aUYE^btxRM=~1w^mN>Z1649r?*UZ!(Ow5 zz5d31JN7R@|1pb=W{jm~Oq!frf}ETe`AvQDn^XFaEkOVUR{x3u=i<%@kBk+I1e+&E z7Nxsds^F*enrcHrBed7FEUxj?_OKx&3%l^JfT(nNfZmjc!Jw@Re|#g=w9hR-M1ozf z;vxkFh@_AePTg%uUczzkQY*CvsWdXMS7xd83XVgYyk2Wufc9KWk>=T3;TVUlk%_g0FOPcz1%SQaQ5FOn=(DtOr zj-<#)A-eV?uSm#hnWf*uEp?TpztVkInB6ruZt>B-gr-?E=|`T%ve8d$*Us3*e7E$@ z`!HKI<4-VD=fUpp9Y`PQEbieC(boNVLS*ziM^;zr+aRv%_khKJpkf{^Ab^YiYAQop zXG&Z5Ma%;NDG&k5NMyuMWaRQE@lR7yPOPWnKIjAu6(Q_kB1D1NZysgmTX>(i{E42C z26j2Qn17Zr|J>qg5ZG1|NVZ5s3c$H=3B_;;NK9ahQDEx}xcrYLU9QHl-CnTg27%_E z+e)jN1iR_(uOvxDzXyaPB`oMI#vUwRqkY10&a;uU>7$mukhmWD#(6+mFuqG#2jPgV4Z z{uB6Petmh^9S8y$C$SqunUM3A?zq@1^8%3$-j@3`??*!ZWWvOx^qulzT-f{l7`Z3Z zUYc)^yzj|pdhmg6gJN?wCx1dQrILCuU;fSj&W9Qvlp8bWK!?3=FMVkAm~?e=-RzwhP=wIjW4pLhqR9^W{?@*1^Q6&%58SPxOz~v!!N7#4 zCT@{m{i>oyL#h%VYe%X9PHXeyJ2V#&7g7bXXLUl zhvKl?7c%$yTYG`gcf9Wv*PN~xJ*d{Z3brA3MgW<2NF6V zxWwM}{>SXMZ6FJP2`!H}Vgc?LCtN1Xh*QnP#j&P7+E@zF4lS)(7jICP`H^&ecE3)n zb-Kh=o5J^9sGP@82&SsBm_UOPm67a=!3~=_)?NSjOkngj>&1fDVeiLXY+DKFb}50% z)S}QPpP^@JFEjLoF;U#q^M24rZfc4aIc8-ewUd;tx2|Okw$I5bY(I2)t<6j7l1GI3 zYcr(ESwzcOxR6K4kVmxW3*&)cGel`QMENf$fd1B2(37tHL|2zcsw;P`X}>?k6L{J- z*mtK5oUj(jgxw++?ykksyc+C^cw8E8fl&@@yasLKu&(_?ZkniP2b&?XI<zRh@8hr;RprVGTw5HLqvm$Tywu9X|_YwBzw;nS@xYwCOWRCP) z=6+sX-o$$^L0Xwt6y(esMr=UNU{b|>|9&m^7J~t*TZw>G>MM5`u|7FNfBEldtDpaT z2K7Y=AVi=6YyIb9Jqxl4?ZFk?_bva~jO1l($C31yOVdeI+)pFjSPOl4M8QPxOU)*IdW`(0P zTa?vvmD{zd5ZtxjqrCeHw{kG8S8mgmQf#=hgida2bz}JS{_E%Gdj%8vYcGFndq9)l zcdon9D2QMAMjS=*7pS=De08EDlpY~|>_Nk9EIO{8oYO>MQLtjL?|Wth5l^aANVYFl zF4NEL#wv5B)~#jjjU@mPZ`?R(tWyiA z*X_b>74mP%TM2HIDtFtoh%7i;%i?b(2-2!hbzIabO$dOJg<K2Fz#oq0{& ziRC1jIdOA@T$L`}Wi=45COPt?;Uxo+bQNgl${V!x0Sito<8*OWn(@T}?*s>aZ2Oek-+5?Hw(Q1_TrZp6R03b|3iDRT5gnIcX{> zt=*v<9y5%DvcL?pXhJX!So(5TC{<45ZxFU8lx7e-rR{ES!;*Qql$<3~DOwtf=i~lE zm;q`tG|^do{`vPr!7ppNR?TArR?Vkh=g$x4&u691eq~KWvJw=#Z4LHH_*C4~DBknM z_q6Py@IlP2jD)r3o{Ut(W~gv(0>6mpjhDuBzO#qs#|tU1^<9uzH`2MRkgVhJ89&&C z3Tv(NM$NRpaxJCtBmDQj-&ZylQk*8zrYC(SmtfxyGtOb<;g{tc+Zwb!X|8``$-yrrPGsMA4(X^^;4rI;Qn3Zpv)}5W`fvi4#C0 zn{Egegggq>vL?K>>j-*#>1?SHHT>)K7K6YbN@No~ypMm>(p6X=(`Xl8i{9vRuVzUI zwp9MScpYv*h17b-Zmq{2Z(l9y9lC9Hek4AcI>qVPq^qh0j9QMtzX_7kv=-^De%ujE z(b5)*)|JO$k=HjfVA6v~;Xi?vA}=W*OV~^vWu~1{ecH_S-YoBNy(0+%8}y?hYPXS) z51Aqvh=9=Owz;=La1>68-^0=lM2>Vo6RiTRwRV{J0X4>OpL1QWV#2~s!T!)bW0qPW zm1dr!>T108B}QiGG;v3SfWA?2129$k;lpVMu0@fY5m z%rSe1J8FyBKecsMIqjo~+KW33TV$q*dh&~+Ag)P|6)F=y47$SZk*Vo=GrsBWbKlFueqRFWuM<=zao%ZV{%k>wERvH8`u=Txa zDfK&ZJCn!ROYUZs=O;VI-fw#5nN7wh&4@3gvvi$W65Xsyrt z|7sok+Eeiz5tsiRi$JkQE#E=xt23}#uo|5cp+nNNARAFNk&D;jRBzaN8DOQoRy2s^ zkI$Az-cf|BXHSjP`Z7*~QNbQz3aG+k7J-SBl8Zjlh-)4CHhI)&Xew4zj@EJ58jk+Z ze0yK;eX#F@Z}rU5xa&G-v7+Kn)Z?|UVL8b;mS@qn*#lReZL+Q|wc@Cv@CPjG9XW4Wt((s#Wuae^n;UE%S9WJ=9v+SJGw-F0j!@mcezg?V6y%E< zVlQ^J49?@0K#z0yUkuFIi677%K}1UlavJcu1ib1kLRn51E?6t6*;9U)1F=Q8**kp7 zlDEC`QFxlSyC$m*uoXmrAzAO(DyY7#dg3%;g>=1FLoQzq$t;42@4tiWBh^)(7eblo zl`P%Z^WYKbCOezPnH@qG{j@zZxJEH~wH$73UUXKA&TWlYGJ-7Zpo6Y`v8oBCz^0Gz z7dEf*@5a}CRLtyK)G>Usbf`oYovc#oI#OMMFj0MXi+2`1Z1l zt;Q}lKIty+Qm`k9es4DRqK{(b{nz2PWOp!^O%V+;gru@YB-K5VoGaB{tC_jB{0!J= ziriz%r@5m{J~&uSLY!5*1nFoqFgcjcn8RfA>4Y&bl~>00l;dYfE1@60P*@NMrTCWM zZyb$Fl+C`dsNUYWgOM7YEami!QuwhBKGdi%0wXAcDvA1|95t_ z4IPfw1n1>=14}V2!})@|Z22T$Xlpmi5e3~jeDq0SCJ~gUBWu4m*=}(K@LA_^X3-6v zu`SQRecsSV_4%&#neehu?UfxofyMDe(6m(G0R|)-K&_2IW>A_-%RYZ%Q@Jgp2=0KQWPI=~A zD&DFQ*FI!9b)>W13BGBlkW47(Nz^7;`@Ol3?}yVzKMGw^3b>z?bpu0CVMLALBP|rU zaDi*ah_UdMEm65EHx;?=&Yx_b7X%%gpFm@ppO~B2GY8Ta&PSlr3Fx>7`dxp%A@DYamZjFwbv$a$N85L8&oPaKxDf$qKA!+^*h`d{6G< zS|Ty$E4_lTzO=4ArEQzP^pREbv*UuJIKXXhd`>1LJG}Zadj1*h!5&T~9~h(zJMzL8 zGuoC(gn^YPTC2`rpK1X-PzRa{Oa)`RRTqqHiAoD9U_^_ivd7{{rn0|@PHe~&$!t5? zjT~cexW8dVk&vcUunoyLfT6cV+H&opCpBF3q-+3dmEyPNJ`Q!^>Ew~yh<`0IsoBpT zPaQcbWPaaQ9&oZXNqU8voB;f@M#(*WWZiV!J1dr($T#?b%FJQ6kX3kyI~%MC`#kH0 z$+Gz*KMkU@=~dV>Re&V1#>&wSS7vP_fAxuT=;*`17BtxdzQCx_b_2W;u8eltePyX) zTJj)(wdJ=nc zJ~OK2|9Cs5Yl-gbsoJ(^;fjgP*01w}vjV(RhtH5v>^ff~#hL?M?|!Z7Hu#k@pmVzI zU-r<1XtOieW|(l77l;TJN7$RLn50Lcs|>%C06(_C0&z+L)td4EZ36${W=RvW1Cdk$LAR>Sh1xm#0nryQCZE+a=N^ z80hBr^W&1!HznKWr|!<-XTMgY{7kRb)G0^JxJ2Dm^?t_YLUmP{=WWj*{ie%Dz+YE3 zhKSfD@3($}j}xg&8eGU3kQ$ywkLPr}@+g%9;8kt;<>< zPdF1MHpmgv@Dj0ZMU0I!qFXXmC7B`>lV)y-b;;_1IfVB}SpQxR+gUezS5qaAeXXpa za+wr-v!6~k3nhV^6s$jvEfoL2Pq38~n2LdfO~C9Ey15Z#$Hv3GpyY@UkJN6x{)ow~ z?s3+5Ph;~fOQcrijS6Vo5as!$8i@OzNVGKe!`z1%*i!bxrK|UX;horE2BD}1W;>6z zB(uID9&4llM2XL4wh! z$-xHN+Lf|~&(Gx#B@OOix+qTS7oMx2KaeueI2xBI+U-NXcWan8B`q?l)W_*oNoHdX zbek`iL0J;z=hG&Kmw#>@ae9BtINOby$M?)onZIV-Ql(M&U1FE(oqkJSBYse+O%!&1 z@H4H}-mCcwVx6#nkzF3ZCfo=22o65GT_Mv)PSPSuO7Sl|c6AkV7T_JQ2f;U6vwN+- z|0tF~Du7c3ZrFwaSBI~b)_MpSJMf#z3~qxD*yb<14V)T1y-A->i2-B0SVN_~l&4~1 zq*&dQ@CDM5 zU}>(I_SzbX5J4mq|B=2AeA`VP@SeLZNm=Bnj@8zuhhwCLnvQhw?}w33W`2}!%Qxg` zHt|E6e0HNM)5L46hj9(x1{l7x>ia5r`6?wC$I=}S3=f@+j+^0~$$N<^RKT;WpOygY zs%Ca?>GC%p5&%{y5Ey2wAkyV!?DxgEiSff9J$47(rC3ex!oA@wB;8i}5Kd=Zzx<`; z9@Nz4wJ-kNh=x{OC?a;5@9CAzrhVNP&Cd+(-$_3^Ibfhv4-3z0r@Ej)3jwI2gw3h> zY1p7vKs>MRU>NBM8vgX@hy78w$7~KNGn~$!#ZF= zc2^=iB(Llpb0FB;e$X&}B6p^h)oAkK(RqKyjMw3+$-sxk`SV{r2ZG1eU+!#QUz;5g zLh7%WMrY3=dMmR&!kvvRiQ)#92d+=69W;&b-;IB!4jps1!)d%Dr~As!-Fg_rbVtk127S3GlcntSiT>ilXMN zHXOLOxD%B%B6bA>v^=*|k@j&jV09MZ*vB34a2S2M&9s;3wFl{WzJ7en?>y~u~T zZH{G8Ro9b9dwelflwdNwJZ?^@+(KzVnQ#>|*hZf2yWn-RqUiDevn6p0#_!TeJ{*~bG9EOfC2>)lBUR{h~_ zGV~jEWtM7a=nNPACXeBb4*h+f!fz`XXFn~JmIioG>T4dEI}~k?;*ZFabWEc)7xtC}Zz+X`;2%?`)xtmuL7etX<<8Sx=H#Xz5Q=%5nMuH-1^w zW_=>YcSB!oAIBaB#`QA5H>gx(vG9LizC*ty{M~e9gM8|zq3zYM*-o+I2Sl*qNE@YsTC!%kicICRW*D-e3}!^N_S^9xZ*Jo{qmJ+Kb}!>i54ln zK%ofhR}xvmOs+1tMEDB&B?7+-clRq15?|$=S%Z)D60>Qs>629(1ky*OLi69<=Ve);!(iH{s<^dO9O1M~r7Z zncswb1nd&(p$504J^~#JA><(|@dMO5mNbcZV7idAd|yzj%fyNj#+K^+GKj zaXUWXI615OzGS3HeUdODC}*$?-7*e!K`xz%ujcpHjc*bl=Q5gZ!4)KCllDtCO>SVa zjeeYDd(}yyhR!>QJ$hy=3kavJ9@*T1p*d*1<=)*=Iuun`jrGhmKyzCuayMD`K3ZKl=zmcaYW$Q*DE^mnW&X6huDfv;q4jIudr+VZr1ii%SJ?5G zf{KL|vBD5Pm^QE3-21NFe)d#5<8 zC1iH@!#c3StQb+WlJ0$QaN7T{p3>zr(XK(@JLf4d%E9XTG_88ds+lReV`xM0Wu?=k zG2XY_o|m6D1e+B}0+ZfCcXyO3K;DDuC984d@02b<)3T*&ja8-zm&0DZ(#Ol>ozV59 zDy1AZKwYk#vbt1FU$F^`YoNrW*_TVUCb*xS&2VFrUyjNG50O)RkZ^g>VrzTa>eB9q zJTEV*AG==`!YSlB!pb}7jqsvJWvphwvo-}yNhs%5Ssvu>;6nR+)N`xx56*tYU1d&d zMI1i0o}EA4HPJfTbk;tCAjc4fRccIkL)^(3g6J0Rem0K;nwJdtXXlOHyvsSzC=$mh zKtYTfS!v*lPOgBzfH6heg*ic8NNJPGr+oxEp;=)LB(@-1d}L~h1dQHeHH zz93ZONy|kfzfiAoPt^YwYmU^i0O}ycb2@bKrw{k2$eAsi>#S;^J{q6z6C`(87kb+* zr~qFa-_jx*Rc6>vp<@#}>ruv$|5Pd;*BCO@Rjaj eSh1-1^FB!2&dz)5 zmg3kLd)B>HaJ;xvzR`|_ z-TBa^SnZELd*45^=IQd@vp{4liX_?-=0lPme_oQV?KE;;T=8e5NAW0nIiEtj~R zdny$J!KU%P@#Eo@UqsN^@jPUyo5ezN<+jqIbl7HUYpn2!OIeyKq&P1KLx1N!f(12F z(e)*2J?jmejP(Ceow%787Q=*zDt8I(^@1;-76?>FO%e??;xF%$%z(jSW*I=GY$ogv z#2ofxpbY%9WDpvCCF$TzGWy*`*q-^Z{A)_gE-&FHf62*Fq672Ma*C7b z26eM3&b|Ge66nfBXDx!bW2WN8kE#f;JOLhARo|`&B+_dl7YYo<3|ZBwQ``Z)-7k?3 zGutPnN!D`a^LQ#Ds$xRi;!Ky{U-Nr>jcJkrI8GmOOH2S0hk;HQE2#upUgAYc_>Btv z)sd5hvN%EAuy33~w*J`8~P3<5~Gd@Y<$#0~$IyJa=g-VEw#ppWn)>lTBAFUvHw~ zcDiS=Uq4sm#;Y#n&Ao-nIO{=R9XkFV+bmwJ8P?i&Fzs|r<8AgvMJ*CN4IE|q!ayV1 z;e zHCy)^{!xSd^cnq6u>(|@V{w|txOl}O`go$*!0vNFW*OG~?S*2~*-5ioJsS2`o^vBw zCN$UP=s#C6s6cup>%(||&^HSetK)P^!raXlgdczYXoH3W=2R11|5hAn0T zl=+P3dg=w(CKB8y)v94{gAELpACYMQ`Wbu&{(W1z)(f{<=)2RZOa*;4k>cG3bHx(M zND<<)<=T9tFQXu9@l`(iQSjx)1>h*W%||#6gya^K_Fu~GG4YkHs>~lw2RvI2CYjK~ zN&$;YZop}P@LbZ$?e>)K+I&pyiz7>`wD9!xIZj;$BG5!im+Qm0mF!~mY3pnOzLay zuKL2lX?)0*yz;>%RR{ z-;Pudg!~&IC<{QErf4lw^w~?*ihJ9KDj7{VJ0@pe^R}Im`{s7xQyDW-m%AEV6N(K} zuEEp#W{Aj~({C9XKG{6VqWEmyfglUSb%X`=hQ|V-1rx88TDQp&@}Ip3XHNT z3m_mFuIK^R$kxz1c8|^l!K`$rOK@)t@lf5m*p0< z+)*CVJC_nzg$+7kRS^;Ett80PUUW9C}#rB{9zKUbl(V@(0w$&T!a3de7OZ)Y(}^BiYq@3InorwZS}T_h`u4zmCt!y5W+5h`f-Pd2sX$c_(l7&g%A+zQK7(*Q(w8QbeaD@9AN5>x;0js?PkqWPFo#vXEV%|AR_+v1WS2F_ z!=0d-Zy1=U4>|*3V_*=zA{-TbHKEd*lDpMFHgk-B^pdJ{8^ky&U(^fx=w+LY1S8Fb z?|Y>XLMH6KEI&!?OU^W^1mxCbp~6Eiq3BG!E~8s`#g~-?W_UjIta%r;1Z_>(BK9gA z->jQxQbtlL6DrtG*!s=l)8BN>3KM#QGH3Uo4{hyjC|a;>ofSLqcw#%uCESb`6}BD6DF@bzBm|}Vgfy) zPWEC_JZ)Z$oFaH}Ma>)h?s*c{dQ4~^vex89k50J5r91(acS`ZKd8&ijWU8XspV*S& z@^OBv*j_VhtwPV$do=xpS+!ohfRlN{begWYpXx{ zl@&bg_vmR%o%WE@>#3&-a}BQQgNn_%I9C?W?~kv?LCSKl{PoolyaI0eYo=b-Hyk1yCSBkmYrA|PG*P*Fw% z=0L>x4w*%+k=?72&EW~Lj#Mow$!zK-4>DChUKbh^n>rajbzmIZsfm3!Z}Bni zH3I#H$1RVVOqTNz0YIlpvPa(pT&t2wI4d>Qb>md#5v0vevqqp(8V#YmOlnMA?7U^3 z8#QvzxA-0PFjwT5c;bBoD=J`A7Rg*SVl(hc`~BURw1>TW)=0>6dXKjtLEY^~_KqLp zWGTg&#Jf8{hzS#F=dU`@bK@0Lic?j}6N&p+le zp?M{?vUZX}dLPPI`8CjV+`0X&Hnsk2sh01o;dXlcI;&|y&*~!TP9S!Vd-Z!9@rP<~ zdEtc*RP46a%J|XlZs!uQOrp$J-Fcd|dUaB7Nt(>NA|JAL>>(I~1E%_iI4@UlfPOAV z4Y-GBc^R-$xpRU=FdPXFU{%TvCgyy$gxo*7d!+r0p!GZBk`+|!j9j(MxE*df_xY-? zSKM^}vM*B}rR}~B98X^Vygq~0#1pz+D6$6Zl9xRDVO2`5^q>t~SfUBZgYRau>N#z~nCYldyGK`-OnO zC>BOo=t7HrUnEI z`XkLYv(SXHs-|k>75PIV<1#FfQ;|?v3@$ZhN5R%oXal#Xu0kL>8pqO;zjc^j_7#{O z+zei3;&BuNO-AaKgEe_ebSm_^4+WIN1*VJ2N%=eUu;ht0O=_O(pWvDtE}TSL^w!^# z+D5-vSw7>sCDyuBuUq^ux5vBWGwz3b+jWp~kn4;AkjhQ}#Xp zh?R|uM22}d+q}$qjQ#AUl!xg&^50tlIEfCR=gPlXkyY%d7)tv9(ifpwI-FlolLQ9X zMp=S!q%tNyr}NlmY4DsBMqwne-TpJdUEQ)@)^m9buVh@Sjs$k8DN2A4cULfC9GuFz zORdsP3aUT9>}Tl90xLbCN~{F$z1#++Dg(Oe@+~`B;rpnpm|r(vZp2&L*NS{r5ZY5n zI?8@@I`rSBnz?7Txo6)Wor1()D2Fx#32Q?hxo05tho19p&Qh#>XaQANw4t1QsbNd! zv$r4`Jzt_IO&?f9&hM(zwbEy}& zzy;FdZk?Ugy}<9{mp~VXmb8X413#3WGRq4?F6P=vP_K2vmd<2gv6bGjWUnDo3vpBi zQ~9i&WTgikOY#^XlcoEFQVhoCY=9?|PW^*Wu;Q`aeD+vUZKKYlm>UY6A~>fTeLWRl z*WJo@`LC*p-qBMn$2}#Efs09V+fcnPql|Q|e}mQYw|v6~(wU^?YfDvJJyBM_Bn=$% z3oVj?oe2|3$5R1McxKocnV=7*=Z?3KS6Ym}W<${sRmTfp3+(U&9K$m@c_L}|I9z{o zhj9G(n_e11Y5(t#hS=U-;(^%ifa*F!eeCc4h7Fgxqi%@}kGi8rAzQJxW?b(Mz}!^p z-P4><*le@4YSk(09`#T2W|qWfxBedWdDa;fk8HYWr8#+D#Q%RHr-0Rq`+n(OG@tgD zr=(TPp|U&)ZlN+f2}q!F?LY4UiY{K~A0qSeo+7^4+C6m7)HZK*qlf#tino*Bs@uOs z#`O~5A}Ib}qq|0H_M@pe+n_4S(4-FB_`i+rTr>);t6aqF6*Vfn?%r-m^_HC8?5L@j zU&`@Mm$yD2xpl>)%DN>POswWZFo!YO)#w;MgSCJEhHi0T2bKk@C_^#;uQr_;Ms@JTb>P7cTr$W%k|u2?bks4+gvszcy-W;GlPl%ppOLs6v2UBW zDM@{lV8&s^_tN&plJkv;YRD|UNi)8wy(HtAI#*v4X9gnsyZZT_2?U+5lWnIdOt2`F z4qaD=iN2-Dy~Gb7&@*zO_}gx;Xx8?wgTt&4ewx&?8cOhzC)Xqon&AwZ0Np*-xQF^Z zN-x?~aTugnDm+cgjzkabi?PcMz~l(oYv9D9qJL+XV$|XL{0Joz9!X@fANoZUk3kpuqiOwQSXL=)v2*b zSvq!fj{pmjndzFyExKo_m3rmT>wBsf(Y^eyil3Hwm5mIGcZHR*t|)AnRJy7RB*gFU z$@=oRKRx=qG=BbbMe6L-dt6Hwds9U{Z##{?{PZUjck|b@x_QHXAEU#&>0{Bg!=khs z&k1Wi`nZJ@ujLXrB+2wY@+?QbhlP((QD(IryIeAI?12pzauJ5NjpO#=@{O^TvzB55 z2Zg~q;9u?V#0S0T^E)gYk-N02A8}p031E3oHbh+Ys7brj-}|DOFf)=rexlpkH0*89 zNQ|K_1lh0CLP=J;H!MwChoqHTBCtVEusDCJ3K}wfpu3lFv={ivj%Qou+`Y3Gy8l9w zx1w-yQu3I7l6cLgjj>=W^T}O-(zDjP{g<@7$FINXf?pZv@s~`QGI-N;j(i6rb&)3y z?*>9jcrX79+RPFUe>|Cqs7(XAzT}pSDiNd*=Tg=_i`8oP#*A*Lb*{Hk#ZX5^Gq_$J z0WUb%&V0jGR)yrgVsw7hvgDRh6f)+9@f{^NN}fU&tHnUJ7xyY{pjfKx?aX$Er9QCI zpqn0;xr%AVlBj5RGED};bEM+{W9`&`rK7*s2DY>?C{=^osN9-4ES7A+ik@;ZgFK32 zGST0SoR(e&b*T!EKOu_reAC)d=knpH$fzDgr1AO$d1vnH$;Ynn_aUlwg#;>3dES@t z7M_+9MR;bBlgmV0xOzAy#u%AB44rLPZGVmVm4#gqil|#bLiDSZBeGn?_mkn{`$uDQ3~UHBh~0YU)uZV{r@P4hhl73kWGm@D4uTEE76I(jDoZ=-7`_6=wD& z+)8{v1xSIE7D(2GOYH#LSP2hx??`Ticuoy+L0uaaS8@eyS4bnF};@rORFGe@!xClCk})(Yucr57d-0eBJOP&P{P z%`Di}E8>0i^~E~kC}5^Cruk>w25nmlqP!$gtZH6>jmypQZC4C_oofBy>C`@7^6q!h z&0kB^b`0Bdv@f)!8s1!dzFDc$-JSXKwLu z%^}@?RKsLBYi{K|^){DjpvQs^GN?q+%W02qE;ImT+~@dF&}5kvu&PMVserA6ic>ls zg%<3uFN4$Dcr0EpgWWa2ZW^I3y3qg)PVG6rR)0GoW|Yjb6vzr7X}0-Q=VHGCj&_(K z;)>|pi!;Ob!_N1X`@9N)%i5?X186iw>!3uw2)J%JU-7_fK#e3_rP}s;6g7dLfC^~% z8~A;G^^$Ha-M0eVO!vJP(1W!CW>onq{&qwAEHVG`&B9v~WO-$wn$L>}GK z82~=uRj*PuK!J{WaQy}>MQi=Qv4IAuWtxXH3mxo%wNA@MiNLBZdD&CiSBg=OjmzY( zTg#3fLwAc6M#LwKUjHtVlYXC8ef8t>!Soob0cy|B**iPn{9l{KK+6{j2oJ>3+FH|3&&&CocTcxFHfsG4*`2%0>kY&w;|R&~y6uP_k?$r4e(u%ZjvSz+E6=hQcjmq~ zovh|X9ln))KJ@kX|D8hrl}i5)>p&OpsL5Ssd{FgZzPKl$i=7FtkvfvBhaD?l*~BK_ zXyIt=`!Rj z8$1!Q)e(elrZ(aAIWAu5GwEDeDLMafYy$iyaJ;&3yu`>RZ;?%YePjv+KQav#@~0E@ zr|bXsCI2%8(0}deU?oPLci~x0z~$dGgn{@Qnb9}`SD$9y1g_zk2!NW{Du5AlqlOXh zD`6#HE2E2yRzWi6B3bG#a}*pv?|_)aglLAdTker z1S4d)t@+9-o%QF3X_ZCHh4j8XSCNldnKwHYv1?kcG>O|cG*R$on;|ubB~H! zX%E);5lZiTjAKEC!H(a&@bnfjz;Wz_VBiLpi=|5LG2M}rNkGp=94q5kaS zB3E^zB&AmFr8k**Z3#!L*`ijkp)QgqSw>EP{f)ctmUd8`o6#DNx zj6Ge8_3h)dnmA^;oh=cSm!kmfO!uA(I%IepQw!KTR3QP~psx=%~WvIP{$kB$fEbz<#rsju*LPkt$86Ibyi)smc;=}( z)9~R+)~hX6V3+K%QW3LKI2%4axE{KxH>dhYu(#RKXKU3)kd?QSe5(TkSEgG$L8#+A zY=$-;iM0jH>wy4ZTv)c!3=@ZYy%3{&LqqQp_zV-M=1&g< zS(j!1)j`x?S(*6^KhUSR7|fqQ>$-6kY8$Mg9#@`ikyr$al4k{-i)ezM@;kXe%GUR# zXwywXL$!kZ2D1v|3Cq4JcD$*T$4o*;5jBp_qvDV6%+b8CHQDQDoy(q+)gbF_&d>H0 zNMYrj%?wn8t;-QD93>E@3{@v)jq(#M=r4HrZY?Q3=zKTWi$#-`FE+2Gz*+LJ>vDZl zq}IU${Kh4_w{fQ*k&oRlOPOsmMxC{vO7$1&iEpN-!CHMKBWIW5S=<~+?+PvRfv8q= z^-8Vi(vDdfbY_dXha})asUg8`xPahehp=m-8iNQPp!$Qr`daN5_0Cc_BW=Jw$<-BL zAOcq|D!bNj)4WtwC)KfhcACqzQ0$W>M-^O&Y$UTy#7#`eN#j`JZm}4?duFIyY9{@t z1Bv>z2atEq@IIZuqy|^1-xZu+Jp_1Hf$g!L*y3gMltadeV2a9PZVCfED*0hA8Na7pj%6+4>a`Ms_qaZy%W^S~jvgX-6jE_$TRkM7%l59~%H} z<|e^@1w1Y(87+~?%^-jEWfsbG5|%TYjfaPy)FRIv=>qz-m3Q=Yd?o4VXkdepd0?%# zL&x$kfZFB3OWX%93!<`(NLqCgq|JidA^L~SDZJlQDSl=2nOsDdSo2oq<@0iUyDJ3=AUp;3<7AQy&+O9 zQ^TO`Zx>K4!31QerC_kHP`tF6$A72}9+@U>_CHhb|Izjqa8)(k{6?}@aj8L9ymm8c_{G<(>W(mY7z(;_38?z1kQ&7(3F!Ce5X`%z@fkT0#vcTjGOvXaD++I@48k$ zvelI7*MH=ugS&Tj%Orb(HyIJHppc{wVPDo9yih&{+VM=lKxfND<^n)9emXE*V~?<+ zocboaVZ(S8XdQx!lfW6BlYo0S_1QF##6u^i0atp&uk@hxq{4HsIs|enx zClXiYnBZsM(!9DpO7A`EHDiL>E#)e{)Zp`j$rvgZef;8Jkl*s$j+o^Utv-icb!>U_ z^(r#Wfs~hnBvGukztq_!*S1>n*%{{ou1=8xP2(SleO&8R9_%tcD`CSbv-a_7w4q`q zr|Sw>KeslZr9-InLSF7{E#5vYHvcj`5~xpui|zdra6+C>H*<+LR*YAs#>rjF*H(;8 zmAQJ-bRGA1%l>O1x5s#VsHZpjwQ~OCYcodjS6Hy|UQ}SW&_RCGh0WYiS9AbAlyLwSzIKSfP4cb@ zeUP@qqQMTY^%uX6Q8>&ka45~+g8!M>MNiAimvQcp7R+AZBs?uin;v+Kd6(V1TIr7K zB(28bC-qCo!g@K3H#sK6ro(2AV9N3TZ%CGJkrAqlLtYwhCx#9hVsA1&Rcy z|F)?Hq)F}r^X?wrdkzonAjwZa*QzvD8(}VZ;6^hn0Xp*DPlC$pK&a=*;j8%upkH|4 z-2kg>2J62~v#A{XgAMiKh?zn5kFFdg>wdhgs{<-zQr1qY>FVQt7# zk5n@^qjEk!oQ=6#+%9(3b4se1p@zh*$3mV_9GWX;kQp~TIA8b_aC9m>v?NlysZ;(l z&8mo)b-j9U1CG((EwZCEQNAN`o|VDt0ZrT*pRjclyY=PrbSwNnmJ6a***`Tbk5r&fRe1JxLEE=iSKiNeeOe=@zBmk zQ>9&HKseMAWGGW&>nctZFVoWpo_ew5}*YK~&Qb^r~v?*DGbM%uRv48S}IsG)`}H25!DR(mCIFIc75ibNE!GLLK>V1J$NRy4|`pFu>d4w!8cxFxg90?x0t< zmamRfBA-IZ1u#&ni7H^t&+4ju7K82$-L78^~4Gky~W$I7vX{;AU|^TeU04e6y`6O!3I;iHW`Q{CQ# z#`Va%zwQz>8lw~qZlez`^UsP}?R=BupKV>NMY-Qd-VEz=D*d&Aawq$jUg$ql81778 zq_j5NQL=0Z>~re4C`2+D;&M`^^-zedjy9Pb01Im-@haXLl%M+wG!m|xqGIGns@`7U ze|#u=>S&JAB}HZx#AJD~u?o7%)UHPi!|E6_&Wm4e34DNCb#dbPll&Kaz+HbdTE)$T z_bOzgd42VASBx1iiP8~R!Gq%LO&iSfh!iXALL_)$cdi0!$vIGyv%9X zfRGMwwEXt``>tgByg~as{ruK{95xq0Xv?eKGfe$hp@1v0w?>#!Zk73V!SMBm##y>= z8@lmbzsp*0p3kK@^X84A3YNKoinDmD4NOWscp7*-`Rbw!Q&&RSKH72hk~P1U?Qd13tK^Cc3nY?y#wv1Ab}tx~~*vFrO&Tv!ejG9qd%$#&|COb0fn8w4&LkINnA zqC{`L7Gb>PCU6-gd8MS~S`$Qgt%*k9%2adnY2mFXruz8(JipZOiR>bkrx z3!12W7jG6Zy#7e|j-o7ng_u>Z<$+q9A@(-}u;uQno4?;n7xJ43MM#>401K@)o$Njn zPx0UwO8EHpJd%?VtR`P10CQKt^+5zU!>wfDw(CR4sjUonQ5S41<+qy(FY5V+|DW%G z`^mqfj6=|FA+>xX&38EqUzKqi>I+{tDZ{r6SZxi-YMnHrmVgclHon(X5F!_Kp6+>T z29zG0jjTS&7~Py18xfYwuVr!C+bwBzd$zpFXSlveoKtW$D9IV;!m|Avj#eNF(9*k5 zb4&x~TsS<&8f=E?{R^$?+ehO!E7@DeAFP(S45zphch!ykD;N6j5vfZ3oXIKEuI;rB zG?bqcBQGQ=7E5u;)>)@9+cJxB^*ZH?q*Fy2_B}Ht8ap^I%haouNN0>{q}S_DE2D1N zu=SOtHil=f55;ON&C{!E!p^3s6 zJ3DgNWjEZxVM0ex5rGkb?t2d_f}S4J=9eSvYtBVanZ?AdWKwNpRkJ<*k+obV7~K9sE~F_n{f z$$Y=dcowx2>EwL>krN7!u zx2WIuja-$ZW}>qW7%QoSbL{Fj^E_iv&>#vndi?V0`}@3aaGv%hiq{XuTlcfGDZZ`x zOvKbU%BY$^#inTJH(vUg{V#7<>1S}4nyvTuUCR&L!M99GKjYg5u5cM>Oy@s|rx4ME zB7b~s)A#L9dhiLh!SP~y*^ryUM2SWRSZ&j(gvBJ>b9n{N^B4q)#BRt%%aCCdGAq$Y zS$x#G{bKu$TwiG$bGYG)aASq-JEJFQiP6mA??^Xh-a4FyQ|LU3mML|ZD0?VJ(-xG)$6qD83|l@)5IS}mAY#U@*_-5*yi3TwHH=y*=y z5lF7cv3F=|e!BbO%{+!V$Gg$*d6lqA)h8CWDuJoB5%b%&mF8L1@`iiKvRO{>Wz*-k z>w_a>HrUiCR|-eQGmH2KwF=5-b*!@DE8Fl-j#dx%p8ppYI^474_PSW4JuJ&r_2qrO zv}bZpwqenLw&G;g@UKpf~>Ve*tKVZ%qqdst`?<{Gn)4XwSq%;N8bUj zW!Bibu~eB(_qQL;C|R!fPJCXHd#m1+dK%X#nt^>?VY>$;g8klwKE7-64E3n)G#!tv zxsw&0)_usUxu$DwDy6w5vAL#aZpzpu=gWCv$;+n%hYGz-n6)_+!!NH;PJ;{Q<*1^3 z?C1Qe=1wG@pOpCW`r{O0IpS9>Yo3kU<^KinZS+8v0^?;m?No5Su5Nzvg(XHTVo;?X)6$ zHo}~nEHJ#-r<*ePQMA-Mtl&rXV3!!FcRdW^UpL%7Zb3QUj*O3(+QkZA2{*ycNC_AX z1`wa`Q@(y}i*-ODwZSKEQ~czIZYJjc9`LHN;b@zdNqu5CLB#3p`|Zas4=0MfLjfAq zneHJ$M&%`(?N@}fL>9^IEzH<1LNXD#_OfYEKk<(hDp49~4k637mWiCLlE6M^6nd7E zhCgy7m#pkvSqpY)p=;0bluUll-H9A~$na20=zxWvV{gy;{sBuFdzvv!t2{spxrW!E zz9hPTlGJQ9RPzwtyNG8;OZf0W`TX(r9dOlqvuH%+xl#TcUS%hCI>i$BB9-T$v=U0p zJlL^6cg5YcCEb2_stgT4XQa!nkxv#g#>K5s+_q2XWdHZzWH{&*@=qyG=|~M)(ex3 zY%sD)^PtNYnjv_n)a&v(t_3xx1hZy(;V5AFlUb4SDr>ucOh0|JpwYmT&v-LzpQiE2 z=u+TZH=5fl^3?!rH?2Jq4t>EK$3*&^f3H%AF|?G`;q}rq0u@=FTZN4*Iq0sX-XDFw zTK6n}2a&w7=C#S>plhzOlr5hcPciJ0k66macF=tfeB!C`cmG8cNc2gF>#stx`xRn^ zxcKsR5Wr{kHR%X4(`QgZbJcmGOMjvJb_$kyHtk?*>2!N7ytknTysKKgw|jcI6UjA9 z{IPgjfJp{!Ud4aI+ttf`A0(8HON=x&F-jyS?ZuByjPyw~7=KQhK5GX%l$Ul8#KCCn zf%4j~8kDPcqG#up=&$TtmuWMgi_LGpW}s|AexUzIRm3+be-+mt{qkT=FS)|lors&r z5{f6mA%&X!Q%>zBN>rnl8Nz)tWPETceD~M6m-#|*k?EHu--f~~SYizErTsOHEXQx` zQxjL@zHQX0QCr(}iMUgLi&4IeYDr(Pp9Es;7LT~o^Dfng?nxZo6GHDt8s3itaY&4> zku1>3#xTjo{E5KzekAAph!ux~=^9Bkh+veB@urImQvvru25^jH1=?L_>?ZkLo$st{G&YJ*crr8 zQRCLlYxfgRNqaq`|MD`I&rYpDo{3k~e8uVfrIX-h9H;&_=hjQya~dG`dFC~;_&Fz6 zoS2Ck;=7eCDE*30WzDidrSuNjCrCI&0KrTFTifN}WdYE2S4h+&+6^RE<-MA}-zJn~ z{V7e2%^~q>0{O-5&0{zGdtOpTRM?tr-uDQPqu{kSt``l5Jr^==ydjg8%q{KTS|~Dn z1u1F1YTTwtg^f^0wHrWR7^Lq}V~eopz_ACS)bV@?I3vW=o?A9x^Xs)O=7!m)A22!O zevjzm_0Pm`i6m?x_I_j)5IJn3Yr<QA>7a zxh?{Nc!=&<9_V6xk_XYvki<|H}EdCU!Xq$+4C?*iF_eTmu zv-;d@<79<`#DDQs6tcBFMck~-y0cFwRjLs5eX~~SxX#<%6WuR%w^J>jPA}Wro#2np z2Y37V-OjOeZ}(W-ZN9w!Eea$Wc^*-W5O0vj`gQl#kAi0r#p1tx7SBg9BR)ajJDxqH zAr`p)bi}5ePIby#9j@xpxVho|acA%#M6H_6f11u;f%c29#tJPN;lXoPDV&3E^&6q1mleYaldo@V8O%u6IHJVb4Kc^V~N6ddjfVf0tf7xrn9$!JW ztGyZ;3FWe@kCplxFl7jEE!0>W`zVa@afcJ*<`Q7r4_;ggbCCJ9+vBNrEo|R~KB2n1 znvWH8$XkM23Aec-{kmeb1UJVW%%QC7ig>uq_n^PU+571y-SmEez{wGZ$D*l4s~lKH zSvVkngqn*_T*b+F>s-DVZW=D5%iRd?+$(ZvxLM#vRPGg4=erSgL}z8#Tg_nGd8@p0x4(a@MgA3m}A0E_Sy4`e5K1n(>-XQx{e;vSi>E+_kVHy|W%AcruVs+@&Mm8G#d#|cJ!O@+o{ zcdgTpC%v9^e8<7PXC%F64CRg3<&E8IT+Ncr3`AH8KUGR(#WyK#?iL}w)M8`R7qBhs zWT!jDde7X#HFcp@Br3sdKt_7EO37(3`%it4@8*FU={!fo1saHdPjB^%&2{5T5Fd#y ztGk{W3SC^=#Z4Xeve+MZhZrO-rTaPq{}cmK-Baj}m97XYo`p9&26vh6eau-gLbsNO z6)&6+nTN$>oCr2mk<(By5A%&Gix8R0yqlfN7F||??Rl?TT{Mg*uKHbw)Vq-9zg@mJ zJgR{_qjcTsOx@}}caX7nkOUWTP{_ZExyU#oMPBfTJoq1n#dYHkB*yG9b#^jeRPk+% zY`=36dlPeYpE6pW5-yNnT*()UeHZI%@PYGD4nJTlct7`nX$vy?z>;RSKq}- z{XUr9sFDV%#(`)?NK?bx%y^4Ej=c@Vdv}iYtqd>#ef{$0DFX)2_bAiS+ z)pCaaJ8tC2hmRv4I?YYWk`n*3fW)txlGbu{ENu0jmw241D5SN6deVDsuLS{=TOaG*&$w-CsFP_VS14?AEYIZS7vwyxW6z zNR{b%+!6U?*FH!8oZcSq?; z^n(lX^q$vFhe7)D6mmjJgisIFex-CAAaZ1typQ;fsj(4RTpGzelAj0>d(1FBe)!U5 zO+sYuFyr%p@ozx?vD7vKkeMq~cXkjfo|h;P22BF9jQ zP~vu!hejqjpIprKeVFEvrNw+@jr5gUjvpqL!eZ+e)cK~XFgo4`BS-!n_e#h6K;+2Z zU3r;2tgz=~zspGddoh0!lKwsY`@o*zD;@IL@zyzbunPwp`Xrz_EvPDD^8tAN-?$t$ zACd%A{~h;FEsT4pRcbyL==$pEI*&+Y*r+riTS|*R#%=UmxT&JMcD%c_wXq_Ok`;lO zdBK~;h4x1WE@7zATTJsb zaQ|0h4`~DHUz%+1w{XtZk#r_EI(F6S*8Z3tdujl({)H_-V%bkO;V_?lA{Y2B^q2g##P6JchCL#}skU=+U8RAz!@D53M~8orJ^ z6~xoD5sAgqAiMVgt6eoa<{t$XbH#zwKBV^xb9vy)zjF4Tsi=9FE3f9K7n%QI%bf za!cJ}QO#OY`8n3&!0;Ss7|_fg)mSWfuI#5}Wcge^V|I&~^#tGxHSxHT(-^ss%Yl;b35FDYRe*2BEm<77m^& zD(1|mf=Iest%@poPPDSCgl}Jpb`A5tH45@*lq|1hPJHJ;y<@@HHSGA9hY63-h~vj_ zQj2O7s{+$3i`(LB#zezlwfDK}Nk%~;4}l`hfm}|NeN_H>ijlc6OX8rm4N9KJL6dRq zMW9i)Zoft5v|6eW0R*pF)uw2_O;tr|9A{|B@$bte(-5@iK}ll8Yl`sEtf0-o)aZ&RHA|FWa-5Zwg%R>L5?KX}~=(%zy-$ z9whepb-abuv>_+PCek-H#S3Q;Uy6Texk@C|tFvIP*0+$dVD0r7l>CUkiA29@=iuSb zDyn<^C>gqGfcE_-PhPcYzLF|;}Esa!z^%S<&sGq9S(ei_^G9HU>V?b zbA(i}Yawh|{^7}6QZvN%73OqJKZkDpso=kGYj`Drw%&>@-$N4TV{Rn0N zw+M-1_>|9;&^x?6(HD0DlO5?w$%vt76TKa3^%PH|Kei=~naAdio8=2W49fBj(4#*X zvVLwzi(DWj2fhCezIw7qRhGJ5NTFxxFm;kEYQ(KkvZ<+P=Geu&sbMzvWf^Dt<9wuN zUzE^B^x;0cVTXWICKidEd~amHC>5CGnAW2~b4&@v+yEeTyoTLV45na#)6Wu*aby(_ zu+KsZn?TR~G9VBt$U(xdWtiv^q;*;!uXN-H%602u5aId#Q1Wp8tPOj%s!kLu-#}BI z75MjtA1)`uqg-DBD%xMu)Nw8)uz{ z0mcfqk6$*vNz`8Ta}1}eaFaDV!1cJavx&=|3+^Y}en0Qx&(D5| zQ_>TR?`yO(0rUCfOc}Jowp8{@t>1VEoIAxSJvrc91WEo%#+lq;$C+GbC+e-%AMih3 zNDsfN^%q;eDo)Xxo!1rA>vW~=(bTuB*Yl*`?shR$H)3{PaPMSWy8YiSW(Em!P;9wu zDc@g2?KEgsvMIid%%3LysT*3*=0ESQu{`PiWlVihBFf%lA;dm_<2T-_tS9J0xL zU_sZoc#ajgFE~@cmVO*RKjDe3pvRZ5_#(^e&t&B(>Cm>T8KgU*_*O^|dW>)qv3)!c zXd>GB*ydg0K-$pdfuhM@tqC+b7vCso6Oc};MDXL1vUshgg}@YgsJ6mqY0J4$>b*&05VPURs~g*-$WMN zW328(F@(>}oSV_iE&2H$tQWG2<=?YJyg+3QmSDyDE8Qi~Qu!+Y{Vnvb0&>{~_P_Hb zKcnFPQFLgYRwk{RrLpH+g&hH-pILoeJJ%0(AAb`rPz zduIF!dD5?~40j7j?@8Qo2xSBCcyzjwhYaSGXIWV7bfL-jx&e^3%#F=4D9gLQn_`Jg z{N<7cEmF^Lve7`{S4v=JV`V1Jjeu*v4=hD0W<@GeZrcQITlJ<^gU_vy!R3Du^H&7O zD9B8B@$AzAu4JXM-4i9tB~Np^kL zd_=;WrCYt1_9O_3sNQP}4$pOGbjM0w1ZwT_>=q!EX~3J25L;gB@P)7Og>fSDF5~C$ z?lV>GD7bmc$cGdXdoEr+p)ABaz;6G7Zu0Oi&1Eu_702|TnmT|;=Q*m|!dM8Wi(_p_U3~m0S%fp0E-R#?mDvPr!+IubnkK$B^CqPXQ^JyuWfG zIIC*nZ7cEEF@oeP<3lU(=qZ)D+xXQutFZn>u?+FID#1eRe_8h5D*8v@KiVf}uDM$l zsINr8=>?&W{60tC%4}8+glB$qKYwM`JLycx{HQuT2Z~NQ zDlFpu+AC0BwJVcEZibnw)|Ba>*zI5$bQPP?5`IOw`jO}1I zXZ&mx9*5eD99yl>3*&>wn&TtD>onM11ibaps*VnW-oz6=mhp_^oN{G4Q9X`w;#CS! zmhm@@Y8f}PVs%n0%G_8A*H*J)r-fetYAP;zu|f=Hqyz3?A>zMP;n72klT0;#GIafO z?*Au&&8)AQ^W4j)MUN>y@a+^hISlHbDH3d~W;OTT(J)PHiHinAA+`%Uco^^`YK9@FVIi0FvABk9N8$=*zk=I&H!{iS1MC5SL+&seUuSKQ7d4%169d*B)+BL2B?-H|DN(K>IO zk?C5^*?souH=R)tuWtIhib1P!$XdWN>=$BR zzRMnR%dvQ;4YW?saa^n5CRTiHU|G3{(8^g5taN0yyGW4nkNZV+#N;+VO^K) z6-gKWGY}G{LYRP5{C)JoJJg$~kbg2>vsVNWW!xPM36GW_ zfx9CC8CLpQu-#X%{h}t?c=u001csM@G~&&yY&QUF)Bnec|JDi+fPoyK8M3R)?ik6- zUJI{EQ;KS1T3#E5X)^+J!z8Jc@K}@Tz5p$?KVtb4n3+sml_h~J76@d`yxU8Ub>Xvy**ilh}o<-9@MAKYucUvXb&qll=1rRw`ru17TP%J@ek9v=K_BYTSR z$DXy=eNT}#v*Z}@en4P&#%K`<1{;<`uTYyzy!`u z5ogI()RX8hHudTsSVAPPmnpw85~RZWG>)FXERrZ!qPlal)kE><$S1!eI9rjd*tWAz(VWi%GHCH0CRv~kE6kQVM2a}y%5EV0L=m`>m__NwucKB#v zf=n;pvw{7(zUEG9{>FqS>8md;F;nmR~R$biXRBS{ena_9Q zfj>u8QdS#Y%Z%PA)Ljp;AO122BUNP($7t{;{Pvfcq7#>qxiKRfMj?ww=-J{*4q*iY zU9wga^)XpT!fe5t$h{gxT$;G(%zKeQ%@yi=*F9-cwk6g9Lc zvnZ}_tc`fO`69D^F3#?_rL;ii8xSH)p{Fz#03y-o=Lc1GgYWWA%{TTkam;JMWnjvD z-I50AnZS5}r9U@)d_G(RVar!9(jg>Y`0y_G&+1hXw{X)emMiuhU~N1l8(Rngy`)s^#c!VvZO{5nR>qrBj$pe#a2Lge| zw;@-#bI`{8_rW ze*J8jzzos8Nq|AHJ`rKdjE|KdKA$+k*TW0DR0=>K+Rf<)AsIKFNeLyDUYo+V+k_|7 zy7EgFuL&}xMXDp0OXw>Dd)r9{YA_~%IA_AO-Z6x)8^|^I^xWESc>F{`5lQ8CD_vmq zRQZen>U)r=Grn8nJ0coMrC#Stw-u5D%y!g|8f4~hq>bftkTnw;=VwjgGep(f+{6 zqUo_{zB|^R8aA4CH85+`I#p=@)DS;=)cSNJIUnJA_9M=R)poWavAZffDVDZszb`r8 zaMDThc(m_XPlwaQ(P-cBsEq99P}SL9Y3Ms_H@bUbf#nwEDj8 zp_wc-ST*JgIpH_m_a`&fS{=Z`LpCXDhn*Pp3FBwGdhn0}?T$Frf+em7`0{B_u`nqa zk3qWMwq|Nt4@bLLX7+n&YkX&KjUetht{hTw43qEMnonpp%-U6O_rStu>it|3PTKa2kM7T}>EO9SnzSdBAA?Jo0xmnj<(OvQE}2_Y z`r&Zn&eq?SHdx-$xm-ovdGy0{}^(cwf*&Jd_x3WpMPAPOrFYTr7|oq= zFgs|9Kk%<_WRG`i{NUorCr9kB=|@_uAPN(YKJ7-%cdvg^XJBn_H?I0XPeX}ta&W33 z2XFt?Dz_zZV+4Fn#H#3zI9qfq*qDG2@5Sx>JQZ=OF!|@W z*Z5G;Eh-py+F;r-h+r_-JkuT^)*zXax?a{m^8m-q4T@D;cJCvRkTp!Dpz<&D%H8B%6Eqt%P{81>y+)o?M?zrM3uHlKeEdbs z7l{XH#&M8(7We44zXJf8LEu6NPWl73HM$)n z>xO@Xm2_C3#y_fg{YZtm1G&#R)RD2L!jU?Rk~~b$D0&zB$2Kf^h-W!X=_=@n&w}nt2ku&R1Lqkal_2?Ilvf0!SOa z&lfs1nE4K|CDq+zcNq5~S|1|p`-}IwkTzad3j8|euJ}P(jQE@?vO(~VVi^|$$pe1K z>k6V;QV~#<%}&6g>gGYmnOY+iw%YBy=K+z=HguWoEiCwUVY-x-lk{YF$o$o=GfKg9 zO)Uj`9NPW9jdYIQuMw-^j+wY0jTeL0LV6JOhti7csn;8Q(3k{13EoY!E!=|^oTN1x z8pwwY2f!~yyqzX6ABD?hB2%k$uOp6etzZgRrIEh0gEOsa`-TGnj z99+#n3#Vk^G~#RGex%Zjlh;gchZnLFrcDhLIBf{TZ%?Pt9%$>$yneY`naY z^V}cBnr$6nyTMsTGIDh{zVcyKpq5-qKQtTIh?ITsx?U%C*}?{0Nyz%xz^Zil>MVPOlM->55-rBKBs9MF8^#`&)Cvm zs}U6=mzbltBGYSNlkGLS&W)2&tRaCT_u;8e3wWguJOaL9;etT}eFe+pKD{$|ckSS{ zG?{+~uU(wd0KZ6jPJ07PEvD|_2ElB)bMUp(5J;HYTeH(l*|!-BS6&dmxGQaR5ev67 zVWPNY?g|zk_m)hG5=5;1f=lZ_a zybf&5o&dej1UR9ardIilGXjxz!42+1U;!WKg|-sg!s_T5S=;BBs4GE7k^G7a2rK9l zhh?G4n>j3sA`w7Gg3&ykk4i56QD>u&%AL^Z-&z=R$v`c9g zdkFhDjWtgED&7gV&d@t`$Y`u0I{!4N7Z2R8dSgWAN9m0lv#03o6SPkwzol^FwBw3^ z;aH>H(OU7&W2nA=86qyi$wKot<8;MF&7i zB3y6xQznYuRw>zks2~~}UJ*_SAAFdAJS%>fJbUL{>X_uj;q>WPZm0xUPrPe_*4uoQ z&UA!68P^+A9NIm!e5b>-@iv_6ZT`l~$-H%CH{IppS^lxA$kF|Q3P;E(W0Mm+L_@VN zQtRB+;(Z905>#EIt0{ZRYavJJ=`Md>`Ru=Vj?$NH}hEg`mh6Z?f<`vr9O_a|%$A1P0+v{bQCcWnm* zyqCY4eDckfd&r>7(~;^C2NX#;j<&SN3yp?1l9 zFDGqZgj+}UZPZn96^i2H;@MFF#m?g6+cRmYCD+|Eu86`46p^tH%gbJTtB$3nomdu! zrXQZ5&qVNwjWVlaJSqr@w49Pi$mTa zny6}P|CI1e-xS`x2Ojrr+}zo0CL2t1H@v?O;fNDBdY$yqeGd>Wu1!CDb=ACCex|`C z^?9QMdHb>0=^|F!Rv|j{G?`6-XtZ^^@AckR>g!5jyclc$2~gsM!ujG#{#DcBqdvT# zd5B9#g=+Rwy6o&u&va#fz)WFn)v3*aW z;)5YQ-F4c@rnR_F#dI6cTb_`V#vz#~WjB|g+} zKfwmm*2j+k(>*M!Efu^4fV!>%GdNAlOlBXqKf>&Oh~pM02xy-+knCvrO7If*!us zU|H4PVjyY0jP_$+7Xavh$poH8(#7M4UcY$wb~F16decB~%I!iCf>E!W1}4c`5=rH@JKB$lOCSRL&fM_4;4p4$;-IB#jeIfeceSHUen^loWcx2_T zhQ|{Fc54tk#(bIQdX*;F?;F#NKzfyXxGD0}@!1V=B^od0F`fs1SpUAS+J*GnSgN*< zSMOCX)Q&BZdeG>!k79q$>;a5a6$T|G(js_i%NQU|dQS~n0D9}1uiOZ0A$@WKyqeOr zbZKI7j%EI!+fNtsGKC+Z$U<7p2_os?AD{?nqKF0Otua8j5lqoVYj8g;4j(ZUE9nqm z2>CWPw)FZNe3(%l?tfc?Uknz;xt|KJxcTLC+TtN6ORVa`q?bM09v(U23A(z;*a#oO z1IF_QM8_hlv^4<3U>|z{$_$H){iGy6_Ad6nfX$3AuEs%rrCY-D93%=l&^N3vi#9i>J0GBgn0p%~^U--2^YJ@2jT%Al7>Tii@!G{z zlc(qsY)!{Auu;<0Lyqznk>SIS#8!99if0B+zdzv9PD%h9veq*-}UBNmoK;obn0HrVkw*OY(ICL$! znVOodhzGseP4N-yZf_>bX1;*NX6VnPH&;!Nkqsa`9Z_6OAqEAo|2Ggv7p4Gl zw0cm>PjvGtm48x&Saj8H;Y>JCWMb*ZywELMJi@IvwUk}h*aZ)gkjA(SK!eY=Kn&zR zAB?k4!QlrVZYmRa)X*9?&uzq!aNow%P1GrAmPjk^p67CA`T}%VeZDn&2Aw`UMZeW! zXxOh9Dw2na_GvIVGj$8rTwL7^c9QaAp0sR_WIIG2Ql#!Q_BXoAjXb#fdhh}%>?0jI zVS4oV8s%QUyk2c7z(w+$RFAf;ANV7B8n<9oA5SR54F<;QwqWMXS2!NHLKOjskW_@* zdXdN@|JJ;o_6x9VA`BApB(H3O$!AEDw~*Stf@z89A?wagnW}ghC#u%x@6!|%7(dIn zT_7B;igwaXo~!Zvxyj9+HO!feT0rZ&mgoRQueFYk`%PQ`8lcdd$QXEoe~pstHud*$ z@HO6q3R_lIJ=P7;FK(8g^4BA(TI9a?loi?5n#KTy*q(xJ2d>leFm5=Qt&7os{r+ws zQ7kcctF5>wm%T(P^ZjJZ8R$l;-Td5LXP0{6F$@5PHkGiym@l4OORfMQ@<>NE!)gz} za;b_dP2EDW!Za>-1LS66QpCUhD)`g+O20SK2xl+Km%mG+q5RaSMwnm#zB&JXP;8Mz zCm9s7`k)6cK@zU~y>w)7TMpJt^RR%3{&2y}A%uVWmVcI@04m$V>J~Yn@0d9)ILhw%QYEy-Bw)HK6W{*M+&@$H|@ttS% z-eYBMvfp$2Z}Ut&PRWUrpIOmAqOJPvr$@!VMxYgz>a9=!&Q4b>jIj`A0|}V)#{VT5n@X##Tll4{!wsPDgw;rk*hadSJ1re}Qs4?}yssvXKUZ{*@UN46{Nr{mVdqDg0 zaUL_MoRv!Dhiqw%HDg_oxy#VrEg6J}_yi+7?FvFoPfj;#LQ9M+5jhh`#_{*RLt!y% zY}D?y&Q)<|9)>J!(!+tzUO^av4c_q)hBV$bT)m>LA=0*V)g9p`jYI24T0I)8RI9Sz zk}^^>Wq*7rfR}I0T#fj#d6M5UooM=1hu-wMsD#3}typx;dCI87%)6vwOZ)}%w?Koc zu_Gt1Q||1|p_P}L^#KwW38dfpM%=sPOZI+ZHN9Te5?T(9p*VcrEp+rI9q{9Id(tFv zno17Zkl%S#>x+k6`?@wYG&AtYd(M{_1d_mHbRWjJ4O`^K8|4c;*q>mJ<~J=^&6(Em zxg~Yy%{t;r_GuzhO|B%koRCRmD%@5eAp2FVbSV16*y04}z9gtja-N*^(?E+R+uq9_nJeeYf>+@kU$bfcSY%qRLJV_e#@8XE9 zY=LQUTU3bJx{;%>>__*Vf4{ToUpBT;6+^-emwRr|gwx?S=c$(y4+yQe1KDWLaJtOW& z!w!wP=?}gK^>fcY2Knr@=hBQ|k z#sIPeaVdCkmnc2-w?d`^!1DBXJp)3df-d6^KCudDA%waCZE!;FfVPODhsKKJ_fkPG zedEmC+gVbGZ64f%x#BPdP>{7?biGMG?seYLU0o@9&;vZv@aKekV9Yl9wCXHQwdxM~ zh;D!N3{LfeN@;JJ5o8-ZWd=vEj?f0!Rw>1B4^-Yp*L7e)uKaHZiW!`_D7v|-Xwl?Q zcTWGpY1n#AdzbH|k=skq>vWc)I<%+0-P)(-F|r=l20XzOPHe4#2IqiuL(C{AWRLHr zoeNHD(&p;+$EmQRXFrQ<<+nfTIM9r(NPNaPdZzrJ2=duSUz9OSF3;9b z!(w7AUdDr-j~jzw)224FGy=^N^qY`srTPi_=u7p_y2`cb5U)y=;iM9WWc zfqoT_FNQI-BjSx-pkG8$ycCz1p`Cw{RuVQ(yYT@e&oO(|qKj-lQTqiIV!@AQAk09I z*BYiaEiOW|dAV$jxGK7k!JA|Xw^DKYa&i0C z!^YmjMvUow=IMR;>HVhnFDI28bYI!%_txAQL5Br#Cg)%Wae93$dd-96sqrls(vAlW zaEIm9KfC~A#r3MYM)lan1)US`id^s?Y77}(b-CcTC6V+{Q&tbQadZO62M}C1n<<3I(UIyMTy$)xyl!%FI}xvu2{RCS*`w85q?6d(Ib0StC|(tBSQ5IautW zYI@_8xvrk4b(A&eBVu%o4~(KV{)%0vmWnH6!$d1)4eBiQL+D$ z`wM&qhkBlRhqqwY7^cs#)v+Ap&Lp0G@K&35QvF=ZBH-{3{9-0?eI}8-mIX}w&oJ=! zqHHWRUbUly>u=jN)^W7Z=t{*kyxQeqHgRtg)UkuM$`Vp!U{8jvCE7~myvQEI&|eg2 zbbz6|0V;LD0iw^)+);D3WTVB4UU*0y zpHs9Ay-g)-Ti9R+>O_JA`k$l0ak97Z^8(H=IOkM!iG{X*o@||twNM3@WZX4b&=GXt zv?t|tP^YNPuh~N9;*RcfAwWB-YgO!+2)_dpz@O9q8(!kW(6Bxr<8}yzp^;{u8)YFy3Nvhawuw?1P%5`-x0n0-3(N zRH!gg;Me}9lyu)&F&RTKxi`VAHNmVrTe~#-J%=R;hb8m>JR6fZsJOFt;f*6ehk?Wi zs=$toYhU0naiM392C_?I!7iFUtre$z?ySBl?yZEO&zi`Dj~%}vFD8rJe%+UXsxueM zOxgbp1Ak%j7S)F6q#JHbAMJS97g+nY?%x5!kv*~Y?SI7zGktn9*OCe|pDix< zM^`GTC<%eCo^+miIV~Giz$3HIC9_Y`HM5U~Ixn{7?sM(C&qaivz7l!*ec|ET?>8_T zz=nnOnFH%HC1qYYWuB8mW}j1LUuytc|G&oAo%uFB(C9F53Ou3CvD3Vl*zgF+_Uu&p z>nsCQuM!%Jb{EZ^8%xtnn=i@9;eM~f{W?Hg_{U4(9|Ix_af&A*T(gFhlnv#wqfQRN z{poG!x-#f`SCo{jA103C>9D%hzqZH*TF-K5dWZ)Q475Y<|SuG2W9ts*k_a42_!oHFqtgb=6L<%|CJ z_qE9C)VfI-O3>{CZB2qNbPBXbJG|^6!ES$cSMS-{MP;m^==%_YU;U~~OYlRgzLFYh zHsancZqCeeE9sLl)IRQB1Q)#d2ZS7MvR0ITK%!0V2^xeD+S|E9T8) zD@vk(Ib!w`ty>DCTS_3(HsJ=G%BG1r>=HZd)bSdW2^y58HU$+n1u@E|8UM;)$`_@- zJ&(+drO~J3qc7JSjdU4A?gnp> ziiYqBy12;QChV#qYab7kM(RB5%ok_h9Sw|my$6fNgG8D=$#8spVh;^DHAW2@H3ZSzaJDR>X!4Lphk64m@Z zFmK;;xt;JTfw7-4=e!L+8BzSkP3#o_E=st7?UQ6x}g>Qwrt$e)+917_?vomdFD62C0KOY+=&qXsh4)ShVlDC5O@ zqE(G!JV}C=WGk&zjS>7R-ChhOn*t3x1L<_LNI0PKEhZ;W;BxPUuoG4HxtLTMiG z$v<3l8Y%56LUOJ0i%21b}Ow_h4$7p(;BF8G(s7*}) zs3ooz+Qx-|i!I|B%EO?;r%CJ{lJvI=-y>e9Ct^x!xaP5-CHaew{I#9D^X^i<$xE%H z6?1Q`x1e1aIkoa&YKFUN0Up~lkmL5#PGTw}WT&ODb7H)x2_Iypp#*j?y7qA7|X1$ffqth1+igq}Q+I zV?40W+9!`T1m6BCFu@v|l)AH-A1OZ8B3OVlBc>=B?6T(3{5=nHkKaKx-QWxWb za<+*O)P~goeSXAOpWH=eNc&Af#|Cgt0i!xHwM~3?v2v>UfvR z1eeNE$Nx8mJ8m1IAsC1-+P*u+q)E)`=|}sujnM8ncv@33QkIHzNFWVleJrH1C(VPA z->+bR{fYL@0|l4}?J`=EB%=8IVdjzceN#<8THx#1`N746Hi~@kE%5QXHI8uR^Nf-k z3MOu;Pgg2DOY8;z9DHTls?5K2@9JJQxdMD;gDiv_UN?E;2QN)#Gn5K9dOPYR-)l`I z_JTWJc=3VL8E}Q;I1vY2jJ@!-!;N=USxD!v9;5_!+j#m!l{s(t1XR#ETzA?Fup|n! z*@$}Zp%gTzGQV_f_xAN$l?VZsG^)=num2W@?H`7MIcPGPXN>m?jjk&@ON?+8f3J1K zk~neP)BDn-Kmg!-kA0}4%4|e8RI}cTURZ=tJ=;-DQNriJCzWr_c+rclTj5Rh4Q$;_ z?YWc9=}NmbcYls7p2FQXAu_;T@5`#+n_k;5Q5oE>bXA$}@ten_D`j#YaWD41wDM$H z&@hl$oL{cwC5W#RijKKI5&x#^dc~v8Ov0AV=?UeLUHFvo=7xkmS@{VbDuL?W-lMz^WU!thS)v8q0K&V1eQyl0)Cj^IZy zagBkLXK%mc)qlyuyh+^Pa5DWL6C&$Ep&JD;aRvjmHzxg?JroU-eDW2QJDSs zK^8zRQBKk@o>mOKGXwm}3{-QoBGiXb|26y!EjKGtU8<4O(~lt@8DEW!5|+iP{6V0Y z-s;N;ktZ_Pvzx9|@ zM10Om+i1_9B31ju>H(b=J$>E?bU*H^KF|%8iqb9VKIH`Wr(#dL3dyeP@UVFtlZ#?& z-OZt~S;pJ49N!ADbSC%O934N<3djb-DD;P@^T05bFL5kH;N0d;eu5Tm@B((AJe9nf zwF~88W$3a}&ub6c#*4DM)$iy@)KQ~Y=SIk zyxw~x6)aWnDA1y$Si=H3jiT1(5 zRS9nqpKbHJ!_C7813rwV&kiI{`S_8U^O+*|IpHS{`;7BKY*kgTSOTYf7_nQQ1tfuQ zKhjgB9(YAEqFOuG)Mhe6qU|%#tZs<=-k@IMhfia=WLn7PH`5BRWBhf#8X^|w3l32> z@RPbrI}fn?O%1<}oNz?Ot1o#uxSF{j`OU87Dto{kQh+D=s>P)OvY~fo=gX*#!I4*K zl`fiF@zDF&MKuOl&NS#p=AMe#ceu@rUYI?8Zdjp{TL_jgkX8 zgEuuC;*r%)9sTO3;)vrNy=N7``{sd_wl~c9>ykLZD1G$cTCS~8TDU)^jdvkNyn5Cr z{!M>^a44W(!=Ku=#e>NpEpBG>IER0&&2R`@foCR@p=fz#48|BQ_romcu1>Wx@Lzqp zAbR!b?GEG6j~eNPwiHXI$LX92V!DAVlk_MZ1dKx@3OBUgo()cdc@*PN60K+T3q4qSQ8ub@Za_q0+!~@)qO4WI zY1AZW+*Iv6O@CK97E`E+{N0J zY}kA93E)vj5DNI*5d;SiG+wXHc)4CZ^sXLQ#8NV^4CmKD{nxNn0Ke5zG-h-Uv(HzO z-RP8MGNHw5sPQ+S2{xZWO?Pw_TV}q2YxZumZVSI%8^0aOP%REHREzQVod1U50bUQk zUF?nKKgtQy9U&fFUw++G-2F%GkcV)=KXa8mx8`uFNk%+qojoA zoIpr~Im*(b(&ok1NE|+m|0_<`8x*46M^rafO{nTE2S30#`R|!4K|Bq*Et__2n|1(7MFY+ah?u!U-pwk% zo8>fe6Eb$I@t>Rxo}5KBb0_@o7|sLR%r`*7(~$B=t=YY4Kvm)GI<1|AheCcYW!9w1ZV+0yj;%uIq1`>~2w{Eb95v&d;rUfZZ)r zjZg$Ne{3$*QY$p0j84EMQ0^GNRpR0y&SeVCWd#8)B9BJo{XhlJdvo{F2T$2(iqdbl z*IeC2^Yi$O*8D<>*^*S|>I?SUBt8{@FK^R@ zxeb+tLZ9)z#g2{(%wc@OaGZyc%j=94JYGjhAUfsj+vMy~NSkm-n=tf#GvC0E+BMFF zETP4$8vm%d;HWuNE-ykZFG%g0@?RP0Ioo;nOVfAu3xGvv3v$A%DOeAAHwY%lYsQbs z>-Pg-fzt2f;e;9Q@DlXGo*3W80o{n?`^nnpmOaCHM}vRbf*&DFQ~yGF6zp3hN@g=` z?0_ZJgqVNXYGFvZB{mh^+Jv(EngJExXo=q(O5f5EGYFIQ@3n$~2Dnxhg+Sbx`=Cow zJt-X&sCwwm5&S`(^Xt8t^%(~I=fz5Dk&)oeDoYom-dzjZ{`Xs9GcwtYxvyTj2uo;T$;2!&y z=>1?RBFP|zA3U4l!Y1J6{x2EaVU@MQUjUqdbe0_wPH3gS*v4H7Azn>w<^+>X>)HM5 zut!7gHxFCfLhIbc3G(1`%;yh1B66vD2YKc}X3VGU{?gn`dFzBW{p&C==Ud{BR_c5+cOIeJ@jAT*)ZQ}X zM1t{sxdP;dvYFj4S)*Gg632MK^^gm!SG|YMzmzICCJ#!sO5jWsqYbPFs^d$DtA5D~ z%MXdRyWwcuXDfn_ifzFl%DU$jI;zPH99k3kA=-^LIZ6!)&qAJ^ zT=LbXVPt%}G;YmpygGUCj!N}hI#V_tbRsR_8L-yXVv3}cS1VXZ1miSUDhzY%KtDP8 zlXp=Emq{q#X1RU64nt%V)uK;+f%9gW&+b;%7v5Tj_ilGwj~Zun+Dpu#IeTnhS9olA zivl0q)oltT0G{u|zX^K#T{)@0zhLD&h!!Hs1by3H=~6J@U<6&OC(9=-zl{m{kH#eE zB1Th&m!GJLVprVA-Z0t|XEjNst{;!&6oy zP*#+>>q6JxlN@vn^OAd4xk;Y$51%Z8{=TT=IJ;TBWWvLkL0e5*72??Abj|Y?=4{*|6Gyi*r`{My2r{?Mm@W4$gIeNllx8!3RuwNCi zUxhI^!F&ThQcE};ngt!3t6NT{I!>lgSFH(Gts$u;%70-1|1IbLnGxkBnTiS9Fel0E zmxbw#4&UR$+@2sQC9!SOsl+IhO94|T*2Bz&a=N>jwm>45t?pA_6Tv+kPa z%mb67dG*Pe1=HW@V;xC^jUQ*tCYA)Uq_6W-O0rLk-SJ)^FRs;yh8Ky{yk z8voGAPc;c`FP+%I_iF!GHVM@s2Eb4->0uOtn?>eQGVHc9XFyK0y&A!}ZT{x8pKEAx zOjVECyZ`%S$M;E8xH%!*9HN)5te4K|;3??nS>5ti2KYdg{33#zn}9x14}R#SL$t+# z3theR2u{vMJV#IFYCUSu7GEa@ZWiDFJs@3@qLP~yklRu=HzQ2{sqFDdsGGm(f_KPe zzQiTPdV0(AVuSljxLfuV7kTNB;GEux+;(c{NdU7=#@iaUOZTr3F z(rlhG;GQEnBZGmX;ExuFC^V~5cd1E?|hpmyJZa(VKc4Tq~-a z;+~6Mj655A%iDN*K|TQ64sI`&-*lB8x&ZD7;)`%T5k2xKNh&jR`0Vk0x&D)HsNaRV z$2WX$?Wzs?#pXxQE0T2M4wZuaiA*8dy>buV=c%^zhvhoWF$Hp)q~|7$d*Nvs6A@Y6 zve2Thru3ogpMB@4D~gH4nN4a5*Ld-#MI#005-~iLx^~|nS_r8QsP2EkRSX7vWGI1y z3$HYH-qpOF1!L)67Vv`H{qKJ`hL^#|MDK@d;ENUw@|a4$XEx0pBP&(9hi?Wg@xxLk zLu0>AYBWM@@dMby0`!IAjdJLXA*gfosB_XN_V_6FAN*^=;TBlX#{kF%d7uQy z{&${`o@X68>1g!WVQE_7*lUZiT7W(y zmV+M43~rdAtEpWqPDdNBpg{w(CGaw;mv&_S;YP0`=)5~xZmV(|A6b>6oz1Uac_rz# zOE5$Id~sTxTWImP>G7}%Hqaxn*Nr=?8vzy;tfRMvICA(3nCGW&-NJ)&q}$53`BePa z7$xC5;j*_?Ugc2JvrZqKZi&*hLSU&XTD&IH8M{;XQovNhZbRiOF&5BY7tv9Tov6ehFs2JHWSffnz+>J=toMrT|pyBzj`eDJskc?2FT@HZUysJKs~~s z9#9ku%!(gLvN*WwaAZ)lO9eigqW__>m(56Yw>zx|sY36-0zT10e_Qs~0;tXjtR}TO za|n?@R2k8uqU%rVwdIq$hh0HIO}~0t=R8)7?8Qtr?OwO(D5ciRH`mVWWw)zs1pr>+75ds@kPJ8O>4GsDZFZa^)S zzNi9O_d@dU`0A_#xL5_QeuYfV(X2>b?Jduu@yv2{bYFOSxB0aKLQ@C5#fV3gVjm-x z#ou3^7^cybBbK7h5?6v3z2cTH&xMRz`}!|+QG$pw@Rv=miu{`j=Q0*0@pb1LUv5^i zkscjsCFqig;?^2$PU_XTb{RAr0MvYVg#?G?UUBT$?97`pC*7jfp#`c)%Q4PvnTNaR z#*YX|3J-rWZW`Pf5~0oQ+}z>V*V4h9Hhti!L`pqU(JeNQy249JQiwF=f@<1q@{(dw z?+^U!0V%Oh4c4jbDB4G>$9UQ)Ef^5tHj@K0+O%H$S0S$@W zE_?6pQdbP(Zio5@j}=xs%$ijjz{wg)dK?h=0lxRk;;N5+c@z@ti2tTF&Lxdb?3XDB z4w!$x+t9SMr`dQI+#Apj7Eynk7p39V`sI^S zUAq+0I_8ZM7Y^I(*zhDeYAsn5v_ATZiYNTORIOv-P5X;S&>gXvfba`?n$sdfeRtDc z@D&GW#8s5gp1x=q6rCK(7V%K(*j14)2;cHXosdD_;RIhti@uQ!4zE?I+H&viN^<# z@65BxNEoQk5HgKmb%z;J10}h>=6MH6D}(u89Y|1HZ(DGH`i=QVOZn5XUK%rOGt0BuGoA zRwoMElHSnQWf)baLxgQrK^l&W2jxb;NlD{DA7AUCg{R{}Zv$WfFk3(TMjBKI(kzZs z=^d60U{n4mU~$yZDk{m{@s-<1!xaR_8XLEbi^9|PswOI!dnPb9UkOYI*wyJhREg?0 zbs%Lpw2s;g-4E9)tsf%B*SjNr&xE@GPGr#B%@A7P`|A8R)8}c)zMofOajQxGvw*V> zS2mX8M2+6Ig?HBg(~8%SB~DMeej}%!F50^fm^=tgw09X}w;Ip$FnRJZdF1XGsogP( zByY*S0VM%{R;ycyR=3ngZ!wSE`jm&F^ch9z8QQz&Xz#NAsQ|sYTiMu=t1V;cn7x^Y zgk93fQrc>)5C0)>s_S;KZhPSMkS6!4Wi?S^&OG4Us>T0RdWwh~`f(fC@ti-3p)i^| zCC>tcMT46@RHMgK9;yk=AjO21q)Q6u!?vZ3R?tF4*G(Q72X?y>EIJSP7saV9dxhWP z7nAEmDLyxO)6OLeg>966#Ft?gOAB9&1V=&b1-L%mEr$TtEovEK^xj1lJn?ac=dLYDa~1g0xs$5ZQsg5T#I=wrvws?%d4 zBs}yx{Nh!wcvr~jR>~d*z9aRamw?rpavBTO2ybPgNY}!S0~mkK8NH*l3pfu92Poa5|fl$Mk)w5*A5W4$G00Z<6Hj;It ze$?Q0Q&Y!Yc;j|oF18Q*{ibl({Oz#0w21tf&*cMsc(VD@}KEj4I<3M%;7TVJf5589liIU>$ zaYpChAWJTo9EIb<7p>;c?T%&K2K|2X(ER4vSu&PbyMb@*KDsk;+rok?Cz`zL=;-t# z!xmk$ZJD8xvX9WU^tHS2lxB%bm)@2@hx1W1n+=q*HcX$|2^=cYnbw-X+VD#akKl+! zJpxSj!{r^``ml-Dz>dHQO*6Qag@KGp2_0z(UGBu|sEOAj);&K3R^YWnP(ENuVC6UY z-Y&V9M%4nVXFyYh&@O+csRGiP8`#y64N-C zI6)H1zDHC8?xVCXTN|>dvg=ak3!i@(QazH6TI6;;Ow~3=ZE1N2*CM8y3Xstc_n!V-$o-*^z2Q!x}R$j!a!LdKX71 zZ0{gFt_sfgQ78_*7A1gLmZSEolaj0BW$T(|30}W#YtKZ|Appq806!Vq+!Gc3;f46P zjG!rE8=-HlO>%@7x;i+&HKjvVa2XFyue_hP5LzBtGaGXk93cZ()HF*Jx(0)UAHmQI zg24g6GG1FGI3gIt?Q9=o*?e_yxkh&on( z+sS)2EpJuyeYraC1};U|eO6^K$CA2xYjpE^DYrb?rCe7pc6KdS!9{SMOUN?~(bdvt zJ!~fCGt+|~$x~*eUv>|Xuwmg(yQJPp_q2T~;_+xz(4)ER&hhA$^f5zq$YSJizDdXK zx;vUfmjkJEEP%%|^NduqFE>adXwcy++2yiNyF_8u?~D}+`4>clFNi*}MLr|$R3q*z zjdUs^ekEyRHi=v5(OomN!7v#YvV}-|B}r0_)5s!SP6L@9_5#OqvFFQP_-7c1BxjPw zJ@+5KW|;c4Nia2noRdOYBN+$UM*9UGXJj4fo6^v~0<$C$BSut<+ZJUx)j zdw?!XdI4v`BOxGvmfuIIDd8paLDW`1mlLBfwv?*=Kc!0GmW7c9Wg+Q5=8!Y2o)Z;Bx+IXNYd* zt*Bbc>kzZ7iKvUJ!@Ivpr0W3HIz;I$%*9_*kArXt`K!C>wJoe8U^*d~@f#DZ8^>gN zvH*qbA>`hatPNtIaK8Yi*-*YTSYonq^1>#~uyY*DIbs7l$YWtfBaZ{Im9A62q7uWH z59S?*1xPOsUrea*Yp!<*>7Z!4wYX7Bz(YlR`qn^9VzNr+BE*199F(G>xL}|?(MHHj zACVNs^8sj}gM{~NR;h&yasUoUx z_$^rPRm=cqeLN_W{HIEl1q%XA%ma%$_>-QWPnbpctIY?+<5PG*^Oq|iC=II&ehDq& zDT#v>*YXd8JFnRXikNzn3f7ce#fYBZiQ@3%gBGu^5n_Cv8)u6l*o}fzG=UQz6OvVw zDltBcov_8kh;5XN7@nF?zoHTRL@DXj@ys+bqKoL`4JgL28aYaSroAn`tOohQh~LoL zwQ)PZW@e(Xrz`dZc-9d}tQ@a{G~iVIsDo`FpjxE^lX&MTopdmxOI}2eiEh6-r>6HS zD@61tX=%(5-5DfjLMQ^LVnE}K`AGSrSxxe06wr8s{(}?$OitprCZg?}+2|~LpRqI~ zvwL^K1%k%DnmQ%+WAq1au3(%%7%bHRj~Ruj>y`hS!4Gs}Jt?bYg)L z5nb%(2mS8H5Y?I9@1kOhP}T2;R0EA@pDupZFkwTOu+I!|+9Wun&TXPAp5e5~; zC}Ic&rIIOJ=~9k;x-3#lBUBxu!Cc>Ork2-4P;{Tbze$m^*j#5hw#hFCD@PLwf^=4? zsqE6O7L za|HK`m^D{I2HP0lb={cqI~amApF z?GjqC{P$#L`6)qi%ju5C(@B3)7TLA{sE7iT$jTcbcs~zjTq}yMw7o7HUkz3v$osAO zS|6BV%ew!EILv)&mqg30-OR+TZ9q5ULAlC#65qH2Tny2e!^P)f5X-;v;1X)upJOsR z--06+;ON}&bSg%htEpvAcK(f`_EfBC@x>6VShx?EwMpiwL{+X2UX0{s5>;ex2H(SK ziRd|v!D$-sQGDE9`Ek}HF6wj?OwFEs9d{1o-;@&2;u0e6cbH<|==9`rd zTp5pc;;_?a=X$}Qe~GuzTVsbO@+*}d8|VfG_+mLahi`Ny)w!TPnHq<0ATkAQ>E*=S z_9cJ^wyNjrT(RI>u^x5mHg)P1RxHoHc(L!asv-&hl-+yHiQ5FXks^~R=u3CX=sT!c z^kG0vck+}I6RL%v5){b;k|#A|6cH1Zg@-^`E(PzouCWlDeDTtkv^)c?#>*5yFw}hU zv$~w#35FY7D0Y7BXIB7@e~Es$I&m$PoleT0kWcbAlrM2cR;gtn6KauD?gq4qlm5)^I zrOFMYXZPqmju(N4(m?50aRbF&x7xXsBYlCd&4`oF9{Tw0h!6y?$r%MXR%>~*EiX4| zxtU728T=~^^d8U%jNipHuJB*P2~l%t*{zh}-xb+ti4#*9$E9YWC@g0Gvi$NQ96S~r z%l%fsV^>&Pa&IkdO#qw@QL}730;N3x*7s|~a)xPYgY zg>0$tP4ObM#zk_i4P0tSv<7G>riN_BiIE^_t`AR+Qb`Z+aPpSgeINXRm^9`hKB{Xm zl@L=CSSf4q>nLk6o*={&_1e`klHAi;cu8D3drG4jjr?JkhQ59H7J-~QKEt0BbDHT5 z|3Dmv(WNeOb;uA0V04W%74Ni~1`Mkg8=fwdwXC@g=K}2)hbrX^GxvwBOwb8$d=-JBqprX) zW=nAHkz^`%IDZ@2Z}rT5NXDRZ7kpMpU4>}a8wQb{Eu?^_(5lmDbd#GkzK79U&{~(Z zYt$K>CJH?Ba5w-5&?*GDhjg?afu$dDAR1>b1a2jvtCX)tma+~qGabB@SmJ)RnR*?* zTL&$d*LKLy=5hHk;y>D2Os!1g>UehFS%C)3^6uw11g!E2%mZ5dj%OFvcpALLZ!>8M z&Y+K1sFbxzP2whVoiDajs7HEDA9xHrkgTOZCD5ptYIB|5->OZw!1=2YQ=n)?*!;z; z`V#^-uU3yqtp9--Q*oofT-3N0lA|*fL>k!Osf&)TilG5tgFx>Bm(1TKQ-?;b#MmrjSziTF@Cj!T_a1k$^KZLV4g!j8Jw> zY)!xs!H+BP>pc^S&(bbYhBiTqc@lcKAU@ih-;c}1rf;1Gs@pPM>;~=xNB2d0XPP9Y zyk4fz;@~yey`E??r|fnHS9cEO6mDH9F&9n8d29uFY{~4xa~Z)Y6RXFTLgOK$fj6^( z_iY1jf)a6z67h(M^uUSq4r|p`Yt^MHDa@@U+Bo66opBsn3y-J^2pZXp8{h)qn7Ph$ zo3D$QbajBL-{U(e;OlTyk!UkVNM{)a&P_PBZZ*66YEus~8<#GfpSiD4hkBeItxTND zoK#&hSYol92$1d(S*z?>bFECI-hfzdN!@EhXSgNP%})Slf<6Nl@r)NUOL_Dzh`&aQ z(RW}0QE?iYB0#AuR{ApW?YrsKp&I?&o=z0$hgz$u>I=J-6L0sr9X+NXi}Au9QyV4w z9#mpmt~p|#u~fY;tf4JaY-6EEOrW(yJKuVS9h&tRQu z>=v%XRV<1{!ZDm~ywylco3f~~P8HKA{b)`%a@|c6o3at438`sRS{I3E4CmqfYGfzU zB)6=StBd5UM~xlqb-ym~Xjx%sHmV$ye2fwwXxiUVussb^OPK|TuoFi=LGqPZ@|8)| zQdIbVr)FadiYwUGzn*e|)3AU)*Bl(&N+Y@cH-#mZF%zSmw!fzRX_d5o??j}ZhGpe8 zUEQOl9abmJ%2mnA<&srRy8-ct^m;sTR|0WYI$v+wj-- zpseuddr*A9pE;oZ9s0ToNpX8uXXDPkbn2eq7VuIuAa5HpU)EfV-_Kk2(4fb2J#Y zG#tMavG6|dYN@k*cLEILPm+p)OQ9pUVK5p%- zuuM(*wmEZE};Nj-<$*BVK{85be7Zq+QP>Dn*l%a zfB`R3951qtn9`Y)(wT|-_uZTFQ2%Ric@m146I=y*C>c(OHZ|_&+gJ-v%AM~LFUvE2 ze|S>@@2AA0zH>t4Q8SznF8r$x_fwFp#G~D@C@wAtBQi8HsDoq9G0stp8Uf*{_@@Z zW%-r<_<{7lg&lpAT#&nL zprUqDE|oJ|3_5~>PfPF!4v^34H@SsPY9jvBi>Qy9T$!MlxFNJCS8fQo$g_I;=wVkl z2En|`R9GXoN4-55y5{|jy+e@CZGQcH4ayToa`Drvin>YkSBc+L@Q-#F6DfA8eH>-Ma-S7_CC zthfI*?0N+M+%RNg&#ONAjoJ%8%|5NP;i{qhJ=j;JLuW?y(W!gzq|!5~56HC#mnX|d za;L9b!iY`?e80duL(hX{7EyP2AQViBK40J~4=&{yc2WCGND_u!s{iF13O#4>L6P%7 z7^Pa8%nqOb=$3D6-HN3)9l2Dws`=qUoU*%xr*;w=pnY*nBJw0an-?=L1vAeWS4|x6 zx_FYNykrtvLS^!RYh)5N&I5UX+U5aw+uW*e>HzEh&mO2aHGjs%?B*Bh0l$z%XD}0e zXi{e-XG>LSU~lkx{GneT5588z>z$X`ga62rLP|ERb?6Dro(cQEX74C6?MD}&ZM6}; zKlbg~&MrvO!9}zXixJY`e}n**Yod#hve)dlsM7==C5ngn@@x@52#OBDea{Y4qfX>{ zoWK>;OTpSpp|pfgwuDbQ6$xwtWTZ>@*)M~`Uj}Q|wJX%M=Nlu;$36{~KWFL=VRJbn zr4&K`0Fp?euw=+ytaZosqDS|lKS@9>@`6_yYcINz6(_W8+9Go18x^N)*?Pns3~I)M zT~cd`jW*=5i8e#u*HZ-w(Gq?q-u0=Shi-MvMkxgf1=%rfwnx9V7uj4nT`M+<&ih!3 zOmHpQNf<4AI<-10&=z{R3d=DfJo@8WYOvXF^t0rU^|Q1n?U7xUf@Q(K3)t3y3Ti^3 z6}`YeB}PHU0?j6k(+vNj75+sb{7b&Vk51fOCFU=|QA}yQ8)j}=9y z;`E%JBt5q>mLV5)UjV{@G$1P|*5rH+O%zeJdXiJARA5I@V3(^?t;K1i z&1s~_X~dCO0!=JQ=+%qs)l;=9QnBL7txQa?u>BcFw8jDn80wYSEuYrvD=<*LoGzds zhy9F$Q-}P{;_F*srXs`3w4ysEiAi{fvv{LMqv@!4rO5 zZ(n;2Nu>kddUZvSKI)U$-LZs*NVZDs5_C*i(s@=H=7H@ts_P?3vZC{x#A537F2p%l zdvrN?*m5~2Y33q%HDDb+!r+~v48EIlZ*;3)QMA$`BC4slo}vGW%TRH>%BwLezz_ME zAr9454kNlB+JB4`ax*+xyIS3*L4F>vUDl-mGe{$G3y&p9j4!}H8-pPjB8jh;{T0={ zU@HAP#}|w&tzwVpXCx!OJkvDQRGl0IUh|l>WVbnXi#%QLCnaIt6acJ6qxRXci>~5& z%5EB`9~zq|6w)wT3qw0Q7`Iqk{uQVTa1{?*fuS8)Qu;9z;2!!4P5m$>+RpJ?x3os2{iF{a20af{Lnv~Ni^B-e=(lt4^SFby8RjyrBaM} z!4qeib?%G^Gpre9YlvQ;Lx~VJEQT$fe+=swTgqYuW|qh=mO4v7@}MQ4NS*q0Bz^pp zkG>9*zRo9BnU^6%Qg%3oualXojhT>YJ}z=Lj$r3Yu*c#X)T9u&Bmo&vAI-+itgHMg z*71C%r zo>wMVnC^_*RcILBYjD3)760VGy{xsfKbTLAoXsL|97GY}nKt}W=F1eo%-0$he`EzI zGj%+&5{g`dMIt5c8)$x=Zqv}l9g|6&C6t~PT~m0F%51hG@T%KK-84utD^fBmPjdcg zUEfn@60}<%F>gtjwIbfOTH`>(zLviS^ZP+oo%|>4*Qf^YVXEC(-!;)tPPtY`Ws~*% z<-sk*uU*{F2DJ41J5s%bh81<>W_wf9=xH!0o5I|x<&hh9b1ndZH~&x>QYQ1`AM|Q> zG)}yu7G#_{GIWPGy=!lYFY2g^+@9CFIkARIa2m|!r-c}}9ADr)_)RfZ9mKSUPsj?k zMaq}aFp2`sojc9CDo?Bx)FO{yoh;>TXn`i|U$~Va`m0cV+bXYg1(GPyVvn80;H15? zUcPE%6D~Hro0-#Yz_+sZ-{8fK`H&wbH2N}k3n7kzktiyP$a*fyzR&?qb4Q6mD)bOP zib6rO2QBjVe!OLKs7~982x2l~5Zm%cyE4Q;((^AKU{Epe&%&SE!(i*uU?bJ#_#6c`1eFD z3?yb3MK%~kKd>p)Sj>-X=X?Ng@KzeB!0|!b8uT#I=TyDtxwqNw>E$Tw&FJAVzh{Z; zL(>Unwz7@3p0#$jamo^CwRS7pn>VAPmD)YaEgYUEV``5k0^>)6Gbz~02s$XlJx!=h zk>c0eCbNsKh}!Iw#?2oY&88-*`Qsr=jL{wzh;F3DWgAw zdow^S?&d#iWfPGwuMj<&GpxMUo;6da+-Q#_nu%u|oARFrB0!gO5h;!KTNAL}o;8`& zi=ykUH#ynL+6s}&k~kz%R%)g@%?8tL8=4*xTUE?ya}9L_C6v3wdUYj#{!A!8gy-_u zv2lCAEFopj!}Bf|f))=vPVX`m$f~N~v)om@dpDT$4V3haJl;bRyoZ@VIblIL8uD5S z@>(zDccq@Fs!&$Le9}}^Ri?k(@=U+_5M+t)rb@$Qxl1rXoq0VEzqPgifMgu#rs7b^ z5dcgScx;4<5`{1$|EhB=x|Q;sLM=q7F*#~r)mD!Mt_{vcmEQ0vYZ|Joul$;H(P)9)gJt6r<-xuG+sH4L(Nn6Y5JAS@=N`X zemD$x4~o1d%W{G)>aPxkXiWZkFjLxhAE$nvZ$tufpqILfC-enJza{WbrV;Kb6qdn^ z+JO(#`s-gG=CJKwA7+o}+$z~M``{~S+%M1CB;WB@33}gsPZhw`q%K(Mnr`o{e%lM` zhLeHU;VWMR%u8_XmVPVjyQe)bT(8`5im8Bkv%R;b<*R=XMR4LaT>WwlIj8myrq9^5 z$x7z@balP?L)a@K?>%O(?eNwZhe&__t$9^EjG3+?HEIv?%gdm)Uv=AXwyR+6lOu+} z!{kGYgN|pi9hbn>sc!8lLIsbvvhTc5drInNcmR8YIG{P4w!U34yz1ekqHfur{&1|X z_iT-3U*Yil-L-dC+Z$Qjj+q>kn&zoC0bDndB{9q;pE4JQlt5N|H^uhLza^ywOlE!%e{at?i;dqT1 z*ihqv4RuEc8Ul-1zAZ3`wV$x`zD(Sb=lDdS$bU}SxmC>-JZQfwSVJ#zmU z+?HyE1?GawsUxOnzsc(QiQ|UNo2Ql9(22+P00eBHd*)+CR_x4PCgsbrL!;7{*rhN1 zVvAB3UM6lRqu3=N0w_)i2oYXDQA($-+B~N<%1Hu3hs|30k`yM6!jS;J{l86Zy5DaY z*qjoz9TVegd&>zNraT&%H?6T!5S?y956*o_CekUcVPqfP0%Pz5q2La9kiz(m$KJ`p zqD{#JjN`1aGI2_|mg*j?d|QL)ZIC2G z$w>msM4p*DTSY_s*wnkRDGu=aYs!tkp@Cn2!wkqeE&){@f}?ygNmC}&rxYI>Y+O@2 z+t%&3gZo00rn-vYGC}M1@5+{;$7l22JEbaPynn982$$^q23U4Au0b5jZ;<@@21TS#1sh4r0shhTV?B2ao%f%}r3G76*N7sk9p`D7<)_u;L z!@uCo@%5NLcn6FExut-ai$@AV#1lsTR0Cg#J(z|gm}u z_ye_)n6#4Ow36gFzGk2Zq`--LE(O6uYTXF^n;l!7@t6CmQmysHpPq+5j!tS;3#%>H z&yAkPIus%4m16tbZLTz_r8%O~piyb$O6nv^>iF4txY=yMgW5rZ@$D-d?JExv`^1R- z41O;SYH2NMX$5L&_9%L26g{~T5s4BJes(BsHcs$hVEam3`wA1JeMJtjPmb8n;rEI_ z4M;(tygfqPX?o8lEyX%082jHYI;10uew%FuH*z-~dnWeH*JK(KWg1_ZvX9C_mQG_) zCDPzf%A~$XAsQfhZd;8yRO^0?GRjd))PO&u+fz&k6Am3(vblZT*-=Ylk#zCzdL`89t^Ls zuZvyFzh+ zcGQUsxGMiDlxGL;=)K`;M|Dihc1L3##MxHRyDk6q{<-#BcOl2KO!_-=#mB_O$BcSD zxOzUsTr!wkGGP>30Tf%E5$@()-sW8mW?hmM^Cso<(nwR9YrMf@eIgRExl5SRN|%Muph)jSNKw7A z0OMCE3%PaONR9-J9MLaTvyF2!)t)5~DBal%TN9F}N~e_YHC*9u!+b57aKw+5yRf%( zYeL$`gPI?ADRntx!H+Kpt7m}dC)`?2aKGT}&*K2gmGrfvN=BN~)5Q5&6>lkmH(dbH z^Cv0N3-{2cLgw7Q#{3ItMdD#ayOXn>AJBb^_$ge2hYBgnFsjF zDxcYkI6NuUGcSVS`}aGts;K7sklO-diiIHMm`fa|L~evyK~|p5pkm=l0%yGnMXHt} zRY8_^;R;mOMfJL-UXT^3Gl;^MgRmiWDzpp76h}VP><`-3a*IW&n|N%I>$|WzP*Y7 zP&O^KyoBNGbJ+O-Ne3n@r%hTto#--w|M5#juUmPQq=s=Ir1`V+iCP9BIyPe-8Gvja z<}r%HI(&W3zRxK4R8zj0J8ZJ7^-Y5GGq9kp^HD2N6{bd4JrFwHbCM?hmiUguI~?`-4oAujn$N{|*W_%_V-4LmaIY#;O&j#G#N)o|Q|U6;7U|iFz*&p_BbDB{S-FNI0R92kb6HUPkQC z3^sx?fd9;t_$dQ6qB}N#Kb!HZ0`XJwpm(_KQ8zU$RLKJf6Uo0RWO7NG*X;(V$_KzE zs`CIshx`wLzs*qGkK{o#SO_J#q;STs?6?FCj#%1`OKZ(|DUPlF8^H}S7OjW0Kkq;( zi&ZjRWvvh4)}KawLpQj-6;`aNO|>MASnt$1IxT%xt+sKM^^S*bn!YGzyT!3KuUlq) zr)Yov)+Fxw(@sZ4sdr&>iOe{`LH={R8D+}rR3`)Q3;Z>V9_6F(%JxmaYKJYe)K|fo zi70ag2nSR%SFlivW(_f0TH5lRv)x0!h7ZBRWj5Y&>B(uGp8FCQ8%q=*cLYS@dPP*1 z9_PGNDoD}fwB|?@itDAxeaWgNo~`p;fzvvn_npd8)J;u`(;Av6ggR9Kk1=TC^Mu|{ z89v@8?2dodS{|6nn29b-Ps1<&S(rYoxlgqa)0|drra0b0Fi~Vhh^YOz$z{o7Ui)YZ z-dF$GvAfJr(IVQis(zI|`rxfoS5e52egjR}Wns7Q&sU#KYDvJf4p^`5U86)LDA77n zTUQ_b%X(F=8t=O=fgCNKX(ZmK)(Zk)=Xd!K!@_@+}bwFR?a}BL^-lV zx$NEyO{)Pds{sY8fqcO+{aj4Uqvhv-u4h>#}a zauX;Xd!}D8TyC!35n%%1a--E-H@~U>6_Eriw0e`BG{8Po9jDeBf5A%F9_7U5*QFnDFKl5mbQ2`LouTLfU!t4#2UvUjI6qU z(@E-367BVHR?HDU9GtJV#4WTAH1Vx`shrweCh-4B+6%AOhPU-ZW3!101l0`^|Gq80 zZ9vTLQ+mhIjih54KkBs_^?JLAm&AGpy|V8a(T!o|>(Q*0&nYIv7r#u#JTI|j=v^%t z5=^8gN5Zh+{$vr<#=c@3VQp&Xh=l!>GMHuy?S|6C)v0pl+=|;}Wl*#beQR#3?na&4?nsx=-{DbB_HUGH_Hg|Mq%W&0J%!xg z;hf2AoFeKvfK=d_74bV<^&6S4@J&6-GfQhvf#MrkVlrEyO8ES-YZS zH|n*x-zY&MCUqgcMFvVTmnC5@&<+56_f@;L70rpSgnL_IWz@M$YQwRvfs!A>hNbsu zA}Cgi;B%qRzra9=9@em>`X;WMs|CLZusTtdLSIlU zO{p$T^$PBKNUW71KSoKV?O#+e(sJAqn>)9rz#|FDPeBsfWh7o16HnNUN$zN=yuV zyb+EEB9RU)BxAw7^AiMtbS2l#Nqi-0c|$H?fMi%ZubZC_7~v-d>tKBwdBK?!rWNT_ z5ZqO>qcfeG$lV;B*PzM;R%tIUv@CXB?ANahBOz~9ao_eH1?27`%J+qKHsss1NZPbA z%?iTI3N*@f*!4L%P$H_p|E6$L`3_|W4q}aX&BJ@w$hgz)m3+E3Ig^pGN_8#nuX*`Y zpC#|guBDGuW*375;)u4&07sb7p|z&3wjW zLF8A=V<%g_-WK}_SS4hCUSpFjzR{mlJOwSkn1Rf7%UsO=66a!?8J<(R{G2#7dZy4b zeH2!VD^(i&#hxST9?66!Wogit@1xs6Le zXY)r+hwr@3)3>J21dLY%j5{roek}0hKPwBhrzO*n&%wb}2$XY>G#_x&Qg{H%3@L@u z*5iw~Pz(;}=4Td#g_O#lKy2KtL3{wi6pmd{dJY}om?=q#-x<1iJHg`dG+4H=c9}Dk zC0DRshEaWy<9q#}@%}BZS9kU)(Zz=d7K!;@2bwa5dH@2tcqhSP25S5furU;pvaSUczWba({I}{uc_!v)l>CH`#^;E2^ILe+|dX+ zik2N9lp&IBGhFLu)>asUoHJHOkFLzZr2xQmoeBrP#XeZw6tTfpRu0p@4Mz~P!Ke*Q z%E})|JKmOdG|-2Xtb5T_J})0@KYY#&CS5I`({R7p7>xN9NRcETRq{7_rO|!lFPEsD zZ~?ycxCXr$T;r3rsWO5<6S3NOH-uz5h+&;L(E;$+ZCmNfdrF@|Q;Lv$%d6?Xjb%wG z*Akpv*~MMNh}$szAR093*J0Plf~<8`pV!>=9k3$hE4F0{+2}6M%l8+yYDEg zm)$c)Wc}=ph=ho)dMvy(ehVo47GU37VN&yxwN&a!S5_!G!ZfqYj-Zt)AGq&zqR|FfIY2#BSRSlA#8X9ce-EG~Eer#@LPE9E`G-x+8M1pUH=DE33 zZEUJ-YyvLOzLhoAq}|{RNU7sfKnn0rD>iuNxg7x(=}!&rgPYR@Q%#XK^?!)~mV$q& z{Fk8b<+QD6CFt?!-*2}npyFR0@s3Xc-Jk$<$m=fuPksNn3umGeQy1V^(vffXc1Ij- ziz@b%l*IM?IS_HHn4loS^` zW&g&@{!K06sjQgjW~>yi)OktJMs+Bg{6afb^_qLxhYKlQA4Jiu<8yafs^-_J;YT>J z1Y3ZykwJ<)gTaaYzU;h)zh7tOKNquL`SJj5UQNkP`<;o#J7Cm6`e!IQb&0+k4>z5H zU4F1^$auCh3J=ThIb#M|0%WdxY$V{MRbM*o3ix@`KQLCPEw?SSJ9X6@EjrD4EcLlx z%J4S!6Z?GI!h-L0%&sXfw4de7K987vuC*AgmAAaC zo|Lw~8d2;BX-VYw==|Cus=QBPf4bB3-p|Kl_{v7=1%t~lk<&0y{h!dy%2M3gp(CW}l?dS);0H?A(xO)`W@Ix-87k-0lxxYDnOvwbX$$MK%$ zD1(0uln(61WH>E?U#o^&Y>78i_?8pGT}fb1tTXt&l7Q!(`St*c=m*AQNK>BJ2gU#}4SuPyrJk(c-%N|v zBMCHiczMKK>ai3S-(bD7TIS;ns=!-2Af_-mcrfaD2b%W1UW-07EU(cIh(hSed{)Z* z6c>o8s?{Z~6?#FC*){y-v;im7;r}jRyVEDX!IpSW0CP_u?1UN+lskPO<}ym=G9hL% z*a8gkEw{T;jhj0bh<9}CXEL3_JnGlgTb_t{sfe8(k;Ysi+INltu!3W51vljf{K^*} z!3$~6x~rH0?sA47_TX!Whl%~A(jC6HwQA;YV061Z&Ewcye8UV?oOM3dKFQeJ)r z2J4MtJ%4kJ&jA$cw%4=d%&@tUt#~C&r46ju@UiE1@QS_g06l=i{C(0mR)n=!rSDf= zCV^Of-MTi3z##>(<@T%)SWx^!YE$u)m+g*Jt;%G;g#<1?EM(dp0jVW^9Rc9*ldSHg zHhl3?@Y=VyE))W5`4|*6r&hbo!#4AB&Pc5p3j~&{-!7FwtcaJB;XU9Q8vzh+M|nJg z%TMZ(2r%nD8SCrcM_ry9)_227Tg|I#aMf|}-TQY-#XVh*gm(d9$vI{ImaVFU48g=^q;ahIF?H0gl)^tX>i4LD%8(ONW=z z-fY*$Q+j> z34e*&<066&BjL|n+pe2$m__tWqBx3=ha7z`ZzsaheifGP94Xor)yo_qu|$F~D2vUM z0p1gvV!?ld3x{X%Y^IeEM?HM(XSZTe)Qgg-COw7fr+lVPpQ|tVE>EMdxNJJYKn%tU zYJhy{z84iX$IIwW3;qB}Fh=7TU;~KZL7l|_9Wo3OQ&O328_OZ7M8C`S3Ywxa-i9= z95=B;A4RQWDiu39eKdPPpAk3VL1gMvxA)~mBhHpJZ}jBnv!_g1nOy~$U7m~gZXR`Y z(QHkALa~!dWd;q)=E{3tHUOOctb?_mxyDH(uYt=#!_x^#Ul-lrsB32|Y$`5dDjqxe zdDzKo6!`hllHdZ(PB7^YiITWk{O3CQi1D`qN8|-0Xnooz?f&$bJ#B<4ueg zH{n>#`ePM+TVn&`a>Guvjv{4~g)l@@e%$6(d-ePa-~`g^kLFUc;Z~k&(UGOW!5y z3$XS(KcGSzQq(rf`_TG5@N7rtd&?A{N=@}{y$@bqx@^#LpEgKup9 ziN^i)62@v0xgWw%+hj&tS`v}yu;jO>QUeGj%FqA;A^1x-R4u+ug4!{F(9n}= z4*xBfn!{oF&jRwFDO?L1{*_0`7(k#H2xKqni2;Nb`=*KZn#eBsKRvXS{T${d`WAiW zDl&A>w&sO-mTETT(C3#71c8f<6_YsAh_(7=)}x)wr}LV~1RqHjWt5R2LZ#G*-9W_j)E^2zmRKLLO}77GxMA)Uquo&c z(Pp5XU)OSwv9{x<>&c8F2zy-HDWS(6mCQY@>N-%)l56OG&J4{JR4sU%qoc%;sHtkj zfl@buP@q0fv*)5_jUcQT6|ze= zTo{0eYBPdRqqKpvNt6~R*^SD1Z{h6B*Kcz_A5JZ8NlHG9o}=^fee!hvy>zxFRB7hY zfR@F4{$OtDNV85>M6yp9wN#@n_rwlvyJVSYYBl(5y-1 zBLh?W^E&p;=+#N=)#anzQ$6`E+G(Rkz8?Cs+0^d< zKMl57KLxx6i6a%ZPW%lWhps|s(wktgi&MSTO~dAu96;#LSziJNu#?ZVFQnm;1tYa6&d5@Lz zo)X3F?1<=GRI4e3{F)hy1GIAgHv`!XPk2PMW*4i+*Ec&Zq}HxX-&awGrXUm2dt&Ps zcfXITq`s+D2bt3#o6LxMlE&X+QM8*HKhid5G$tf2LYM*Be?qVXR#Npt+rKNx8A;Jka zxJwQ{5)nvFsSF762&dQW^Tha(p#Cozm21+!y7@~QTiz{M~|su)3reRX$wU z=r>4KF(L*6#1q|RT}fZ8ZLzFZ*)Bg9G{joB8i`TjQd+NCEweRhJOyK~Vj=*f*tKXH z5(?ouEb&R=Z#-?@C&LJ6*ltwZJmWR5&2Hl~^N{csQP@t{DP%q*XvA-JF4{mJ7$NvXF9Kb&wYrX8@}hJNCBMWIc1;g1+yMM=F} zw_?=|eGwFqIq*{YARxr(LWwAdIphxQ2e;zn@d{hp?!qj(A}vWhiW^DJPZlF6kUyOU zb=w?5%BsJvUiTlZ|D~7nuQccfm4kqAqi&f)AV}ughSJ|fjzmh3W>Gxg!n@L=_9HEC zXyTeAqfhg6=YmnFR+@cEtCLTp)Vpn7hP^T3)f?HipNKsS%RIqgYr3o-AVM!QfN@rZ zwPK8xQPwMo5ONpP6;g7SYR?wZmR75|z)xfyIE&JC3r=H`d!v&59t$VZh$OzV;S{pv zM2(t5*iqljAzY*hNGI)gn%eKeKL}=j5F~qIrGyf&08g$$8_uF1I(mxQ2Du+9Ig@)i zMG|#wIg1JkiX%Q$sy?w|y;j=$pGt)W*{!yD28x2e%6CvRZU+;UzAe9S58$L=97uU8 zx5h1drpKI&*Yvb6v2=d|9lOMw)g^}qR-bm(H$QmU(cYGh1E0cVE?Q0h&lA*5PoXE)6yu5c!jDuX9m?)Z|TIcdZU5W!(*9{r;_z$V5 zuVK$-reJa&C8Ij36D8kyn=x_cM2z_-QpE^w=BMUtwj}Eg6BP8vk z`+WK^bVPAfZ|hWncx~U4B;JnW=f%Ri(mWn1gSaL&~Ft#iJEY$q_)wp%6)) zrKpM;u!4{ilT@iN8Rii4XaNRH9<4BxKcFHta6T=`aq2>}3WQa1LmYO|L!NmhnbDM| zeh5Wy`I{y4Hj@@_FHPL$kdJD(E3o;dv-T0`0xzh%rgkSWpA2@9ip&ti4|k%HizEjP z3S|j*Kbv4a(N>9S!0*j_({4eruZeZKvP-w5ex^g|$!t@CKdiwwg9pC0GV9VFfh3u@ zB*&aZyGiyY`J(rp=KI}?GCmva>Jz37AtY4o*Hg+opGyJKJmayqR#Cv%N*b$vH(a|p zj)&spTr^yp*RD^kS!TR<9>l?NQRW$gECpb*gUi~fH+YV>Tx_Y`QQ07c6z212Q<~&P z%YGz?^)#a2t`ohhR)+*$%=v`cqP4P*Vk~I`^+Vihb=Sj8$~?^2I?KHP-S%oshGNRs zJWEui5(pzo{`LF7780)AZaw2B0dZwlvLYseNU)TBMeJ`6>ivMxa?@)VqYjvW+6?dkml?*Ml4?MiBJ+>9@FAIXe zxOW*<7_Pj`l*QN8TIi~=5~PeC5Dp3aM3A7it|KFb0nCRLxmo(d01U_(v9rotok+f* zrogS=R;mPsCoEc0nXb7WV1ST%AFB_f_<~0?aGn#m@G^(wMN6OnQdtgk`f5Z0{I*3n zuNgzpVKSPayK;g(vzcV&!xA$9w@(G&q}d#6y_HFrpQrNJtFTNsa?V}}auGqHvSVq_ z5TG41=5XFS16OIM`)zvedlkS6=E4`>gi6}zE~aV{g?x;}FCNY4eK%k3KiT9v}5Szlft$BK0FLsI&C6V0B9>fgaw( z%v>-9S#`@F0$6(a!3(poQ2$xH_83-f{f*&=V@N?u9;H@-BU-vZ_`KmiZ7es?Pb_Koao*iMcOGot4e{@HrN^aVK3(fnhOzN70 z`)(45`)srL30x1vugjB1C`%{4DxLmzLmW}iY>Gn5V_6Re4`rI0eI!i7w)oPZ|L-xM z$hD)sfj%JKMb-b=pNPQSleWo zeEw9`EdsvKeXQ;F!=u8FJ5;R%6En@MMgAKOHrHstGQFmj!qbfy)+{z|rNK>n%qEvJPF zLd!o&>IMy;;s4+u>(v;MXpl>n)VoNub8V!=)4FNbJ|q#*{wx2?Saq?fu(^u>I6g>X z*JMV)-x%uD6*jl`5AaeS+j(BC9^3trta#nMa`udpUw^QJY8WlB=qRASz`sq+gXYge zA82{D4H|CBV|@;D$KJU@aPJ3#B%kob2Q3FheGGwcEQqi4v2N>jGaftx2eKsxCgshs zPSOe{+n)X~dI6v6S>8K~+M(M8fj+CJYXBGS2KN-RRxrb9H6nhMibgqYjs-|uS!N+}K zA7;3f@s~tHU3d`le;2^Tgq=`~qGarVd=ZdGVcCJP572ZA3A8wD^Ldd;U6r||(QLxN z6RGKkk36u!rE&eNf&;8-Dc2NP8wj{4f&11xwT6^zPsae8O@BCeDy@BUlXW0&GihDc z>&y_OFNPixsF|0Ae0jFh_qrq&cIPJ8Wn~M@P85s6 z=;wMc;UGXl(A2kcz~WHte6Klp$6%&?LD`oeezg~6{{nxKqlI6(i~&HT()Ub*58F>;oRiU=4r%4U38|!GnDs z$f{8i5Yd`xCkOgZDLOW-~=HsDW~^;4rR4(ksA$X)9-FOTncA#P~t26!FxMBrK|^Cd-efxkyY zdK4XG?XCIPZ4w4j#?Zg@-xz5bnDes@2jy(YoxJ+YMSL;zT> z4|!dLZMTeSGT)@ANG+QGS{0Q^vB&8se+0LV!B#u#HEny24({(15~SD6d!jHIV4ZU$ zeb+rMm5cORCcWW6%Yat5i}S8u#Z$~fF{_xxU4Bn5tXHn%0$OueYEK00+;*Nv^X=oQ_J z^GfbDS;YZoG)59qFhuXzE0((0hC|~YI~+7IJzk4)f{?jKo!=d+(?8xF;6zBS5OT5F z;WW*y8qr%G-E!pFRA?XIysM1{LIl1}V{{)TwqM8QKrs)|I!wCE*vviTSI9^@hSgrt z0*D}z$jy-GQ`u$7IP8jlj6=52*A*1avsIn5)vUu2NWtLfCwfFlHoyt6Ue z+`{}m*sKaeFY?pooegd~Wl8m*tkht%_tWCz2Gsm}soALj5^$HlftX6!qj z-KSQa1DuXW;2)@vpaeOnHN>;dJTHE01mjb0=10;6*N?iAf?1z+iG_5RSyz~x;$36k z&Ww6eH>kNr0bTphzL`LW z@5j2bCa=jaT@VmWGe|Esj|8Lr{~@h*_-yysA=8?*=QoDSXw#3Y7W6lr z(oi+`(UfW9h9$Oo9L4}f$2`X2nrSBpD;wq?(Y96;?mGw*x}abU*E`4*CGt@`PoGn+ zXm^>Ikn4L$SCsWr8zpqcD9j#8dcs>j1ZfEU6@=rUSf*i5r#@e9^lp0`8`2w`{V4so zrC>|uu_pOEPua^uN{D`9qI%=9Pwy)Ia@pZK-)0{ieszU>akaY?dj-3Sg`e*~nr=Ry zAfh}EG~SJy?xm{1*_w&rTSgM4?D_SPog@5f&yFdlus%EJWyzi{MVDx_Usl)gomF%X za3_+UrX#75w?-H~_^~Xox$7wv9yaCdzdeY?uJz2`fpZNqO#Rwr@+NwFIuol!)n46# zSY`FxF7omGJY06Y(Xs4{?AU*@I}5Wfa(K15HrwW)w|nK|1T*`Iyi$kiTrPy}wft_W zbNuD`Xtbk-r?HE+9l2A)hdlf^bM>3S?+kTyjzk_=xx=JRc9&pR%c$)o81j6+l;L8v z#rK40Q`YZf1bML=55JgQb}u8oa5J8(W%z#Jj@xBbF|6WCNL8~wJA9Z6{tWa#n(!_7|uc@m3q{!!A;kviOpkBnR!!d40WPGjp7eo#$)?LtXX7w z)|UHX;z!FL>v%tkt{<%|9Dn4DSD2(bLh_z#5Gtg(1XXw7*x)qJ;*{QNzbAZOBlDLf zjbSA0SlptdqEOYFYl}AGZKk4b6FOxA_VwJt3>TZLTp~t6+j2s6h7eck}J+58;zPsWEAz*#cYN{*IP= zqJ8fR9DRFwpuy^HGPzrkoJ-+wTMYWi(fYmjdJ0d-vV5%-GH6)h{uvwGwIj|+Jdeel zHdvz$Y;{NG$YEYCH)3O+s611`+~J=-YhqfW_KRW4z4eNLkn;c*D0MkSt+2XJt&r-Wu&Jx0CLw?PqB0Z4FU zPhE}UK&unKhMbxKHf0&o^U*6Fw+|hUqLlhtq4~|Bb4wp9b}|QZf9u?KM%W7u4q8QN z1vxtYii;`N4^-)Xw&LCA@|CJXm)zuoz>JyD$Q)wa|!>Y;px{KHPBeI*?wm>%6sL@2nBIOX6MD#z+&2@$lo zHTMmN+VXQzuumTjp&-t%9BW~7sNC<5^d|$<+K-HGyPA1gW-*g+Grj$`eBZz#D;vuG z5&O?^gm92c!sqIwKVh-j-ySQ+>R0k$P$bxcqe&p{V?59p=f;q|pJIqj&qDF>9a6}W z2yb!wNW1z>fA81*%P$&aUWgaQ4^2droX7lAF#~^VkTu|9e?wrnE>VMD>;k#MSLK2# zFXVnw3x`T1bz%Q$un0vB-tnR#%n}FiG~#cW84rcB#8ZT~VpK#l#0a&FG`iVPSVJo3Bhv*R=>{5D9P`Kg8B>cb_R?;(8)?c&as zaSzOA0bCAFi6k{#B0b0+ThNY8ZU!KUY3VEJbJ}$$Hl*m)aZE*?!E9p&#m&-lZavei>aejXc*)D}7p6 z6&1Z>8==KA>QSPL4sI=xm`Puj(MRqrGzq&X$4fJj{Dq$f=H0|) zZbwEVR%>|rT?C3w3`#@xZP8+1)~4ycH7Vq(o_T4Wh{&j~$3W!tm6M%AI6G`tP5Kr{ z%cKsa558{Gm0i*P5rkY&AXQCY<_lx*)mF+9!6yxogWWUySV>3Ooui-pk4 zDWObD_a3Yv+6KDy2DAwi zsQ#LNKT*&{1JO~gZG4Y37Mc)0VY^coORQs; zZ*=5Sx3X4On+5~dAFb27G9sGN8BC^6-*-=SUvh0o#~eh=s4r{Q_iuLjc8`zFxUWc< znjOV>#ppUq!z5!|aW(M|ZWG$}|Ek$4n$Y*H$fY`>wIY6-Sy#G+WNZ@I5TgAd%%l-J znDOBu&H)u6dh{VBA-d5xOc-~lSXwb~d{)rm2ZnGyA;A;ALB^5$Tm2c@sj9RJPn_?r zGSFgf=&LzB3g{HW5OMtSag&x5N0$7O;Ykypt zxih+bha?MxPDurxB6C8Gguhp?26v3h|L`%qw~9?Gd=Zm+KQNh9Vilb>C>g_?iezkP zGhOcW(~WZ)%&k&7fxy<#@mwL?-2f4PM)e-bENzTA??1Z-W4UQHza=@4(pH(C;$U#b?fbdpn^< z$C)z>e&|vWKV0j0mkZ`Z*uvqqwQjnh1%5G|3eNCG3W2j0b4-u0dFKw!)D{^Noz zJ1-`Qyu;W2qDHK*g-||n-%PtTGiVs8WM#PZSqo2-QR-PyBlQvbx=RnH-B5}ePZaWP zwMrMrhKNa@_&#}Fl8Yx*{>Zt_Ar!YbGlW>5*+HnN5XtWKUG!;27PT2R&>s_xId??(@uZW}klcIcu-I*89HuoI+UbEL~Asuu~=l9@P4r4}l&8cN&;+yDu#U zJ8RPLJfCJ<(2r(H8BzUAo*L;GzoNrs#Xq@MmjEG>3)R+J<{b^wJz!iRf(?nSR1YhX z=3_B`snrY8Bl)IoYhRw@pWhB25cxn1ccm+0@(jQK=Z2X0Tg_ueBWo@!%j41%$cy)= zWZQ4Ii4V))8Fb8>wUdZA8Ny~hEIxQPsCmV-#=<&gdea3LH`knoZvlak%|+kaycP|; zFev18fDdwtRDHCgb*y<)CHGQDO3OH4rv}@oR zq9973?Y451(r3!^g%8-5wOI_fH)Hj;NszJae^!H3$gpE2R{Ag(QnBVfA)WA+zbI21 zbeS>Vm?|m~`w`O`MAW1s;o45OjD>4bgdz)3-sHVLzPlzbAeDMM$Uk4^n@&9FI{#w-;@iayrS*hA`W7Q|qZ3H`8y{`{ND0 zLUEs>MK?e7wa@X30^ZTLQO+7&mULt#e2+A{ z+Nj1UQYhIiGmDm}z+UF;NYm@XSOwi3(S}|P^m*7GA)W3P-OTgwe@FLp1D6RkkrG6M zC4pIC5>f)K=T9M6dV|d<|9{r3BECi{EoQ*G`e}r*bZ%!($uq9~6*BoXtn^dmBB&h8 z;l#}A2>fRiJY|C6v5vlK%S*sB#GN#fQo)v*V<>cPi=q@hQ}&d?f0PcT8^?~-hest5 z(00faEw=Q1z>$b)jku6*~49{kAM(|fy+xS7fssLSpB&f@QL z5xS@4?bbp5S-HmiVRmK&Uz;6Eu)JPUHvYQJV!^f@o7PN2N4WD&jB`q^)3 z{6pOHa0>V=MvHsOJeid4LEMlUYeM)bS74tF~aIl$#kXYMEjRJWqQO6M0^!AAmBpM>3|D~8vC$8 zfR|wVrZzxxtrJexx*&NwrzP(mk;U+%9<}=UHnucWvP{tfSn$hlP zWuheRXUeRnj`f0pOf5<)AAei>fCTPw;H*nfwpBzz8FVCC=*((XGPqurh|NAAl&b;vtFMmUfyEQTUquPMAS*|FLZp|zG`!a z90h#iO$%WNo7$;B>|4$P9(NJ&xD|ISbuM=u(nfro>+nte-~~yz9(-K{Y>FmYBMmNQ##z54Q<7r@W9n@* zcHS6om2C`aD%1r0nIbLZgUVR9I<$X5rxc#|Wo=04LqwZ5j^%ii){Zdv1}Qt&*?v{Ok5s8elm zpP7LPqJ>u^@$-eAswGi72apJG+f?R^hu|70ZDNHHNM6?ch*HLb{G@{}A+ypRK7{p9 zCY?2%xIX&<7x5J(wk0?s$JP*b`|C-@3jAuVI zNZ@XBr;wS2{G2tq;{10fQff)aj3H%n_x_%}`>17sl=x$?LW#VS_$|vjd_X!h^i5T1 z{{+pA3gPZw#(TMpx>V&2*g+a=DzJ?nv-MPz8utPZZb5Vu1(!uXXTE*@!tV=cTW41! zEJd$Kh(J(#Qdl|-y*ua?D&&n5+xI{0$;WG6(bFaCG6Qw^F87qh4DUEw1+90y3>x#L!Gr5)j3S24g0U3K(F zn5}Qd$!XNh+_`Yc+2~o%s(xi7GZCBUSS5ElE1;$zu+vZ-kWd^a+TF~dPRbJG>BGWy z%;N9^b~-Z!tEsHQe%7ick1P$0aDSw9Q{jO@WCr;TrGm!Kt@gNbr&sEGth%Hf5^N0( z7S`?U#3M)+4dK;W_k)~tZ%CFF&XTc>t+d>VoQNhC_0CPO)_8HggmHb6@mSXJ?9ECF zScB^ZCaRt((E_7?kcO$` zkXji#2Nz*53um~#@+>DCIa$+0`@`f>Nu+|?ZJ~{Us-3j_!(S)W9fNrzTa~(v99u>bJJ6Ltfr>$mkD$d$h>=h!}$;9~)8` z-Z&9s*QSujT#d*mA!4zUWE*2t7Twb*bJ$c(U3n&t%@1TLYUFta?8y6=c;k~^Acd66 zxAuwh){fhU{V=RnuHgRQ^4}&cM!OFegWv4%SALfR2SY3ux!&=oG^Qe-y6IpVZKlz_ z*$`~T%KQ@ftd1+XtbpJA9W`<-HIk3k*mlH*Z_~%(;Xgd&i_8y{9M(PlBYgk5IlEVh zY~7jPy%=&kqVLv>wM1qi|Ag%aFUmLY(lw5X=S?73OVT0`Gdukd2%G#VNsZDF{N_ii z(3PnyYO=|nDXHE#e_%%3O!mz%Jmi~XK;)XF$~WPo6TH{=DpiUaPh`zs^9)yh^ENzF zT-f?O#ovqI?4R7)JQSZH+V0Nj;-`DATA7l9VTl!CAZa%qu`o7xuxnDhn!v zWH1SCNii4+Pw?^LVBNpzNSwVXS=*xyWz8^KPt7NBWWs&E_u2}z9Ot9*x#Ce!+h-@c z4hEmiJ(fFkkz8{EoygQ|HIgN@sf&|IBldJdCVHZH`80Z>$WlANafr62RY^w&B%T;D zpkEyyEvd7woIbx;@wEs|roXjGfZHt0sxVV@3m3n^JT`OPg>)Ny;hnj~ycy|o=Up@$ z_P%bLgJ|ke{Cy`Xdo8!8XXVSmh-?1la)zt=%AX@&4Y)?X>>_^;j^7Zn^MlnJ9I9jx zxr%z8H-*M_JONK~6%8Q7A~Lx4U)~7IP-@S@rz5yrjGcas7!YBIrf-0YQY~-4k;||` zM~bT~Gq%St6fxV7vJKsB^!C2opQ>(OfHR@(r-uHJ+7T9%xCi^i9ZHPk#~A7!#&LSZ zal~iGsN@n14mg3vA7uWzAyxU?ccN|>o@YB3wU>NBBtj=mu0T~6lOUj=k=&{QDJa1_{B^cS5ke$GtRUbn z%QF7JGnj2$qVx}&*L)g9ABYb*9@Y8Xt1(>VFj*I*U>&;5km%SKa5pV9rqqL(W&Fs) zoXwxxwKh!`HeezMG9ce^+}&z?FR9UJr(D%Pru?%#>!oGv4Zf_m8EY@4gGVIF=4!)9 zB1?$cS7+wrI+Ba$e@kFkIp`lcHz<@j9Kf+8Kzppk@DWFH@rP$6I0_;86UsPA9?NG~ zfpj>|mmaLYokXVmd^^11Rrp)lsd3TB1i0r!$yO{H-wT4=6kMtf`nF{_uz62eic+5l zQaJcaa^2qu@N{OCav7DqMj#n6%ZalcbL9Kq-A*CVx`a3ms zSbY(9AVT?PYOmu0XSaq#;M^x|IdVVzIsLoei#%J^%4srmj0^m-gghgEc69+>Jo)u^ z^_grAjcfU7oD?4;l@UXdtZLItrLMQYrO9S;MSwZ=hV9`alLN<#Tgcw3KI{1rnkDVI3f=m~Yevq`^m z-}cj$scT#khHxDxL~df+d?X?Mj&J%9uhPNv%)8SOsu`CxWdjJ9@`ve!`p9arDZB!w zU3Icx(EO&3{67D~pv7Z#2NCtgmECe6fM4G*YcTW**mD2r&Q{DM^D2Xp1{c=Pg?qx<#0o5q`4MFR&dy?V8o9=Tce$!qJ2(=j3Bw*kiX za+dPX)|1V~lB>Sn{h&na(b-cV-ONe+t}ZJ=1ydiaLuaeeFR9IBcT-0FHb_kW4WQqwcy3p%a#1uohCo!g_l`5L$o15 z^jsKZTJ}<}KB7p3@)-w)^f^xDKxoo?0~&2=-PBe25=$GKDJ3*Df;EU;IT(BB9s9TF zzWsMNRLtlxZUtn+g|!yz1u~VCicNp$V)$EZIsK;=WB=$EQ-r)36XvcGL7ChX<=AtY z&N3kJ$SakA8S+ode25I}RuK(Ispx;_cptgpc|s``L7JRGyP<1s>x3>FcYWlF!w&2m z-xH>0z;zV{!-SJtn`_Wv5;UhD=XS&3FxaJQxh~G8_gG*0rp*|T2wO35Z{`?Na^6?T#?BrlhW+Quz9b<}6; zy_%#lXPSveMZVnqHVet}vowSu%~V^*H|p{CDHIjc~#e)BHg(l~iba1mf>Hl{Xx1k? zy+MH>eOWT;8xZx~nb~4KR%%G|SXj=I+Yxa8d%EkmK~(S4;Lz+FdelhaW>$o6iQwn5 zMU^_wAf+N1&i>E4&q;+<$7r_SObh9(>LUwf5I-rULt3^EO=#7Ym*txgFX(2H#O?E*NfRzwi^=k7%#k6Nbc93;rgLS zB=JFmm-XX8-4ue#@W#-jaT~*hdo*~CY}?uwS~P`Lk6euwdXc?XH@OP>mO!!sRItqY ziJbRx8Lr#CM$;!>rn0jPH3gCveZw#6)D^xL&}A9x!{i!Y)J3Idz0JJ`3c46J1bo}a zZz&L}_of=1=9c;}&BlS`XLtLPzh*%2qB~i=_%3pZG0If?=31x7rvOMlqXDzY+}JjR zPUy&Wh&`{8M&9U9_T4U-YEWl>pG8N&B-|ed+X*pKD50#mgGkTXHs^NF|J$wbz=iU` zxkYOK+@41apJEKWfUi!er!P2f{)%I{gd@Df8&u? zL(gQdb0%qe(*nIqTi{=)Zq zn%Pm9zt@%{rh6Ix%}8v;ZZo~1cuj6x5lF@VD?#fHE)i}mTsV<>$_vl&yk}W)U-0{Y zT`n9M`G-X>#37M$Qtn}5Ad?nZp_#n8tg0lr(cCjtiiz7B38ps=_73`^sPG^t_$4Yt zz-Z6^L-R1pBA5deLax%i4ed=-MAR||J<=9E5A_0|3kPe}+uy?GA8#Let?_S=9eHWT6YzA5uEDyo@uz;#UE`8y0EPrmxXPO&lz81<<&{U99F4>m4 zC&nyW^ce}IQ!Z<9CweU6#x;|S3wRdGeaRf@;!U*CP89F9Fubt~T4F9Y#(hpUY@RtQddcQqMAGF zQ4Ay4<$!}H%`L%_i!~44vZAr|!aOtzI?lr;^ID=qBz1)eJn8jstbE?Aoim;RaJFa%@a&iCba=w9 zd9QX$!xG(|8XqE|zb;?_xn1273(O!&Gzk#y4S6Pmj3N`%h4M~ygPHRb)$@(sOpY6+Wq)i;6H8RrS{gB_qS8!pgOc4 z>~uv#Q z#`LA{-Tvu(|Fv%J4AB%v!Xs4dhRcCOqGM%>#*()dT!{eyS_HUM6xBx}zF*p+_U_b- z|BAFjs&3W|LfJ?s=9~cYPJhMIq~ImqoU;g4ZU>|Ij-Ej3QL=U@-aANol=*Y&I;y~T zH0c{LQl&X`7^PS_!nOom=3+fEP>Au&45?PgVYg7*?|9Gn^x>!0yR2h=uER2jvM;B0 zsOix(ywECI5I=e^Ph^{LIYbe6R0e+821gdrH!Bmiy=k6ty}*f1F`PROgyDb4n~C53 zvnZs~AAG;>8JoWoi}F2)Iu-V0egoGCBg?yML)w40qd6eX*vWI_4ryok1 zrN@cI3gCbEV{RhQ9)UD%D?UAmwbI+<6p7pf7kca1r0pE~X zY(HPh98gi{{ITDdUK|*zqP*zp*+ERH)3-*;~1U{hC;H4@t1VtRR}X1SW>Z46yHk(0MUcrz5l_Bq=72oTAS@X9(5S`#m?piSF-WF#rYI+UTTCi6Ru(t^7_)fN zNs9A*%Q#=>91zmp51;{jJK2HAhqQKhBT?Ef?vV21YZsblSMQ!(|Mp!DI(>GvbqH~& zVHRF_20z^UH-_&B_@L*=--Fj&0&d6KioXQO&0CBMB{!$w zaLE_WB*Hpp=j8$}Qojfl5Ux>2MW%_IsGv0zy3Auo(M;0+Nwy~&3TuWW>$iki+Y1l2 zl9@O=mwdIQ<9;z9eUtoS{e?p;-Rp_sW_8NQm58*tr`jx%Vzm8~5S{RIx~rGpOO_CT zwNn5_R%W_Lk}C>3+cE@4{chBB#X#@B$B$Yq-VFo;I*5TS zgG{UOC9wYuC<^}AnnPi3u{2TWy5}py_Wp(oGz_B5-%X~3cUM01(GaTTG=LO3}hrWt!x{(V3jM;!ht(`&E~A* zaba`Dq{7rHHYL2bUpwYHv2pPUrfJQB=#ZhmM%f_gZSy|KJ{;ILy3Yw^ZrDyVnPJd5 z)(g%?za`bkbxdaD`hEfVptQB*Uv&Rv{5HDl$@5SLT}5{h&(aMtO&^Fyi&`R`riw3j ziYvlnyi4=2bv4^mT@+j)mcPqqp3MbjOof?LN~RBPxek^1(IJQ zeZig$=Kj%gi8zwS##FvtI0ni)VqKe#X;?fO|avm%2*^pE`$oEsdg>w z#7*b7K-)KCk#TTBoBDp+XCiv&AlqWO{jW^r5x0C$#wtU{`4hX`j}nRsh^H$KWzWYo z9gNORPz}kBPn#$ep6CBoBoz40AdGwPV#f{L+B`W>0pQ`P=W>%uU?0tD`7_pABrZ%5 zd7KfCfhvI|@S_A}R!GzmVRV2+vG9SDCNpKINGs~95+g^>B6z8c;$P=;3;1j_=i8_FEXJ#G;u`G zzu}Z+4(W187V=5W?{doI3qvQv$Ce<2bcd>n3n{ZX!M(_P5C5Juul#lUdvT0JUWA>q z0wRo^jNr&6riHYJJ?E-yQ-9BX?zLZf0E3Jcw^!2guMaNe_|9jp7LfbVDpiMYgV4B- zm0MAsiwd=A$J+05WzIoKS5u3hqEwC)WhJuT_aP-aXcP4~GJd7Q-dCr2>a)G07Xyg< zew`d8#R_Z|W6Kwsbl8;7q#~`bbFdc$Kh=9iYoElROd3ERG0D69NNX*WYEXN%IN$o8 zw3v*sDtTP9@~rn17xP)`{B5T9}Iq0`DwH?xo`Fk>M9Q9w+xhB&2_N)NhhdEgaSt z?NZrSUUC)0A7#zA$?Gt6Y+&L21b`r1yl?j?2GWOr7E&K;Z}x419=+t#<9u%cQ!Os# z!#sReRp{^Vl3p#_Cgur3H^b)iK{;9uY1D5_k`N*9v)2=2n?{#X5_5b5!lOf{b`t`H zUv+^5tju~rdhy3xkbU_tQfRu@qVJ~)op#@BE)2d3#)2+-Z9k@wOWyHu<5bdb?+)}{ z@7ZGDD%L`XZL#Va$EB+p#a|Qv@{J@8Qu{oB6F<7RxkGgj4z7f725i`}=3_+27N5HB^JF z?ZmcD($0_BmO}(Z`0fJ^tdGC{xQXU505I7>d&;FA{(Lfs#WFhuKnubF9f4ouD}7GY zQ=Ss{<zHG79%mVXGz{8nWJV>~8=zEn z6d6%k_?E~4Emsx7$&qlFVg*FiFBtzUrstCc^#OOtRVaXMX`bO-t^dtJ09SSxPvq3n z-DrT$n_gARwO4*!GymRO%6GZ{VRX%0tlQ`cm@1YPk(OgWHsvAv9QZqHE3p2nnn1Ab z_&(rm)Rd5_LAj%KYI$iuO9Xt=$<0p!5V^sQOA4H!vH9KQjUp#}ohf(bHu1b}ptc(t zq3|DL(?)r-H&+En(!M3H!fDPsbrbzq)Rm#6P`ib<sHWPaB=@J4&1^;bv&^ zwE{Wpj7eF?=lc~Iv9FtkR3;HcGo&g%^jvyIo{7>&4%%}(E=;snV*g#@3r-tTX`zcn z7TJm>xhk6tU2NBXE$fd_8W*8gMTjP)j;RWQ;q5sV z|7!<{!o+%@G-S^qP?+cip;sNWUvc_9-D?4?_;>R6$NK)*rI+zrl}nyTO{viJWR<(U zbojibm$O@TsF5!egpdt*(_M^$HI3D%iI#+QYJH5+_z;>?z&h0nf>0eqb1ni4L=dX~ zy$UEH@JX&c{0?+=MVZ2Cp~7mX%ndSKLcaERd64IMC%XIlTf@_-nQ7vuCzjZrJ8aeInMhYG*R!0=hgA3OAf=-R zo9aFWN=H9Q8wMfv*oTWDVS+a)Iz9-82|7PXw+17zc_I*y!0YtW$}m^i-zy!DFP}uv_AqYycy}s5TQV-;1469$aQ83K6_78Ac&6Gr*I#1`x;%R zuFEHr>x>)~(%CV;RL>QNgp1vS2%zNN$FeiyRA4_UYSTlyR|=XQnn{OEa$n*HK~EFQ z&bf)ylqtnI@s@Ni3pfS3_6b~NCdE8{ex>7&SA{=H+$N)ATAAjgHGV=eu6M&DZ}c?O?5_@SujY zl`U`-!G)b(j-il^gOu~_6){9WkSG`|0f`GR0+KR^v`)@{;kqmsh#||d^oO5*0}&+r zmxsFiXOWxBi0!g_FV$(d_;dcC;61EO28yT9qV3;q!B_036EfF-l;iI(a;oVrs<)Px zDzB=}s{kV^T;748j5dYkO>na*)Pge7iV|9UJqRGCHKnXuX^(I#MgfZk%1FMwjWd|o z(j5Vj7t}-0S>Ge~pj3FRs{Alnv0eB^Hr?CIZM`;PLeaYO3N}0C4~l^B(d2>&3y5%7 zC4`d||8;T%=3!ihj>7=+v71RaIGrBpxN;vKEA(QD?2ryW`s1eBVLE)1+;D9KBqQ8W zO(hqf$`J4PG$xBjqPY+mj$GNSS6X?y&Lb5-IUW&y#<(05N^L7+gIruZZN=Mcn2B{HG8w(2aB)U42j`5(?>Y{^5F^o>!*olSL#lSVzcX4?9I=dt6c6Dk9Nh+&}&*p^cdsCV1JGoQ+*(5nIyAJ0T zbO>LoC86Pr(B!)!+TBQ33(+k|?}|puJ9S}B!zu<&KDmj%vNYYoxwFN^y8%ja643WIuz1kTK#NDAi{R6i^h}H+2*k!g~PlOvDuw(Ha6cDpa5MN zJ87O35ntf+QcyKkZWrq4-VwPL1pHD%DTWlVRwogZ}8s4Gx^a|bfT zf>|MTF@+lV{s~wQz&3^VPB^19WZOzO52_dDo;mqFlYKN*_)?;#Ah!(3pDeC0eUpp4 zG7&y*)t@4R0gzzzqa;PZiT2xtC61R^`8W=49v7l*i!xj?ZS8fQJu&3EPEus=e=+C+ zHV&*;;BcAE;5x-lducTXcLvnTTxlWCcP*}u7IEuyTWPM?@skr+<|8l=DhA|#2$l2T zjT=huNs8c+PvukXKw?Z#e2Z!DbmK6`5aJ><-)+SH36xhzddjH?$QivWLmborrqykg zwp{cdglp1&4{(=H9l^$3*j&0IW)E3!|F%xv8ST4xxz*%kkut2D&>g@F9GAgi z)YD%931jVfPg#WOrmY*fiH*`;*E zNZ1fE!vuI`wg}79UUQC|fkrfAN(i9oCC9*4Ey=q8lE{J0m8OnooP{bxQi{ zdX3+C10S&T1h@VTAZb3ou4-LMC!I_W>g3-@Toujc{-uh%T3D9d?q_zft$1`iGtoMz9@r ziRVDa)t_#vz!tSM^7$#ydrgnzJ+(pc;a?yu6Au#R)FscT?!JEGfueg2`C8waM=yC8 z+AvYa6!`rvQ{8k9w--6z)#-naruuI; zO?vkJG!S?JVo_WP8t#z{++>Pkp#98*8=^4rLe-x^G9iagkoeK^GAoqiTbgvZtM%r> z56zfJ^XEJs=>9-Wt&Kk=c!dX*0S}HdYi$cQgC*C3pAIUBdB6&LgrKXVo4Wp z33H$=*#G7w0Gf&}y?%h$_SZ~^LgP2;{j3HY0E6};mmE@u$}kJ9rANM`5ll89eLvcZW8>tpOodq~nqtw*HI&}W#SnnaX zzR6_Jbi=P)cSa$tU$1?OyDyK2cz(=T#3##Tzdt@JI!E}R)^QG66)|GaRP727*eiwp?nnSh>dsrF4DqS$P1&&)}dGG6iD}C7j z{OMJ>a8SYQ%{mDM94f8pN{pR^21f}f0v#5B_cGNwi9IYsN4{))1VjYfD_kW@sf)&w zQJ7+Za%=;sMld9(ex>&!@87`AQU93x_kd8xaMNN?q2XwSp9)s3%xVR~O}@Z`QAoZB z2HYsypCtgcTPbX6V+?ZYV|8=7I)MjB0M1}j=6QYJ_S>wfNu*v-@FeFeZ~5E%k&%2&elcTvmTXbu(>V@*yKAYI6fWdb^Ivvs8KP)v zOd+@w>t+N%bi|9ih!4f?UEHt;7g{owaWsTAOzj)c*YAn|{!MDSEF!Xd7IpdUE>5Ar z{|iu?$yb1*bbJC-4k*Dl^V@|%GB>@`;DB;obWlcsYN}BXuu)_}UA*JR_?dg}Kna0u zNf24#i@Il3bmzo!njbU-k{EuAEi2E>nG71))fh9Lj@-1pXAHTYth;{k#S|7Ui%2

;$|0`-kiGx3?&9OfSQBD#vzO6@1ku^E8Tu&y@O9(L~m`F&9{ARYNucl4YN=G zfULltqa1XFsbC9_vy|uHfEf%gjk>nka<@kMYze%0|8Ej)`~?^Z>|{4Ba&*f-h0gy0 zw95O=ccyFxS>|>&wx~9yY2Ye>10@pv>obF1up7Q$i%QhXfB>}#AoJ)E(sk)}Y?Pm7 zF#z=L?RS~u9O^)oZI%zGU?Rw3Yo-Y`>FJB<04hJP3U1Z;@V0?+uZmUsN*dLxBc~k^ z6Ry?IrvYcsRe!T=x;CZ|D;wIb_SjK5-#K*PFDoVlJ__Er9-f9A8NzbwwK zHd}_|XATWYWnIoP%bk2>YKav69EC>&zy3U*f6sHKU!Su34u9fI<`{>}Dn2E^DvhIx zLxFfl6_xp~2DZt(5dy>hHzRpkLG6vi$G7S{+tn5~s*Z0#pc`y=2S*FuwS>@>nEFN- z|4+jhP_7{k?qD`vTh{o=*`fV2fUHOHw)v?!87}4dj*QA+*AlC=uEb)R&78{A@hR|8 z1?-CwDN(mTBv}e>zvL*jH3Tar9d(~VzDN$B$!ykZ(LE4}djyY-W#*ns+7C7+d1c~ocpJe(RL@RBwi zcAHWlsph#MLrM1q8~rpYTU%0>^En`l-53_BFGDWu^5Z7Au=azW@4c(?t^+;wPx%Kc z<_$<^z6-P51r!c7ZGcRku57?~R_9-+%ikE<#fQ*!Zpm$U`5bu6TxFC%kCUdCe-C!I zOZzry4BVcgJe;!;A(bB@3~GC$aR+i#hHc+*j?n(3%sL$m<*5wtl7wF$C&KOwZy_O8 zdm;^X^&QNzFIw#6#80-|7H0omjk)XD`!855Kg}S+%#dC~Y5l-f#C(4N{qU2@ z;HAbd1^#lZIo)$LhxD?d9Z^0j*cAB?S2Uhu#Q2qqRH1cxneqFYjqL>60&Gy0#;)Q$7W(3Cvba^!b3pe z_D$_kc%GGyJQ;v~z^F~EH8FNv*qomL{$CcFWCb>!G~yf-Iz|J$1C`YI2GFYb0H}ma zNAy1KYtJNPqD<#mptVe}sm2$*KP%L9*{heu{xapKjVXBpsySsPSEQt13euh} zUPH|N36f+N;fc+;vWVDQw6ThaBOh(dWEed zMs0!8|FsI(sM{-la6J4mu<8G`0TS4jb|U<-gxPv6u!RO~3;%yg(ZC@Z?ggzX>^&Gd zzFJtf|NIzm<)jQ9=FYX_OSl^z@oD^g`ojpKZqehI<=+F#S43nWC(tLdiucB}d7?6~{E4u_ zeHi<-4iFs5TnktKLjz=2!~iH}O$I4mWB{Ldn$@!w_peeT6I{3VTuOPkwkun~Mu&CPqDj~FWT#2Y{fenzE za*9U(DL#Pw?-oOO1^mFaWPF=*Kc1Fphw?E)V>%jC?FwMzKEDMf9AjMOLrsx_VI+g~wVj4_F;J75 zjLHNttOsxs{cu3A#U@=gYS&?}9l~@lC$qOj{Uvd~`r57PulK22wXss55wAh&ZnddG zVDYe7Nd4*Nn!R*gbw`6egAW<`2O<@ig3-Wu&cXu~Y{8B_iS&^lkZkc+HAkG}{wokx z(F)=n2LTc-ehDBqtqE{K$3nwGKsRVjgPY8B|BXOGk%PKsg{(fqSqdg93as~D2^M%V z0-ZI$m`uFI7G9`Mh!XdZ)ET#bRUr`N!E1+WZ0iJJ8=-JGG(k>5lLgT;qbj3M?v z(js{Lqa2QuE4(FZUnlh>0tvxS9V`1prN%DNRZ%6M2hE3O?)5|JZD3l#s^;GXQIdPL zrAR5;+2zCorFgDw9BpuqyifC6~>zlc;i7XG{1HpAoV z$r}agSK*8l^=}!3(QvH09^h4PxaL?Qf&P|T0s}P4ofW|QY4&NT2Q4p>p7kb4cuXpB_=m~|xrcMQu0i&A_mWToW3w2=DT>wJV>%ss)K<

LFbh_1@&f|L!;d*Ojeeleg{RtL!w!C9;_*HPFiqo`>kmxn zzdJu+YYQLU9*C}b(6>uPdvv*ONB*g2X$jfqk+|wZJBW2`2H*SOl3BY z*}l44XqtN7fxecYT0N{6cl8|W#?LJT_;Rs2ohW5*dm^chxRz_fMm(0=Z#{CmhL|fm zed=vewrkN_d3iw7BRAx)6WF1rAck2Mv7_#V9#JIDm~;Ut$1^EoH;Out-qAWujkzi( zwrISZ5A)}%enPJ|R8Yfz;SbAQ_PF$vk{}BxKCgm9;I@jw*zUMm+U8f_gMYstzs3!7 zc9`p-Cbo7hGFI7R8Db8mdvjFXUev0;wD{!23ztN2*|ufh{g3fltJL-ZJX#0u#+6!X zwlOzn8?s+ScgVOCh&OsqOt`KcA%=Iio+9jjk6o#yKR($Gn{|6uE8A;0-*#JTO5yL% zj=z`74|_BjCCXyPCKP*+Mk|H4Saqon89hG2GXSH#qJ3rj(bhxR^H~!UNgI5J>z9u? z6^1egP^&KPnRP+|Ii|m!vP>m0mKl0yPzuU%cJ;`Nf6)EVLUPcJ$pp6rHFJ$^E0Utwyk%R_G;*<2loc z^0V{SBV#@n*W~0L`m;ul%qzR$kiP?W-k6IGshN20!l+EQ9gSee{o8pG*?>8;d2j0E{GtDz-JVGLS-ajx%`?8cIcc z9vzr_jv_$QcC=>Pr02r=K+ry~BFk<`H35=m8~23eMO7I z{y$XW|1Ty{=l^CBuf0zj@zdS$0VXNhpT^v{r0W&esUH5+r{Nk$&NpZUUta~7_-9gJ zh9asN65f{1$K)dIMJWL~&`^oJGe+r)#-0#=Vd87Zf4Ie^7^NQ~|0w~>UP0*Z0(>K| ztl&R{A|*fv0_5XIV4MFfvBmz+4*zG@jQ?REk(6#q?C!w6nPV!Z0BeZ&$4>Um{HToY z0Qky(Cx5h6`3KtezP)8sMb>kJ*ox68{wD~b?WVYW48hrbCk{*)(8U4q^UPLg zX;B0#O7hAQ|D~xq#XuvXA@aPDJ9NYrAzm zb_j6?-FfF0Wc6l;wQ!ixY2Ld7!~ZvhSoLrIzcc)Ssa?4)Oh);mm=!V4!x4?Cm0lvx zLu;$=-_pdsgA$P)BDY<`^wiKCjHuRU(|S0fKIdm&pvYw~G9Z)H70)#yNB zbb6-pl-1|Pj3w4HA7uwWU`c{n_|od0AS0F451(TfIVi~y)jIV>kcP@NPthiR6h=~WLuFCQsR+DreQMLQ&SA15i8fnh5-ltn*N zvDA<8ct?j7@&)9&DH19yQ!CtvZ#NGlA^ThybSIBRAL-wjIf07)e?^1oXy3YkW&|FP;15xe!4A=YL{KnB z)3|81g|sIUhL~>9wOqv!M0C`kD<9}H1NOujm$~K3)uGRZT~2R;$=zwDThuj;H_S(I z)r6VsA@kj)g^m$3M#oG~iT2(QS~o-dLq?zx%Z~_IxZ@l*;ic=<;QkFxGF3KaeZAUF z1Z(F17VdP3J9QoqkY<Fi&$-47>^Nvm~-IbI$m@;UCI!(JX? zMct2az!xWW2NEHPPb&=6`wBu!4AjR7ZsRd-eD(|TnhW#Qfgel)KP)m*e`KJ3p0f5# ziCtW+O_HjmpVk(^4YX_cTL6=4$`@C>C@qM51-}&y)?+6x%o&n+8FY1DdVk9Y3b9zP z7ryQ-f|k5%+aKJl?d{eqz47m43A&=qMPn+}Qdhe&SLG9AsjJ^2m~Io1WypSh72|Sm z-dIU|bf*~JS@ve)`osScI@V46BXnHO+UjXR3LUllD(Q`CjGRo>Q`A0w)yo5lW`U3G zw_LMn)gd*nEM{#kz+}o|PE4}`eCQcoM}<_QGzxw+PA@>b-9~Bw64wA8RrTlq`(cZ% zZon7m?oerNYAMCYgz14y+ofC{Ga1DIJln4Sh#N;dZ3V-gam&=aw2D6u?OtO7;y@vc zP$o|KvGIX~jR}ihpp#B1>OZ2i3^6X7$({|^zejhVwX&h`P;IJ%5sk=je*>!2BT4;a zaT2QU6{rZy(ac(b3@B0%vAdN+zywbY;Z63dX{zACmh0s^AY=gugP?%@00Ed}tiPFL zRbOnVT7bMURHTH5bIi+^(-6oTdnQ`UOj06DHU2(mtS4RAP^5_;?X$a+K>1;X( zc+gao^%@IKvBd2>HxNu>**dhkbZMm4Nt>E5-&3qYePz``?xzTNmIHoW8y5{y<3NeX8mkn*K__n_rAb_- zt{kB9*1jZRX%D`c=8&%#m5ffspgj!-bcjoj3|KjKZi>kBWkT$^2-%0r#SY6{25m4V z8P*~ii`OXMVz4SOUraH9mjE-gBW58aOHeckofdeO3GJh&fB_aRjX91uMBEYz7(AX1 zfa|{x;0tZ(Gg!V^O31b8l}5qJAxL9k@TyX)G0yaBg6wpbJ>$fhIpN80Fa1Y*Mkj;% zxR!xl`fWAdi0ZzXtrN$Qw~pPd^xG@OgjKro3-(VLo&G9eCup#|uM_fOQ-1s16Dr`I3I0k)41j*Y{Ajs?pC{lS`8KP(Z9Z(Ccf z^vy-25r9(Tbw!*l+w(y#eTfF!aRll4K*J86Ysrp zz5Z`@<3acTnT)yE`BroDgY9JQ?LQClfA-)F>Lpz4T!Oxl(K#?AXnmPilso?B*MQmP z+!^%g<3)++=`Vwey$yDi{UEJd2J3?#*9-(~@GEOy(VfF=@J*$APj&WfZN7%^oy!fI8k4k(=v9ZGlwq)C6qF2w(&$RZKdd_|7QadGiG= z@Gx`(=+xl{NILZ?2afPx44uWf*4R=IQiY#Gyy-V6e|8@u*)_y-G36Q|WPN&E)h1&Z z{AE{b(d$KP{_BDb9F$fcqXLha!FP|%3q0zp=AH$2g2wt;0YH`J6AU09r0Os2c{0$m z{h$R-x9IF3TOW4cMWS8H0Z3wE<`GBQc?|{6@Ux98Udg(WCN%8p) ze*F$OGeGe9(?J2~?%{{?5H?`AgG*`~Hi5-|k#;UrfoFa^pL=fuy6*Qndi47G*L7e@ zrOR~tEogth+vBR}=I68R#jGpeFLT*6XO}Ted2-`-4ma>J6|cH{CritT4|5FI*#qQH zyZzC#t)K_N3olwn^XA^NSC|<;Im-3i?k+|iMGe;po-7?+3S_O0CD98RJo;qELK?>X z3APbU21I3JSFcG?+Bu2Fx;4Cz7Iu}^zN{P&QB=MaCDMCq7W&Ik1-|GoYxA!_s>#a- zmako_K@V9vP`UZan)3k1PgD6b_S=)J%Gm28#3%NWLxN3M(PE;6YY=eIp#JHBJ3QP> zZAAK2-8O%De(w4ytMZ0TTy{3bL+S@67jfr#m|c2QzFbHMWsG)PD|G4J)v6VR21;|o z`2)pWu{m;rW~Cp36W9HHS^s>P;Cv`-8SD}Va;|Ggm4Z$ya?R=2O4(+g-8-zhn}{Mm z^khoQ`l30*^I^Wa@JOiP@P7MKz3^2Yx0^Kw?_&+gt{0pdkNPY*0><=c| z@SHJ|6_#5{Jvzj(@1%_ee9S%zrW>R4K0o}9ZSw=m`CUK z{aXb1F0(Vi%mbU)>)DTc&w>IUY4dLN-&KxI+GRX{)&;Z}Ub_GXyqaj{WSLYX@5%pj zHpz7$gHQ}^SDgbhsEYYpnceK3B;URorCB3B+#=}(&Nl1yfit4qbp%E!5SmuC4P$JK z^w(`;uoQ6Os1=w00F;s31`xa=#CQ??L@(|F`z=Y}o|2}#1IOj!cHWah0ja{v;0w8; z%e*XCnI~Q$Pi`0|j-2cBRt6MZpAdPW%CbQRW%AFZM2a3gC$e}9 zUM;7T3mxrd)Q0M7Cr_@(Y#h9YGo5omZa*4l9b{@3a<~4z+4{iLn#Yg5#$c_FRw<8;4q?aL zIYt@Z%vN{*vUyi7=Fv}sDNnC6WuA(Q2mvgn|AcS8g;JmzXAi>S0g^c}(}cjnT5bey zySUW!zp`I*l@hbn9@%1`L}TYdmG24M&irITuq$M*>(o6|@HNc;x9m6NkL))#8dH(c zXDH;Hpjjd}?Jema_A`w;aIt4k84f~m;?pSrumd)+nN-Fxm<_@b5k~8+P_>&=ZzySR zlGM%=wFZ^S%BQF92-sQ{l+<4IDK0!lbz6}~aW&>?Tre`6h&`{ArmR)=>NM@*>|=bN zedLvAm%RK{@YZwuu-vtTbk0``fQ)Xa0Iu95Mk*;S9?=1jt=&Rze?6zX3f^)+z~e7E z&jHg+!I~_S;(3_S8ievl8i3{;y#kU=osiy%+$D)r=4>=NL_daPYNxfHgbtBmr8*S# z@>LMb|1$A;SlZgpD{BJ$Y7Ait<7OL=GEyEN3$76XSB`lp>_|NblRf|#j(i9l)`fng z&5H*f*o()x@pwM8)kLHNyjLk3&+?nFEH{Oo9>1Mc%Jp?KMIN3Z1I-CW>V1WUL;261{*JXLwZ!-;X;;p5aFLEm^L^?V5|H=Uo z#64kc4qjvb#BGDsS2wi`E(iXXny=o3y^;SicH0)X>2x6VNn4By+?aaC2V}#L+Lg?c zQgB?@BI7Fp%>}swzCDctVi8VCq`sZ$kJ8-rb_sVJWx(CMEw>^zVcIzz5reoqLJ+~l z$xl>zdKn|u!uh);M%JCG-}IN}?l02o%~S*B{F=e-B_CWF@cvUwf^x4cW1d;BPbmu~ zG6Id15+${dc1aQv^LHS<58u-tD^og1=;Z(z=efe0+R7GyFc-Li+LvxBGD}i!%>~I} zU@XhEo+AtG3rvc~HU%ahp%D(SqaF!vT3n%KApRw32Y!*p_B7FRvZ##FlizK)u^_5} zx84q#k66ZJ21uQ4{_Uy0ecbjD%g&{~vTju9Qlk;_)a<6Z+NzA}lcp#mrT`NDt{z|Z zoFEZ>8r-tnJ0|ek`QYFX{1D@HJiyBYORp5ZfK4_!m=S}(nk@GrA<$%gF$B(HW8Ckf z-!o0;p9Q>=)&rbxbKJ@O_gpd$KQt4Dt9<=##45P5#kJ!em_cQZ{#gFyFFH9jT(QSQ zFQH{drJ%P}XH@ynaqFbFNhtZS8B%1Qkz`&pOAjQJ(w}d@D-8Vri^dU@)*umTk{~W# zh{DwNaW)1Zd_mCjbF1W%0@zK+z&3UFb24V6x`1J@lddX1Yg_NAl6-pmW>At54{$q} zZ~_E_84u3#O63I8a=&h zGgtW@zw&)=My(f|zthbXNK|@{8n>^v%%t4~G}ck~HN4-*bHMIj2t+~~GjA}uzfD~~ zGXIUaR3#6+Xihc1$w?e*R?OtQ6rBRjY%8hoM@y06#qCin!0FSZ0Q!oznYtyGFO30+ z`>#zZyf6fjvqAOSHlkx3FPGn_6&}X{mQ&X&~4zW#^z-T^h5`#PHF$+2bD z@=afn9#>s8qu$~9TPi;os~PV!!K7C<*js!e>^sa zCd98ApLxd85yd6Mf6||&SEzhHJqPcsA&`V9BQm)n_t8-gr06A(>+I7v$XsQeqo&1N z_1y1zKjVg>>x>lo;ceIVtCMvPIvYt=+1S$c>PRO38pDNooLK&~Ah!a_5cE6AQ|z<* za5R{_PJNk2EOKY-g9YuKs)VGYXe)#Fz}IY7s4I#G&|FW)PAnE}kylN2o#P~EWfULr z^P&OZ*MJXkR=|a6=wq!nF<`gu(r?8*L{U<102DP1@IqN-qT=%XY#o^PDuUGNZQdEn)J-7Ph3FXDmv)oupz)i5MnZXotNmtMjg})S z=6&~%Mai+mL%w#q26{qE#hK+E+qrJO5p}46XqZX6c0giq-9i*K(fw zYfE($6T)X3FB=-S#x5f4I(u8+KL{9h=PCkjioG~%rO8>^M1*XiK8Seha;%T7d&VYa zR@kFQeDeBu{`<5S%dG^tTgMIg)F*?K;G+YlxIA~r;C(&{7)Zk5-n+UfKyqBm#v@4% z(tMyq!SszA>BaP@6?L}&(6!Ax7(i*z)&*%Jkbs9HuGEy}hWL+`D)d`T^`cE7Ah2N^ zPWxdZBm98%u8{xxfJf(q;(Y$i>@Qz8HZkJ>gNdbFsZg*SLJh%k=&%9|bD)pckNwjX z(f@U??Q8r8IwqOr-ZNH@Id>VPek$D#O%^aKXus744pv7QML*RLFZ`F|$+i8a0oJ}7 zWZNSfja3p zK$+4ui~}609fMWfxnM^HlFj#vgxqK`*iuZr45DMMo|?^=v;ame2wXYyhJVZ;Trl5Eu^R8U2L* zu^I}I8m4_zNu zRL@BqG)NqX$TizGhRHw`G53>v;|d(Lh3wz}bEfJ?2YP3C154cAlv(0@=;BUJC^YV&LP> zjqVoHOOTt5F<7}6HNK;<(Yu@Q>)l(MVitT<;Y`W*Ffw7*J0bNm)-A@H=Joo74>D0y zMn|^|bO*^)MwMSBH{C%Ihe$NJ4997oUWGi{F@h7kWt#hCq;rCrA_}9tWm9(zF+|hw z`Gzn*(cvVA0#SttP^#h4CGXn(%{tao4NPcLr#@>?cd z)@73Q#`0R`AD4BhuCG>p@qdk5LQo^i|)G5Q(# zqN%+0SrxXrgJHhfbIW90i$qj73tuWRyCuOYzhVDHRIVOAPkyoTOo&)fs5$=vJDk(E zK+hq!dF6hp&1+HgXd2D;zA&?`ih9Cl!68=M0o>no3DQEu7rOA-x86=Htlc@Q`Gjk} zd~B`zVLOOW2RdDfKAf z{$6~dcH->3LkVYL&Ki3{hoi%?N-|kTuz5zjlb+0rQ}Fjl1ExwaRC(`iDe9XtmWS_1 zZXLt5Dw1z@%_{r5H*aYTE|S=KdBrmUllSY@7>Ckx)32(FPRCKf$+mtKK|<2w0v-kC z?Xpv~7#tR%g8I(EC`0jrr3l8kHskz}?Lf*-y3Bl@_0VJ)Kjyr8Sz`BE0yvAiG9fxE ziTgSsdOh1IngtFE^wLqm94G%dOPeFSxK(KCJ~NPhmcaq*tDW3fKDckf_OD==*$8(J zEp6A@4msb45YU-LKNSa@B~iTXi)6fbn^a$Ca=^RWmgZ!)Y@$0ONHuvlXJ?B0xSD=k_yUnLBNFxsO2T?u;Zo;%qbihXtL=5<49Y-sX*7nu(01S;y2NklMFL3wH- z_D$jBU~?R;pS&mtl4kIkw%NsrwQ84E>CmjNi!qncInZq|#(JH5v1HpUds*K-&vn$; zl#yd*Q599ZABuJg-5jJ*;vZ>hvJ)ThR18}6823POWg~>aUED2l-$ufNyU35>VM}Sw zaGEEiQ3pX--bcEsKiD_lK7DLKrcFZ{1?*{qB$u^`O^emo0;EWAV@Y_XCL`jWeUEUPSl720vsZyH1weuO0)Evr=Ja)}S$i`Z$T4PbZKfgnu5>4Vlm_3XS zGmARleII`}gm3Y-wtIk~9LA$T7`$HO@0pLV@+N-Oef(!~$wYFsQi;7y{Bgt<6SnR) z0pFURC=R0yl`5gGJJ>v6wQhlB=9}~OONyfv-AX99H5$29F1CkCDRk>iSrGW*kujLD z!3EW6S`!B{*l|)xX6dLc##G%cILr7t1-mUlWiL98$6X!ATkz<=Gq~IObH#Ff0_Jgy zftW8_-3Ex-< z4fbk0%$8uQmF$?BwU102)dE%smAT%%2uVGrHd5Gmp`@h}98zy&{=f~lL|TVm)VW8zk&8dtDaELiaq^B58!-S*rHA6C~RQ3gLCnO{Oxnez$ zFY5{(F6(zX=%U8}ypo)8wAsNjSqi#HHT@>OGp9M=Ec{yl%yuhJZ~Mw~ zRXH7h+otUD#H@LQ}a98Zdt}D@8UHVByheq4diuquXgFHC`qb>UC21e|jm9s6;@A9Ai68D` z#_Fhqb3fzM6&1(8GunYgjFZrI^$+j*yA`~DM}xi9LUxR$C0!M9(h1=!Gc)zrX!f6i z-NutWzBg4-TH2h&0rqy6T}c)r=9?5U*wlearo>R-t|79TGZ=P@u-(Bo*` zQzL}4DtkVUJxQdUi4t-RAvQ5@n+^)kkF{8QFD_wLpe=U2c&{~PV=kWK-UhvRNhVIK zKM*0YXT%4c3NSKQ%1RR+Z` z8ljQHTy5>2wB?hA8;=G|6ws%(l9hd5`?(Sd+wk{`jS5R3Fj1GXmkQA$uOWw-n@Io_ zl~C|7JrpqGxFS2MvhygXW>D8G@Lrh|j?M*#xgNNm#!xK{aHk)PN4JDd^5(Y&W?q=p zU>j5|RJlv9J=MA>P}iB<#)8PFy6(Rpi_$NbexRrkis7sIy6UVVzF zhovnt!y~}-RuN`w=_`vL{--8G%lp8IId%;-bPy{xZND?{)w6 zgUKAMo|&^2R*qx%gx_pYJsw&QyN8qsgTpV6rg5TpLOlKMHeZ&u$!=)tiXq;U#&GL) z)e(wTNCoM%#)Y68Xr8Ywiz3WuIPP&s-uBtw8$nhXP-%hV@##IDi6s_Z`~pse^79_! zeBt`OVSu_~CouG9(plt+{@NX|&tB{bUHS-5cFyp1}b%hePX(Itb?8aOWC)DkY8q>|C5d4(&4ynl@`A&LST zXHLZfwl!|BD;|U{T7+mCO1BkDCK9w2ukzI)`-gbRJ(%XsMpK%6$q`yobEZA@w+{<3 zozOiEk*{_Kd>vKaA(wo#5qgBFN5& zdpJy78qZs^M)rQq&H%?k2j$j_Y`avCnI4>#tZK+Al{+hHHdx#Cat1Noqs!dlW_tp; zRdKG=Qy5Sr#E5^Ibl6lnnO)$VO5>wWFfzhrD=g$G(H`rH&Z#}SdsdYnaIjI7g8OZQ z`BFWGsgWaS8bxPoLM^QIF-2V`pa3-`BR{{{xL?q!!wD6QP=_?XI zr`Ti;IxCWu8s1fEDwgaUlm`0>B}9e_S8rnY1gpxVw+Wi68zW=5Uz^>scd56Vh5(6s{!-W&z{MNY zPuixNxMhL$I~#X)o7kXPBiyJ13o)$jK_n|t(w@m z23tEnb2?*7C+~;+ro=>a$i+z-Dmme-#+XfDM@=aRNoCk2E^Z-ze3ec8``*IEkP9%* zYa#ZB=wr#7ugZu21UF+*Y0%l>zF7_QY5(ZRLXoYzIV;9tKFQ6UoFBe4)D1tY(KCmw zSiEDSPt>;$NMUI3&H}mhM)YTgrA8fHSuwZ>l7nAnh~iabhNZu!`viOWqh$T3<`|-s z30u_cqR-^6?vUwY_c3s0=8v%+xYIbc#lb{xx>?#TSzuLw29-)u5;K8zKzghokjsEbHwfHp%emczUK|Cmqvqyu#d6CGV z)I|(i3WVW&e4iGhgX&Go6DMgfk98-{j05~~Fjc2ud$fU1zN@D14cmpX-RlO&xvd(! zd}|MjOKR;NqMwS`U@oSnQI4W+!N+`pegK%LU0rpwdMst%w&E?q7s9-ejSwv029&Vz zCO;AZ+*Zp3I3@-+n5_KN8LKn^oH#QfI`rr-{HC^=k$eG7JuhrRnpwpApl5Nr?u9eH zXZb%5cc8Peo3qyhF=e(_+*8D7lZ)b-Gj~fepVxhOL1(PsaEuw1MmPEj&m+Jmx7;sh z#dbut$gP`mG}7wzVCtP$Es+)Lw0|A>(7{=T(@b!5{KU`z+3s8Vx~Ylqs|Y6@WH|76 zB5j0pK!m8p7{{DKTYPD27Uk$m5!PGc+$B#@@>k_t-61G>r4939ogd$;>i+%JV5Y0w z$s8TK&0_4knT%NQtyGeepI>jqmE^K*j;V+dQB}LKqvxNi#g5V|K7c~z9>3cLt-o43Fa-!KX^E%Nc1*Z~^y*XLhICgF?9jt)XSjH9YzX7yo=95fs z>g&-NJGiFJEDojg#8*1_Q_SjTE1V0zSHx+Co}<#!uAPI`0&Tg-nNBe?Q)TA!N*(=Vf6C z2xJ9IfXC*sL#%+mIs+k%J8axg{zKxxQ~( z9gWJ|Nc)WLgjz17dyPH0! z?1(M>_;eMk-eS`{uAh4HJBL&Ni1Rz42 zn5;t&VZ#owC+y(8OP(y0UJ_rI=?sOE@5Ihsj_j~W}`!{mQK z9x8EQ@aT6@!iJV=rZ70Cgvc|pA3Vp&utTbfJ!*6K>$N`uVoW^dK2;=hz+a3fAl03OMpP?Ng(_G>5to)D^v^#<2Zn3!sZd zYjTbzxHw^CB%q}A9dlj|0fO;6ATBkkLZPIOv8A?fPZUhD(w|b;WR;lMZ<~rwu31Hm zEd%!)Y0y~R6p6fI<_VNECgN zlSlV82{n_Yj7ms|9N|5Ye66P)G}z8tqSEMj&be$G+ihf_ph;&~*}biimxnip^PPEF^W zEMEYB&8x{i`iNR9WG4S&dv@>RLkV&(Pe*Aqd9ACDeuA`yym{8{iQ9qTw6VetCU%+} zOe`XafV~{`)T*gJjibae`M%+n9JF(_yCRSbSfk(>ME(v2-EEu^@dZrd=wKIf1Ip9p zuJ#=Qv%`|qUO;t0pT3`&;ApL3hZqH~X@tD(8xSXWhFzUPx1cT*L42hT>rRQ7_$yjC zK}rssgDEcqh2O^L=dkRbz0g{^BI!9Rf3&}IbH1!|Lz35JAd~yzK?#%)l{W+Me$I+p zi~T6Esvk!cL7kR5rT6<26(q?r%C_s>6A>^Dxto)s zo1=^!C_wG~0|N@vVU>#8exDOy);VI2dSgqqaUHVVC}6l!9$Q+~ziy3+jjG!QX%gF9 z>Nk39xor}g@NN|Hcw00WoqWxbJ3sCF_*J)KYW<_uDCTN`xs59*?(;IL{og#(N1j zZWWW=BGJp6qSoZ0BqAy2+cTEcXPG&Hy@lRqd^islyxk9Q z9&s=ZG1~c6qc~pN^jzun-%a6MuARXMA>o5>v&nhFqRCGaMv`6qk|D~XYxs_mU-D^j z*M7*_P@&z@xVNrHgD9t8GWovl-^wEH=9foVX<^~Ne}rja9B&0#m?^?!xoH1;y%!n@ zezIK3%3QP&mJ(vWi||8bf~4pr={N$B*YGrgR7(j^{;x(d0aB(AA+nn3|LfXtP(=+X zq=kV2_m^rJ9u(*tO(`7YKKMo8rRry7}=n*6PR?tmv z9(J#+~M3WGIzI%(|o3gI^`F(ZWlp}EP7-5v}c@WyO?^nIcdkwTc~mSK652xzWE-^;$C#d z9X_{_0*&P}EJX4}=W4(|XV~`@vqcy_Iq@56WMLN02PqlW4YMB{_;(@a-Kw(ihNP&& zt$f3$NwAJt(w+=XbOY2o-bN-k4aG(rOokmktt^J)3z|Kc?~A-+@vz9WQC#*zn#Zt= zGq`#=WY1Wbm&?+J2MCp=yXkLNq~9CnkhNfCPO6YsHj#TC${N8n6+UR&O>b6}9ywD? zT}p7*N%&#chr0pL%?io@ul&8!fa=Yg76&JSAxVe4 zJuh~{F87N2Cx;W^?7HSOLxk*_rLYAovJ!ZtOv@KZwFz9{#}K`q6!p$Vic-D{>HVsk*FgCztEA1^V9OsRK;3l)2r z-lIFUBtM^e0C^^9?ZgG&N%*3(BH?BuVEh@@%1ZBCFjmmf6`;pp@Wil|p{9MK!#Lkg z*FH>CsvC$BEFfb;N$m07N$r&)W4sT5#5yMg0w3N&G#qyWqh(Ao%`{^?A6W6@5;Bhq z+ZVynIgTDjx{oK4VLfkO;`y+HYi1C8-^I_%hp1Yx`1^oWQid3}3|&DdSmT}ugcbia zkq9eh1mFQ|NKQ8<;`=I5?i*OxoC|2sxDM)1J%*MQF@0qkD>u0$&qp{%O(McD2vO*w z9cHiq1(PZVC>VV7+ncyw+d}S{d?u$+pKK{t;(={h5exhKG%e>;)S8xR3$u75*kC~qsc6|4wFd0u< zg@*8(lTF?P!^*|tPSs@_xI1fU^7>lneKA-k^E2gtuGPG4r~isc!j4t|xE%0*aXBQh zVn1Lrb77IOrT5bt7Jv4^YN$@HrPD%fliazOTA*ey{2YeOEYWlOMJzEkbFAZ?t_A5H zf2|iJqQ4#!peilO0>c?lAg)&)1Y`UefO%{Rlz{#ouEX-g(7t$d{0EJJ)xbIox8V8tG-!7NosN(};=m9xD1xnL({NGyAlz45(q4)`D#k>_ zeWVckS}}hg+|){u%~3y#>+6T6#F-~q&W z^v$a73pariH#Ie-N#Q2K#H8n*PX{35rH1iQZ>TlEqd69ZP@Ch@RPVHDmcj9-5eRFJ z64PP)JNeb|p*1}eVt`8-^~4IZIiB}(K8@dTsfZ~q&F-y|3pyK2n!H%Ma5%nDl&LWm zxjr$M-`ZOuTM35Dkmz(ZC3&`8;zfZufahM8d_(D916N#qJ zQQUrpne8LUp~=5yfrr};fvtd7;H}McjbH2<&jxPPp>UBuk;|{Rb?E%Fs!egL&IX)6 zpxOtosx6jzuQ&tWN%BD@zeyop%RxI?X(=54+(%E?1~b(Fs_>S=r8!ihv>V2E7Ioke zj3E9B$9Ew^#D!9bC9lIAPW8oQEJ=%nDzG&xMW2bwfRC{~ugs^R*BQnSXSKoXB;oc0 zg1@;-1S`i%LO?ora^{!fGS5fx!wI?lw6(NG@i}m`v_Q_ZBLjmCCON#mk3vj24gNVY zn}*(>8}dUok@YAtD?Opm@=})@#@`>Ub&Uk?@n9@0oZIhn#Yq^bu^FMa@eK6cXM-sl z_`3sq=gA#9*g_jCvzB-V`&`ju(7Wv9yeG|^HD>4_qlH&yxvW9@`T?lCh=n$B0RG^~ z%E){@%sx?w;qKw4mRjJ_K!~6AlV$MFMvl^3$bL-CaA^?5t@$*jWXE7u$kElak=ezT z01P4~a_(AV3TrW2Oh51t;(zzrhISliH&? z-|EV)I+;0BYYe{CjZ18gdPS|lxa!34-y&qp@!xr2*)%OIZJw)iNRFfVksvM&&HGv= z+Py-WLnD^!2ntAy?ozq+A%Gdo?-_;82&y(+NeonBG2PG)--q7Sl-~8PMd9>+c;^5U zxgLG`J#@KkFrSf$y2o<+J!CL6nE|kzPTFIwGm7u?u+rv;Js38Izd%9`@P=Id7Xkcq z6C3~^m|JEWZ$J%ia%E88O-=(N4Tg@R$&hyoqUkm_`TiIn2(8ZGj0+JfOj#oUW=Mb? z#;3iDMDGTsLk1>t~ zlMSX(Fi+l`6(*LfM289?!2@8BDR`INOHHCB+B}d>8oXa- zSW{iM6#iKT0A44B7%iBML4F`G0PFH!S*%@T+FUl6ffBehZ#fxB~wZ*n;3;94ElbXjrjMM_|VwWbc;wOpi+=^C5)50vDo@ zDPW1<>J1;{>ZS)|bYx$+vb3Dceb$J3!{N#=y6KZD(qD~o$cC~qb63g_+R8mIV~vQM z30HoDaMuFw&WjNM)R|Zc*pmF}d3TW5GW$GONbPDFvAbje78Ag9NYLS}FAPV39oAo( z9{GYQ)4_fcR=$@3fiS?0N|r(l{wx@PHaU8I(f`Z>n4{Eg!3cn!ig7bQ%^WK^Ie>_i zzXIrb47N`Sv5<6tsVbwvBO{WfaZ?FyLIyxRSq9(OR;3jm?>;$Jjs+0dt4r91*O;;PYy9=dX)xRDJ8@rC&F^1 z#E97na~?-a35@uoGE~3@Gi>!UTZtOebrg7F#KaFUTdAu6H6oCEGY*2}EBu9c@hb8T z{)dd-VmKS6_pOo!dlx_L8Z07YftuTIJU+z82KiLxr!NNazia(~yragl-Gz;%ant8E z$BqRO+*V4<37MZ$7nnS5Oo4A9a<^*0WY%JuDB?Z7i2Qb~u^))VR^8op+pf z)N!-j5U*Dj+A=i+ZFv2HFs?3}Wj-dn{2>y4op-WksnMX%zB8NbepU8q$yT@&s=M*N z)Vmpx9)ymlLmO=%jf0zOlr84Ti@_Vb^Rtn}kUw`HC8cad|L@E12kUU9%W2<&gvBm< zyD;|tUBvFn1P*6SY^ zXTJPeYa;*reu-#-AM_{q@AXe{L!FZ85O?aKTJ_C(-mlTI@U$meqkiWXkG~ypXWt|g zDlP6z7B$>CG4SHP4`rFGjcw-bS{7aDYy@8=og82Q371yhOx=0WHbarfl$vn%&d;^k zqjmkk8wFv@OTCMc`n;QUeU58I;cUpD%wz0-n&ySQl0BWl8hC}vzxd2<53cAPVF zTY9kYto^i=uEv|qTY)+d421o$rI4Sp(|aLtylC|6RSW-wQewmgX-_9{&;3(ZKBnI@ zqiE7XwAwOda|3trxk~)BR5Aa%_88n{f6`G=pYlhi4Gn_7#GpX!V#Iw3ay=O>oRC`B zs(=vu&EB(gXV5MB-p&}j=eX4PygVH2cg*Zf9gMB-aKO0vs)U~7+Mwd<{VR_@X5b4> zT#S1zhHsE(Kh2RM3D~5hRR^@`r3vRa&yOnfVR{39{WWgEq3 z$+mdZ<>m*s!kdx~^N112lLcLohU)8^QRw=3uN69*o4UD4X>asATZC$_7uldAh8nh; zBPR44`VrM1G3TwTj#O;Wa`z(B?1K+-yr&2>*n>TWbM%!t&C=_&A|5k;z_dLuaPEYK zgj?f|^@;YRs2qLJap=5^&|@xB=N~)pl->BqI6gga$tE}YV5>_&OaSlEuk$PQEiuQl z+cvHdyw{JpyQvN}c;7A-b%i;5H=oZeg*NUN&fSjRL3OwcWw_aaNav`^ZlHzK{Rg7; zHyhWy548E&Eb6LV<{93QUY`{;UQRTgT{%Dpt~cusZc;?OIvdW0UN&Byi+W=jcwe=% zHCzo8-Au(m^Eb;e1~;p0jh9DC*L^drEr_UDXiHuXSCkh+>s52N5o}?0$tp9W;1Bs{*7IzEjqBZ|MEL; zsPrCdl}!YmC}hu-oO2Y1@!9Mnl`igr>aRnNp-$;uee0o6c68_7C2cdlMP=au`XfHC zsMT%^1?L8{aQ9&zs5whrSb1-lC@vr^?$d?8`G!E=2iUJ5a|vdTBQ-E|BBCGIRH}{C z43xj1F2k5+9Zdx0tFf!8N}SJH*T>?Gtnxlt!nso*uTU&)L;f6dO9fS!PC;pxc4LW| zXog1jt8>Z~$6vktiK0JzlrGVYnT2>wxVYYD(cHle6}5W)<+G*Ob0Jrd6_&z%=e_w^ zgxHHwYf683rhugZs^c7cof&;Rr)j~nLrtj<&Lp29rP*J#v=s69N5XWdy_(nv&D$`pq96z&rG$iZDY>8^ z4FXHY(z(FWASKOFKw>4NOS%_!=`NM-ZUGTN8tI1jaNp1K{JziU_x|zzv1eu{&&-*b zE9biU&i%IHoSXdyw0jQmE+!O~&x+*duNqE?>#iK4Ypxxd-(Xr&es8rj%rj1=8~)sC zIonR?RaLMfy!g|s|3+IsRmCGX3rj!(>7)kCyP2bQgGl>VZv z&nrIm7k8LWSK^u8%0CIvJ8zZJZTork?FqlWV`4G9dY-#Z8nN-5#P%B3F^TrD*}ciU zW8w#wY*2z?WtUHP7+YWh!&e1_ok~}N<|>m*`C&9@9mb>kqCjT;FrvYh+nE}R)ygzx}u0&$-sv=)MM`_lEsvin? zzg%#PN^h}_I%+<2uDlwf(QxCp3)@n2eK#^c*+G|~t6g>6<>NZya8{lIHym%AuX?EN z%jeRl*{8ZZQv|4@zLiP?llbYHp#i2_sS55*iHAqUTM{#A?{gmmkT`_WxX(FLYOy-L zroGT3L=&m^`&5ZsosuS6djm7ur6rUIUt{NyafJ~wvq@4Z?;|z?tk3-c4?}uE%{50* zm6&tmY}9nC$5Z`ZN8e}t6%KJz*9w|Nj>U$O{B{wR^^!Zu4I1S%b1U zH)i)Q#YONU4J~$rk{dr)cc_A9anTI$vQVm?N}_E1zJ1=tC+Ds1dKAI9Y|ZkTqpU`l z=JGrH_R=;1Ew9 zoN5|!?xDyzfmEg20#)dMtStr2$oUk$E{?WsG%jP?IW^$YGkd+VwYGGqZF}@`iA>CL zk9E}Y`gfgK`oU0iNN!l}P zKL0_nU~vq|t-YnZ3^qMeK0#M+kE{^+xb1ZBj~CwDyUwIE$(lK*wEUrwube8G4V+(= z*jy(mEIsh%jwAMkKRQtT>Ql-LcKBks(;oLDU&TIbI|b2^+A~bkc38Q6kRo=u4d}l( z9QY0(#pZ^wqX?h4*D_pS<*VIiy8o}4VrD@d$PPD*L;kRjrz?0yKL)W}-(ksl#+dAvQeUaYQYs$bd2fN5o|OO+n89BzP=P^a z{ds2w`q#USQ2nL*+ddB3NIurIQ#D7^hhHqtj+p9M{bpZGBG%Gp^d;feJ*(tj20QP7 zAu-20@4zl!Mav|~nP%<`O7^ne1s6a|zW5WN!GKhQOpJBO#awN{voXkJi$98=Pc2pC z7Sb^tggP45*i8N@w%Bf+TF>qZh!bRXmOqUHr$9nc8DbfPT2@Z}K=!qN7QbX?2BLpY z7qSVug~rsUBO(vor~Ct@N1y670Mr%1h2LzeyqaEfPr#HsysWSj=wo;TdPb`fMHH!x ziYD`<0j(sGgY|G?Uz>#fgW_>T&7|~c#rmu;VP?=6&GOL7ngL}624$bDyQB9Iw2O03 zcW&1uC8b-vcAv>Z9sdqfW)s;4O5=OoFai0T#fM*3j>bOwvM)vOv!&XLfuc9~kR&-C zwU1Yq1UF&0URD$-2~4C?m<^lVqs^5lY1onxhi_{kNGlDUIKu3~CEp<1y97ZNZhR=IGB8%02JLw^1=_#pjfu=>i9=ubJ&7Q}pe=KHq5fwFp4 zqZ13%S47{Kv;8!cy9<@P)>Pd5-AFl34$N6(X61dI;|k`pC1IO@%@-D4ZdiYa-g)5q zS=!Ryl8dCFc`mv6i)a=6@?6{Dx_<}QO=&s*E9vyG*?4EE{c>-4(Ae*2Z+CCRqv_(U z=k*Yo*yR?j-!*~lqAa2Bl}FTRdy~iUicqbvvUPEGWoQDYl8X9XQ|RY8-E�syaU z`t@OWa{y#=;al9mBjX6$(4n{rpgd5!Uhew)&J0~0g+bARS&LU=jUIo1EvVU_kT_n2 z4H~QDc(bn8Mw9ojWTH2I)oeZBJH_{@hmLf}-n-h4Qmhj&`=eRvQ{H}69+EgIEF<>( zFwj=7qD)*@anZ%J>}iE;V)`T!1;DfLd{2ay7LE5B!7H=QzQd7}`aG(cORV6+Z@&Ch z_w_@@cHQrUw{PdTu-|>n)55nyDxt|MLEF9(`Wm5qG`%ui_BNt*F-p!_mYPq%Pg$rw zFm}ZfTu46w%Y}mKJ>aC)xnYe{kdcf#t?s=+f32~x9mu+frHGYfN4Nu?zkB8C>AM9> zH@iW5PicLdFVIcM#xZ2B;awZw`Dc=N-!4IUPc_#;(voYO_XXpsd(86(Pj~Tuih#Sf zNYa)22jLBgb7rYx=hQCkcEnU-o7f;7BJD!D`-!H|Rgs8CHFYiRvlaI8;#4O27di=`RlvB3dJa8>uG)3?e07!0=xi zrDJg3R?LF)EZgPPC~6j>T3GStlj>I1l-X5O6TW5vQ+~e0yRxg@@#qSLd_PZBe9T7|-u8MFFn}_m zA@xoaYSb%H3KhBi_|#Ff!hm`!S4X>jw6BJgBu>~hQVl#}3NF77e3j#OdcD5bjUg}i z3qE3lTeHCN`V6pRzoUyZ!4?3q_D-7zoMk7EPJkp4T+wMVk~l^xjY)9HywEb_YWHfg zA+PW*7OB9nw@(wxHfiZUNvQDK(8Px_?!d8`qTRtOTEy<6!%ytKPGhho!omssDy{EeCEALbJf%&cA>TWz$erZD6O9#+ zUojp^Aj2X%vS`nEz1fSLJ7gY|zH_W1@_}M1TC;CkAalek{-KXykpw)f?9`Uv!-9uR zR5;Xzq+RO^Wl>^(qTpdf?o`;D-|n1mvR)A;4M=Q&fWuA%Z0+W;ZKc-!_2HBN@`I9m z`)1k99jF}_+w}0sXh@{Z#ju?W@O$wj8w8~+#~)5|{;Vlw90@k0?}z6UPXvOy{hOUQ zA8w4Wd6Pe;ebaRPuT7I(=)5J4V>pLj2nL+`dpqMUIVTf?Z%4SLPz4`I!Sy+7Xp=Pm zhcVLj|68H%GMQfqjLI0zvD>wLa3PU!;zO6a_8#q8c0Slu3YaBGSgZZZ-hPvpke$ZJ zi`UZtkE_+{t7jK3NvB7Kt{2!?O7N*cAayiEYO#!tzkjwNKj774WjAncYDs4d{Ja+q=GDuCo@rxtO{fjB|OKf`!ZI3 zGxa$<_}uc$lib)(_)aE^%Tpefee(1Nje>W+)ld{18((cSw7hp+4BJ&msXf{*sObl; z)|*d_uePtxPoCJ$=cdsuwtlR7(0KYS_mg3gzoM*n+On!)q}%#v=SGA26K5eg`W9QG z(;4z`pf|P{5>n4x&pKRTf&G(}pTExd?KmPIF@ zYmR)>3fB-R6L>$7T5+4``GaWY>arGD?RFx=@o!H0zR-s@!Q_T8Ji06^k@eUE3FgBfuwB4+5)cU z1b*fA`6lhtIp!BlfVi7Y7>ekYfGbA|Zm@nFwg@k!IpBMNM z01;*KHc>9Xrs+VCp{Bn6VeDCdexziPz@^I-_bhwvw(iiweiAotVz3)&_j}T$Ti=RH z{8uwS`Be$z<>--6ur$msbiWUjUNVFQh-PN4VWe*Y`FzR)Tmq$WDdNoBwNGB|W<+`x z?E*3_O|e5LzNF7X_3k!!lD$Dz2!JticC-4KDp9I~v$WJG_2-nQQC85<^=7d4pt<}J zLnZ&%!$2+Fv9v&~)NZc(Xm6Hl`h%f}^PXa70B;2+TT|?d@y`;!>xJfLsS=`k9~RVq z4F1yoE*Ri*-PpYQ?pyl0tDm$B_rV_SFXRo=zUXZb+c(yg zcyJ1QMd#w043~#jZk@^{-xf&rzO2FO)PINHi9I}Y_u)NND=YJj-$phF-ia#S z9b>ji{zrE+hS+f>Z;|Rjf^w}sPNcR@)JpdH7fV#?`S;rJi8USycyi3VXZbkS*RmKS z&d-0vJ-OStA~g0WPoN|=up?@{EQ$!dA#RWaNEUUh3%#eQ|Es-W)+03ded1Ll`Iv9& zmi%|h+hg|k!>cl4T7IZm#lkqY(LB0UA5yD=Y!o%sowrr1p7Hau0MBxUhGL}~nDD|s zvH&B^pCqNSf2EP?C0CKOna4>}r-Iwui!Iu1;rB*h{AEEqu82c+g*Ld?*Dlj~==u49 zZkO;Ve3|?IEO^?&AuqXj|C#qg?4dK!LnyA(yP053{Xm)->p%6#oh$8)-etecW4eWw zzqONJ{JrvW^<4Q5*jTKwGqUc7FF#*HOufP-2zM1({UWDjU*NK$$(|6~A5KE76g7{; zX5{DpRv1Lb&u`PJpP9)f{|Y-Z7mzrXEQivwFjd-wVIs`u1l`Cbd;Na=(sgSzb)BH2 zq^uf74-KtO;}ENTK^#d~S;1iwSmmTYutT)uf5eAq`A)MuLZ2fRpp`A?FGpKjTO)d& zPgy+d9aa8rZ*5gfmp205-WbyrKYEj*v}u>CN&Dy@V#D%?k&BIm>ovj!pgfEMx<>S5 zLG{*s?&W@(1LWc|ikigvjQR0{#2}*M z6I6nc`4^ZAFwp>8Ei0E@s30G)UiYad4^N;Hn6c4@qa~e{vnRZ2Rg?RiYjKVQk4_!* zCRFo2mW+hRr$)dv$E{&NhKsda2W1o*!lx#m>|DO=RG;RCgK=xi2Iv&s)D@eJ`n5pw zT&{--7SMybLE3^NWOtR%w!K?zl~4&t45{eOkEVP;0#hfbi4%V~Jai`e4e0W^8Koow z`ZNG72}Y9#+YW-3=76CYGtilHVrY}i&XPU*{Ui2V;C8G6`{)sjH>hkY$qlB$vIrCA z1u=pC|EAe7ZIq~T?Kl}s8s@K2CjDRA5+V8OgRo>L;dYT5%-DOWeDGO`eb0d&sH zUcb&Y6h$?j=bsGMVR93Fwr5%|PW6y(E-s8VPa%B zmu!Ha9_!KP9rbW1kDB}h(~IHptA2))iM0#dW0%5^?y3mxBjo2~)J;qLq1~?WkhG`0k|z|1AOr>((KuT5Hl*W;_?~qYmEq(9x=Rid>n&22%}eRFKFv^&&CaaVkzILUty@(jG7@YtqNodZ1PcHORWuTv=66UzpCtzqQv}IG zPvk}kO{*NgbMoV}R}6)2tGe6W`=nHlR~sU8|6q{4ce;LmjTlm4$`;U4jJUEYsX>pA z;s)n%Q{e=5J+K-aCfy-a8XVT!&?_XpypxysR3^%T^%)u8s|$aOAGMz;bdxY4;5o>( zdFUCw4m>acm%>AhZlnHt!XJnENyX9px zI#K8Hbr>?K@@HO~Ika!jR8YkBhJoRIWcM7QULq89mdlQb)L!L7lXT;Z=y>=44h!*P ze|1RH|J!V~zbdOvEB*JL)$BTXuJI4P{EM(>)~ilHL80G4;ZFCujfpi*s&ML2!U@S5IWnIUkf0X zVg%C&xrtP=W(X{8Z`Thmka8={C%?C|KGcFVV-y^<~Wp4Bp-{ zcTuAJ$cg6S`0-gtY+^whSM3Vu2tEI0DOsXhTtZ1tcUs_Y=zKMP1KBh0`hCi*V-2&TwM*O~NdQ=uYCdA^s#qQ6;`1Ha{{gn9Jzs-Oe_4$mEDT5JMN zPM^15{vy2oyANDNaz(eEid~F4USDlJ&n1EyX{4x8h@@xMLo%x(M4r@N|TtD_6` z2`%oSwLE6&<$?Z`vu-rd!(OZPu!&`21P_-_GZ|#tcwZWNBN(`a<(gLihg0KWaVyAs zbGCUaNxe0d>@n~)EFY5zIgFyq@QT*|;1>0Msq}>t&1IbPV=wuTZcMCL23v_C8=S+3 z3iUwz%J3b!_IscBM@$ZREYoo2$4?F9V^paijdr^*Q6zq`KpH{Zw8ick&f$|nUNA6P zmV;F)0r3A8PH>9$3QkKq3=Hcual93I_+-qc4`C-*MG3qQW;-6QZ!~(W^9N;O!xY#T z*Gz8k$*v23y&vQ|aJzX_8CAD&tW#|7t_l~^aDEhj7v?hv6Bke|E^=PyQZ5FCyz=iF zGB|JT?Z^U|8O=FUQzDz6`l|-t4R{-Wo|+1cwd~jmzyEV#cmye-D?;n=s;>BxMAPi~ z(x+a7oln5Gxh2&iavkDekdTMTwd2pkL(QwRmaL~9UVaj^F-`gjkCdbv-e+2OQUwvt zCMnuS1;@&gC=F#~iHL}EojP&vhvnZcF=!$Oqaw%C9PZ=C7I$ziU(l4>YYuYOv16KK z;CzeQdj*FY>PPjWRr$k8JepP4xVnYd4ZYvWLV^2Zg2jC;5Bl0#P?PM;4HeV2aqx_+ z36moo0hu_s`VK=6>21*KM6K|FT)P9uq0Dgd5cG*I-r8f{EnI@@F%^AZ`mrW=ueLhDEZ@=C^^Ro0{l>FO9nBqIXNY?E8C&_RQVg6GzT$M}xaI9yDrG#;?_s)F; zJEHtqRNNj#N$FRqxDzO~B89!^q&v7LDN`JJn|&^d|{#!^!W%kkgr( zu*^33QW%TA0C>wSD_~ZEr}l9K0kT_b2Y6OO?fM)+s1dBaQN_m7+p%Fs-W^Xpr2~Rr zw%Jd`aAtP*J_Y0GduJ`O)7y-Ks*0LwMpS<#vO(5PSw6_8#ma!IIzCk-!=n1k!Hwk& zdLA-^+=)+&d~}lPMf}tmM0kX^szSPzt%|udjZ@~@%(Y?Vq!q}dP4k3ZWN9IAIX-sj zLo8CHg^y$)<70KGBfE8a?BYT3S_$Mw4>XYll)rtELq2v+=~6In`!xAjfgLf_b^L?# zkLHORK)ksbqvU;TUzo+&tyrDUl+FWMZ)e~0Ci5@rMho!}O>=U=A8dXHnF0-^aChse z5250|-PB3nnqqAEu!N(L6#T&bIGs6v_F#Jv5B;mQ=J*>}#(W9}Ko6tErq`c6Y zs@oC>0+I`+A9Xcf5FO?yfXi@{4clU|RfDHM@Evn|8@8qKa|CGTGwuB6K+cp|(i1}{ z$mpv@fwL1{405rl-+)}~!o&}-vNo@~U-q@qvyEsq?E-lcYZ%%AkQoSyIMbWcM4By6qNjPb57&Lo ziCsn8wK`m0L{0aU4OCe&XX1$P1l@Q^Q5nvFnqwc|e|~U=1KradkrRiAswV%8QNDG! z9db#%c3Bs9b>$AUoR8M{#F6?O{~$~_wlvfKGdLdu?{46Jc)Pxw?QrbAF9j|ir&6^# zei?Eas?CoH??!4_o7LpAv^EkltJ*P7uBA|PKFCu^HfK8v<_RSQop|R<+r5?v~ghI`Vd)Z&9z{rUFi;x=fpX|>+tUdpwQA<%d@hhd6E zMm=sN67Dh>wyBhppf$@nu>(n8JQ4u;*{v*cGIqJvzm6<|j&Yiu?M3HA)@I7HXbPuh zh|S0c!%lNLDto1dGCA%l>svjR)2IXerMEKPE zi30BHExsPzOpUI!k?X{E0>s8%yyz!5|F z!)t330q4i`UFMVx!N{#|kK0EQMZS`lJbdi(_Vsmh1Zlf~RC#q#ZGU1W-iDzHWVQvj z0P~zJQP?;|;a9=Eob20&hs-=JbN%f#QS1sW6Xr#>Nx>Z~%%e&g7#de4y@ zX==^w#}))>(GLpo8D%Xxv138F1Rx=D@poqLc4KWKDG^Ily{{32yoDDr<*Cf4aT+5N*WpqPXZT(YX|L9Hu6J7+o1n1rEV4c`4B=PEJ&m{CVj~ex5$&a-OFT6*(aRs~ze_?Rv5*RK#3+fv*q*r-Tl_rS~ z(e^R#>lIr*q6EDelVXw3Sm1xmmip5+|lJ;IET&m$5WDi^NS5E78cDTLs2J-q3)_L zh>J(n0|C;h=w~mJl4!OcUW)b}YP-wJBaXq{O^ozq_IpFJhWr&!&%l`V`PjHT9G)XI zn))$yn*I5?S@k`lVjhodxbvUA_&(6*!CtvgkP3*0m`w6Gm!o%vL5qHqq^-o6lk7oN zIO&@B&p)sudb3oG!nOz=yk=w8J-tOsNB``*HXsZ;Ro>LYqG$f_-Lm$Nn)ZACN4mCA z$Ii(g(nVWf&qJIG~Y z=~Bi<2850o`6XG5aDrMAtj`=Kw<2)>gsE;i>64ui5~&vFV-a!UHY$LFIwRn#yoE~4 zgk-k)$k6pwr?w0e?pFo)*seI%3WGd|FU?}@jC3!t=e$W{e*UUhkJ2oQTHGvu5Zi^n z{pj3JIhxLGZt>-Q$ZG>0wK}0Ut&k%&=FD0%OWI2V9?cIbY(Y%wbD^eN!w>ranzw^i z8VKTxlutA@q%lv8%@UHK=FF%vE)@`%qt<_hpQ{r|k?F-z#D_d@!?%q@_v1fz!W6jD z5iZLxd>QTh>id`Z*}S8P0^~U?qPZ3+z(T(`aWy0YDiDOv`GO!#8gg`8W=I-ye{t!z z*0XZbA$o8wtO(mnCx&Q{nZUjHswhql3}D<+#-zozGtjathHZ@%W|vePv&14lKPOAR z72zW^V~0!lg_iE9>-44Kv{>K~L~tV%H~nOPcKh)9tY!B4YEA{(@|`W>k*|G=-@4ht zuvPQ%a%9W-p0>s@lBKUjF&9wqiQm7fs?i)IdvJCDxfFKSA2_nx0T`5CLtO>vYH%ik z4f?fujn6J7Ui3~sA<(}Lm5uW;MSq{}j)29ELCpkQd*lc2!D0eg12vz-*dCg->4Fk4 z&rpcfZ`K6tP}YH$n9UXCQbeR1SElSertSbA&Gs7ul?qHMgUn ze8p~cvLgLMcMe(CuQt@WqTS58>o$y1_>#0NKlFl5Cb=YGo^@UcX)fWHrS>R2LwJf+sXIA|tKn6pbj#?4h8`SwU zW}2PAPWrB`uYPhD);6i0Vi{(p(vKINz8&AJAVrTXYA+oCHE5J{j8XO8h@3kLysgA# zO8!SV4QW&LAjUPsLFP@qaEcO}b7AY(dXVoO(RNpashwgemjX3#o@!75n;{P^tMmr! znr-hh+rf>Z2q5JH1v=^=u zU(k9f(q8*CUM4=4Wp#g&N(kQ0dds*cM?qlpSM94J4Y{-P1CSE2A%6^&q9+U+7QaVJ z-uLsHhKY$vP?4Goa=4dd2qU%qO=dcb! z9lpz=h_9V@;cuNOk&p-YD!)@Q^6O88btF4Y3-^5fMhTB>V9MmA&kEsX%!53&4q`8j zf$Okne(Y5)QjW*=X0jY;rv>aXka(zgJ-cpDvdTqZ@I;E zdA+`)>de(}DV0Ne@~i0e(aB8DxX%|&pD#YwJ+PXinqmX$t9};AAr9^E#5j)r`W}pd zH8cDEyBuBZY4Cr}a9>XxBKyxO7?;k~!X$FuJ5<-J)6>qq!f0W%2&{jra%gWjOrErI zX!qKHn+JeI+|(=msBPWoT-69ydN}ym3bxWXp!}_fQ7_KgQ4j%p1L{F6$%KNA2_Tc& z+asS{Wt!$4$_DzR-R9Pkhiu-U>i8t@Do7EUG7Hpeu?$@&nObKcL90VrGL9u=7Bhs& zyA6~whREKlpOHv;-HDp)-K~Zk zl&(+mT$seKx0Og3-#Hg|NLW6;bhB{Py=-1!!8!9a=Hn!Qo%sSp&VbiKdW)0lpW{n! z9kvsXp`G*NuaXvP$Cq#V&YKY~a!8c)Wiz3B4fB**%Z^~y{Be?;p!&|a;XeE~xXpXckxmk3-igChQD%-W)_@|j+XwG{tokk#c-kX0tZ z@ZLDVuq0L1vMyED;cQ-fiz42pIjujMk2F*>x-z92%sF$Lv z-H*#=mkes+xJV*Fq%2wAS(P5V{J=AjnpE&&HdByCip&lhg#O=qD&acbn_PWE0P)mu zVkS&2?r>##j#39cLgl30@LgkKE=9%V@uEOUHs<{7_UvkYLk;PUl5>B(jn|gC@Acoa zAK}F)fpXMz7s`gA$l>2uN_er&|9jdxf}tom94M~;w~gd~8vHl$pENN0f3>@gfN%7* zO(cb)HAwU=nX8qR>{64agdk;ZV8&S6ggtZhfTG>pf0n8N^ZCl$QbN&uB>Km{L+v?z zyKpV*^Fo#;6X}ge`py$B0R5*aF!bV9OX`(8l`hZuj1;>RtICfaq=>g#i_O!;j$OPO zyB2L6)lHtAScrH%eUEJQQoYoN91ed@m*85-w-AyzJ0Je?6(^8#uFjd+IH0U2m5MWV zx!qnWU9(bvG~Jq`Oa#Tyf%TvkmxFB(3Qe(IUSs!^jR4dmRA2|d4`^NMhOcSP zfdF}J;o)M%lQLb#iHCkGmelb#yqu~Y3wPE2_^E;n>7{lVPp3K&z>6melX!{KsKcYy zZgz6G2XB{-^Rjy7Sq3k-lfn_itZSQ7L0g0jO<+N|0+)`w347pp0dHe$?CTOxH z5;K{!G;xV5t>$!GW%B#t3sNR@QPZd*eo$XRaDsDx)>1k*T~DWBu?NTN6L22Ktx3V) z5@jxI_KLTc0N=T3FMI&7{q2sc=R~AdoA#42)}j2oJt{GliB<&S{Kb|moP4a{=|IaF zfbb=72t|~wowu}8VU2bED)c*w+hsd&Z~r_%*{N;zg0Q8&i`)~wmJHd>S!^ed%t{PX zmfgWw?AJlMpX}20&Vg&n-V1#l1|NvhDjx za-ae#m#H?*SdOJERGjslj*+*mP5eju8baB42|;pECbeF;pgAN&QI=|GpmgLh#5Of%zE3>#2b*$h9V8fxBj00 zn9rj+SJag7!mfdQqS?K=*hUN#EeLoTo@cgYv{fjP)V_YEx?jypS{12(6 z?qfGzTabMo2|52pOcua$VYuJF#QiJgTyfCLIk@>Tt=QS-mAhlG#z!ErgZ1@3?TL-5NWJ`#t(q?=?yA za1y0;f+vZ#jz37Z9v+q=tYDfv-r~06p_#N8sv)CiXRl}QXQHO>XE$=nnZ|Z8GV*nT zx7UXk+oavR9BApYUUod-sEmLYd;zbBQ6}hN<-VaiCV)%(P;vqM=)IN>li&5p zX&D)6e`|N&%Vxn=!T#yfBCOJ@9Ez}Xi~g7Vt+wdtPoTOrnm-moGNRaV$ipEX2j@OB z1247w#AF^JLv8xJdXl-Uu~CgH3ds_oo&!RO;{E%{O;*@i?+>N=zh)(+eQ3*YE0u1~ z$lc%A&vgex08f0J7qm03R~t+Huer9U-)h!<*I$(RK?x(>K)q!CY+-L`!;I=B;%MCE zCK*pOF&PVl?{)B1*U5p}x0vUA>`@?OlWm*f12fw`GlAIh!X$JzwNHltP^z&tScthp z)XrwcX!vpZqWRD~4nCO>%SEHWz}pg4>`pK#7!8ow`WnR4;wANwGK`0DKl9I(c+A(n zl2A1BAW_1)Km2>-{NM8fg_(mo5Ws(W65CuRqPMA8OU^EZb<1?eA@_gA3hp* zKMyMA`>YoERq<|tEGYa}2c%MwyY8YS;FmZ13|FO(utZ91IoWCAbCzwG4iR!a0L2EC zkHEdEmtr%BFM{(N^jc^IL#!H4N%nQMWK<<>U2X{b+Xf`$UFw+YMqGC;TztRoSvJ1h z(rz|QbTa1X;`O9X&DPPLPRt)Y1tX6lRK zLs^3gP9IRwTAa-KoAI1k3a0}Gc35=*$#1hgofSH6%j7zJj2szO%oEAhxR-qNC?NE4 zQAl@=eQ~c^XdmO-j4t@yHgfQWO0U4f_{OAAo9aiLX?)6Y^u*7?gV4vBX1A!KmX^N7OV|H83U#vt7v=;-9yzG^nT^yK z2c3{EgMAN~k+#lA?Eo4efE3Z?DYYt?$hsZRx|R4Yad)>Cj*-ZrlgCfz0^JsE_tr^VuAJi4pYOIUHBic4#LA#emXaE|lhISI-5rY(1>SrEGq zkx38}oP#f5CZ>}cOX2+=P!BZS2-lI<>+O)!prZM-+sqUG8k~htdU^x*Jckfev0=F4 z;Dh6)`Gpe(iiKR+OCL4GW~Y+!%kb<{_>zJLwI!MYWzu(FXu!*m`i*IO=@B;`2ey{D ziZ5~dn;beoAg%VEgaCT z>(9uhd9!99Ec*L;(Ad6)EO52(G$d9 zYt{eCaYdh+LdVllo0>XVyT7s;Yk#Dbh|<+J)%IPPiO~UfxSB=ho^fe`uOMwyOpe;b zksjhb9tXSr+3v3NPq1&6S%3Pz07V#rI(^?&iD#4c?zQsO%90Z=b!1G7*K6gxC8xdp zh)y=z*EIQ!8y;Wa5uJr8OHRmFH2Dr-bj9OKJs3@1azcRtYRPFGOtJa53~-b5zYG0G z?tf*nf#u7=a*6+|>VMR%f(b^}-L~x)4s>S&@j#RfHDgxDWlQawKDm4IU+v<8{65~b zZE7UJeYz?&ftbK~_(Zvkg61LUNf310(l-*)^-M~cCRE1~6h(MG`_Tl?(Tmr_R|phP z!?%5iE&`3goyEZkmxPTES-=Ia^}wt|(m(AE$8BULo)#HtgF@>TGrg+qk6Lx`p3&tO z3LO#{Qli%+6e$5RG03DMZ*#DMM05dsReMMP8D)&t(z@Yd00mm7U!;I4#FE-Fq$Y;)w80ChJz_7QvYPWUQKp@Oy%k8VZP16pFjf>UNY0hfkMJuIg(&lU?2K0BB zUXd@72IvWsKPSU_@RHmUyt!0{=>+MlXow^z|1_&&o)8V6G_W&03d`i3kN#z0d=NP{8PNNv3 znNg>i%CTB0$!r;7jnsr)x)|8H8#Ke;#MlHvv{b%B3M-Q*dahUFWce%Y*E zDbyBS0)KHqQ+lVrM-sm!uUKn~vS7hxihYQnyM5Sr3&N^1^aArr?31`D@%^DKSQ!3T!W=OLxW}5X%LwS z%~!Uej1wv(iV?CdkNXnbqhZH%rp6BEFnyHJWyeHovxQJ+QK}}UIJDPIy(wZuhn)fh znt*4{n0$=kTq{*pmHkzeZ5KtPLu`<8ySzCHCR4Qz-KA6FeZ61ElsG9 zLN!-8*_`Q(WcWpdklP3&hnsrXO?@zAUOVTBCl1PKy-n$BiI2pu#bNeR!7sz+=M~wH{<6V&QngtxBVwU zs}yWj>QhI!jN3Y6E=VTC)fC2*w%K+p-*l{Mp}~^bgrF9vcwC~v5;e(+J?IBkTdZO( zlaEq`bSvj-u$1+9RJ3@1fTp^7SELJ67sh-|xvWf6O1(SJY5f`KN(klrsGNEiyks$+ zrwpFPwpJP}AD%26+kk<}Y4{WGC6I1S+vh(VR7oAnXYu+0_Al<1D~}9TO63RDGze3K zLN%AVJ*U8l`&O}a^SZ4wv*4c(JvUE@P@{Zh%DXn(H?R8@Zyp?(U9K{H9Gt_AIIe&k zc)fTzsm{{Ra$J?ak{&O#7BIN&o~yh*zBDF3c8(d7=Z+|BX&saIKt~DTcDC&(U+#Vr zJpAB}u&>i9x##ArX( zYIT<3hO@Y094#>nX!{avgMmb2l>wkugQ)bzb0k{&jf=&75{hp&!*RFRux~!Y|Ex>m z;+h|js+;eia5KtGnEg7V>Kxh>oS`O6o2a>WyVfr{EgpUOkb;k-DcxZOz9Gdqa$`cs z%$_`D|A{&bT7yNR_Xni1Febxdy8&!zXl2w{z>T;N188}`!8gUIHgUL(=;ryFO%cL{Htx)TEzcO^eujgMGGoDRragc-;AY>9 zy}o(L4`lvIsg+uf95?QP6<{vZU0?-b%c7wCTxH~LKw-?Dw>nF^29U3e%m@3LKD&DT z1{`$x|7_s@-pE3sus*GIM(}`zrnXgCf-AKQMDxMTj?7n19Seb`@^^t5+NR+Af;Xh& zz?WkvkEZCSg!3{K9LD9brrqqz9QDE&>~AA>H+yBB(ZLv@s+Z%~(W!t7=$MQ$Bhfoo zyLt=h2RVL-5t0+)Rp?tu;|FZm@+v4LFXB{rFLnEom@6_q7Lm{aW|1U2CJ~A8)PtO4 zS7x`EgSLXdG?pVVZjtW(G4)_kw-J*1_!e|_Oxl>zNQ_PN|0O`hr2TYPOGcA2o&e!- z2#!=-zYh zRA{Z6Q72l-ilxF&L_@hN&@!z=@=K>f|t_Qbt=Z}Luc-6=PF#~ncxt*G@J3l z=yItzywEp{L*SQjUs*>^+>#A5uR}a8LH<{2C7F$N( zJv7;$i9gAo6yg_iS7uLtdSs{mPsSis5Z>0n?FRs-bVm|YN#4Ufu{ij~_-<$1!>WlxET%b$B`!Y>7RP#?( z{{a34_Rmpp-XA=Aei=MkTgPdP>hM##n#(7BP%=&-aIy+BFShiwOHxjJ-GnhAMlG~- zBtu4R>*h;aN|~%<&1V*#kvxDzMBH*tJWd1D0O+;qn0YSn6e#;2KtM7s9ybASTwq*S zV&;*`F`P_Fc_@Nm;UZ3N+M;5EbfUD%*r6d#zmlb5BN3;f@av%@sWe#S(TGV)W42Vo zalZzPPWKf-F&Ie|wQ_?+7>9AM|TtS z+McI*6f(ELKa@DViT#1ojT+3n;g*A$H}`ISCfInRy2e3Og-|>!`PA%&yb8Bb9YO$I zYqrtmQ;E789BR}44?Zrej;9H_VS1gsyU6Bq!#w}kWNwb3Fa9=T(!Y(Q>1lDY{2*~t zF!j9m2)Na@o@dwJX33_e`3T*o1>YQINWl4lBCzjZT3kO>H2SXVjKjocLCz3OaQ
  • r*Grqj+XpM&oZS4$Q{QVsA{6+!37wwLRgOq^Nmg%ow9#S{rEyn|^n8+} zB_e8ebedBhDu{@fFC3-e=3k$(Xwev-OabUl$#MbbmtI?z#yOflpvuRi$2FBBTHkPM zJ!hsY)CNBI5D`UC>qmdG1nlH&oM!;Le=shPw{d2#9Qiv65UKoU7?5Rrp~vO{)R0tX z13m!#e=80YvNg(y1x0`uxcQ6z6$sG(275d8-NYzo450of{2x5tMC7N#@U;#>8NRY~ znRyBHpSnFGz)-j0OmL0Vqv0l$Y?g*sz~6HL$-jj_@Ou1%Rp_5UAPBA-5SeS7Gd;p-B;Z?s z?LpQ)_-z}GenpE4l3EK$c8)Wq)wQj z0F49Cba3<`1aeLpKdMkKW8njsS87XiUyLFfK46tkFdZk|1>f>$0;>ozO>Y{WE8>R< zx|L361F+fviww}=>)lC_d%zRfy->19Wt)fmA|yHZ6Z0fae)=3Cf~yDu=K9Tm_Xj64 z`7rP}<1p`1+4b!CUA=|O2cG>%MV;%p9T1?V)@RmDckV|@B_bHK7vy12b+cPOJ(_5U zt{3u_zrJdRQJDzmMy3&+#pl2}Z~EHyI+4;$lFYGmlE$EHq-!n7ja1HWm?&osJHg_Rrpt=#J% z{gLvsl8a!+sA{BA80K06o6qhYE`^Pgk=8f7^~7B6^I!J;&tm6!>ceS=nAhx6bw#n> zN-I3B4>;s($1h0Nef14WwKsJI{^(M)!OlcVo^lLH$3%EJCP#g$&G@q+W?F4j!TNw2 znSYElkC$I&K>TV2I{)rBO2oEFEMIMrTpMA!L8N|VBt*$1cgj~i4ipH zf3mCdQk0&fUVQvnbiI@8?rZDgPYh<(u9748&qVpuq$IBey}A zC17OHd;Fjp>c!deTpJh@)A4x@4~MT-9bk zdOuPGR)DXs3!RT$`!^w50sF|Z77enj$5?qRY#j&nTbqHKbKmZPg?Vp*Q4-yy1}}&f zJ-yRcH96S1BmShPd{=y+aif=90xT*K+D}DYJ>yW0IMK-1nJ=0yK07ab-J=4lP^Qru zMHL=Lvu;Mv<`TR6;i?4~QmydAoeM;`++>KJM%2N!79M=wer!C1&#=U-dHC494Ojcl zB>&pa%usDjy#vKVB;X21ryOK~lq1fVJ;IHExb^RBV2|meIgS|aX@rp$#gsnSEv(5g zvOuvQ2jsm$z+Xx>;ep3539jQp4_OMz8=4#XHZSTGEvz{vq_DHEFCVNdwD4t>Qb*u? zt!5k25sSH8yT1dR-;DC^+-UJul~Y^cKQVg!0W1gxi)n%-Mkv@7tViD`D%aACH&Q@? zd+_L^N$p|-KH}7Qiaq&X%o3>g=N{{)C5hbHu{YHlMzd9)_~vhv>!@!1EN_&|%mA26 zieEDxv!-|AY0dL6*Q@n(w3)*=V-DS!Q(V+pOJTslf{>pW@X-<@6f4Q0{P5h12-wYN zdTYEf%kG8FzdRgJs#I|;sPiM)MZzW`BsQxq#<5(+_+`0tUE%|m zZ_ZbGh&!GYk5oNr`C;r{1$M(c7n+F+z#h~^Hdk`=#t;7#!w@Y`Gp!3#Br1$|#x*q6 zWmP#*W_o#2yiT0!oKYCBQPkkJEg1j2Jdt8#3B-<<97a<`v^y_<6ylxeSs9%Az$zX5~aw#h`%SNq|>jqW=D=PTVZD2edFWi+}xLIh|aUNz+`twOH zSB^17sM_){m6x;`*dJjwuaogdqNC8-0N*ioY=XfM?ois~|%{XNDKa9B10!?KbS zm_B1THo$G2YVXg36BvHfzx5=8Y`C|J90dBCp>8}FAi9^rLp+qu z6TZ^>!skW9Xu-=;%|O+1&EqJVW_`YColVpNz4BC>QgLph2}WA6c<_KGTeBGt-&yfk zF|lrDPj!XB#e+IV9p01-&HBL2r}auXX01Noo%swny{+jaBQ0gTPC4-{*=l&!Qg~L3 zB}Xul8;|ryu4k7&$p#}#!)o?uo(f-iG2xAOQ z-L=_q+DC7$Oj7~8c{ic>*evQpkCnp_)8@v?Lj7_z2BWD_k7mbs?q>gq!F-l4lhTRu z7F-?X8+~D)Bdo^h4Nk(q3dh8&snz}p{c4Q5#GAH=3nY)TKOWsN%MOjX2Wf`})0K^W zR0%D0!6~i>rVR=Z#&tKo>{9cbzvD?9h@Bpx*#Kd6`}fEIff&O7{;73Wv4v=TZq zuJ`rcewl`B9M=YDUUI+v;$Gd822%4jj^-f6191Nljhn?jek=c@X?l6^cM8Vc zaPYsv?717NK@MKa!%rq{hGpY47}ZexPSAUv?E-@04N0WfL`&ml`6$b`WMiGa=MuBG zlIu|R4;~a;B;we*$&%`(UiIpl*;Eq=iyrUz<}fkFk{h04a47e9h<;Gx1PAuCjGx1O zVVUmug2M|8(vFkQOiL9QX&AI05N7c7VPJC;v_d&wMDr>Ri(+M34tzggv94&vQPd%GmKBv&O&Rw-w*JpbUw`b2?sc~hAt&^U;G_#I~D+NPy_&u=O zw2X)YZ(rRY0v&|Oz2q!)OO_z;A78qJt;-zYBK3;IUju)$Zi+v4YFzI-y8E%_`^Lx9 zI`w(h^nnb`jYL>wKk8b2kDmR!tNC)r;6?n;E~Jw#Eu4F-Ekk=dS5RCD|K+Bm&sI5h zb5!`w;80}z&zX}7(#z#6m;vd#9l4V6{G}ww}6E9b8Ky$wf>Gu%skie0>jFtQTE365FuI2bBz`w!BKt> zq@La_S1Nvw*Hdz18;?KcXa<*F+Dm1(YX)b9-g{0SNIiPz~)EgZ?1m0rS=1B;sb}WPUS74p?M}O5)LzDh-t*>H(z2Z>5$?#Niqt*v{Mr0O~ zuvM7DB$p^Dr=}e!Plw*~G?X?CirDCvQ=3d{6D|C6PBSc)6cXWOkYI8Rjsi-^#k=ZR zQ=1(e>+JE~wd~xn!eLyTahN8(RA!^^J9p)5@t(BMS--elANiUUZW1o|PCAjfWUpM8 zt}i6&sT6Vkhi6YiBm6Z#2}*-~#CDM^PO8xXNy-P#+GfLgcN-xl4wbn_VZeMh(Ud#= z&1m84eJ!l^QM&qNe2ZRwH8U(n$TBvM09e;bAt1_s>_X(gw<%;Y%VyC3k*M}*H3~;P zr2qSm2`~0Ws)M$1k4n-!yy3gSPk9LSQPc2x=1RlXCo;cBz7o#^<`U}AE?0-7ewTyd zSL@Jd)GFaeuks+ja(bG&5E0L4BdrcY*mmcVV@}V>kPImt48?G&8&awW%km$fJZ6Mdb6k@Mhtq7g&&26V<*G+aVJl3Rn z2>*iU&qbuxPj;Td?pJ167kb)dGAc6Oog&tfIn_(r&S1v)0Np*@h_M`g1$&0lx0}tt zm(6YP0Wmi%$N!bDI)4!8=$iU++XnE<0)LpwHm0MCSOd{=>p~2x5pw*(`=eLgvKJ5~ z%XVZ_&Go@@-p^>}J*m1+q|;cCiR@(tt?swT10^Um=zK}wGwE8^6)(n7mwTb`px93b zl8yfp>Uw@qOtAcA-mQh4aD%0Tu;EskGU{+het#3~zySNFbtd!^I>r@!(6u=$r9XT1 z?ScIxjK+hwO0Vtexmyd$U=9=#Lx1CB3w#j_@`^F&Ikk2LoN1nFh{&z3XnP^|f$4l# z5jc&ac~;%3;RH@>tb9sj!_i@L*JO-I#TUy0zBEjQFCPUE6z>vYgE@{*c^f!Ew9vvT zCJVE-h{}}M?1Zv6(+umx=W6aX2`kuZ*~>p6skeuHZ?HF5rd#6dHhZ`4H~(!xe+FNQ zm>aRqs_$jy_+xFEhHfnjUdgpquAe9CuTHIP53Wsabri; zqt;yA+Y%{D5vn}Lu1+Ygj?FAwn2cST&P3&xHs>4#6|60Q55&hBzyK|;3{|K=>m<&4 z8ciLT88Jy3hJ=PpMwC{TK@=5Wz0siR14s z8Ti|_ldUfT%xcz3z#K)O1h;*r-N^vFfBVUCe(l#zTEyq{gyrIgYp79hBsmzi(17E` z$3}riGyOUm&33Pbe9U&Ypf2FHTD=CzYno(2jHOETqgBDtUu-+$2m3k?aoO0E^|%Vk zgF`|Xio)f^6JE8DBEO;@nQZ@5F>!dr%GTtWiozi#+ZjP^TOx2^nIe41iEiMYqQB(B zx+ElYGr4EXnJEny3Vi$knZqq5TZYXo1<%Wh&EH&i4~l6INVk2^KJ&Q8u&tI9O|3y@ zf=C6pEbg~W`LKfGm$F9TE>*bt0FiMS7M5xM$Q5p`pg|sr#5JKG7_O*2iWH=@f?ku zy85opK@X_H#*Hy|<2eTBA3S2=U0Qk2an{fskZZ7}gV&5(elATdT(q!5+jZK1>VKC9 zI+$H(NmHjJt}0VEq|FasXW5R$jC~PuKx}_{D)0TY>D=M-Ky7h@Mp4XpI{d)IKa4t) z_+BN$LMHbJoxX(%v8jpJD}k@ywMq!QKDs*#@pX(IQfCq9;HvkN3==9DZT33KVR#jj zdXv~9Z+F{xNqA~UwPZ`sY+m!diRYBN_XK#+T{|YH2sLi15Zwe{)5KsEdUW@(4waU5 z4K_GDIQ5LJhNd`4FcViJWI@=+$L96MrIqh{ah-u_S)$wJ-IX_%XyGg9kljBmJJrii zhkjdjGPa=oa}^!E7Pzg{yj%m8opovhc{^t@W+k7r)?of90`(ifxU&xCyBUs{PG^*ArmOLEO4|aJ)qo=X@mGTf1y4EM~E4~DyYLS>AF&(HUmHL{h(AOa( zWN}h32DnY!7Yojs=nB88zS$QWErY~_@hWfH*YuR|8>+(Uu_>R3?lrh9Qq$JGMKbFj@SdVf5IrH~(e3l2MkcR27#!dsUr6(I0kQF$sm~}l z?i@J3WmPO_7dX>VH?R(L=O8Ibn?|L|1?TF;a#b*OKSscbqWCLV4 z1BSM30%;)iHxwXBgHZni5Wu+mv1jdlaID(}lwAhUzWi3{@4OiL$BKTH;V=9F-M_*9 zCi5>W?j7W&!=R}uy0b7N#@rZnGcRI#!{U1fpGJRF_64}N74nGj|4|oz$@RN9e-{Gu z{Vf+|A5snJqYDMKrU&m^7n18|3lmo_HQeTK-p* z0H|&_%X!Sz^`fCu^CN<5dc$=6*Y4+_y?6}MeLz*pCqeM!zXA&u0^B_S8ldI_{!agY zM-9L_K+kVQIXGOM-uaW$jRKyVuXW=A5a8_F1ezg`1pNx0{0%JsodU4m6hA@#`-2Zi z{ex~u9{x|aA^f}Qx@dmY;Q!(FyVU{WNrv|_K_Nes{r(3WWz-3`+hRsYxagZ@9YE!uy}1;)U?_2vHr z1AX&13#c8Gqy3;=U~*>k;r9n%m=%?MrzTqE1I9;z?h42vAtUhH%{Sl{v(cMl)h>|r z>7wlSaI}_$6Bf4p776e3K-Pa#4TxNLxJpo6PHC&<{%uC0U-Qm#{4SISHRi`US{%Pq zz|$a(SRv`sA2pQs}bzdqi91SL+`&-Z{Hl zePzX_>fJ8LfXu)TVd~O~z3)*#q9$Z{)Em~feKr;r(yhNd4t)p%BJoFu!7W}oDwm-6 z!>R=BQyoqCnJ#M#V5+Qj^XJBO`L@j{(V7F9SN!}?ARbk${t$uLz1<`JzJ}}I>?dkj zQ*%AoCy&ZLAfSk5`kbanza7aPk=6ck7_`-*cdzvw3_7k}smOKI!uxg2CB~x8%|=Xd{6dK&^s~;YZCcqY9D0c(oDp3;>3g&$=YGRM7=dCyH$tk7g3%F*{r2g>hALD}JR|sd&l<0iLo9 ze~<$@AY41H9iaY2z9V05lT3!x&P=LZENUJDzc``xiMCRw&6`P%=VkRS-A*8jud9xDN8eF8NpR6JKygPy+&9_HCM=xc+<;;MB099!Q z`UYa%zfbzQ*4MY20g(Igs37$1;ZYZ5E8pqns*<@stTg-<$Uymwez|*Eg*=~f zH7G=EJ?rwgI(N}y3q75r60h}+B2guE1dL1rmL(Pb*m}xiO4+a4^w`Zn+)(j7dIhfa zp6Ac+=<%m>kR6wGWzY>NU#=?Xl|@w`iGzt&d%_qALarf>g)qXX5LM7sl4eEF4Qt+B z3^$!#LNm0o7&G)zXWF}kB0h)tkF}o&&YF2Aq%mc3dz5RMYxO2ZP)dbAd@cZH(z#{Zd)T}CDAw7)_z!y{o4Mn+u>M)^R{7h zwpi2|p{^1rJytiIJS9=uXZuk^P_oS5t|ev%3|AA~$?caT)S;KTqb(F!Mn|5?R-G4$ z)i%B6UH7M+oFXW;epou9DDq0sAB8lHi!$yYtg+OLyYFNez5dpu(I3v6(pWz|>=jlc zp6^2PLvUZs%!F4QH50qccT^9RxM41fTA<_aiu$+u_(P5)3Lh2>iRvkv{4cd~IN)l9 z?{k-<-J*s*h40BEE4OtE3|fk#ko#|!<)cuGhYc(-(k&jk82ft>Pu%dD#ia%(i6;ZF z0(l}~*#Szg?SmtWUyLGPY5IF7Tp25EOiN#QZwKP8;*nifrK**$J)PB6_|6N6RyyS zGEBrk%E2=aH1HPwEtW$jn0s<9L0QxqFv|xW%?~Rb-qA$DW-^r9oLmu^th_v={5)Dn zscXz7QS?yahSLeC1!&DgQ1tHdcBR_~kZzs%{8Ds??}?J=BmyrH`ml5`M3?o@d60OA-~3IhAJ)uA z@CXJ`v~rt?gw7M^rK#ZI*Y!7Xr?Wt=7ikW3U_?E6wdRrzbp4mn01--xD2qyopgpGmetV6i@+cMykAONrIax|Q?tYb9oszO>_qSBPvFA?b`br)d; zv2GD_@=2sWYU1OI1{T7lGg;#qq6pHm~oERO{h(6DE z$x1~XUuT;RohR;`qS8+9B0GcPd~aDg#{_DCH^}!dU4vlU_SK{Nt{OM2BZ*QgvmX=#AW1V4y=el_=~PV3$-

    Ykf3RdUd5g}(`HMx+*qgys^-Z4GAee=J$#1Q z^ZkUDK#O~NqmN3~TqWp93{1DHswU6)Nc=0Y@EqK`Q9VMX9JSjux|W(@hPN)+$Qki_ zCMjadgHHqz$~y`J;-N@0H?bAODhmDhA5taFzP3*O)k-g%H%Bgn6=Rn8z7gKdlU~Ow zpM5YTP%jmUCVsIV9U;2})6*~xUzOlyOzg!Z+-7XWbH>#F}v9gJ)>A*ke8ChD?IHvrD7?3 zhWGNq5{nXD!MndUH(K4@^zA-{J?DCqYlm5Vs)V$deXD0s!wJ?X%R!fD)Mjja7mES* zm*Z`uV_i?LliD^r^V>dClRjPh2FD8vtyHxK`kMmZnUzcfOaVpV9sF|Jza>8*kdM2?LtFgTJK?<#RjL-^PriRBt{YQGjb*R7R>_U zDts+taSm^pzZk6;S3-{o#Pofppi|#CsThlEoj9%)uRx-G;XCqaVqr-C`6Vw$V7y6K zYg4=2?(H0mcSwyF{u;1|*{V$xs#Vd^&eCZMM4d`*u>Q7jO46?l7Zq~TOm4K|$PKZN zP)B5*3SCfiD>ksS%RPWA{MN#c0?d8QJd=)@q-KL}(X|-((-uF^_3|e_MUq}wmXvec zeJr3~#$Y>i^~gnS=;~zXYW=8jyUR_M1!^PNw|FLj-t0_(!a4xG-CnBa4#m%eQka}5$aML z9BZI_v>Sv{wp>=f344BJMth!PFgLZ|QBc2h8ROXKRj(FGng}H&f~e7X3q6-TY4OR$ zaWZ%8l`||}y`@dLo(Z}7KA3PY!dJmrmXSAjLD_7$f#<$oq9wTWT{BGJOx=|&k4J8S zLea;CWSf528&TkFK;h~ju^QRz0?H6Cyj%)8DnF_p(|l~7HGj!_IXflgc>e1#bbSQV(;cJ)iANcAhzI=_DUwg z!1cy`?dyG`6A!4Pgz&t^bB^Q0gEUeV>!C_nnLFpVR{}mTR|R*pKHwe3>T`1fmpa27 zHHeI?cD7L_9tM1@g?(u*a4rN9qr>|TxT3^gneExIwX@3pB1 zDYKzmN;bc;jkR_ytjO{E8%f;ie1@)(YP>Br&ktipy~!cP6YjKemNbRKjfRE zNNFEyh$+kCo5fs#CQ93y6|tcP@+Q=yTWT+WZhCS92CJp zXJ2;E>DP9h?$Nv4xwKI#YE4-_+gT-3fp~fj76uG+LB!~_Rw7F2pVK#nprbi|S%ZCb zqRYuQ%;hH9ty{p{1-wQ*p5sBgrngN!F~M2W^COhiOVsAr{c#P(p72K%9& zej*Mz@w0omlpsd*r~~2oJp01~y%xLUM^eJ;e=-DKt3+HlKX?y#HK zM1SOhXU#CmeH?F}*^JOcA>SWFKE31LKjg72W-P~S>;2hpB|7AdctwC#-48_5h>$m- znf-*hON^uUM<2~3%iV6{LeDrD>eSJtQKD(C_6 zB35^+Iy1RGe`Ml*n(^>)Q~1PipT+%B^3=-L7U)RwDG+AqVy?TZc(GrbWz@_oBfF^l z<0+Ii&dA9S!krFP|Zy1cfMlJ*SW+*%P> z#m|xBua7>1bopC9Ipzzj8Zwm>D+P)8{M=e0DVnQOv9A6iiLtmua<;A-`FU^RZOKXz zw`!jGmdkiP9j8i{bu$(i=^MQ44U!vT7_9^oYFrzUI!}7F=e*EyIn*AyeBX&E=BRN~ z>yk3A0K+u?pu-@$%`Bl(10^R;MG309p7hyqOuytV1f>D?LB+^{P{fV70T)^Jcq3i+}C~n z#pjr)v5e-nC&J1}KF2kz5t%OI4$tHm9O{zf@)xx(LXV2DUoV-+yNq*E=NM_Imq|*8 z+Kg1>L&ev;ROk+-uMAUAY70EguxDbq)Ju!?4orD9GYKS%n?z?>YqYFK&cXu?H&h2Y z`*K@24#k0b;k5i><*PH?x0c2SS%Z{1(42^v2#3b-N^XS;GaD! zqNdx-;~8ZfGFN?%3U=aD-8buV&~(A;lh@qUpug9(E+BJq=H;+Zd32=us>~RvC+)Kn zWRv1yu+3XXE>8d1p0jX)_MxywedXD=J1jrAddZkXo#xU-JgjHTISZ|u3V=T^J#6-) z2j~b9$9U)nx-*)bcSd1?68`63*-1Vlau#CTm1h|t8-KDhlo`Yy&k5qu-QFN2yfu{9 zEav|~c!r1b4%dUG_fi38`eZGbA}O_+7SM1zGLGQmPg6%rM|Y(7+--wie9Ak%9fVlv zWHubix3x;ZNh3HY@01Qh5z^HSZdB|OnS0`&uuzF@p)~o7*5xL;sC?j*#I3rT3-kNA z+K(aRkJZ*v{bajYuIp&8nx3PRb*omr{+{Kxo8x>Yxz$qF#dBGv7kaaT(R?_`LRJIv zw>ZP`^h7T8K)UciW^OfB9LijIxwS@+OZa_-pvK0KbSN|4Y-^FACjH^XOJrtJqoIM! zpn+7)*08=Jmkg_ibNVT^i*b6k!Ln2B>pNGM@2{1`HvBvH3GQh!Mk~H`3VQFudx`N# z&>JZ!?6XTH^P1&i{gWcwp>D*!;$q@T==J>~H0$DnVtSTZSC+|PGRx2uxnvv|*B9`X z6<^U+>U!qCo-zTV5!5iy(!8v}oL9m`RKHv3R%OLnf&YvdIi2|)a#5?16{3;M&*y!2 zC*6w$slE=Rqb&C`8z{xj@S<{JfBLo>hSVoMPEvio98)=?glS!zv|<^{^lF1b6q3g_ zBFcyYA#rDnb*u&Dzxq)PAPGODs0bt!eIC&?04sVl;?%;1h}&_4qXNGwT%+gP^QhzF zGFu5&j$MSJB*RC>w!^ab%UGMD_reV(=KKqY9b26B(J0H))%H*ti8IFv-Ps?eB3lXQ_SyPlEX z5(2@XgFN2AKrRRpVy{m>A>rfW4i**~`JRl(!)aZ+2G|Rh$tLh8F_4 z)T36u;3J18Fe|;|KmQi=QYcj^!WGOvHmnPKBmzTv>v!zC!M(TuE6pUSFtmEn1ivsd zq!Nv3;?0U6}~z&V!Mlut#0?0MG21(C?Jqq7DQo&U-+FM*-wJ$Fi>Qp5`hg(z%M@9@T+>a zjU>jv-BB{D6nw};Km_z|nF(P>Fjw37ZcKBCP@Rw3Gu!!zRY~~=D`HsOSU=ej4p;vN zY#hIS5?!w_hE%B-850SocQN&B_FAe9INKaRqL7_~Rg#u~F4q(F2*d2g85!G+2ZYE) zU4zYrnQdRV(U^>u@xdbhlZ-jX$cgoTV zDo;o)zkHo52dwB7%ssb|4OV3IZutj%zP7*G@ z!%v>p>*f)v?&+8hwgf%DCeZg5pbcZ<5(Cp{|s@$L~~kC*KmO21wo8 zj-_rIBip{)y7$V2?VYA)r|XGzvsEP;^h5pjJ9|y`lMOkwq5=G5PfYj_;n92FaS&Rn za%cWzauN3#7W)-lA13JV+du1`kd&>1^F#c@eqt`*=-0Mqz6}$*HTbL75vsvmHS8}W z1m69N%U?7cFb_xp2jn^O=gMY8$P8%2?H`PoXYFZ;w(g9kJ9-?v856=ky$My%uGH&7LA^hvw{|FfYAF!Bb?M8M z2hcGoM&2#w^zga5chJSEWGIe* zJp4YEq1HqU`OJ%#o+@fQQ1H+^4w&0ok+7Z^9al)Z(W>(tmr*K39b0?xQpl5+=tTr- ztB&hBy2$6!>ogMcZ~@uKV-{>!@|F)>Pz~FkydHmL_#A_gqn&J9i;b|(%l8bDyyYd$ z*S2N2UudmU6dYBn$;eCd6wUMd&<{JL)ohqO_X!1D6blgLXnDZsQfM$=bxV}@fZSGs zR?Nk{th{{$?}gJ8n7a+q>KX*~8AKHhABVA?8G(S$7Om)1g=S&QOM!w@r`}euG7&(Q^w&-ov+O?~t?e8I963pj5( zX>ho)ZdK+j&N>+e^Lx*xn2((;_17q=A8&A?F{wDSA%@}Tf$!whhc1L5uJSw@V%U@}51I;w zw}a%@+rzAFseyrfT~DcD2F49Lw*=-B%xRV!%;d)two_E>lL{XVUC~g49*p(`lZ$-j z6p~tpL?CT$G&QXRbVN^Z(Ou8)7DpITr1m2?1>v4G(xYnYWN+V_3{B+fO!}GUHbj{o zvm!yg5@Oh>0K7!5rtoldvfGqNF0Ep%pKAZYfj0VvgbVG`*WDQZ z6nuJ+q&#?Dc~50jeKzG}Vnk|`+yzi_2 zFj0kELJwbZdFJmwykDbP?shn#%g_6BeHHopYnC(7UK5UiX@L#7q3qoiL04NpG&asT zBrMC;_4`Seq z64cgiNNY&?HCJkcsDi;g>M*16iB-A5Xr4)nrnoqNn35ut*i`(Uhz@?d!!p&owXZ0ArX2unKUb085jyA)@k{(Q5uUhVdw}ggz(nhsCVseu`30tt_125xP)k@; z?5X(3d~woyG7qh>o&42#EZ%noZ&2@&H=~;(kjt|#+#YEsgm?tsmTKt|A1VkasHW?f z%Ahvn^M@%-)&zHNL$X;-oW6~DQ+O&Dyt<_RoLt*ZKEekKop{<&7+hGkK3EE?X6Q zQ#|rom+?pEp(>&&W{%|K-mbl;Xovn%b^J)uj2{>g9QDfth}ItG|fOWoVaN zXq2UXESCUfd;i#cq=tr&uuJ67PBwlT@y>AfvT~WwjT>=k#czcKrNUJ}9$WM=v5^FaL5+cWlz&)Rj4Nj66-@9#Sz zeyBsm{^+gJ(C;1f!S?%l@_L(IEQL|zz?G+EW2Kg7GK5m+kAGKUZyH~4RWVbE!q+pC zf;3v_hoU)Z&@_vGG|c}nM3|1N&{DLHG+URZ`0KU;S)dnE>zEm!>VEKsTjLd}RF(4>`U>U(nnvDRbVwQU1w?2h8sMi9vYL=fWs;;Lqf1 zvs$w~q?pDP8#10{_=3^7$S}&S@j-Q0Lg2}$i#Z#vWn``2UTwjN86kP1fH!T%v%P}P z_mxQTC+u8$XVec9b`ZZ3c>lz@o=*(gFfZ$=e>lq?6b5FxIbPCe#hP~H6STuZKNoup zu8(zBhuXB?k7U%jkU$PTrpN=dLFEZFzS4a<(AdOrH0Q%F7895Dh__oESqq1r#<3E1+zb#8sA-cVY2M#dIl1=AQVun1V4l;C(|7 z2JO8ZtDZ(BuYURJeUp2ck}lT7olGOK!XYH2E61)(yAm9)`c83GMEeN_22Cp2J>FDl z^sF+J%hypNda;zv%xr~<0%?BpAo3%Z*<7qh@d%HI+-EFYXVDa+a22ZaFF2b4pP{&= z0Fu6SV%%WySltF%-GD}#^i(4%y~SpCJ4jS-%!=WV1WR3sO^ z4;zX;+*i4FctfkY+c>7}UufMQX6N77c`OtaOkvZEA*$zuyCg_f{8ivdZUQe0rlkiT z&HZ&JCmRWmR@+y{XPev4oEk`JdG$e@=f%m{taEng^5{6VU2a?aLrT+j+JV8Og5!la ztzFux!S&S;bedo(m*J%Au!oL!4IS@X9Y(gEmkjAL)drs9d0t-`Tz<1J-xRpoU%2jD z<0$mb(zQb@c(x5YhUYjWqdNzSY_>p4#}l%iFho+hl=!*4m6+bxzx81s|({7*{r` z3N6ly7Vm$2X#TP?bGt2$rhR#Hyat3C3H>3Y_O^qg_6jj?p0!~zSx3J?%zO=e+1cnO z)Nck-@ehV3eG$N`(lfBf5W*5JOAY9Y2h_!AGEd4pn6+>zG zWEt5hnHaPJ{l(TporL64MKc4M0>3;4fB-^tq?G}`MpE$ODxoGp7X zu!OmxIMw25lEIGcNj>uz)5GR?L4M3`$b35Xj@5lWY{C5l0-6k{XqC(X=TJYeXRXXO zS$F}=Y*4cX1XUaENkyk>sBg!=JQ3MI#~!EOi%4gML3|sw)`nAJEwXcJpi*7+jKZWW z7X(CYUN52T3W!O_{aC@Ov2LfR%$KPm!jY&3Q_HlxLJf8~wW@LzE84um(4<1gx6ksi ztdnx}g+d3Vw4vzUr@wZIzysV&QLmOcu&pd&fpwd|^kx#l=4i?l02r4m@K~Y@e$;>_n9_hEc!x9i$WFanRt{$ zR7CXY{aL^#O6K|oD<89*y`AOT`IJP;M7$=2bwS1XySxMymcnqal!aq#Ej4sp_FVXv zNYj5f&&Q!h#zb_+nDgA(<}_-$(*v*RP>hZ)Y@VjJOUPNkQx% z1QI49IuW_=3U)~72N`qTR+T&S@O09@F8ROpm2|_G>g37<_~#FJAefM4+HKgy%;=0x=bk9o);C z51x}=yz*t`2$!NRu!g2_eb>6H9~PEQhb|)?9ho_J>l*DNeEj?&$g$wNIyotwEHL%Q ze)N)PEgH|_!5@p*zg{?{{4&dWw<+%`RA3Py3HljN`g`$Lt&z>s*UfkQ_og*-B!vCx z5G5@Yn7aLQCGDO~NW4o*`?DOt&qHzjHe9YlF*?9V2AxBG^3Rd~3`D)x7|0Q}STBk@ zq+Epj_4Jt`|J6xn(MvmRM=+gc_I?y!ls>vj_l+#@3v}=uoo3nH7|h;qR_-&h|6Zf z3vF!kAk6b|bRa=zc8M^KS;YWh#D|kO#IkD@*r0B3(U!>KFy|{|zfZGJpRH`4@!%A} zU_M0d;oF@+dv(kN?ctQ-KALzfy6@TP4kPAzbup7ECt>J}%CO7v{MO{$8YK~nSl86K zP=gs!&yB}txav}tNEtvvNU`dI_4p8_G9P?{!XBsyCt^Y!KCQRZ10|CUxioP2BF(z!8WW68|l^jChklpJz zsZnDaCIrq7_FVOFpG=sI`CbSt=5)RQSk* z?foHPkZ#u=hw#=!NotZ_JnP9k*)EptU8F`2C{p`n%jNowgSJ9sp3Yy#e^dzDau;2X04KMS-j-!taUi?=;7{J|D_|5~wE|PH}43{AJ-*5vCou z$LXPyH+SplQ6X+Bup$A)Ut+$|!B8xhxi@4OMLV80^~+1wGf6n2HF9A${WmG*+cxmS zpT1ezvHRMFY1}X15_B3W8~Kb{5kdtnX%qth>zJ)RV@GU5QZXD|*FMWu1K*Qf#lZ3I>ou%)eG4AKSXw|J|n+-(X(7&#GIYY5Zo80FROxjbYmcCRg0(%Q7E zvZFP^?h$c?Jl6tKe;bP_d=JzUBBi;axma_x5AorL1n*8=>REQZ%hi zd5aG*K0S7M$wFT@v&KEI4%aehkaI!MV23Xw@T5!hv^IG@9QA=>A^0;cwHUr+R@*ps zIm+pjnrCbCRczdcRlQ*j212DCZKAXuT;h$@Po5K5TCtDrraBTW4o4Ng2BN~*$v~P2zRnA1`p5F zR|NFpj;i_SKBu}Avtqc8L`$zpJ-c1pZQK8|Uv~fPYF0(?o1lx@TLOcBZXd_u?5B~j zTMgK6o*CO^JE?bH>rEkLl-TM6Hi%3~V1-}jLx)KS6sdq{V-`ACSB)kL1W^>kYnJ>G zMX=#&0pFmRJKuT)j}^Gr2(W7ptV!SK})LHr==H?xik8zdXX*<)krQ&Q$i#VXivI@PP7}kLZYD@2$`S zT{MX}5h>K0cL&#u1(^7%AUq&+%54n&H~Vf25MPIiEg5iD99>?$|)F$ogt0hoa) z;*edm*h~6e`IWurm6ut)5S$Z*MpYPY|X%uv6A# z1;3sPEluD4rC43rJpry-M1kQT@khIobrJg$_J!2=j_Uz(*_}Ukj6!Dba_afSU)wLO zTX8OL1Zj`BbY%KDF0sm$T@td{1AIfSoc>T}_f)t@SKFUQ>Da<--((#5$yfTQ)gQmG zy22RC+qh!aWljfeF>Uga=9gv*j)-+FGZcDWhkuhQSEFNtK9gRDVWnHkfjd2E_C>45C4*+n#Nyfqi+ST=oJoG8 z3_D(~`=SgFv@IZIV`z}NU{zo2xJbD%B`TiS7?~isO(V`HySFCtLI53k*WT3*D6Pgh z?I*|B%n(UUynfrGCusf~AS-k=y88~Q$B6O^j6WZ9{N__g@|L@Jz~A|Y+$c8ZrFYY5+K*T4)s7pt&hcvM<{Fn`Tv8d${W~ne2yrM?M#5C$c{G;{$`l zXAy2vU<(Vx=6oa0f2Cb?c~xm2ubd>LCwb<-y0k1?CVeA;aS{k@R?YkI39FV`ACGZM zL|756yf*U(t9{b@U*gsy0^d}6`_kMhO2d`4>9Hlf8Rl5GT51nxLrHI$k18!S&qK&n zX_I%v}8(`@KI!fp_@I(v3@ho+dS>X*HArIV@g ziA@)-SoUooaz*zm5l1O7sB1@R{{HDFWR8TkHp;6oNLZ!)tA=bY5V&hs#8s-te1mg0 z(a(#fy{m3vh3#WbluzaZYWvf#$6gQXZfvUQaQx;Hp9fK;dOz3_fIB^ktr&VVNqmoK zNNun{51M9$K*Wo-XFLVaC{|eCU7$uIMV;K;z*~Sw+cNt*W@I59m(uF{G+F!8*>et3 zN702#ubaHt2WRCgi$@G8k=xc==a~4I^}Q_X`1BuhJ{e|Sda#f-7bo^Glzf!)_+${Z z(-uYqhV2jM1FL722sYs`0@ua!1V)QpbuEr=tLI@HWNlH6`n_rj+>;k(WCqoAjw&bT z45J^1?kq#@*TG|8!Ro`!_*1v2uix_>8`5XZj+HkJ!2NI0``@cie;ceG(DPord3Y*( zdN@-3+#)$7k73iXKaIIFy;3uU^LIUaSL; zHw`ndFc1))cbqrOqhfqT0@C|Meex6zza9Gzl?(R-d~?wH-mhbyK3gtWb@le;VUI+= z(jj`kX_i^NhU=Kb?CT0@+dVpEYeh|r@XY0a|T(nNwW9Ef603 zrSNjrvSgF9P@RL4^}Bs!o)_f@gPKYI0m-vD(-e|#Idl1e+T9C2yrLrCI}P;?)6hFs z4j5(&M>8xJaXmvxT z=LjH@m|_BVgTrOL4}3rEIk=RB>kmdIaOeEI zQYEcx@p4U(ghLMU=@AHKzdqXL`&Lj^yy{1`*kFn{uGP{xu(`K)Y3*KKHP#_CY1??S zuJ~>xtA#6^=g%b=qt>sIZlSv0M`Pw$QD})fd$+#tST3U%7ug5%IU&+2AIgQEX$oU%oxOPHLWtC)X>C?5?ZmB4rn_13hZ@Ja9tJpFgE%_B zw(=N2U9Sd$1|BJl=@4LnNQUwIe1sMC|Dgt_3Es7lsnpr+QDI%<=0yF!(gbZ0Ww7q1 za*@ZaxyJsjzvQ#X4KnS|AL~toZ*c4wEWG-suN)%`7gOOW?1mXjimW2by_^?6N`LMn zX;IZIA&{7Zr?K%^B&#)6-1t^D2$QMuvF-B%Ac~BEuPM7YIn@Sz5Hb5X+&TP# zN8|m@G-JS0#F1q9ZA-H4-$Os6yIcA&pp!yD3d{vHcYwB&7b|cSPwX`bDXRBQiv3qe z41$4N=Is?<#EaZ(lE-Hzq%y^Owp3Q=uZclBj{av=gvnVvTBBr>+x9p{m@k+Nu6@ZQ z@T;bMz?h4r(d*4MI&v|kmxSYoFD=wwdM!66ju2qbv42Ogzdzdw(zLkiXnyf2lKo3K z_QJxpSKwo4CVxY@2&W8jN94u9^`yy_xWZGKW#U^x3^E}7qvwGwb@9`^x#OzeoPoH& zv#gbYCW2;zSoa@$tSz+_x1I}Y0u)g?y;B$8oW#0m9-FuMKUNK2$qKG{9WRfCo}V7= z^IK*l%B?m%*!319*dlv3fXIaS`r1fEO`f6H2s^3<0jipL)Ubuvg_z-0N7UBC!OFLZKR$r=cNz? zO2cgW8j4c)^XC6@g=@Lax$DP6YCV?UwQrbrp$Sd zo{Vqum@U|Vy=V3#4JFnedGC!AIHl;ryMC`h7 zQ!IGYy2LkSJ$s&GY7->C9|=)hqf%2C_ke2W|etJ~A>b0yN_=~#`AxC#CRMTWlk zTsHq68(0!)AfAXB=`p5gL~^0wQU<0;cvW-{intP$^mgZYKCuFC+PR#q?jx) z(M2o2N#Xf+hVE>FA}}*lgz-U+aIJ&+m`olbP@tDJ_nKmhg{u}8OJwFHp&b@S8n3c3 z5{zO?TV}4zv;7TJ>#AKA_Zs_R#oBt`(#m0O=TLY39X>1T2=C{6I=kOC0h-63s$V^M zKD1wAY-NZJygR~N7MCftmu%SEr4UJmD+)g-iyoCf)@P)zK5AYiXQ&`YX)^_}5j>S| zXY}ioq4-*{o21iczHM#X1H?X{dR|2@DZ1+l25p|Yn$_-WOX&S{xCe@E#aB=_ z4mxu>kazEpPO+K6-dcYx|sUn3}b$X7A-Anrh z=^__e_{ESzsZ9J4rwdOqmk6ecsct!E7Pe>UI#N8>UBU!ExJ?LM_RR}Tj^F+)b@33Z z>GA@!H@mrh0WiCFr!d9W7A0BB)JR)U=WNyJ56PHv)fgx+QU=GM7BgE|RS7>>v$)a4 z+aFWB)Qnd`W4*Nu;1cwnqUJZ5rREpK=O6Dt;P>{OhSMb3-|SMS&8=|7608mm9pft0 zL9_S8e=?bxRv{0*A_mRTyb^x=4KBIrMAI}u*5ztN10?aM9AH_!+OjxZags*1L|y^6 z?|U-c!NE82jw)<~Ji3Hy>tx1(e%fK@z@}mR<=$nh+b|c=eiA>_C~&O#7fMGfNs~Hr zdfjjC176An!@~9DUp{KqBF4HYQK|w=K6wB|f1(8myPuDEh`Kad_I_t|FWSkstvGNN zK6m;6BwHexuQwJEN0wReJJ`U?N{Ayt{5K1vAUxepnp|WCqo0SwU+!H=*1)^HHn;$4A)E& zAK&ftL*?2vf5TsDigbwutAZB(WCQrPY75`E(?szs<%&Vj+QfJmICgKUhLCoLUe+er zSB{Gi<_bAyCATr3iPe8|#AX-(k4c4iXx(v|s}nkqgAgZ_SUdB&R#_&~q<46)dmxD% zmm?6@F z!uJ>Ujb~dzAELO_g?#Rp$zG+6e4IT-=#o;t6E5nsevjNsGl+#%%)Y#7k{3w?j(4Z# zPMOM=5pvq`!r-o2$$8lvtEyR)G%pMNjY|5Y7}VAwG*(j#j_#>dr4%uT@q)hQuk&VM zwD5gpA83Rmn)ADl1?Mk&Ai(EOroqI;aJU0!t<_?S3S`UMn~&J5Yqcj~)pYnjS6j!E ziS-ff#TDxzOP9l=H(nobQY@%pGZ4!pbwg;0+@VPMioGsp9rKOr(a=u*+VHvCRxQ`* z8B(ByMu^pF+O|4+tY3R9VI{H5b1|1vtj`e!KUCl5Z$w64O%4Jd3%`U8fE(!e6sVv? zRyp6?m@d8h$ zd!i(l3ML@MAr9JNYmTE)@94!mT4B3(4O_4uYqr22M$Z}T_}q;3OZww?WsDO-Z0yXv zcMEDjySDunD8Rp5JX)O}>U;7UIfIInuDIP1qxgRAW?;N*l4CVrB zW*E=(+{PC$ELgmGhioM*mvK9xqd6Fg};} z1OR#mVJ^FLg6-Lp4qba0F5KOj6UPTyOdaa04U?x7eEg^4)2nhQ9{k8SpeaWwoO#n8 zF=S>Fn`g%8C(6p4A9ehe_u}t;x=F!}ZHLC$cg>ZB)6A8Jym!%C^}W(gbr zO#(OXbxq!RP|*l^Nbs@U9S71csNHKKtM){Z31L?+i?=C*sL=FkJaY4x=}Agah9B^` zgxlZZd7nzrd!b1&+;!msv3oH%JSFvk^mLNbey2Sjt(-(XOgWWq{?WVN9@atzCvw1i zyY4sXR3~@oITq2?Cwx)H6y7Uw|Clb0rwacbHYj5HbozC0Ly#Pej1^RZh$85#cS>Q3 zb~04jk^A}YnP+>4nXTxpUh#GMX8x_6TJVKY6gV z;bavao%;_X0%xM%)Q7to0VJ+&-2epVn zo#JXhSPQW%FO@rwRoUz$+zxYkS1Ky+zjLi;=Uct|m!0juva8im6mt;kFM&m&X=H%q z(jl_v{wt?5XD{DL9G3 zL$|%TMQ3;{%?}cKqkR{c%a&zSZ^dDW((x} zBOSm@i}0|2k0pUW&~E+_y>KD%%NuIKPDyXf6^`oXE#|r6*+pHJJavhP^5vP0V&mq~ zR=+&eyxKb|+uXX_OnH}>7h&GLc8$6Yyug3xEsO59YWqgrdi1N%`A-!~APXdiMq%FO zi=Qg&NRRPr{unob9FUAp1v&M9jOY25Yy;eoHekg^RXQEh(Ofdr|oNZjN@Ahk<(Adad<(0 zKW$X!H|cC0appS}8no?RMN$)wc~<}}*Y2~;2aXrjZoxK;?>jC$bVW}VSR*@r+_&KV zd%C_&@?jcVXQhGkdfXnO?wBbsO?5FfjCr}v=(k}01Stca+E;{2gT4>5VNi6mtQ>cR zOt(-R93vb0Z60qxjruGTCu|$uy&*>YOW}9+=l<_2l?n&UN;cZw2dzUh!`p#GhDW)^ zS%SicHIlx*Jd=jkKJK3BoUu5LY>9I(o2MIFx63#U{e&*Ys>ik+pm*KD%Nc%C4gK%C z_wP!dYOfH=zWG4Jl0{gU47lTYh5soNeeS%&c7{p!QT>f^p1Me{NbJ->Q$ zo!g&m>M(Q?)(dfD&5SZBqz$ete#tl8>1+8Ubwyahko zvjc8iyan9r(^M)8BdKZ`sb^@XYlIZiC7bOvzsG<0Q$yc+Btbm~q|+&))f6 zyv9P8achlk>aC#Me~v?n94fhAf3&dxxYJN~cr}{lg`Fk)k)C+s*2@g*NVZ}6+0Og> zpbD4w5}kFdxOg^lh-Erf!b!E(SeJWWUMJRJ?E>r}1RscM1!Wr3x-!d~*t~r0v8*c3 z-oB`JX{!MhLWRi*%LumRTgy8PYR#`Lt*Gc=uEXplx;_L-C=nyh04da-H~ zI@E$BP2(gClv_UF{N~i!+gVD;>b>cO;3_rwdD?+{Tu1yy2kp^nFX%tnCD(uU54Vpi z;(|G_wubv^n=@y{g~!_+iXyt-Gq!7q+_Y6Poe^}BJbK(&oz1$8IW{) zz-cOL!izt!nQoYgHf>uhv7Z5h98Q2*P0s)o7Yl4tIT&`96{Pbu7hfH_ zco0753k!#cAf+b$ZNdCzeUe}9wh?XO4sU8Dim5a2M?Kv18vNQR^CBe;8i_e+w#>hE z{yy|2sNlDjRlr`*mojp$6SJkbEfp}4@@3nwX|T`WEE5ouGGp7|B$4pNVA^5mBLFS` z26K}qlExc%n-IcpXb#>vut+0Oi3vjh`EK>;7_-ASF`NnG?Q{I|#0n-1=jSFb6KR++{GZeH zCgw9^P$Tl9cP5T7V^9GKa)PPiat5fNgKo8IJ&L%U)R4OvmdW+uS20N*eyYkm&%eUI zQCFv4MvdPwJGgY|UODH}T!~D^*qh$-Q>TuHcZZX3B?o;Rd zAOFQ5{4osXB@rPfH;;8VG_t0ftN}AI?F}2cn26L@&q&VzlwW8!MbFaj<}25`arZ4x zcM`9g*fE~^0P~U5#ha` z9o>rPoBk^XBw_~z%7{p>hp#+UGgW&37#f;EpdLu{NuRacGTr~Z?|DiysQ ze9(;*fYjjzzU!y9gc8x)VKd_hUo3Ynwft|xHSJaHjGyhNFoS-Rnndbh zd3LXqRmx~%k-UH?^s896B08_KK?4XLvS0B#>f`TltJcr#sYq&o>SlJ`H!Ghs*SQ^u z7bG=Og3jq~VtaciiTYt1e-I^Je!{%<$5IEz>4w>@ z7P3n-%-aB1!ZYrWivY5$s*eWiu!J5&62Yt1|bQ4h+nwr^(8P+ z(%+n?VqHgb*ZW}f$CeR2zpF%SR+T+9q`v;!gmsyJQMr{C?bp{+kL5ZFKRou&7EQRe zNIeN|mid`+_GZ$<(`n8}_65n1yVQrU`#_XmN{`~e#RPJjr`u)JyN27a2@k00fxCp6 zUc;!S#716dgr}w1%8_~hd^MMY(x@nv92d`E@Y;Nlwd_S@7pJVeWwxNrGX<{f^1XP1 zd}8(43;&0^YLTIjHuIw;ye)$s0A@x?rG_fLBA) zY=IT%*hy@-*}C$%;$3|p7hUO#THTmfbVm+hQnf zj{=K?%c0)E3H+Fs?7R}@M!f6>o?W|N+kL^B>#F~Om8*M)6?yk|1A(I+B_g@(w+)uK zaq%09YWi_cyFOT7y+08@{oaK)<5hj!U0eNW zef?>hAT>JGM4S<#@v#amdUkqxI!_8N>RK|y1|`4|a*L9+%^>51R~wk;kCltBh?^CJ zo_l3l@=k$gwa!SQOlT&dG|F{)bMd42)hU6@1qZii1`NoOj`bfqojlbz?wno)T`6ShWD@Wevx zGiabSY_(Cy@qsl_;e;+syjgCm1*o7j3RG)_*cS8ue#T^xneC0<5oojB6QRJ`%o_S5 z#I%75kl~2QPufBTHGS%r6{?U>&_vecJOtGK6?g7{t75PzsOP}yg#{2o%Fzr~dX}7d zLj^M0Te8_clj$98T$%4~6zewToqTUD-*jx(o!V->S-dO`6-?Au2b_T8_-Pk`1!7c( zv@^}fW1k=c8)A48`3Lmqe$~&`+Ui7C@yjzI+|uKmJ3OtRmI!Z~cd}K*7PL{+m=@$` z9pKcm_Ar1EfV}Q0TMq*yV}H#Y7K4?qzeAFOC72|0-oldvUe$wiaifCHOMBwNPSphQ z6uIdVW2N7ec+4X}3ey<3e<*R`u5tHHW=hki9F4FYf-ZX5PXfAADmyu#_a69_qGRY;rCMA`Y(--=@jX59Nu z=#DoEI1O&{JqnJScncc;@n*i#T6iUJbP%bV9!hcAS-$$wUV?({Vo(%3r=B~6Ti zA6V)y(_AE8uyzU1!=S}~rm(vw5I=nhfhtd{c0)n&!VlkB=h}a=f>%WuMxO?tk>TYF z*;{EDlu&?XL^b}x_}o(s^cuRg=Er*t%81CV#s0}8pwDE&q1i(lAJrm=z%C}@0=2|W z-Ds@YC&|$Lw-o4-svj`6ScA5aO$xz!)!6+ORZ2wLg65{^+JI&@KNl+cWJ%1h6Ww|9Fj#e00wuw2bnzg%fijS@Kf@hI;^% zuqHpn5__#PEV&RKC=Pl;R$21sfd+Bs9)x7voVe5cl!(ZgAb`VY6{VC`fTSsn)hp!L z5y&*Znk5qXgrr5VKmFfAl5R@f_vV;w$xW|jqJ_AbPUT2DFhoQ6m+;HEdDehl*L*{r7>PA=&6 zxE%MgBmQN_y5GD6RcOox(H1!VZ0uMu`rY+piaqD>uxnu)i493595q|WT$KqXr{8XE z-itImb?L%ODW;@FQ#}GPudw+oQvAo6A8%MC@p+9$I!%8f`4i`gKsA5b zc?PtmTZzVR35*e&CUF5YL@U5K;J!2K$9bY}!obdHJZtP?D&j={ zX$otCuTs1AO@?9kz2cRN$Y6mcXz#K0QsfeM(dj|@t{|d;V@gN6mOLk2Z?ACWmdYpXob&NvhCf&1f!AA0iYa&>gN-lT7(#2BMo362&%8 z`g#TFJ#0oT-l!zB3(-?LyBN*(ib;K}6vo4nQ0M)oou@mojR)f+@YCbK94=URLZI7{ z@8=nkJcW9e@Ll_@YewT=gsjB#j^9+&ks%^e%@a(65nrHu!GDz@W+hpzGITv=QHCr9c0`1AZTHz zEi&OS`N4|QVeeOPuxs4Px7zYy4W}>-GrGi=K7_H@7JnYx4;G*juCa4<$-{U6;~6a3??H==NSN;x@*?u5T=HSm#T+M%Tje7ehlnZH8iXzpb!m zV+|y7I6&tA^3A_rTl|G7AuBObRag-(8Wn2BDgYU2NxeolxR)sR@#Z1A+dIx!n%eO( zMz>%;pYS`r$d+o5R_C1|mcg7g`V+A(c z_&!GiI-)9`FQH|!$25KoLvMBd5HLTefgCOYqGZx4tkUSiC(?`*Nt2>Y(MMS%ZEp$Y zIF*_bbZB-FST09;QftD^46(Znjc0x`m|%I=b<7f;i?v_hy2NM&MhjagHb9E3dCo0ADNQ1 zwne^ahmT$kUaOMVUHUB4u`Ym+&z(**3h)gBK7M?r21XBl#(e~u)xzrH+vmsGLA!c% z1b5$8{t>XfU*}cT6t8=_Oq=C(7k=?1kaX|;Q+uQ(n=pBb^&)T)Qp26X#H5$I|Cz7=%R57{Sqt*tv0 z6D+~I*0Uq~3-7#?_kxB?3tA4*v`y-egY*(Hj-2js?1P~=lKd8e#-@VCD)uD{RN+~` z&8*3uMAVoWQ@wLq)h*eZhFbS62f+o;1BuvBVizecms%h|EQr^P(4W{PlFN-AG&M?L z?Vg}=?GJ$tRcZF3wfU2q!^owzSMJjt??p%&T1grf%3?5=&zVUZ#(zk;q*K@|oy|0E zrS`EpMi{hP|F49(-O_dgPfGa%WuCrKctv<76FJy|+?VHyOiIFBg>wJ{sJb&6A8UA{ zt&`2f+*Ujkn(+qybhtx73hyuDRp6^rUw}RAS_yYo$vB#o;3(O{z?u=Ab|DCt)Gs^MDR0PARX^X0J@ zPKEg|@BKw2brmkjFyQf^`=^|5>2e_yxT%TZr1vC?KS*tp*aCYm+8d%Z%@`@lQS9ZV+l-~@FT?F@g z;AMgeywM%EvB?XXfO*9cdtF$3erHpnzvx0)VXeKfqp$sxrNiS;X449$W{Ue#|92u+ z$JMSR(VkRn&lCwa_%8?nVB}^$AJ5gM3(Wh9OROX%=GUo;(~=f^{WXsh32oF|oYp|k zRGa?PU74{wxJKJ9nq)gB08g@x!zB>$bZG55g z?cAaA-3)Zkg~JmoL@|hgK?A2f=kw^o%hPxv7W{(WcZ0v2SK_HJ@?@&BeJXZB3y7+4 zMbz0j$UFYvUY_%p-}_%(UyrIziKf34Oyn_e$?zUd%8^;Pim>826MVz78)Xkpg4J#IH16W=&OAuxX1oee7wMh0co~|B$ow;8hvn ze#bAWkDOu{28xYaycB5it?aXA^E#EZhe_)gA?kG`v|o!RH{e|xGjV4HR~i;W(F^zJ ztIyMQ?-rigCKYe_6}*YtHlqj{2=ZF|YEB-Wj6CfPCA6t`0{30wBS_)Bvg|Q>q27B2 zJ{LH|=UX|6CFGyG@XiHc+o3(C$BX>tCO*70oE=f)KnVkf^k~-jgj9mIdA)@85KBs3 zL=(5LIlB<6bNL9h*-6;=hLlV^c8|u}ipWEM2Yx25aZ0j_>US8%NEbtQW z(EJWyqMa+q1B@tWdt3fMnI@W&*6;NzoIboASGf19bJY>J&ywV1K$S%MPjvO_Q93_tl252E((JmkVWhGi;f1+ROfmCHh!BOr#^Gw9!Py`IVTsX}t(=#$1-4gGNgw zpkq;N&gZ!Gn>i;mK}&|>LlJ}Iah^|n7wTyo1#9mSbK*MuGBsfxel^y8dsIQuKR9=` z5Y`{oLOMb%6W_9`ZWrleQmLaGTnM*Km~-CJ9B+CW6Magf?Y(`c9v}ZacWywioRy>Z zEZ|3R+)eRNyJ-hfaK#vKC{)G_G1;5<)Ytvco?cn8p%U)fYYB`0BrHd)xf!WoJ|gU{ z#T1B7peKrp6sEAp;Eld@>Fm(XrueGrb|(BMy#cLrq1d~CM#p)BVcV^tBVef7riP2| zT<&M$o4EPvz&9BAOy=$US&U32sg%PWK_=f`*`~f`DW&gV$E9NeSjrg8LYK<3Mh>-& zGj!QH!BmDLzujw=I$y)~5?>!@&#fAGRq2Eoy!2QzTJNbDrlL(d+^C9B6Yk1mtUcsY zN8ubJ;WO($B8{~D4(lTuC#1N~7xlg6o;$@A;|G!I9p|M2f8x`}o(++grFAX`gSuAd zx%I_&=jKSY9PfeJM+ZNv>FsNT);N_9R`$|)Bko68Xy2}bw%z4F5q3aUSpnP&fgzur zwm20Mg#z69UiNUt>T&hHrC~NdXys3qmg{d_*&2dF#*&`Csu|}iEO2_#SGEU@X;rR< zW0karINAcH;juL_S>xF8oEHMG7v0Qv5eOW5HT#yFnbQHz;>~xq;38*Y8wlCi=;P1O zx}-PmPj~-oET4#5EZvPGNkJ_aIy8al#~tB4#C?2Y^t;hAxvy;m#U!KeVNNlMx8v8& z(^!z+v~!xaeaGT<+qg8(jAgRmAQy*$8otHwt~0Uvaz&o7{}0Y$e)obAE!X^mMvRU` zh~`mCgdxChP&lGcK34YjDqvnGz?E2QXsA9=&}@$p$)djEJZQl8154IM*4JiQ!9nr8 z#7w4eMpJSKWP4=?4=@$T9w}@i-aXuSP`T1%_uv?pUZPWk6gii=a$2Z*N5C6SZb-T& zzBc}(&+%(Cv-4l*+~o0s&jeV2aNqRB$W%5_f)#>iU45gy?NoA2 zki#=P)=khp&^HbEwBcW^yQ-7}E*pXh+$8yhrS`S+lE?Ap+DxPpJvc*!>uzZ|dOsV= zi99tX;jSBjg>jem1hI~Xe{Jtdg!@IHyF^61ok~K6hRH>|q}EDHR+-^U4*KeSc%F@o zY@FNVlKq`2FKff)m%i__g54+(FT`4>bqimruQUBgXS^u)@2;>4A)r`K{T&r&>ng(sH9G;c-t>I|Es31GBwZgF0wMU%<|pp;rt_< zS(tQv%#{;v7aTt4Rdt?a`7S8^$Y(dPTNdLDFjrGV8>fCbQS-x$^mgj0H(gnKsMTmT zy-fCH>4Sd{2l~hzaflg7(v=?02|M^sq@%~M-Yxg1qdO^itNiC{7&k+5+zmr`@n)hX zBJXpgFe#P$OnSs$VW4@{i>rC<(Wzrvq6q4kRwsB^Cs(S?QZPa-r~h%qjdM-^BLIF? zegG5sinpRrttw=&J=>7qdR{(6qXiHWmr?o#LQX8T@(#ag5Z$rlH#Ss8Wu2U-B|d7f zW`-A6i8_QAMfiG~jok37d>E{yC+{aC?-?(n-ynptyvZ*N&tutOf?g0c^k8~#NqTKL z28X(?Hu&`1>Qvm%0{4}g?SRE1UqmpkIpyds^EWYdfI4O2)6rbNAAE}=f8Zf#LG>0qbT;%=>`Ls1sk?T?Kxs`{ttBR+Kzm126gnp*FqVmz0LZpgB zqNR!xNvUfhMHj>J^=GfSrnNC}Ps{FUKIMK@m-*0cZ!EHg9$xHuEDK1!=)>dSL_rhS zp)U{ULN#SHZ~GN7llxO7Z(=I0P8BUO+Sxq68m9nV&QRRoJA^hNlyg(CS5>0bWshg| zw_NL0(e}+?14dpoeJjtbBVXPuHtaFikDBp8{>h>Gie6rZ>!;Lo11`Ai3;2Pn&+vOC zqLfCLNV9mCzN*l|I3TM(5icHkLD{4WiwS-&kzDve+gvGzHaEN}F(w$IFCoM8(>Rd5 zNSosRH8r+5%hBRjXni4vS7eY0tki*=EfR#c;N4Detz_gwF~eD zNC|^C{)_tN^vMg%2PI>f(dwIe&pFgn0b>t+4-Dm)JbdaNqak(6KM7_1+(vA$Ia|?3 z@YnjmuLosAk8z`lX_knmr@*&?ghEXFr%zCBe&Gnb_I;~j@+}71I}`p0@}wdbi~^-W zWEuRcBXHgiH_iulbu`@ObIki;gc3{H)h}>}++HbTdgo;p-|0q^yn^#7FEPh&{8(e- z0ailtr!h8z|Efq$n;IIvT^Z!*dDjH|x zn~N2U_^VQx`3rucWY&u7VYvwWyFqepMbXfK@sB8cyS^9T`Qp1T$;JE^&q{V1uQ_2J^p=ABAm27z_~lHC=plBx zPt+#^Q?EHkp&P#qI5_?j=?~=<&8KzX;;*|!n88zzo3Y|?JOKSa%)NO$)Zh0w{xY&A zyHJ*y5wbI5W=vULr6`o_Ta*~tl{M?+)uJR?@T5hNt+B6@Y?Y)CN%pO>WiM;?-+iKY zs@LcH{l5SD{5*^~&vWlt?z!jQd+xnw3?bTdKfh6DPjZ;V!g&eIKpg1%=#TuUYI`H% z;RAfyS0p5D9I$iMUx>on`pV1?&Mm|(4or56e+!+%ceHiH9eu|}XRLZUjUmVP!S{h`a!!#c%vk3Ugn}o~54BO=OV} z8f}V1&81rhKj%!(SUi?8=lAMHYGgq-J~?%?U$mx^TJ~DE&GDjykhTh&gVWreb|xLu zFN`du(W!odBQ8EuoU`WD&L$p2M{@m01jwf>Y9>xwfGyF37!?R(fOGRu+ZHBS`xls- zK3N2#=0IF>k}lfwWOiy~d@clCq+E=${7AYPEg`ACDph3C?C+mL%k)a@lpe`2$1~E` z5woA>Q|dmAJugnuoD+KE^mXXzOh)vXj&}ukw4sOD6hVm7CqNBHS+It`xo)@Lzjjjh4Hbwy{xw&?0cu&_iY`Y;$5;#qBy zpIKQ&-%7w36ySwAIHLB67V2LP*oO-jFWJ9LLeV096@H zw`HYVE6=P!`pwLjPF7c~c9EwHCQK(Dc+B;@Xd3kCtl1`B^U7G{%6;#G7U^;Cf=H>| zy-nnK#hfBrvRc-?Knvc5BuhaP*1_97U6TS|3#B2K?>K|O)zua4aLcFPdoq1rO$-~A z4NiuTH9wmpu1wO>#}oDZNbYA3p74(v_uS zf}fl|n)ot;V3IVS?VtSNAAeb&M+Khm5e{Mvtux984~iz6hA)z-Sr0-OZgJZ=2)1ERUMia<}JBpmK!Qod4jm{m3P^m(I-7V*V2i z`*f@uAB+^}rp#5mNZkYem(w{teuG}frP}JXD58Cf@xrL5g=nqw@%X__nZQNby0yX^YUa77HTY`yWYSAJq{r zw--k0Zco#@q<64NZ-CB|ODQ}TbF3a)Pq0>C&Xcou*%~}x6}!zldWpQ8mWq&~O)+ZZ z(x*S-U+a5vT=CSUXQ`4oErw0KIWxt*jqjc*4~|!>HK-&?=u*47od@P~JC+A^{jQ9( zzuh`H>CpDv$K~`;Kq-RWj^dDe+S2^C*eUeE>nqZ@kyRoT^cN3m3eUU+u6JNDuEhLrnPJ<%H;F;JSMk^ z2A7sp-tw1tRGq>&DQ@JO`(moU&+nL}WdV<_dKo&8H&~_o%SdUYUS&Yj9tEPY-~(D66!5MZ=EbR9HL_=%;|8=s)ld4U=$P?pMJK1dVXf+$3o$N8P|| zZBj{kZdIN7RLIbE(Qa_$`K%>QcZwwH-{c@78c>#|d*z^p#r;4>8I0Z$lMgyjqW82z z$?KSrN4onC&hAhiW9V*?VQ3;Ne+VVw(w^W3o60&4zVX~2H52{Bz-8>FQ z$D)l2DtV(Y+BwZu=Gw83qccqTy^p=>%-b&cD0D`f-@tYmgd=%D5|2cWc_6T9(N-FU zVBV#EIi%N?21!i@MM(N&Jg(8#W*MRb0la&Zz&XF*Sjmhe70pmkk4*g#xF3fxFp_%2 zP5X%1Yma>Lm06U0&GOmosOLDD^LsdA%sfWRqvMlj^}psH(rH*Y)n^*}I6Yu~bXVrz z8JsU7-{sN063A1G{5CXK#=^-jb2thkw=G%og+8e+szKfUg3a!m&8|6}k))=!>SAc; zRS60;uQM`s_=>9bEuK>H*px9=o??HP6t|q?!aRp73N3yr~26weAST)k_8L&KB!RRHZk?NG`l#&|cnj@~uJ&d5q%KO2pjFV^^%5LeEdg zI(>|FLlx4*>*Txb{6}xMR0lUXJ*O2Pw?i`B*(Y0qB7-u8aybtrM1BZ=cA`4<9}_yT zvc(5S{l(if=l_M7(|lju6t`Wov}Js1Wa%;HxE)s|(mP{L-{OR-_UQWhkqiZu1x;d7 z=vTMD5Xd_Ke8%VEu%U*weo~m|3+|{K&sZPQG&l7{Jz;Ld;_b3`KxeyIi*i+$w@Ao)Sm`(Zf;t#`?xVwUqbZ;P|CXB^%d6Ze{$5?9-_+?RI;)a>k9EqMKDyx&oF zXJ01q8)d~M(?pltq1G`r*A(BUe4>A(iJ&znyh1fKX1zc|Gf(X_VO2rUbWnGgvj)@B z=u+=lvic%u7O2}#vc93GnWTQSV@;tWPfUf3eYLAdFNH{@mzxTQXZ*7oChsO(^=Z@E zng58?O3|GD`#qK9?LQaw!SNcakx0vh>M6q-@2am#@&-|p-3q(6hRr*=yw;623lCW_ zj(uD6KutE^(Mqk{J#XM{ii2wnQ9H~_yyXJ(C5vue&TWrt-%H)!mw<}$&Ga+q)FF?Z zhFtM1U-J%}bs=Z?*oK1*x1h^at+tvjHiw%r37!aL7hHBar3+Ks)~+6!Hxdl>jM@tMd$Q2nlu}?yEM3wk0Syoel{wL z9Xa>`v&n!*q&H%Mly1B**+;g@bcU>&ey-*zWP{YYQOo=Ksf!CHK2Km;)=BI*ZzVVP zlx>KxX=`}`QlWAWWFsJ*XxqeyZHi8Rs7zqf&1sK6^0cmWHDEQruIf|@uk_%w*9AG@ z9ZNzO%Vvkfw4kosOrL9ab`Ay((_RFp4b*)_dOd^PhrxVhu_$O*ty|vOWhpy)e6iO%`awq0 z;x{W9OSR0T0`D%Bryt56ZBdTiVH(?X-$u%YIW_XC1 z(n+1!mAo5w+�l{o$mP{UNeWL(ql%$XH6g&@CsD{kNBh>eM|ARuVR$;ugBruS=r_ z**ZN`Ta(}RG$p_7m}F?rA5)*ZH$|8$8atFh@BNSYp24yHHp^M#oKI)dCBxnHf3m>VK+Qk$gI@%aPDQiez0{0`D@9mf){zh zCfRP9aeT#0&5e=PJaYl2m6xiU19qu!rf<;#n*mDt{;NEZdZJDNi&p6$M=n+R)z06% zfO%kuL(l0)Pk0ITzhe}5!VYf+ z*(f7DJya|9ai;r$>nR%V$tLr%0@0#d(k}6acQJ!wX&xL`GgsE75eR8_H@8lDNzaLMtTZr&wLj7tl`6K6lD1+=mz4hw2#(ahe8y1n0$Svsw5vV_5z-PE{$K3gO; zGS;!Xdg4YxZhE`Z@{3Pm!Lbx`LCt|Jc9gd8?|aTJ9X)CIoEKpeno9NvR+vjI2Z8$O zEQb9j8$5Q(_{}bS(AsZUeeC_A>wW#*XA`=|yaxY)PKfAa7oQK-sIOyaq0 z6NAg0d6((vwFPp^MVp7n%VjFn>Crc`n>$`{N0pY?#x6GV-e1m+*NFL?lYaW!)iSb; z>r4w9p840^f%NN-o;)YUO1EE?Fu$)}o>Xf%bG6MwLJGAl<;&Y(y-OlWv^Jq%GoIb> zmk=anBeeI(n}Qa#)oAZGdeJ@}FOrzbvYgdtWk#MDt7P59clXM{D80(}dIOK&Fw$Lo zM9)9Oc!BPt@2;%pDNZM!o4+vfD1U94ld(-Y&F{zstynsVFe2xv(8P*97^fC z+aS8zGpngBz-MG{14Q%5Y#AU{JyB2vy?fxqvb=)6&t!z+`C zVU*>U5BImG_4q$XN&eC@+md#V<^JG~j>{ILWzo8z( z^RgsbWltt^?bCN}o@yua#XP=6#Cr(GR6dDw-cv_*K_*fUYruChwGC#QhJ;f^;tY~xZL7q9Hx8ZaQ})sV*|Hl(}mUKkL8CQ z5sU#!&xeDbhZq!gQB;rf2PkPK8M5IK*NXQu20#*Y^gX0Z+6$WB=4ox(RKC0ISmI5Z zth)Q0Tyj-*;&zO?+}D{)qY-zX7k6X_3J&Jx`=)8V_nW4cy=terN@aGmFi={PbMJ~* zDSeIIk1IUg%cT}gP))mwR%=ue3%BKjL#+k%MV_x-@ z#9#~rz34fnPJyjz+?}a*z_U~Oi`$;ys}#4rS6C#h&WSLy6rFZppx5r4nGTIktxYF8 z?xSnGBQar0paiaW zHDvO_v|4q-WP~@sEpIo`Ty|vHvp7}q=5!><=xL1yD>y}_lzl2fD;%6rXvJhhYFleW z=FvHBS zIwtE;R`mm?`zrfFtJKTFQ762*N2;HnPb&wL4<^K0u%&xRRNkNoS5y&>$dV*mCsWeg ziv^+MMlVveoPZ093|X~XOQC7xR%Mb-HU85iUMni(dqJC z2T93}Qh_RiU_o&TJr{H<-G1a&ejZ(9zXAb{BJcMt&t5TNKyU4{&UZ&^Ee|0Ts-uTbG5XGI4uRC}C& z5TbL{@3Ie%M=6|$TNK8dsa^Rrmv^LjW!z~si@eb8w5pn~QKjzW$&$5PRpGR%kZ)G? zwViyiWu^C1!788CVnj&Gip{w(H6Z{3%sBvF{=KkA*lKa^6Zra*b7N#-?GTD0)HzWx zf-_)Y$vW*WM@qh9*KuUGr1d#e(6iSqZ+2rc0zD|xlX7Vla5ePUU=osjiI^&Q!GZf#Pvi^H)q)|OX z7yPmnsBSAzRUv;^Q2ekk4bZ=r{95295=EQ!X@A-2ME4SczFC=~KJoI+>TmM@^V{>A zT4SqhCBwDRv}Iq;er_tbp9YCsn%l)xy{{Z7GM*O5sl%RpoEbIZ`^zs4GUjWXGdJXy zS&%O(_0PJ~yN5U*XXQoCGO;ZGkT$NK^;B(|viL4-oUL?N6+i$1Ao2>p08l&2eBrM| z)5X8uKo}k5_`MH?m*b3#UcAd2e}92VU5z^EA#XA^C}}f36?;eXb)dE38z40K${QE< zf#X1*2Idi^vh!*m_}TO%Dx|M~)wMLRexA+$+pf}c3z=2{{yy$=)baoqZ=aoZK8+Ql z%Iw!pw0e&lZ;f8{PnV}TP@03-y8|DWEZBu5@JzEevHS@GSuFt{sl_?}j6;YxVn zy&XGsug(xi+L!DjtvURAcgAC;&+H@|+pX>v3$j#VQ?o&;ioQ^Om^j; zucb0pD2b_r4Lo!d9nRPSK#Pe;WBV^r$g< zuHBrAqw)7Euj=xE za5}E!imv+KqmBQx)h$o|p2M;faPcBh!-=2ofe;zbQk!w;ZFAkp(cg1e{8dD5f_234 zbowlOLQzeh6fd2|n#ZSoVM}Q9Lhqf&+1!zBx1l_3{`NiDakuPU(*{NUS}w{OKbr}{ z(PY0JqJD*7+mX>PaFTM-EVPO1>qR&W6^`4^=Mi!9?~`j;E#x0*Eeh_;EYT0gXlwc_ zyptkz?H$I2n=qACI@MNIlv`5;QJzz^X$v9#PuLA!dLKDtoY=lcADrd*jSWkHU0T5< zD+qx#+Iytg$>3yyBTkFa;8E4sg{lmReh;&x+DKHv{N8_fkCUcv-TmmJAvaXGKaK%C$6*m!XM$tU_c}b=(_<%f3m8<=k5?PrK)ru3Vym^RH_?+I$AJ9IFF2PFb&9 zZ5vw}+@Y%ARI6rE6MSdU)Ar;_TgQ>+X`h8p7B(yM_fip4y5DwHK3mFDeG_)n13UdsTN$K~NBTu|_bDu60k)MLz)}@1^zKs-?GllU3#$|;Wq}^enN2GQ?8g4hB z{)0(0)!wgvV8~Rp@uFPN;gi9h5$4nL*G&~4i0WR`C-2igHQ&}Yaw{AYc?CYF+rD?q zGR}(M2!tC8e`z1&cbDr=V=+FTvScrjDfpzWx24=#Lbe=R@-1Pe4+)h$-r27J!^-9AXmnF8_6zfa-qbXMuXpADWJmI z;^gD8-bu@`-n%tY4+E4^t5jj{eiUQm}}ghi|OI) zm7ZXv$IW0p+_=HBJ=*1qAk$^)rpg15yi$99PFx72v}7b%=$7rjD_&sK^?)pgeR%zO z!i>+9dK$ip?PHQBLfuIUgYbX_eZK?K6 z+v|6OSG4#S?p<;z#!UaUmHL@1VKZLDqswnJdA|TGdRYbgVy4d8+|#8jaAn!l7oW>Y ztYK^b7?J)5#5pK68ncex6vD)BGkzWlW{ju}ni=5taG%O{(xX z-yPekchgg}?H-aLMwS!zS;^m0%IDu-j=!pRa_jSff1c?SbcA&C_Fk8ix_Ll*7qje~ zR9b z>}a{pmZ0BEHPb1}smdD5niW`l`MtP0o5t?NJFp3J!M~4r((pI9`pr+!1?e~-y~U%R zucZ3_DThUk_B5rUekkM9pt%3;;q#7@D)e4{HlJ0ukdh_Uqn+15 zn#{Pyu~*!I1O$^uT^9|AqQg&xji^#=>( zAiYH^mO9d&HT?D3_o z4rIv)`xWLG*5#a5@2hLiQz#qMowIP$;O$f!r<(7^jb6_)4gsel1)+~)x>K4(rV4M< zw4lM?U^)O}E#I$_nZS|E6-@FM6~;Gd6Ct*YC9m!2hIU@`RRcLla*;1uPb*ZGUJ7Ih zd@xudwAJH!;BJ|BuUmx)uRgpgD^(Z>0C#Yd_l7naVz0=qU*q>tYL9UClr7=F`W(#_@kGQH2aHp8q!!Rmh>H|Eq~AWLez*YN87Hec=CUq6+w6 z@PC=8Ql?Dk&+00433QU1Svo6Py1Xj_S-7pn3vpp9<`1qPMh^xL#s`n))r&@LM=XlFE^OU7zo;{>N@5WXW}-v<7UMGd`T|8i^Arxk8Cx@>E(`dMchA)O z9c-e>yAM*&O>wkst^d63kgcI_iU7aCH1l?TkMaBNAbJN!XWNq~Po+m)yM$HoI#2b- zT;m629XexGl7XC+P*I zKG@8>>DFtHleKy^Gxa8K=QAk8)eh)+2&)~<6m|C%)({4A3R}Hjk;P?Bb(^fHvvH&9 zJ&WzzK-STu`eXV&lhZT5B&0;W{ErwO>m{@F|p?E@mC{iHV^z+H`96EYr6PtW7R!#62P zDQIP$r;raU9W*l@lVLk1aY1X`XpGfJ>MBE2$`cl=k}YypPY36M5r>WQ7b*x!U}^p4 z{G}3X@wQYx;sx1mJ-Sf}2g`=QnZbN>I*akc6LifPZ{AxZ@H!Ef4LxFb4@;w;`)ks! zWC_>#^s$kuKUuw{y?0Le#%mp%Wxw)M*Q(dK!>Qzy++snI8p?9+v(fLnc4G{hdPxt> zQ~Z|9cPe%zUUd1AvLf*&)GvB(8GV>^TJ($nxYshOLQb>EFhvi_haK5YfQZO4jq@&I|l z$d2-sUVCq;iJ7rXNU7{NC`vY;o!p!H-V70vFg^wy*wZ!o_sqd@LnfmUMJPLYnQ6+x zIr~LH2g{wFq48VQC#@X+kuPpE@>BNHu2-L#>c$Kkv4~ z#?C3%x3WzHqp?Q5-4*^1U+WZ@eHkTRz-jOsb<-Y%^G-vYrrGnYZ*nXvUyNK7)8HROAQ}R(a6*Pm$T3;$| zn=(FIN_NuFeSBj1Uc(gi(oDgM;3M)AE}M>7!@5IXwwJ2Z(7TJL2T`T^4e3pA?y9Lz z$EfCKbz4<|6K3{b9HX@<>zwT{zH6haaKxqz?9?Sa{DlG~FmUPg7wwy*rsH=)8z0FX zw4=Niy(jck5kpblu5YW;KufmOc-2X@Kdxm@7ctDkFY{E@^uuy|)Rt0>*vF2K(Usub zT>1iIeG$9CD5P4>ZV-v0mjQPfyQ7{d<07-z2l(PR!6}CoZ!5_1ifE7N&Q6(dZ1p&o zIJa}Q|F&2%?oJo`qIq3H5(LazBcvUhee_oHm%R$|I$ z_7?{(4QPRB;F#@AGaLWr3yN*6%r>FImtJIv{9~rEWoqs^5G)VX_}i%-1v-o<{{-G9{7 zB4Rk`^hBRyno`e&KtYa3Z1`l`r??9`|ZW3j^JxJvYv zn(9V@Y>|-BgO~RxsN}OWzQgFS$y6u;NWDgWn@q(tK&aP{KQs-Di|Yg{3a{;c9`McItopH=kTTM zu6S+#S?M6Zh8fq1w8(hDgq>iL2@|Di~{AcJ$ayS{p}uZiG!8$(e6w%*xAvOT<$)O&Q{nAf@^nowYP+ zz=~gG;8A0d)@oB-ZRfC=S5Gs!)VH=Yu6KI6uLRgXG)^E4ohFwY7znDs(ur{KbU%+&IOrgLNnvghFFATC zMKuJ^bji}m>KIc+eg&@VI;QeH+I~FB_u8ZAV`7q~Mj(fX32YpN#f}_n9|Io~1D`1= zOEeDrDp#oV!n9pzX)nf)Rs1rzT$}X?+Wx3VW!7g*N3*`awP(KV#>&f)3vLj!q~KzTNw3C49U6f#kM}3b^Pn%r~jo&=+yb!y(Jn63f-|f$(ckA%D)k z_EtOd<=RB%Z#hM^kLc}smy(>nRo?yUO1V^GW4+Cl+Md1diDmZ{HF$O;fGoxx1<#hY z9kkP;eZ*7uX&ar#a>lArR5q^`Iaoq+YL4%1DTW-O2X=5;;OmDK4jSHn7ULg%17nVe z!pWN(8NM*x|CtLksJ6$Y$u;sOMAc6gewg*J#(|uTwlevK z^Q{89U0toJe;J<2IMqcSc<>C2{HJntYQfjQCpTIW$W{XUMnag~m}K&o=P78L@!MIA z3>s43Lmu8N!cm{!x!X}ZF2#L4o41UHYp($7aV#C0igpHMb0GuLJmk+(GqX!q|7x9)l$xOifAjx}Ze?#u}_tC+)!0p%lejXSEjMwJ>q z1zpZP;aXu}geF<#>Z0|S`OtVjHh-i(T=^&r3h*40%xg`AsjB#yTB(roX3Sy z-W(VprciG_d7-9f0`k2Ew!AvtSRdJdm{tU9Ph?N!ZX3RVUVODN(`vQDm-4CwDS0zTJ$`+rx zMTU>|*XMrM7y<{LS+CGGp=C2zaAdCL7anH(@L*I!f?-q^ufyC@(wA_)Anog=x<^D$ zd4UfQL=3pqOvh{6M#lvXK9MWa!{^4OC6P%=QDiwrl>)CjCU0+Y8q|_Hm{pN%wG$O= zcR`-EM~K`CqJ}aFt~$YicQj|C!R(7h%pi#1=IPX^`WifCLM$v2b>HnJv>)L)sPHqS%JrNkE#QwcGBH;C5x zL31%WYtda~r+Io_IRR(n>l&6GWqZ)S_tGlxi!qg8`1*JvobLsl9htJAaT#^|WSqyu z)2Js1+%ZOdbG_TN>X|0_%)!qQN*(gK=@hpT*jFcCNl8fh`#NZicUWXY>#pivNIf~L zy==*pMfdH9TJHNt(FChP(`si%tL59^`0AlmH$U-7F$#F4bcPdWp6ow4*;#u94v?LNzy^w!0i?%V1JmwDli;yit-yV-P|{~ohNtQ{a3K#v@S+>{u*Uv_i}FEP+3WGk)2PR z^rfS-(({u~m)xe_^fcAnI#VuPG+drp|^HHDNnVow#sb! z>~__ddUc5 zx7V}c@Q;=ZB_r$;dtPpUYgpEAnY~pc_h_+9=gUh;mQe!tx69=Q1O}GlBE6@ib#JMI zf2+FavUnGFY|LkdLQZVY)CynnnHAHYFpGQHm{;CZ@&V-D3#-0C>AGJOCw|?fdF~di zuKVlT0+Soy8a71v4Ff4RvUyB$Cef0Ie8N2KT>sN!$(q%x!(B=UbK|B?Oty#rcyddy#>wgtsjgisfqJSBk5;RT;?1f zy&ZME>rlO#CvMo$Tprs6iBpN0l~vAlb(WqXO!1s|8^#rShA zV%-H-H$WIm_Hp@a3Di298<(GU>rt<*3h`+3?Tt5YBYhWO%a!5)s(1b5t~jgtog++B z^A}WuPQ}8t-C4b*Zo79|N!?y#Cb=JxzWpx*H5cb=bthM8cTK#UXC}GYdU(2%tX*Kp z?UW-khKwP|Bju4Wj8ni7u>UE*5)?P^78ndc0l@l50APLq*dG8~ZLJ9R1Hk_P2tNR& z9{_O!P=bZRRQyUmSS$Ttt+dXX8uWlwf_9tbCXH}V!$X!>aSR5(#)nyMpR22zrw0ZZzgD7XT#eG4eVLFZ{`co78RCJa82bvHsuVH3s=U?5*d^j0Xe0qjfnTZ_0~{@u zxS91GfTF=dsA>e?x=P*2Kqc2C)*Y}cbP9OL-FUErA7(cmDDcCc|2}4|$q=5TX5(cx$M%9214Q7*URtk`f*(_Th zV4!_yh7IdP!;i!XAi1OJM<=$!MA*%Ih0;JR!7)&)rt(LvQ!wti0rLi={LbkE9QlG4F#s)$t7Vv!LC>J+1Qyj&NfFgppKL3sk$qMEIFK+PS0k7@g#Y@c! z=2M_2Z_>i`+?h4i?Hrs*9&%XBDF;tETQ?gycaq%+7i(89YiGG5>Ubr2d3mEF|EJdc zH+<;U7(v#r*aSjYuJBP=uJD)D{ZvXp*2Tfb-Oa|{VO=#_Hy>ALH)~rDIg+c~0g|_a z4e0>T5Ce3=$zw3;|Fc^E4JW4mu!2x8xprASoGymo?@0JZP3;Q1$O3VUpL>Ny8z2iJ3J-R~8io^Mj&erbq(y(`9560y<*>YJIUrjv}CFE2JXT#|YVhfby-8ijeSGBptQh3fYgK zey~MK(NgUKkt+!3y(1D2)wXgmQWMT2zayYd7r^1&1!N}z8aV^VSRNvos2onn8U)1P z35qyfk!=Ww#|JP69ETB*m>&{H)$&0q(`;xe7YM4E`ypEpkllGuc0L)oih%B309aK| z7@K|>5Cgu=2#9(WV3Y456=Ck-^<$tsc&0rh7faXc7U10^NU0tH#D zk|Gi-Pmsky9HMAv=$$X`Rt7D+%rOVo6Vz{8dA(_%?b%2I-5LpXtPuH?2GYp|)_0*t zF;Q=JuSv>B;-bevX9SlbXKA4PLZCzUERqFu*%KrVN-IZlQs5l}@7C~~DoF;d@tgek|{kcx%9 zFvQmh%$3c}$4EW>3MMk>21K9@(=<@T#~zXj806QWep+&%|O9c!?;uaLB zd2AWf1ReltURVV+rRtF!)Y#X^UK)r|e;W%V;3*_UtrtU$AfTZSXjaJWA2xC7ganF) z7CNH8Z7X!V82lH;0cKP;0@7^+5rpvHyyDdF+fWEv2yvB7kt)jpgJ)lH;HYIHC@@dt zFr)AgRvwj2D^Jjb^p0|2AuAP>?#8%&u?KG|b6_zbc=*Xd5eq%HKsrMY zKe5wMg?FM15RiKenMOFVW2v3qxuoh z9Wj(5Ra6EAW{YA86qt~(C@>3sl}6#Bw;;DrEwoYdG|;jfD0z7R1{tsb3_XHcq=C5c z8gYqCVO9<8f_Um9ci34Py7+O_ z3NPR%Gz5slm=~9_a1KQad}!O&C}mzrs$2l-~O<)2F^n3Dn-*sACXY}=zfpsZdGxMS5dm^M3@3r}qehsjQTp(iSW23lwWPS1LU zYd6I1%D#ol7zOyzC_xGYE*zCR24?Q!4%#n#7Zw@r1+-C!LxH8|; z*aE8zg_oh+A-Qyv#Q&^pIGDaK0aadS!t#T!0Qt)~C`%eTMR^cAL*GE?1f6H(VxwNq zLtUeRX!dg8qdV9csm2AUMg)`)4(gwH0vj^(CIDTFQDAAWiv*x=8O*Q?0$}FzwSj*J zfb}m?sx%wx;rx9R0ebjOXbbgw6^fVUmuUP)2##Beb3ptpwD4XTL-l8Xm+)2u=9f&bqKHUd8aA~#nLl8eK-T(47d>Tb@?)}ADH5JF>) zVu|utnFF%N4ru(L!GB4P43tLtBW;dayO8!EA=#I}!q;k1jWkd&krxL6Gci%`)uC`d zY-N{>s3 z!xf0d0Hl6|u?NZk_~kQ<^?eS&9sMx&&PxDl55m~;D$rp;Lohb}4jYb|H3DO;fL7E` zV=#E30aW1riV{UYsULvjedI-Np?XZBzyd*S0kz&tqr||3-v(xa8=nNXPP-(n`;CBd`J0>!)CY`cFvp~iZ9GFKZ*wwH+nLc|Y3iN; z^P~!ziMq&w28%Co5`~Y(0T$A1Xkfs|X`sw04!C4u7J%2dU`E38pv{FmF!tyo0707p z=(7w!<{dDYvI-;tkb`oviOR!K>a0!EPG042b(jMN?(ShO)08c$`C zM^Di}=XpR;=T}ff#K2N1yg!~#DWX9v@PQvZ_XzXQL5>8l|GbY!cY?@bClCsh0IYTk z0j&WmcIuZsus-@oG_Ftu4Lbb>8VJFvp~0F_wF^yv?yI92sJ{DPreDQC(LHrozPJPc zD-Xi8NK$|+;t*^+%y+PWOKZVkqa45*>%icW0szk+g~f_00<}N|CTi|6G*~5c@u29v zK3wFh1i(c@7);m$z+EOVSfdQUBW7r@A}y-|m5-VMZO&Vu!HTtaA5fvd64u5}9e~{@ zU||slfrLy)Ac5l)Y?!y2fGW!oG*sOdZs^?MALX`oa5;@GJ2)LX3OXj*9xm5D3Iqar zAlvg8AO+23q<(aUn}1&)kOEzps9W7&7HCIBB7Jpk6X!P0pc%SYV*#BD#_m2I8)uyTI88jv5$` z{=x_YrzvPARv2)9f==S&R$Mzxg3nFlj*`xKf`cTy0wmRdwuOcp(A?1Xax}+wfLl9H z{G}EiBD_Z?o;`LqnwJevV($_%1WJU})0=<63Xh|tH!XaP|`yLK+RR;FX^vDT1v+rdp+V=fQf zYekE4spBNpY8|t72m4@HDiJDeM(>1Z+R(fZhcB83DrrP>AOKpw87%@GYXi%^Sqo4i zx*4=p{KI-#eIptL<+q^O5nwq-d;pBK{)O>M3mOe+wV>G`rw@Qwy%n%o-rz9M1h~Kc z0Lt#dXsKo(xv2@w21~vT)6KS`*`bTgXili51(c~ZuS?$D0_Z+AqgfFICCH)$Ee54E z12q8EhgLv!trc*5*#e~Tw*j_1EoctzjWga&y$sGz*;_&XooEB>QyM|-^PnQkguMw& zGXxwo1vk*B39uO1C>eeatYq{7SSh0kP@Qc9RK~6ARQ^q%HUq2&0faeCXimEl`RCBUVDXT4 zJ6b{Ur>p;PwVw>vU2RRnO&*U?gg*HKQ&zO2xpCN^4Oky@80;_f;L!39dMv~d1?Yu4 z&|J!Y(kuStxZYUIrg054!eG}&hpK9H!!{nMCIHwetON8MmH-h!Te(1u-&DXu&7Xj4 z)t92#cZ1TO0yb1uTpz2j4S$FvKsUNT-|5{27QF6@X4m>xy5IW+qxhTTb?g3OJO!w% z6O9(%q{R=JKed3Hxqdthl~|#6LP6)zVjMt&wHuWG%o$)21fJ#Eg=Q7mWTN$M#QZ!& zhGz^676-lW05+)XLUTYLJHf=O(t&2AQH10>K{HD_K{JKA!9e&~qy&X_qJ<%vE>M)+ z4*Dx}bNLQHIs&W>o$CPQvzv<;Ac0O$-J}D}22TIgI? zb~RAiZP1H#J)3l65Xb*)?S_RmP2F&t@M|3j)i#3R@-z-KY41lc$^2*m0}lUl()_{T z=ft|k;E&lH+##&Z=1CtnD+~>_qqp+?;PF$fH6B=Kp&czsYlKmP8bHSayO?%>`Gcnm zv~7L-Ztk}YCcsy3yh97}V89{Qj|pI-l&a0p+VN&8Bq}cWAy-kxO*jfCi{jg}_ z+W3LK+5ojqgre!8_J3zY{Jm>8YXvhRtTWO}WaWb_!huFGqeFjc1P&m7*#Adke$(iW zbsP&eh!6YFyEOlW+fQR{aNDHlTKoR+I4lv0zYSbPs2|PwFC4+K8&BfTb>xQ~e`>zQ zbA7OGgFD1O19S+?>d?QjTEFn!>?E5MUTgCDw7a><(6<+08mb#W^NMe3?~gwCsoR<+ zYvj<~6TrtZZv!6#0U3xA2vA%eLR6v@Bd(6 zrUX1R-v`D_^&py)Mjm1sKnp-8`qA5=BLiTL-t}b-JJyTd1;q}4k)qHCur~mf8ywL6 z=!}i=rGSG52hc)LXdj3u!SyLT0WW_6k$cux5pY%bEd;og{m`0Z zvpzJMQ&Hh(fDCj77lqJuSmzI*j@Z92|9yT@1mcGKfc9~zV4SZlynhdaasDSMxGVnA zsP#Tjl>doY6TN0nC^!Xp!&;=1K8)u07e<($8gDSd{L~6&q_F0>(2-QYXv7z|74OKu zGQ$4BXp@d>8m*ZSihsPp$V5-!*O(7JO8wEOjh@2(#Qf0irx=*&#+GMuzd(8kpg+e( zz-a#$hCds(!Elq_YYf*Xr_Bt((QE+dXtU9^j^^owJ9?91YgBmXa4+cSN>JzDI{J@h zfaNK<7cESyhyh1`O=#|2zs0}*W(#6;A6ke<5%X^vuEl%z$JR6~2FncZ4|DyLyrw7A z`5DA0Oyg)y(Lap?QUiY2|A%oPVlNs6c3o@yy#U$2xDfVdShYbW{}TgC#jLxKCEW9i zA!sITBL&R=wd)oB=&4QWt+i!set=Y94__N9M<&o5=uNHq(S~1@QGnj{0~4$V4u3B3 zU^a)|O`wHw3O_BkJ|QV?(g?`kSV@1G!wKu2Lih@1j7{Ohx+3s~01h6MewmUtcyCf0 z=1o|i4L5tCA`UA1iWWoRf0;IaE&&^}CYWVQ#=+Ex`i4FVHtx{bZ)ka(B7qrPhj08K z@i-iCdOIYH15q%60PlX_AEfIVPM(NUA}A<9&EL@CWMI7Cij?5s|5qGwE!E-|93DJ@ z4*Cs;gSYp;;uI9%3FkK)9$t{(AM$^6!h;)k&|3Is6HZA1Sn5|Cb}cmd4F@#*y`CaY zenZGlemHr;uMH;46TvkpoP6~wLp%oiCyoHG(ZALsfIFf;a75U*eyyjZ2xsd2iX+Ms zh~z(zcz7@MOGSb_M*jEK5#$N*UijB~3Rrlu`wd6@qnijAMfiMVtseP@OAxT~e-dMH z#6Oe)Yv7+a0=$0yDj0`>7x>?BAe-e^9GOVKudS-TR>TwG?6qHU1f1gUzCch?_@j>r zN_aTI?pIpk+Gg-KoRT~qN&dB>k~|jP6a7+ANuCJLOuyn36#wuAC5$}ock?S@@bLQj zD=k(54iDFHra*cO1+q)hp+7Rt=z14QQ-%kDU?fy}kmoD5H4ZgyrlRbaN z-WJU}0>1r%0xj}?i9f{aMl_KOG!DW!>d;80xs&7hFeM1zX%~2I4)Ao@TFk6JjOW9? zK0aTykKwx*&3Rs8$IxkkM|?zMIHyZ!MZU4VuOr~$IWJP}kik=QyB`sZU(qgI+d%T%-D z)S1?dZ?WGsyW2rq7CwxMb!LA*K4e;9yx#(8tRu_-IsXFlOd4bl8lbmn2XHQbd$_(F zkjF>-hvQMF6FmU0c*X#-0oLYV%2x3088^#(90#MlM`m}q*4kmrY9BM`+7XA_rF7H}p$M&%<_IcVA0H3h;Atn{k@mi$gh%|-*Al<|KNv45lAdD4 zSr3RiM2-2@Ny(wHJ08t<+Al%e_?Ac|(bb0b;A0{#Yv$>E+)u`wOsCT7)0NuT(I9;2 zuP1_flv1Df$NPTze|rp}9Sdm3o4g@tr<;yrXgICa@%5q^!*|=SHsIy|g?K$#7x4b% z*THwc>FC|>F1u4%%**axX9)+T;)DHTcR4sfpuq!1U1GgZ6KX?qq43a(i8(51T~7Cs z7S7P_{F8(+8xwrF?8-`AE|*fSuRs5H-+qm;U$14Cu+3$I2zTbTXQN&2t?wK%wR5k# zbD-EUOnf%>z~Vc7>=*kMhgOVSESc`&euo<(M+w@IE#z;hFSTxSu719K`mTI@MlJj? zL6vn8Rjl27rMSEXjU#7Oyk74LPxd=}r?yMiJ5GWJb4PhZ!y735yb)A+(6{}uPH*;4 zcJm_t_4LL+F8#b=c0H5Zk&-VD24htNJYCBK-OkGeotG{wzAFLH8I5y;mt%1Ap)|J( z1yH83c2=(2ZC4xUM$}P#Jnn~5nj7()MM&*a`7j)-wIlBPKIQ46cGLZEUcUWZrnib8 zQ>EZ$&+$g6s~KYm$`XJ5_&eFncjBmG$KF{#K@955y;m4T_b9goe!sP!hAW5_({8>K zr_^BZ_^0Z`Bfe{gLpxt}0)=LQ0}T;`t&{tMC8~gUL%u_3`4~|STKFy>Q}B#Pc9>5E zgyG|c(Ee8tu)L=H75|QA>FTnVOBg=R_vTY17QS=v;*Hj)y(L2kZFTj+c+!>$<5627 zeAnd4)u0z9e0!a;Y40=-)JMR$n;n`k;t9-7h{FtW?Gq*0CB$1kD9nfNfJGkdg?2kX zw1MMtNz^c&fX)2z8EDQlR(gt>Z!cHtJBI_#!SmbI)x%`r(YQf&x-1bph+QB)TJ)*J zRjaf2G*PgD1-ec z8+UTQ_@qMH4mFX(?k2nfA>d=aclg`YgLoH)1S1!2EQJFBf(HZ0eJ(!oU|uF*XM1oD z;@hL$C1`gWc&gagc#;CQX&s~DH(q>1?hn{fEAWABYt&1a%W9MJMN56qDI(u zYd`0Yj1+w6^m-b;+$D&(qwWMw&lsFr7Lx|CTUKBqtlDXJLW92 z6pnqCfrt>K`dcy`C50QN%Y3+Q=+SVO&`YD_?7H2l*6xJuvb0!`vL7VcK4;l-90hkl zY1Y9!-6f*JgB?U@AQmg*0(|XH#5C3M$>$)QoKJ><7zsg}+vEIm{D_@tZ6#sTGrr}T z)%GBwW6l!m3~`R#X^`sYc8~nu?$Vyvr?wq#ygk!B@n{(-c5y9JgkWi%FR12c(CHs5 zdcDe(i1lX6e;5}&Bxw8zAeev5#}#@@fk3^aa5+DEoj7_|^Y~1J0ES;N0`7!mx29iF zR`Xq%o(ITcK20_AU&Vhtu|w4f`3-xpfNM7;wsMw$RQcO`0bhG^BSYWlpECz!&Ndwf zO%4crsBrO}ol}4h2gx>tD=(!pz}JsbnmcCH+wRT@;|3#rE7u*TX`@_=&LD(3dhZEs z7iq2jU%=NkkNDOOakyh#;N}6B%TW)st8EG=G$+D#nSlBrlAYQTm(~pTr-!?ZPcTr= zj*JsNlG_7hkz@`*Ssv9f@P)LMQ^+|)2E$Ple=7~wmet(b>B3MXZScKMv|&qF5= zv;lEw*ZW!iU&@{ zBI+b1v{S6`;Yt+^(=T0k5dQ3J`=ONy#uw#qbH|)HMGH=7zDs$*U|_r|q5vD>y|&W< zK*_oQTwuqO39nJjs+d4M_8oDcH-mopf0%`XEgUo=NX-P?$!KCC0>;k2QAqKnkYbg%1@S--hp2gNAQ9SxdxzzsabjLGN6K3|ML@-0(mrEghqI zV=!qCFlErv9?60EaTxPnz}6t9K@u2)7Cx69gbyFB`D8NEF=@Fc-`irRL8qwFAabn+ zU9b~A^r87w9ReTj=WLG?^R~as6r@3rH8&VbH)xp&a~U++?Q65Kr) zjh&(}+T+fsFS5?zb0TEnQvp`^C=(ci4&N2yoQPpP`ork#T^PMR!)Hig;gkJ<4|lA^ zPyNb!Ft6gAJT)V+#_G9~R8-*CzdwGt15{5qw9GN+6lTyWNA`zDGLv(J9Mc>DMZ*Rc zjcB51KonCKXpgL1(}->qv163qNb1KMOa61NUHpjh;Gwl&!}c_WC0p@~*dZ2B#v0?L zNz`btlnaq|_CH8hIoAlLBy)bSjlG@#D<1`UtucnxU>C{%bFJ~2q-eDVPCO4R22Jr$ zEN1k7^)FHmGxs};B$%f03GJqJ2N2#BfDVnc{*Yk!1{9tC*(QXCvy;#^z}6y#MJKBzOjG;d|nq%>O0+jF4nW zG2(I$TKLKj;AkpCLwJ34G88EEG`u1DkFQ9SH#!N8Jd$Y?cvwHkeYi*i@XG~yv`7B2 z`Z(PmWz7J8<-PFn1sBAFA;~-?10T^u4H^uq22Hm1xl30652P0qcCIlrLesgPp}9^D z8Yi!91+iBdAB^{Oxc?gBCqY`F{gryDumABI-+ukC)R54`{n!8bjc^Aw*uJH@_hbzHEycN( z7CW#`jQb~6eEZIfnu-~9%!5KUZR8X{gS8j1?i?g@Fw_KN?~3L!(!WH5R4je{=O2mV zJkVqCplTO5NUK0kZ}jYK32BxR3oZWhnSa|w4qHaiEDJn-x83%)M?d4vP{cEv&`VLocenlaWv0V#dtCc%v3AOf{(J0I~E6x+c^ zaitX#Bcx~+zI(4b+jK?g-^d$9ie))*JF5*ejHOkqrnhP*|KA` zHdUf8Hu`MaM+%*grrH! zR1?uVFkyv(PZY;NygE5a;WO6NQlRq5>yD>a3I`lxg$t^AsP4`VX-R3Xgbvbt+O+TZc}HYCXFY)p|#y zsMeCcTpm;nYdtBdEi+2RXdR#UB&!*Hxr^AhDtdx;bKTMr7wdTfe&RT!fER92r?kXt zjI3&nultJCsW7Z`AhcNonK%wp;rg=BZnclEDLjz0i2Um~z6N119~A~(5{gJ3j=R`Q z<-BHtmFGi2m*7_hP4TO>AH3hO4S8WwpvwR^{vT*v`EVow9LS`u+wlo%NrbE!ga4Ht z-E!<~`Qra2GP1aE9UaKF zLG1W{6uUdyhKI??;ZCr@)_yM2l^e#>D5VR4aJH<7ba%1;N5Xe`!)z<+6Ooo3O8g1| z0)%K}Tde6P?tNMph(Io@^YZrpKzBGf=8Oq9>X^lBRp~wW@J&yScGs8vI$Ase5Aij`s+SJ|IaN&Mb{R(wGb-bUbyaQ!- z$6OupOt_m@N=P5xcHG7%GEsZTzm$**#8JXU{%^PXMCnT@;b~kfSOU`Ah*gS|nz$>x$};!;BHJ2^ZfmqF6q-{M1fHv#Aj2$hrfbberxWX*!V z+G}Nv*o*3f66w>fBN$~RffqnQEg5PwN(s5(V_z>7g!T33Gqme8k@c15){aE+yoMw(Vak z`l~@I>uqR=Y3!&_ANeY@W&W%9xu8;(kjp5ggk<+h3Gv)Z39+lCgxm-p0Sx_Pfa57j zghW_xjAk7mrr&`HP;Hf6&@EMyvps4Hs#J)Ah~2~RFGNyD{+O~_iJEY)YEkizD(M8x zNhc~y*(T5SKK>uXvQnhq%Qv7r1GLWrywyR32Z3ESACS+W;s0TKYTH4pLU`b;hDSJ-JKcqGqezD;KQ?f>y4ik}xjGC+df zc4RPo5V7etm$hD!;dr;3&c)>lOdb#!qDBaK>k|;FGcJ9p8E>cBkDMMzoUhA zuTrsLWE9%9wkOW0a|M7g~~ zNgG=F=WNd-5Ml(^C~;8+R*G~XxU+JRCN1m8@cdE)$?QkP^t>!vX%uLM@qz{b=6KL& zoH)}!=B$Jq&`+d<`LE&!ORy)4IRY1SgGA*;!`WyW*$jP4lsUX=`X@C=?T@vZBf7Q| zc~9ed#8TuO>brddka$l^9^)H5`Dd_m)v zr!2ny`+xiPmmi>68U!B^rOw7v&%!OOG1!GqXBd1K{;3z?Bdi?Xh7Y)7`Z!@EjxZx9 z^c4HTCr6tGk;%03;p>Wjys!lhKSpX z{AVX&Br|SC{EuD|K*r*8QG5pIo9G!Jc7|^^J`RitG^;`G01jFPNQwTSr9mSE;d8|R ze5mtgBI!RP^gWs|I_(T!92$2_MzWNPnM_*Rdp@1_G2R*AgX)uN&`A^+Yj$anMBw-~ ze166ze7?1qj>+5Q+mDYEMsSg8&}Z1fr)5fm;8f$=@Hy|90nU58kw1+10pnvB@iAwE z(8wH@2J?Ev+@A1}81}%&rkAt@G$rlQr>(${SON;q$Xi>4~(&m`;X1ozG=mz?`2$UtKX6 zafM4}_z|T!7f!{|Nje5F88q4hkDT8weDhHFr`e>aQ_q;`cQYfqK5((#TLX8s|L zh&=Xm<(y^4u$6 zjmYO)Wo~P;#GnfT0x~Wwt@>QM$2hcHI?KOs^AM6=YeL&jXYU?FjlU+ z%yoxG>EQhK5h4}4Zje-qMTifkkNFleKa~|)mqk!ElNM;& zaye_W6;U?+nd=!E73yveWwkDF5t@)Z=l8m|`ItHwuRD{IjfRhcMXx)nDZ@p0*wxf1DE$MS;i~QelI*+=6cbbuRpiD!L!7isB?Xdu3N7-ma81Wvf6yIwB{LiGR@*K=jp;2*vUM!+Pa}TxT(AK&-aqkRzW(B8cx)H^Lub*Uk)hJg z&6|@=`_4EM=lWalr=kUpu*Ifg%sF6o0M@__K5N2%(WwEK# zbTF6N%_>+0j0gIegW;Dg@M4N~vC#!k=K_iN9-!>r;+%|tEn>vIGiF98SC_3QuBn&% zp!v!_lcNrpGjCXIh*$4Y7NjmLWs$rw$PCy)rjx!ch#?>)y-x0FvALgDMlJ8RDZ=8; ze!GS#ZFhYE0eros4UKqs?RY62x>D6srCYpzRrvyF^_UIPsgv{e^SXdfBostzx~Z$p@!r1^=D5aV9nS6;*URNt83Zl6z6#E zf8rlD*Vm7~j|tLT7&mWitUGkUpwmR-MnoA}`S3Mt6kxjB!!255xCt7GZ)DsfL>AS; z#w2+@L;MLwaVO(dSu{W?uEhD<+|)Jg!LPe~M)NcdxciPnQ;=)_75Iv~kZO>8kV8rK zRlT;s%~Xso-)`(cp46&$>v&C^bdozE%{q04Z>e6iE>M^QeXrPu@pk`(ve5+Zwc~>k229^ z(9HksxltX=O+L?r`@;1x_l1iFj8_sQ!(_=Mr?g!r`p@e`bzdZKm%VdnstwGy;Q7{c zDm-d?;&hc_0$5>xG-FN_Vd!*1&z>CzqY)e+S^wx0--2S66sYicwznE#7#PZkiiS4PG5O~)iMgd7li&EJN`MBLd=0KvMf~1y&q@yYd@=hTEyT1i} zC>r^I>f?Ebb&>yi0s^B{^h#MscS2E#wV%6E3RoB*_Q=MJeA5QOdHR2p%GQ1d52~N- zK>6$GP#!_4PI6Jxjwd#+-X6Q{9_eO^Fi$;N{J1V_yXZ6S8PH(H;yx;Z+j}?6Bpdf8shKK93rd-}{}aa87Q`mj1gvlL;^LylIOSAvQV0^t-{9JbYzg*05Y{v;*cwf#TM>VK4KbfW_K@%KDzSp2eMarrC9WuF^_81j5&H$ z+D0eOA5LJ8eRfzLRhon0l};)zO1U^H-y>XSk_&8>48MLdK^104)aB=;xm#UTy3{*D zmj8zvP&KCHdrH(ei51iH!+8jCE*(Jxay5+r_dSuf|Ch@h79knS@e)Q%H8fW#K?4Jk zj}Hw4>5g+ranc@SmB0Wek@P}U%i{Q8_QZ$2**DC zk+i9U0~({z$I13Lt?~+JYGwMMEkA3V^MBo+l>Lwzbtl04O`{Ufe7OWzG|+t0@|4R; zd-{KDcS4SpxWI7vN#xp2N%vc;*5&&eg*AE`$EZwtp2i+V8^THQa1VYOcets-0uW9y?Jp^SKT*S_kGYtpieuh^YQUM$q8`K|NUEEf(5R_#AAq3Cpqc+F z{yY#+gW>98)9L{O9D}+f2d#d7kMjzAZ8I49&m-Y}NIo>soDJi`mzPb7267-;Zb&zc zEn&X>iQ7#k^32|Lgy|SZ(Vzp*=@I_;TeQp4qNd#W1AeT8EXDHe$N$6Os{5tTFaz$S ze_)HN_f$fdFKC}>#8dC1cd2${|Kl(8ey88Ege1cp)8iO$5~&liY6hhs)Gv&u_~`bq zs8b8&QRB1LOgMPwOqd829V%iC4NuGiOmX3pw)%ensP(28v~oQ0q@4Xsa>@w_Xa!`3 z#u+^Z^E)KQiUd6h4=3XMj|-k9jYA8xVyfB^9V7^tAh6OnvD1IDAeUh7TXj zd>nbT2!MsP2;f@6vD2W7yCOm!9vGd3&+qnz&-QEKlOBhU>%h!S-K|@L5^HUXR&o@OEKKVM~UQHBb3;{K;v&;+Ta|=T;b9z!yGWx(uHmc?ln&wFgKlYtnXK z|MEY4`&B(vmIm<=#>Z*UPEq(=A{)Nf1AME85I%0(R(sDHJRGT23G>Snbznx;b01@! z@gS!`gvN@@T>_3rMBr~RQOai%Oi;7nbaAc)N`v7ejF~fmmS?)g>_WqRH4V7igGPaO zhpB{o4C+xcA>U9R6NH*Cknr5bxy8+n*I+wA@%(Iak3?thDchXIwosa9}^iht?msaH>22>K1eA|Wrda>39SZ6 zDbo;&`5t2A8>8a$S<140w@6CN3V=B~y)A21m*SEZRUEh-CvSg?sIx1s9gX9ToCfo(^N@Ia^dh;!BI#eedw_YaR zEPOBL+sPvF!m;I!bElzbxfdd7<#wGrrg-dgmM!I{^g(6r{PqD6h;d!e@;1R?iM%33iS@>|mz3xB_WjE2)9w0Xo zF4M^Z!C^zWL4H=Oj1(FF(rPmC%Jn5X?|cBXZ6f*UWQB;oC{a_7qsR?Dw6z9^#@Pmt z1Qn>vw**F(>Lc&ann>}1*9jT4HKPWgtUXW2$t9)Zs(b=qqVkD;w@y}wewYXvi3nRW zywp0kaN25(@qQG<(;mMm|1n4}Ckyyl5gv?WPb?YyR0AgY8JRk;@XLkq(H=KAY9fCd zsAf98FcR=o$Do>D|KvaA!1PkbGgZ5!$$VW6cas_E+mt_9xYWitcgeR1_ZeV*4ov*l z8YeVj(W%GOck+l}(Og$XC)AZmx1s4F8xBnJl%P0nkN{dj1BQ2H4d0SNFDXzDQ4&m| z$9)il>BpRKjHp=h>~KuIOH*rYyObXeR7MQ~K|r+!s`eV-dSHV7PmwTV=!sZ2qxYc^&?aK;hVovfZI)b&ftcR zsA8fLG|0g^p^t^pTAgA&C9J~dpFvA|JaglTa4fu)`h8%+=m)ZC5Wi*)CJp+D;DxVY zwOl^0j-3)dP-4Y>*SYR#u&?G&mMZ6I;Zr9WpkKHO-*@im0PgAm^)MQZ%G&u=$AwQY zIvuCny=MHKJ2@avt8P6H%rxlAi8Q#m#r?c-6h47`@KFTP9rs%Q+^PTB*kLyQ3eUSoP1S8EzW%z3K4zB6ixV zJ9zNDfFkDq*><_=Iyy;%^bIDbo3 z#2c0P__(}wYP1xTws2{R3Mmu>^8ry@o!q^xOyw~q_R zQPvq+`9G=EG(3#zuj~0X*wAnrJV3$;De!||2q~%$9#ji%zK9^>U_$XZ`jC3K1~+y$?R7=*5Z@ zq_YN-q5%)EljU4?sv4F3BX1K{gH?oyxh1k(NBwdo@RJmRhOf3A5WJmmjvlVAFzCg{ zS)D9CHq;5D>kZP0e^@FF8ms4p3Uon|;e6RTUN0Xv6|0kR`B;Z2o#dxQhp5Bnd-maD zm5Yxl4;p+AQhrlG(jb9?897BQeu6K<40P;L<+O)oaC>>q4qD{DiXTRq$B1=#=oYpu zUqPMlxu_u>Q={dMj~_%D@OfGPFgjsHgRUY@g8_0gmiJ&XK%aKub10G}ap6SxD5I}6 zsaqgn1k$UH{S!#=aYRUuxLx3QhpkOr;o{^l|J7sy%Ny3jc_Du`JC5_|bO$v5wjb9= zxMN%2f?#bGnEqiTi8MzYTD`nX?y}GzO6{%bd`oSxq=j8A92eTPl7duq`{z(`nsP`> z3fP6R{U~9b`53}7=~M{49FUFw$12sqfv@gdTJF2MJOC!*Ll2N}ZaT6|gcQg)oZ|oS zn=N%z64LgJbM?ZVP>Ez39-OK!s5&QLv;_0}19~JLmz6QjwS$JUA>#wBV01u0+~7Gt zkVcocr@d7_R{sx1C&3p+ixs|lu!ja+2G8ht*l;%DxMmD2O1bqHIDF#SgZ%>3G$!ZVp?M-_*K{SZR^Cmuh3>x%nR+)(_Mbj}kZhebW zRUSK_v|{qy<-;qO3eJupw_7_VR}w}i@YNF=q50QVpaDNsACxZ9$8rd+#{l7c1+jS; z+V&++keZeoWmAH@_=BBv#~|sO9sP8I@QZwBGtUM2dOb99i`5_#(YmLE-Ng=+76P10 zg7*iJ)l?8V$uG{Dk!m4zlCl=wy{FFA}A}F<@>r2(;;rbIV=2K>o|Mu_=zCU_^u7?;jj2 zCgS#a)Rfef_-U^!Gy$t{TRP4U#k&vgjVu#k{;T-o8d(Y{^eS2)DL06FQnMph-?Uj} zO8<-y?7JHLPCSA#loWt^N(zbJ-0`vi8Z^-*nRyd=$Z}dUVh6%@F9}rxid*0#3Fzen zgRx6Mzwdh^A15fGu1qiu;(l2&sj0JKVyG(~0XotlMteMZGL{o32x67yP+(S-fZRPL z{FAgmS!?HzMzz}qEK?>pI2-oY4U+n|wt_nqR>}ES`4D&8-+(p1VoN z`8HC}>Wy(W2?^$RgRiP8WPsMxw|M-6MxqzPC2dV7{-s1Z;dr0x8NTutl8)DsgwI(h z+DjqX1i==@(rUK5)-HS$z0R4?AY&Y~@cH+oGLcOd>XqmXqoj}#Vy3FW?^F@gbDHUx zG~4(#?NM?wXuCVVV&fY-VXXQe))*gJAII^rasjlVEz+5iV5C6p*7W}vH6X&AaTq@@ zPd$}u;j5Dp$6X;}_zD6}$D2MD|1XSPS-^u$3V;{B$~3au#KjEV5hQRxjUe{nu|l{2e^bc3kq3S`Cu diff --git a/MC68k.kicad_sch b/MC68k.kicad_sch index e97a1df..d923182 100644 --- a/MC68k.kicad_sch +++ b/MC68k.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -1346,7 +1346,7 @@ (property "Footprint" "stdpads:C_0805" (at 43.18 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 43.18 185.42 0) + (property "Datasheet" "" (at 43.18 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 43.18 185.42 0) @@ -1375,7 +1375,7 @@ (property "Footprint" "stdpads:C_0805" (at 33.02 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 33.02 185.42 0) + (property "Datasheet" "" (at 33.02 185.42 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 33.02 185.42 0) @@ -1404,7 +1404,7 @@ (property "Footprint" "stdpads:PLCC-68" (at 39.37 34.29 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://www.nxp.com/files/32bit/doc/ref_manual/MC68000UM.pdf" (at 58.42 91.44 0) + (property "Datasheet" "" (at 58.42 91.44 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 89f04694-b704-48ac-a598-b959c484ea9d)) diff --git a/PDS.kicad_sch b/PDS.kicad_sch index 062569c..b2973a0 100644 --- a/PDS.kicad_sch +++ b/PDS.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -2143,7 +2143,7 @@ (property "Footprint" "stdpads:C_0805" (at 53.34 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 53.34 160.02 0) + (property "Datasheet" "" (at 53.34 160.02 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 53.34 160.02 0) @@ -2172,7 +2172,7 @@ (property "Footprint" "stdpads:C_0805" (at 43.18 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 43.18 160.02 0) + (property "Datasheet" "" (at 43.18 160.02 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 43.18 160.02 0) @@ -2201,7 +2201,7 @@ (property "Footprint" "stdpads:C_0805" (at 73.66 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 73.66 160.02 0) + (property "Datasheet" "" (at 73.66 160.02 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 73.66 160.02 0) @@ -2230,7 +2230,7 @@ (property "Footprint" "stdpads:C_0805" (at 33.02 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 33.02 160.02 0) + (property "Datasheet" "" (at 33.02 160.02 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 33.02 160.02 0) @@ -2359,7 +2359,7 @@ (property "Footprint" "stdpads:C_0805" (at 93.98 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 93.98 160.02 0) + (property "Datasheet" "" (at 93.98 160.02 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 93.98 160.02 0) diff --git a/Power.kicad_sch b/Power.kicad_sch index 6b96409..ea86cd3 100644 --- a/Power.kicad_sch +++ b/Power.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -389,16 +389,16 @@ (property "Reference" "U3" (at 133.35 48.26 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "AZ1117CH-3.3" (at 133.35 50.8 0) + (property "Value" "AZ1117CH-3.3TRG1" (at 133.35 50.8 0) (effects (font (size 1.27 1.27)) (justify bottom)) ) (property "Footprint" "stdpads:SOT-223" (at 133.35 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://www.diodes.com/datasheets/AP1117.pdf" (at 135.89 59.69 0) + (property "Datasheet" "" (at 135.89 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C108494" (at 133.35 53.34 0) + (property "LCSC Part" "C92102" (at 133.35 53.34 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 746f5604-dfdc-49e0-8670-462e408d94c2)) @@ -475,7 +475,7 @@ (property "Footprint" "stdpads:C_0805" (at 118.11 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 118.11 57.15 0) + (property "Datasheet" "" (at 118.11 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 118.11 57.15 0) @@ -504,7 +504,7 @@ (property "Footprint" "stdpads:C_0805" (at 143.51 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 143.51 57.15 0) + (property "Datasheet" "" (at 143.51 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 143.51 57.15 0) @@ -533,7 +533,7 @@ (property "Footprint" "stdpads:C_0805" (at 153.67 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 153.67 57.15 0) + (property "Datasheet" "" (at 153.67 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 153.67 57.15 0) @@ -562,7 +562,7 @@ (property "Footprint" "stdpads:C_0805" (at 163.83 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 163.83 57.15 0) + (property "Datasheet" "" (at 163.83 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 163.83 57.15 0) @@ -616,7 +616,7 @@ (property "Footprint" "stdpads:C_0805" (at 107.95 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 107.95 57.15 0) + (property "Datasheet" "" (at 107.95 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 107.95 57.15 0) @@ -645,7 +645,7 @@ (property "Footprint" "stdpads:C_0805" (at 173.99 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 173.99 57.15 0) + (property "Datasheet" "" (at 173.99 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 173.99 57.15 0) diff --git a/Prog.kicad_sch b/Prog.kicad_sch index 6c9982b..fb11e01 100644 --- a/Prog.kicad_sch +++ b/Prog.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -1083,10 +1083,10 @@ (property "Value" "JTAG" (at 109.22 64.77 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 107.95 57.15 0) + (property "Footprint" "stdpads:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 107.95 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 107.95 57.15 0) + (property "Datasheet" "" (at 107.95 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid bdf86609-929e-4079-950b-2d5bfdd6c5fb)) @@ -1145,7 +1145,7 @@ (property "Footprint" "stdpads:SOIC-16_3.9mm" (at 219.71 73.66 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) - (property "Datasheet" "http://www.datasheet5.com/pdf-local-2195953" (at 229.87 39.37 0) + (property "Datasheet" "" (at 229.87 39.37 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C14267" (at 220.98 59.69 0) @@ -1213,7 +1213,7 @@ (property "Footprint" "stdpads:C_0603" (at 209.55 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 209.55 143.51 0) + (property "Datasheet" "" (at 209.55 143.51 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 209.55 143.51 0) @@ -1242,7 +1242,7 @@ (property "Footprint" "stdpads:C_0603" (at 219.71 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 219.71 143.51 0) + (property "Datasheet" "" (at 219.71 143.51 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 219.71 143.51 0) @@ -1271,7 +1271,7 @@ (property "Footprint" "stdpads:C_0603" (at 229.87 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 229.87 143.51 0) + (property "Datasheet" "" (at 229.87 143.51 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 229.87 143.51 0) @@ -1350,7 +1350,7 @@ (property "Footprint" "stdpads:C_0603" (at 240.03 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 240.03 72.39 0) + (property "Datasheet" "" (at 240.03 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C1653" (at 240.03 72.39 0) @@ -1379,7 +1379,7 @@ (property "Footprint" "stdpads:C_0603" (at 250.19 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 250.19 72.39 0) + (property "Datasheet" "" (at 250.19 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C1653" (at 250.19 72.39 0) @@ -1408,10 +1408,10 @@ (property "Footprint" "stdpads:USB_Micro-B_Amphenol_10118192-0001" (at 255.27 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 255.27 58.42 0) + (property "Datasheet" "" (at 255.27 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C404969" (at 259.08 57.15 0) + (property "LCSC Part" "C132564" (at 259.08 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 8f564582-deef-4611-a656-cea28a9f34d1)) @@ -1510,16 +1510,16 @@ (property "Reference" "R16" (at 73.66 59.69 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "10k" (at 73.66 62.23 0) + (property "Value" "DNP" (at 73.66 62.23 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Footprint" "stdpads:R_0603" (at 72.39 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 72.39 60.96 0) + (property "Datasheet" "" (at 72.39 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C25804" (at 72.39 60.96 0) + (property "LCSC Part" "" (at 72.39 60.96 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1c398a39-9517-4d77-86fd-5610ce8bd0a7)) @@ -1539,16 +1539,16 @@ (property "Reference" "R15" (at 73.66 52.07 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "10k" (at 73.66 54.61 0) + (property "Value" "DNP" (at 73.66 54.61 0) (effects (font (size 1.27 1.27)) (justify right)) ) (property "Footprint" "stdpads:R_0603" (at 72.39 53.34 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 72.39 53.34 0) + (property "Datasheet" "" (at 72.39 53.34 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C25804" (at 72.39 53.34 0) + (property "LCSC Part" "" (at 72.39 53.34 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid bd9971c7-421a-4318-8d59-c82dfeb65b2c)) @@ -1624,10 +1624,10 @@ (property "Footprint" "stdpads:R_0603" (at 240.03 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 240.03 102.87 0) + (property "Datasheet" "" (at 240.03 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "" (at 240.03 102.87 0) + (property "LCSC Part" "C21190" (at 240.03 102.87 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e32b9cca-5c68-44f5-9d81-3c48056d5cee)) @@ -1678,7 +1678,10 @@ (property "Footprint" "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (at 234.95 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 234.95 67.31 0) + (property "Datasheet" "" (at 234.95 67.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C9002" (at 234.95 67.31 90) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e675967a-2d8c-4292-a8c7-779992ac20b5)) @@ -1731,7 +1734,7 @@ (property "Footprint" "stdpads:SOT-23" (at 219.71 107.315 0) (effects (font (size 1.27 1.27) italic) (justify left) hide) ) - (property "Datasheet" "https://www.onsemi.com/pub/Collateral/2N3903-D.PDF" (at 224.79 105.41 0) + (property "Datasheet" "" (at 224.79 105.41 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) (property "LCSC Part" "C20526" (at 224.79 105.41 0) @@ -1761,7 +1764,7 @@ (property "Footprint" "stdpads:R_0603" (at 198.12 49.53 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 198.12 49.53 0) + (property "Datasheet" "" (at 198.12 49.53 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 198.12 49.53 0) @@ -1790,10 +1793,10 @@ (property "Footprint" "stdpads:R_0603" (at 222.25 97.79 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 222.25 97.79 0) + (property "Datasheet" "" (at 222.25 97.79 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "" (at 222.25 97.79 0) + (property "LCSC Part" "C23182" (at 222.25 97.79 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid bd8ec0b3-d58d-4d07-9fab-03727b230f74)) @@ -1819,7 +1822,7 @@ (property "Footprint" "stdpads:C_0603" (at 199.39 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 199.39 143.51 0) + (property "Datasheet" "" (at 199.39 143.51 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23630" (at 199.39 143.51 0) @@ -1848,7 +1851,7 @@ (property "Footprint" "stdpads:R_0603" (at 95.25 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 95.25 52.07 0) + (property "Datasheet" "" (at 95.25 52.07 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C23182" (at 95.25 52.07 0) @@ -1902,10 +1905,10 @@ (property "Footprint" "stdpads:R_0603" (at 240.03 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 240.03 107.95 0) + (property "Datasheet" "" (at 240.03 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "" (at 240.03 107.95 0) + (property "LCSC Part" "C21190" (at 240.03 107.95 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid c754bebf-512a-4c8a-858c-375187f6cbd5)) @@ -1956,7 +1959,7 @@ (property "Footprint" "stdpads:C_0603" (at 80.01 60.96 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 80.01 60.96 0) + (property "Datasheet" "" (at 80.01 60.96 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C1653" (at 80.01 60.96 0) diff --git a/RAMROM.kicad_sch b/RAMROM.kicad_sch index 84e6edb..ff9955c 100644 --- a/RAMROM.kicad_sch +++ b/RAMROM.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -2332,7 +2332,7 @@ (property "Footprint" "stdpads:C_0805" (at 125.73 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 125.73 118.11 0) + (property "Datasheet" "" (at 125.73 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 125.73 118.11 0) @@ -2361,7 +2361,7 @@ (property "Footprint" "stdpads:C_0805" (at 115.57 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 115.57 118.11 0) + (property "Datasheet" "" (at 115.57 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 115.57 118.11 0) @@ -2390,7 +2390,7 @@ (property "Footprint" "stdpads:C_0805" (at 135.89 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 135.89 118.11 0) + (property "Datasheet" "" (at 135.89 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 135.89 118.11 0) @@ -2419,7 +2419,7 @@ (property "Footprint" "stdpads:C_0805" (at 146.05 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 146.05 118.11 0) + (property "Datasheet" "" (at 146.05 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 146.05 118.11 0) @@ -2448,10 +2448,10 @@ (property "Footprint" "stdpads:PLCC-32" (at 220.98 85.09 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20005022C.pdf" (at 220.98 58.42 0) + (property "Datasheet" "" (at 220.98 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C72257" (at 220.98 58.42 0) + (property "LCSC Part" "C645935" (at 220.98 58.42 0) (effects (font (size 1.27 1.27)) hide) ) (pin "16" (uuid 330c3337-286a-4d8b-a160-5716332ffbbe)) @@ -2557,10 +2557,10 @@ (property "Footprint" "stdpads:PLCC-32" (at 220.98 140.97 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20005022C.pdf" (at 220.98 114.3 0) + (property "Datasheet" "" (at 220.98 114.3 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C72257" (at 220.98 114.3 0) + (property "LCSC Part" "C645935" (at 220.98 114.3 0) (effects (font (size 1.27 1.27)) hide) ) (pin "16" (uuid df9d1fa6-1b8b-4567-9c85-c14e28ca0364)) @@ -2641,7 +2641,7 @@ (property "Footprint" "stdpads:C_0805" (at 265.43 109.22 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 265.43 109.22 0) + (property "Datasheet" "" (at 265.43 109.22 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 265.43 109.22 0) @@ -2670,7 +2670,7 @@ (property "Footprint" "stdpads:C_0805" (at 255.27 109.22 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 255.27 109.22 0) + (property "Datasheet" "" (at 255.27 109.22 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 255.27 109.22 0) @@ -2824,7 +2824,7 @@ (property "Footprint" "stdpads:C_0805" (at 166.37 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 166.37 118.11 0) + (property "Datasheet" "" (at 166.37 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 166.37 118.11 0) @@ -2878,7 +2878,7 @@ (property "Footprint" "stdpads:C_0805" (at 156.21 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 156.21 118.11 0) + (property "Datasheet" "" (at 156.21 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 156.21 118.11 0) @@ -2932,7 +2932,7 @@ (property "Footprint" "stdpads:C_0805" (at 275.59 109.22 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 275.59 109.22 0) + (property "Datasheet" "" (at 275.59 109.22 0) (effects (font (size 1.27 1.27)) hide) ) (property "LCSC Part" "C15850" (at 275.59 109.22 0) diff --git a/WarpSE.kicad_pcb b/WarpSE.kicad_pcb index d669e83..b1e2602 100644 --- a/WarpSE.kicad_pcb +++ b/WarpSE.kicad_pcb @@ -7,7 +7,7 @@ (paper "A4") (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -323,24 +323,21 @@ (net 226 "/Clk.sch/MCKE") (net 227 "/Buf/GA23") (net 228 "/Buf/GA22") - (net 229 "unconnected-(U26-NC-Pad1)") - (net 230 "/ClkBuf/E") - (net 231 "/ClkBuf/BE") - (net 232 "unconnected-(U27-NC-Pad1)") - (net 233 "/ClkBuf/C8M") - (net 234 "/ClkBuf/BC8M") - (net 235 "unconnected-(U28-NC-Pad1)") - (net 236 "/ClkBuf/C16M") - (net 237 "/ClkBuf/BC16M") - (net 238 "Net-(U28-Y)") - (net 239 "Net-(U27-Y)") - (net 240 "Net-(U26-Y)") - (net 241 "unconnected-(J3-ID-Pad4)") - (net 242 "Net-(Q1-C)") - (net 243 "Net-(Q1-B)") - (net 244 "Net-(J5-Pin_1)") - (net 245 "Net-(J6-Pin_1)") - (net 246 "unconnected-(U6-~{Q}-Pad3)") + (net 229 "/ClkBuf/E") + (net 230 "/ClkBuf/BE") + (net 231 "/ClkBuf/C8M") + (net 232 "/ClkBuf/BC8M") + (net 233 "/ClkBuf/C16M") + (net 234 "/ClkBuf/BC16M") + (net 235 "Net-(U28-Y)") + (net 236 "Net-(U27-Y)") + (net 237 "Net-(U26-Y)") + (net 238 "unconnected-(J3-ID-Pad4)") + (net 239 "Net-(Q1-C)") + (net 240 "Net-(Q1-B)") + (net 241 "Net-(J5-Pin_1)") + (net 242 "unconnected-(U6-~{Q}-Pad3)") + (net 243 "/Clk.sch/CLKEN") (footprint "stdpads:C_0805" (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000061aa276d) @@ -357,40 +354,40 @@ (attr smd) (fp_text reference "C4" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 13b497f5-8c42-4639-9f08-9a43a78f2ad0) + (tstamp 62524129-7630-4593-805d-42c79d812eb9) ) (fp_text value "10u" (at 0 0.35) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 84b2559c-8834-411c-b058-54303b2a6119) + (tstamp b3363572-916b-49f3-9233-5881508a1854) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 75d6b147-cded-43fd-a261-0556ec04ea4e) + (tstamp 9a2d487c-3552-4267-bff9-b0b0f1012d69) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 109b466f-3845-4a74-941f-8e4eb5d40115)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ee538204-0a01-4ea5-90ce-f7afa2800de2)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f6692c31-1cd0-4736-b6cd-4fc19bd6cf69)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 29bea24a-5fb6-41e5-8121-cee497b156b4)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45baf245-1c70-479d-8cd9-1256a5fc1ca2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c5ba2f8-26bf-4063-a9f4-5b0d38346cf8)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e706c3c2-553f-4566-a92f-72a9435801a8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2d07552-8dbe-4bd3-ab14-307b5e6257b0)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a6ab27c-728e-4fb6-ba04-df2879d2d044)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2184a87b-a74e-44ce-b534-3917475a95e3)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1eb3261d-dadc-4db0-b577-b3324392a698)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f883ce3-565a-4f6f-a100-af6102019dd8)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 618f17fa-7991-4480-a4b3-d1737e3f6f41)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 60e7849f-2f5e-467c-ad68-495ce0e4ad9f)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d7707235-c842-4c93-8462-da25be81cd7e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c1abfc85-1628-43bd-be06-669563d7c873)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b578744d-de5b-4f23-b615-c18d10cd2b1b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 73cf9931-4d4c-44f8-9f49-a0fde8db1c7c)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 17d76caf-7767-44dc-8152-00f93d093cb4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0b92101e-ff30-4d15-8128-c1d8956fcc0e)) (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp a36c6406-04af-4b8a-b7ca-f38a3519993e)) + (net 2 "+5V") (pintype "passive") (tstamp cd5269e4-7117-4ea0-98b8-b26655eda193)) (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 9a988925-bc64-4a57-8903-b2ad16651095)) + (net 1 "GND") (pintype "passive") (tstamp 8294f253-6d45-4d18-912b-5f8f56459044)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -413,40 +410,40 @@ (attr smd) (fp_text reference "C10" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 21e4c7a4-b5cd-4605-8d80-e51d36512059) + (tstamp 33a3def8-a8d4-43c8-9f8d-c80f738faf93) ) (fp_text value "10u" (at 0 0.35) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 419d1087-771c-4431-b31d-69627de5b84f) + (tstamp 3c2b5f08-ccab-415b-9633-fe25cb6352ef) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 34bde408-409b-448a-bb3e-abeea883ef24) + (tstamp fd3fb0af-24ee-46b2-981d-3867692287de) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp de514f82-2837-4b75-8e2e-09a95f3a2d91)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f7fedf9d-9c02-4d6d-9ef4-51dee03b9fe0)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4a88ac00-1fc1-4b58-a78d-48ef89d48fc6)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 709076a3-0355-4e28-b0ac-51bf4adcc334)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cb2cfdf8-b042-4d4b-9a11-3455ab4929c7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9cb8393f-2862-47a0-bbc5-3bae2273595f)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1665a79-2b81-4381-ae85-0acfec5bf417)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 594e0397-ba80-4d23-ad7e-e00abbc6a7b0)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6c392a7-7479-4325-ab7c-f3afb1a6424b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0cd2f7bf-b47b-4cf4-9319-50b484858680)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bf5cfbdb-ee1f-4c1c-a704-9c7376e30923)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29c56ced-3677-41b9-8cf1-126c5b917088)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a0b80746-9bac-498d-8427-1b61f144a108)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 089b8109-7b6f-4655-a697-bab4cb5a2694)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 94d74fbd-836d-4342-830c-ad161cdb453f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 602a131b-f6f5-4200-b15a-08baea772bf5)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 45a13a93-429c-4386-8611-4966f2b32393)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 65579a55-f9cc-4e9b-a54d-1b8545fafd60)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6bc4df72-7b37-4a32-ad5e-3dee7bd00ffc)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a4abb74e-0594-425d-a12a-a1e5faea2929)) (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 52204fcd-eb42-4d63-97e6-76b6e9f73754)) + (net 3 "+3V3") (pintype "passive") (tstamp 32c9fece-277a-4473-9675-f548918493cd)) (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 644e2825-f2d7-4e9d-849f-e45ebdafa8c8)) + (net 1 "GND") (pintype "passive") (tstamp 54aeb471-e7cf-4a88-9015-2b04779ea225)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -469,40 +466,40 @@ (attr smd) (fp_text reference "C9" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4a57bad3-db97-4480-ab39-f10ecb73c22c) + (tstamp bfb862c2-e3d0-4dbf-90e5-226920e72c55) ) (fp_text value "10u" (at 0 0.35) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d4d7558b-3475-4bcb-a033-5a18876c89f9) + (tstamp e071c8e8-27fc-48b0-91e0-788b9e34a2a8) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a51219d3-6f90-4c0f-957a-7ed131a9584a) + (tstamp dcc7a5c1-b5b7-449d-84f3-667ae896fef6) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 07aac896-26c3-4823-a9df-d18e2fc42ff8)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1a5bca4b-1bfd-4ff3-9023-66d54ae3dab6)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 98674ce7-cd82-4ad1-b47d-bdfad200ee93)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e12a2292-001d-44c9-a0ef-f9bbda572941)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d83abeb4-b0c1-4483-b068-d57a6e3c5a84)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f22cb67a-9416-4d94-8025-ca3a113199df)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a96c5e5-8401-4476-8caf-74da89e1c64d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7037d26-affd-492c-85fc-fea720f54413)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a10803f-6b17-45d1-98ec-353ff647d489)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0464ebac-d403-40c8-b3d5-2950c63c1d80)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bfe89240-7422-4667-9c7a-d4df7d99e812)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 18abe407-331e-4acb-90b0-045b263c1352)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c6aca600-28c3-4ac3-bd03-ace58f828261)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0b8b91cb-6ea8-48ab-bf61-0d2dc2059933)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b22b9d38-5f0a-43ac-beac-dbc55d55577e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 246fd06f-a82c-4d43-9f73-e0890ef27c31)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bcb546dd-0f59-4817-831e-a862aba27f5a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 09dd930d-3660-4717-990c-75dbd409e04f)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c7f5866b-f36f-4f8d-8d8c-5589a1854cd3)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 11c8b898-d3f1-4084-bec0-5993e1b5d51c)) (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 0c8fecea-c2b5-4f05-9cb8-c59f651f9ea4)) + (net 3 "+3V3") (pintype "passive") (tstamp 93803555-99fb-4404-a9db-ed988826ec9a)) (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 264f39e2-eb6a-42eb-bca2-21ca4cf1cdfd)) + (net 1 "GND") (pintype "passive") (tstamp 2080a8c7-bd1f-43b8-b141-149f55a8e470)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -525,40 +522,40 @@ (attr smd) (fp_text reference "C3" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f646bed2-f23a-48a0-a4b8-50fe35c79367) + (tstamp 978d0cf6-0f84-4c09-b8ea-72045992f1ec) ) (fp_text value "10u" (at 0 0.35) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ac07a7c9-6dc9-474b-a96c-924cf4c95555) + (tstamp 7c061942-ada5-4493-98a8-58563e0e37ec) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e5cf67e6-dd08-4d3c-973b-c0dcd8985e0c) + (tstamp 51ca41c4-e4e9-4d5e-8232-9b21dba651f4) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 87b48a39-e36c-4406-ad58-5404e2304356)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f9724435-693a-4348-ad06-54611980be88)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 561053eb-be35-4678-b9d3-1dce46d1dce7)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 99b656e0-8819-4a34-b7d6-be3b52333991)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cbde3bd7-1dcb-4467-8e69-b615fbe6afc8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ab00653-4806-49b1-b3f0-3fd48693eade)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b23047f0-42b3-4b50-bbd9-4fba6d894154)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3125d0f7-5c2d-4c53-a875-2c3b01fb8a32)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea376517-eed6-4718-a059-025dc36a152f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17d620bd-9842-46ea-b66a-7be277602a88)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea2cb666-7c55-4fdd-8620-8fcfc472dbd5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39a89408-6cd1-4fb5-9449-7c7bcb213c37)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9d1e35f7-4e49-4a15-a965-83c15acc1a2f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp efbba422-345a-4289-a982-6f9a3a2820a5)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f1e3b52c-407e-4924-90d8-12fb854a5339)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 01d9a17d-82ae-44fb-916e-457d80b72841)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b46b916c-b2d1-4007-8d44-9b3b435a2183)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a509ff80-c470-476d-92b4-da6587cbed2e)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 78d5997c-7df9-4961-9f93-4c1d993543bc)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp de7adbcd-a7c7-4294-b2ef-8e6f21601412)) (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 9e0f1b95-e508-4b75-a59c-bcd0e2282d26)) + (net 2 "+5V") (pintype "passive") (tstamp 8879d96d-9455-4477-80fe-5fc5156582c6)) (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 946b6b93-d5ff-4d06-aeb8-d18a9215b12e)) + (net 1 "GND") (pintype "passive") (tstamp dfb7070c-6124-4a35-b01c-b196d20c3c42)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -582,292 +579,292 @@ (attr smd) (fp_text reference "U1" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp f7101a1d-98ae-44a7-a65d-6a7072b8c85f) + (tstamp 3a74ca57-e17e-43d8-a44d-d20b65d052c4) ) (fp_text value "XC95144XL-TQ100" (at 1.05 0 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 40b6085f-b58c-4ccd-af7f-7fa3289e244a) + (tstamp e8decb67-563e-48de-8113-1997f3281483) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 9bf60f66-2170-499b-a883-14525417c6dd) + (tstamp 6bb2e193-8870-48ea-a223-ae61f398734b) ) (fp_line (start -7.11 -7.11) (end -6.41 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43e0fadf-dc67-496a-983d-19c1e0d30062)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f0d6cd6-928d-4cb4-9804-0a383711f87d)) (fp_line (start -7.11 -6.41) (end -7.11 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e1fc775-2083-489f-85ee-4fec3bb402e5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 346debec-128b-4b80-b8ed-b3f3d21f4f05)) (fp_line (start -7.11 6.41) (end -7.11 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 414589aa-f57a-4412-9c7e-730c17b8eb6d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7babfd7-34ee-4bdb-a22f-f9395e211c9a)) (fp_line (start -7.11 7.11) (end -6.41 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 421d390c-a8cb-44d6-9086-27978bec9e5f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 28e61599-c5cc-4172-8882-f9d9df0f9e3f)) (fp_line (start -6.41 7.11) (end -6.41 8.4) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6a0a3c8-af8e-4482-bd6e-1f8252507228)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ac2ca4b-b4cd-43c8-8534-efc55ce38e25)) (fp_line (start 7.11 -7.11) (end 6.41 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f74f383-7437-4c84-8b05-0e2b37ed2a4d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 656981b4-29b5-4716-84b4-cc4c35801b50)) (fp_line (start 7.11 -6.41) (end 7.11 -7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab1be570-7fd0-42c9-aa95-cec1900f99bb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64b1f555-d908-4c51-8a1e-689490665c55)) (fp_line (start 7.11 6.41) (end 7.11 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cacf4dd0-2fd6-4d8f-af11-ce605542572d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f28f3bd-13e0-4cea-9a99-1175bc9e5b85)) (fp_line (start 7.11 7.11) (end 6.41 7.11) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27aed145-d816-4f68-b283-7d63e2e93387)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff682ff2-1ac0-4914-b809-3143423f55d2)) (fp_line (start -8.65 -6.4) (end -7.25 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 48cc9f55-7c2a-46de-9ff7-1409fd0fa31b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fc8f3d9f-9a36-4bf3-9b2c-1adae8ed4780)) (fp_line (start -8.65 0) (end -8.65 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c63c553-4361-4957-b96e-d1bf2fb1f874)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d32270e9-0379-489b-be75-5f5b5c0f1c77)) (fp_line (start -8.65 0) (end -8.65 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e60c2999-24cb-4de8-afb9-e2421bcef943)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9d24c2d1-45ec-4aad-97c3-5067bf2b5f9c)) (fp_line (start -8.65 6.4) (end -7.25 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4feca7a4-8220-47a5-9c82-cb58d66048b7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc787034-41c4-4c1a-8e34-c289b76ada14)) (fp_line (start -7.25 -7.25) (end -6.4 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e979c17-45ca-4579-a040-6d06b8707346)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 979895a0-26a2-4b17-a355-eab8fdebe470)) (fp_line (start -7.25 -6.4) (end -7.25 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9ffdb0d-6aca-4f03-829a-ba6f9008b44a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e7dd1f9-383c-4453-b627-ea906b8faae4)) (fp_line (start -7.25 6.4) (end -7.25 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9702be12-aac9-4fd2-b489-d91e9618b689)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c07f60a-3380-4f81-8ff6-e5b2f184cbea)) (fp_line (start -7.25 7.25) (end -6.4 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 021143a8-3ac9-4a76-913b-a32d739e01a4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3585d5c4-d24f-4443-bdc1-5c403ffe2d0d)) (fp_line (start -6.4 -8.65) (end 0 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1c256f0-e1c3-4d28-b397-93940df2d019)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 197af234-ea63-4df1-a5bf-7fa93d48a2cc)) (fp_line (start -6.4 -7.25) (end -6.4 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e02481e-9497-474f-89f4-d71a8ce59071)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb7fb36a-1dbe-461f-bbd6-7f829e4d40b3)) (fp_line (start -6.4 7.25) (end -6.4 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 179427fa-fdff-408f-8a2b-05a7ecc0efae)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a921314-8cc7-423c-a3df-8b2739b51874)) (fp_line (start -6.4 8.65) (end 0 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25adf0ae-2157-4cb2-8d00-888d38c19a40)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 737eccd5-9535-4466-8eae-2145bb232310)) (fp_line (start 6.4 -8.65) (end 0 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15bf0b5a-11e8-4197-91a6-d6098a0e584f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 74ac0788-8e0c-480c-83e6-c73bf49473fd)) (fp_line (start 6.4 -7.25) (end 6.4 -8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 032a81ea-d836-4f77-bc31-e0588aafced2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4a7957e-25dc-4545-8027-09380ee39486)) (fp_line (start 6.4 7.25) (end 6.4 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f59344b-c1dd-41de-b859-428a15799254)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 767a7197-adc5-4793-aef9-db6dd62bca4b)) (fp_line (start 6.4 8.65) (end 0 8.65) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ba658606-c9e3-4edb-b437-6b8ec5a4d01f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5aa2a07d-458f-4a45-8f52-c705e6c8f487)) (fp_line (start 7.25 -7.25) (end 6.4 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f66dbd55-5215-4c68-881c-355db417ec00)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2eec952-b043-40f3-bcf6-5167fd2e822d)) (fp_line (start 7.25 -6.4) (end 7.25 -7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b92f915-7178-4f9b-9413-a79ab3afbd86)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7705b33-91f3-42eb-896b-bad40b39c832)) (fp_line (start 7.25 6.4) (end 7.25 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aa49f454-72e0-402e-bcbb-f96f1dbfc010)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cdd1011a-a6fe-4228-831c-608706fc83ef)) (fp_line (start 7.25 7.25) (end 6.4 7.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f4709b7-0c40-40a7-a95a-cabcf2a69e32)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c2f4e91-20a6-44f3-829d-1f9814bac5b6)) (fp_line (start 8.65 -6.4) (end 7.25 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0882165-c536-4200-a926-0f8f1c327dfd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f5c8163d-eb17-475b-9e42-5731821de024)) (fp_line (start 8.65 0) (end 8.65 -6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3631c895-88a9-491b-a99b-17bbc0ff20c4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 660a1443-947a-4396-97b4-1fd26382c422)) (fp_line (start 8.65 0) (end 8.65 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 238ab64d-4ba8-4041-b039-7f0e99609fe5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b6bde571-61fb-4389-ac6c-f6faba724d00)) (fp_line (start 8.65 6.4) (end 7.25 6.4) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bc926c7-9597-4e47-b57c-0e81c3c58206)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b817f66c-ecd0-419d-b56c-b9012196713c)) (fp_line (start -7 -7) (end 7 -7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1396063-8520-43e0-b3ea-7c3557ebed64)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ebfed118-4e68-49f4-91a4-09095362cd1e)) (fp_line (start -7 6) (end -7 -7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f3c0b570-df60-4264-a0b5-1fc06c556daa)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 516ac4a4-072a-4bde-98ea-16b57533ae33)) (fp_line (start -6 7) (end -7 6) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed1bdcf3-0da0-4b82-82fd-1abe281a01f5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73d1a594-ee26-4b11-9568-8e74c1fa3ff9)) (fp_line (start 7 -7) (end 7 7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 38c61cce-8dd2-488f-9b77-ae3bdf1a53d9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 975cf9b7-cfb8-46e4-99f9-cb89a1641441)) (fp_line (start 7 7) (end -6 7) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c42b17ff-7cad-4fbd-92d4-428ce8ceb9fc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 150b33be-ee77-4c24-9f60-a0517fc801d2)) (pad "1" smd roundrect (at -6 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 143 "/Control/DBG5") (pinfunction "I/O/GTS3") (pintype "bidirectional") (tstamp 74c17962-f528-4fa7-89d8-aeaaad287702)) + (net 143 "/Control/DBG5") (pinfunction "I/O/GTS3") (pintype "bidirectional") (tstamp 1e226442-e60a-4473-ba88-6941ccca82b6)) (pad "2" smd roundrect (at -5.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/Buf/AccA5") (pinfunction "I/O/GTS4") (pintype "bidirectional") (tstamp b20a8889-7af2-4dba-8c61-658411235011)) + (net 97 "/Buf/AccA5") (pinfunction "I/O/GTS4") (pintype "bidirectional") (tstamp 918c8082-df3e-4ea2-b71a-6b606142267d)) (pad "3" smd roundrect (at -5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/Buf/AccA6") (pinfunction "I/O/GTS1") (pintype "bidirectional") (tstamp f60812b0-9449-4251-b62c-46f57bc8e9c3)) + (net 98 "/Buf/AccA6") (pinfunction "I/O/GTS1") (pintype "bidirectional") (tstamp e063c889-47fb-47ca-846e-b333e5716697)) (pad "4" smd roundrect (at -4.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/Buf/AccA7") (pinfunction "I/O/GTS2") (pintype "bidirectional") (tstamp 89237319-a74c-489a-8fdd-9963f07e3fa8)) + (net 99 "/Buf/AccA7") (pinfunction "I/O/GTS2") (pintype "bidirectional") (tstamp 533f5942-6ee9-45fe-a967-99312152dc56)) (pad "5" smd roundrect (at -4 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCINT") (pintype "power_in") (tstamp 28cfe46f-7025-4d1d-a297-27631ef9382c)) + (net 3 "+3V3") (pinfunction "VCCINT") (pintype "power_in") (tstamp 225dca0c-4db2-4108-9f76-518e20d37f7e)) (pad "6" smd roundrect (at -3.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/Buf/AccA8") (pinfunction "P6") (pintype "bidirectional") (tstamp c90fe351-fe3a-4279-a484-043cc985286d)) + (net 100 "/Buf/AccA8") (pinfunction "P6") (pintype "bidirectional") (tstamp 488bad23-cc6f-4d62-96ec-801f89b06594)) (pad "7" smd roundrect (at -3 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/Buf/AccA9") (pinfunction "P7") (pintype "bidirectional") (tstamp 534e7bcf-5678-465d-97c9-ff3ca5f62826)) + (net 101 "/Buf/AccA9") (pinfunction "P7") (pintype "bidirectional") (tstamp d6f0c2c7-3a77-4a8e-852a-a0d079c8cf33)) (pad "8" smd roundrect (at -2.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/Buf/AccA10") (pinfunction "P8") (pintype "bidirectional") (tstamp 99196275-b9ab-4299-8b44-5a5f02d60b11)) + (net 107 "/Buf/AccA10") (pinfunction "P8") (pintype "bidirectional") (tstamp 984c7c1b-795e-4e73-9777-a0c284fc4415)) (pad "9" smd roundrect (at -2 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/Buf/AccA11") (pinfunction "P9") (pintype "bidirectional") (tstamp 8078ba58-b59e-4874-8917-e181b0da78e5)) + (net 114 "/Buf/AccA11") (pinfunction "P9") (pintype "bidirectional") (tstamp f75708e5-7aca-4592-a4f4-f2c9c76017e8)) (pad "10" smd roundrect (at -1.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/Buf/AccA12") (pinfunction "P10") (pintype "bidirectional") (tstamp 7af5cc80-8d57-4e3e-bd76-67963876537d)) + (net 120 "/Buf/AccA12") (pinfunction "P10") (pintype "bidirectional") (tstamp 101804dc-59a8-4cf7-98e9-9b48c9931091)) (pad "11" smd roundrect (at -1 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "/Buf/AccA13") (pinfunction "P11") (pintype "bidirectional") (tstamp 7ebd276f-f1d2-42ec-b9af-22f0838937a1)) + (net 128 "/Buf/AccA13") (pinfunction "P11") (pintype "bidirectional") (tstamp 6fc42685-62ab-4113-b94d-f5b2a2ac5bd2)) (pad "12" smd roundrect (at -0.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "/Buf/AccA14") (pinfunction "P12") (pintype "bidirectional") (tstamp d9acf918-f3d0-4d0f-8968-f7e8d16e85e1)) + (net 130 "/Buf/AccA14") (pinfunction "P12") (pintype "bidirectional") (tstamp c111e44c-b087-47ba-9f08-f7f65dfc2e20)) (pad "13" smd roundrect (at 0 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "/Buf/AccA15") (pinfunction "P13") (pintype "bidirectional") (tstamp 7885c3d6-5c0a-4d69-9ac4-aa55c1da4360)) + (net 131 "/Buf/AccA15") (pinfunction "P13") (pintype "bidirectional") (tstamp a7dadf79-da93-47f3-a6c5-e5609c29dd9e)) (pad "14" smd roundrect (at 0.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "/Buf/AccA16") (pinfunction "P14") (pintype "bidirectional") (tstamp d07cf752-bcf8-4fd3-80d8-2b9089dcc2a2)) + (net 132 "/Buf/AccA16") (pinfunction "P14") (pintype "bidirectional") (tstamp c44d304a-6615-4af1-9370-262e16773c44)) (pad "15" smd roundrect (at 1 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "/Buf/AccA17") (pinfunction "P15") (pintype "bidirectional") (tstamp 2ccef7a5-f1fe-4a55-a3e2-d78403824692)) + (net 133 "/Buf/AccA17") (pinfunction "P15") (pintype "bidirectional") (tstamp 63cf18e2-7e18-41aa-8996-e502420fb0da)) (pad "16" smd roundrect (at 1.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "/Buf/AccA18") (pinfunction "P16") (pintype "bidirectional") (tstamp ccdf4fa3-4ed4-4ead-8727-b1fb06c68dbe)) + (net 134 "/Buf/AccA18") (pinfunction "P16") (pintype "bidirectional") (tstamp d149b58e-0d2b-40a3-8d57-89d5eb9a485d)) (pad "17" smd roundrect (at 2 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/Buf/AccA19") (pinfunction "P17") (pintype "bidirectional") (tstamp a5082146-e232-4c26-9a49-726e33778481)) + (net 135 "/Buf/AccA19") (pinfunction "P17") (pintype "bidirectional") (tstamp 07cb4e20-b99b-4559-8e73-1c133f74c4fb)) (pad "18" smd roundrect (at 2.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "/Buf/AccA20") (pinfunction "P18") (pintype "bidirectional") (tstamp 539bc9a1-8a8a-4ad2-a647-9025f2b9dbab)) + (net 136 "/Buf/AccA20") (pinfunction "P18") (pintype "bidirectional") (tstamp 2f70bc8b-1caf-421a-b146-fc8803d7f482)) (pad "19" smd roundrect (at 3 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/Buf/AccA21") (pinfunction "P19") (pintype "bidirectional") (tstamp d99dea3f-979f-4ba9-a55e-372e5c084dbb)) + (net 137 "/Buf/AccA21") (pinfunction "P19") (pintype "bidirectional") (tstamp 6b5f8fff-3a5d-4e39-ae6a-020835084d23)) (pad "20" smd roundrect (at 3.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "/Buf/AccA22") (pinfunction "P20") (pintype "bidirectional") (tstamp 1af95dc6-3d9d-404e-9adc-dfc7cfae9f90)) + (net 138 "/Buf/AccA22") (pinfunction "P20") (pintype "bidirectional") (tstamp 16e36f49-7081-4c9b-b4cf-87583495f332)) (pad "21" smd roundrect (at 4 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b9481d3c-13ec-4ac7-aac9-8fb3a810b962)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp aaec46e7-c0dc-4038-adfc-d9e35c56baea)) (pad "22" smd roundrect (at 4.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 237 "/ClkBuf/BC16M") (pinfunction "I/O/GCK1") (pintype "bidirectional") (tstamp e472c9d8-69ec-449f-8758-3af50134da5f)) + (net 234 "/ClkBuf/BC16M") (pinfunction "I/O/GCK1") (pintype "bidirectional") (tstamp 378c0bf0-4092-48fb-b14b-beda4a733558)) (pad "23" smd roundrect (at 5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 234 "/ClkBuf/BC8M") (pinfunction "I/O/GCK2") (pintype "bidirectional") (tstamp 1bc73f7e-f672-47bc-9146-3f5f2673218b)) + (net 232 "/ClkBuf/BC8M") (pinfunction "I/O/GCK2") (pintype "bidirectional") (tstamp e20200e2-24e7-4494-8d5c-eb6a0bf5244e)) (pad "24" smd roundrect (at 5.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "/Buf/AccA23") (pinfunction "P24") (pintype "bidirectional") (tstamp 1fa0d76b-5a92-4f0b-91e6-c02daa01c154)) + (net 139 "/Buf/AccA23") (pinfunction "P24") (pintype "bidirectional") (tstamp ef06a630-ce3b-4977-ae3b-0357f91c875c)) (pad "25" smd roundrect (at 6 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 231 "/ClkBuf/BE") (pinfunction "P25") (pintype "bidirectional") (tstamp cc28134b-d033-4147-b992-648a1d8a9fa2)) + (net 230 "/ClkBuf/BE") (pinfunction "P25") (pintype "bidirectional") (tstamp f0b5274a-a02f-4796-8ed4-e7a7810d3e24)) (pad "26" smd roundrect (at 7.6625 6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp c306f13e-9c49-4988-9387-84755f27580f)) + (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp b8827c2b-ba16-44aa-ab6e-6e4e5382087b)) (pad "27" smd roundrect (at 7.6625 5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "/Clk.sch/FCLK") (pinfunction "I/O/GCK3") (pintype "bidirectional") (tstamp 530de03c-ca9d-48d0-a405-febad08b99c1)) + (net 142 "/Clk.sch/FCLK") (pinfunction "I/O/GCK3") (pintype "bidirectional") (tstamp 54975cb3-80ee-4627-8796-6b0a80fb52ef)) (pad "28" smd roundrect (at 7.6625 5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 129 "/Control/Acc~{DTACK}") (pinfunction "P28") (pintype "bidirectional") (tstamp 24368a06-f680-4b44-aa01-9ff94ec4638b)) + (net 129 "/Control/Acc~{DTACK}") (pinfunction "P28") (pintype "bidirectional") (tstamp afd9cdda-2b8a-4050-91cc-d0a17aa1ea1e)) (pad "29" smd roundrect (at 7.6625 4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "/Buf/Acc~{R}W") (pinfunction "P29") (pintype "bidirectional") (tstamp df852ac1-7889-40a5-b0a8-2801028978b3)) + (net 140 "/Buf/Acc~{R}W") (pinfunction "P29") (pintype "bidirectional") (tstamp bc56969f-2faf-462f-a8e5-9deba29e6a7b)) (pad "30" smd roundrect (at 7.6625 4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 127 "/Control/Acc~{LDS}") (pinfunction "P30") (pintype "bidirectional") (tstamp ed09dc75-b7de-4452-ade1-8fb881130184)) + (net 127 "/Control/Acc~{LDS}") (pinfunction "P30") (pintype "bidirectional") (tstamp ebbb2b68-4d13-4f20-91eb-d0d702c5f023)) (pad "31" smd roundrect (at 7.6625 3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8c35dc16-f58c-4cba-99f8-5d965d7061e3)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8b8fac1a-d28a-448b-852b-6d262933eda3)) (pad "32" smd roundrect (at 7.6625 3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 126 "/Control/Acc~{AS}") (pinfunction "P32") (pintype "bidirectional") (tstamp 8b671ee1-f3be-4d68-9ae6-609add6f750e)) + (net 126 "/Control/Acc~{AS}") (pinfunction "P32") (pintype "bidirectional") (tstamp 6c308ce8-3aa8-4af3-8b29-12fc98113a8f)) (pad "33" smd roundrect (at 7.6625 2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "/Control/Acc~{UDS}") (pinfunction "P33") (pintype "bidirectional") (tstamp 8999432e-f1b1-4165-868b-ad32b9eba024)) + (net 125 "/Control/Acc~{UDS}") (pinfunction "P33") (pintype "bidirectional") (tstamp e004ab5b-dac7-4e9e-8709-319a83181965)) (pad "34" smd roundrect (at 7.6625 2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "/Control/ROM~{WE}") (pinfunction "P34") (pintype "bidirectional") (tstamp b96d59e7-bde4-4436-a22c-f353fb61fb40)) + (net 124 "/Control/ROM~{WE}") (pinfunction "P34") (pintype "bidirectional") (tstamp 7e84ee5d-3c14-410b-8788-a2722c0935e9)) (pad "35" smd roundrect (at 7.6625 1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "/Control/ROM~{OE}") (pinfunction "P35") (pintype "bidirectional") (tstamp cf2aebca-5ec0-4a4b-8201-b14a5c3c129c)) + (net 123 "/Control/ROM~{OE}") (pinfunction "P35") (pintype "bidirectional") (tstamp f472a0b3-f4b9-4e15-828f-14b43216e6d6)) (pad "36" smd roundrect (at 7.6625 1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "/Control/~{CAS}") (pinfunction "P36") (pintype "bidirectional") (tstamp b97a080e-c142-4afa-b02f-09311dd3058a)) + (net 122 "/Control/~{CAS}") (pinfunction "P36") (pintype "bidirectional") (tstamp 60eedd66-5550-49d5-ad6f-746046c5415e)) (pad "37" smd roundrect (at 7.6625 0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 121 "/Control/~{OE}") (pinfunction "P37") (pintype "bidirectional") (tstamp 5db74224-bcce-4357-b256-90ad5ce01d6f)) + (net 121 "/Control/~{OE}") (pinfunction "P37") (pintype "bidirectional") (tstamp cb6fa918-d226-4b0d-bab5-adb69a347b82)) (pad "38" smd roundrect (at 7.6625 0 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp e85c63c0-cba7-402f-a5fc-2177f9e0aafd)) + (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp 055ef8f5-5c69-4f40-a39a-541a0dd9624f)) (pad "39" smd roundrect (at 7.6625 -0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "/Control/DBG3") (pinfunction "P39") (pintype "bidirectional") (tstamp ab53be01-82de-4f02-8f2b-1e77efda6dd9)) + (net 148 "/Control/DBG3") (pinfunction "P39") (pintype "bidirectional") (tstamp a5c38935-e6a3-46b7-947c-0c076d6ae415)) (pad "40" smd roundrect (at 7.6625 -1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "/Control/RA4") (pinfunction "P40") (pintype "bidirectional") (tstamp d63ab11f-9a7c-455c-b667-df52bd7dc3d1)) + (net 119 "/Control/RA4") (pinfunction "P40") (pintype "bidirectional") (tstamp 1692e91c-051b-4437-a0d5-c1116c7ae478)) (pad "41" smd roundrect (at 7.6625 -1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "/Control/RA3") (pinfunction "P41") (pintype "bidirectional") (tstamp 421630be-a0ae-4267-9c67-0e4e7d9584b7)) + (net 118 "/Control/RA3") (pinfunction "P41") (pintype "bidirectional") (tstamp b5cfcd86-84e2-4c71-a621-2fa517dbb05a)) (pad "42" smd roundrect (at 7.6625 -2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "/Control/RA5") (pinfunction "P42") (pintype "bidirectional") (tstamp 3fe38ab9-5cd9-47a2-b03f-d7af4e1a4d23)) + (net 117 "/Control/RA5") (pinfunction "P42") (pintype "bidirectional") (tstamp 0663af40-9118-430d-8bf5-fa2fc6a1f8d1)) (pad "43" smd roundrect (at 7.6625 -2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "/Control/RA2") (pinfunction "P43") (pintype "bidirectional") (tstamp 2fe16c1c-5599-4a74-be01-3fca758d69c0)) + (net 116 "/Control/RA2") (pinfunction "P43") (pintype "bidirectional") (tstamp e9126bee-2804-4a37-8c4b-9a65235f3466)) (pad "44" smd roundrect (at 7.6625 -3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 24bd68b2-bc77-41a9-abaa-f9308542d33f)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp dab79dec-39bf-421f-85e2-069fef13b829)) (pad "45" smd roundrect (at 7.6625 -3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 77 "/Control/TDI") (pinfunction "TDI") (pintype "input") (tstamp f43d056b-bd4c-4c53-9d70-dfed5134c3c2)) + (net 77 "/Control/TDI") (pinfunction "TDI") (pintype "input") (tstamp 14a3d763-f51e-4180-9d22-3069defc560c)) (pad "46" smd roundrect (at 7.6625 -4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "/Control/RA6") (pinfunction "P46") (pintype "bidirectional") (tstamp cb325314-076c-43b3-84d1-9ef22485dbf9)) + (net 115 "/Control/RA6") (pinfunction "P46") (pintype "bidirectional") (tstamp 31d7b8c7-4578-41af-baa8-f038c057a1e1)) (pad "47" smd roundrect (at 7.6625 -4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 74 "/Control/TMS") (pinfunction "TMS") (pintype "input") (tstamp 35f25a5b-684e-435f-bd1e-f71484f95f48)) + (net 74 "/Control/TMS") (pinfunction "TMS") (pintype "input") (tstamp 62cb3972-5747-405c-8bd1-746f2b773e37)) (pad "48" smd roundrect (at 7.6625 -5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/Control/TCK") (pinfunction "TCK") (pintype "input") (tstamp 9977fd57-53df-41a0-b0f5-b59bf2f7b1e0)) + (net 75 "/Control/TCK") (pinfunction "TCK") (pintype "input") (tstamp 60885e97-0313-498b-99c3-5e1d7012817e)) (pad "49" smd roundrect (at 7.6625 -5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 149 "/Control/DBG2") (pinfunction "P49") (pintype "bidirectional") (tstamp 0f158313-cf6c-428a-a2d9-ad566b9eb3f0)) + (net 149 "/Control/DBG2") (pinfunction "P49") (pintype "bidirectional") (tstamp aafb39e6-0153-4011-bd80-9b71e8481014)) (pad "50" smd roundrect (at 7.6625 -6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "/Control/RA1") (pinfunction "P50") (pintype "bidirectional") (tstamp a85021e5-2a6c-4676-8f46-ac8a33342637)) + (net 113 "/Control/RA1") (pinfunction "P50") (pintype "bidirectional") (tstamp 22d41164-f21c-4f66-be80-c7d0c7850470)) (pad "51" smd roundrect (at 6 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp d0bda4f3-a568-4b28-9797-c802dc4500bf)) + (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp a4b0258f-7fa6-4fcd-b723-ed298d74ca47)) (pad "52" smd roundrect (at 5.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "/Control/RA7") (pinfunction "P52") (pintype "bidirectional") (tstamp 60606bfd-de7a-4cae-ac46-757ac4a6b8b4)) + (net 112 "/Control/RA7") (pinfunction "P52") (pintype "bidirectional") (tstamp 1fc01201-3f7c-4d04-a732-60962e060c7e)) (pad "53" smd roundrect (at 5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "/Control/RA0") (pinfunction "P53") (pintype "bidirectional") (tstamp d2b93110-f86d-43b9-b08e-4b8eb0f80356)) + (net 111 "/Control/RA0") (pinfunction "P53") (pintype "bidirectional") (tstamp 39dbd89f-8077-4120-90b9-25aff63f0f93)) (pad "54" smd roundrect (at 4.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/Control/RA8") (pinfunction "P54") (pintype "bidirectional") (tstamp 9d94af5b-965a-437f-ab2c-9b2a70a27b27)) + (net 110 "/Control/RA8") (pinfunction "P54") (pintype "bidirectional") (tstamp c0578b4a-4693-42a3-b64a-82833e9a4589)) (pad "55" smd roundrect (at 4 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "/Control/RA10") (pinfunction "P55") (pintype "bidirectional") (tstamp a8e59046-dd15-4c90-85c5-c7236e10f297)) + (net 109 "/Control/RA10") (pinfunction "P55") (pintype "bidirectional") (tstamp e4e3f285-1d1f-4cc7-9e71-30ca2df392fa)) (pad "56" smd roundrect (at 3.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "/Control/RA9") (pinfunction "P56") (pintype "bidirectional") (tstamp 18152e9b-0e2f-4ade-af1d-10728c0ed79e)) + (net 108 "/Control/RA9") (pinfunction "P56") (pintype "bidirectional") (tstamp 5d95bb70-6d26-4c56-a84f-0a31bc00bafa)) (pad "57" smd roundrect (at 3 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCINT") (pintype "power_in") (tstamp f2eb1e3c-2dc5-4ed5-8415-84253efd6029)) + (net 3 "+3V3") (pinfunction "VCCINT") (pintype "power_in") (tstamp abcbec8d-53b6-43b8-811b-c822f6c09617)) (pad "58" smd roundrect (at 2.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 226 "/Clk.sch/MCKE") (pinfunction "P58") (pintype "bidirectional") (tstamp a569f5c9-b742-474b-84b6-afd0d12ba3e4)) + (net 226 "/Clk.sch/MCKE") (pinfunction "P58") (pintype "bidirectional") (tstamp 672bfb48-c08c-4bb6-9ec9-c487fac24cd5)) (pad "59" smd roundrect (at 2 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 169 "/Control/CK20EN") (pinfunction "P59") (pintype "bidirectional") (tstamp dfc89f2e-304c-4ea6-b07a-af093372f7b0)) + (net 169 "/Control/CK20EN") (pinfunction "P59") (pintype "bidirectional") (tstamp 4e07dabf-6202-41c3-a779-0ac72a375c52)) (pad "60" smd roundrect (at 1.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 227 "/Buf/GA23") (pinfunction "P60") (pintype "bidirectional") (tstamp c97edc72-018f-48be-8179-c9ab0c9571dd)) + (net 227 "/Buf/GA23") (pinfunction "P60") (pintype "bidirectional") (tstamp 97818421-02bc-46a1-9187-a5d9e1d858e8)) (pad "61" smd roundrect (at 1 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 228 "/Buf/GA22") (pinfunction "P61") (pintype "bidirectional") (tstamp 602c358d-7434-49a7-957b-4fd20532bc13)) + (net 228 "/Buf/GA22") (pinfunction "P61") (pintype "bidirectional") (tstamp df08c5b1-46a2-4052-9fd3-05d0084049d9)) (pad "62" smd roundrect (at 0.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8edaf001-8ef7-4aa9-96d5-c4bea6d8072e)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0b4b62b1-d865-49a5-9376-f402e7151774)) (pad "63" smd roundrect (at 0 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "/Control/RA11") (pinfunction "P63") (pintype "bidirectional") (tstamp 50b3368d-f90c-412e-ad18-4792828ea2fa)) + (net 105 "/Control/RA11") (pinfunction "P63") (pintype "bidirectional") (tstamp 5c0f56b0-dbcd-466c-aa47-54a92ad5e438)) (pad "64" smd roundrect (at -0.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "/Control/~{RAS}") (pinfunction "P64") (pintype "bidirectional") (tstamp 5c1e4ff0-07b5-46e6-b235-fb4b34b18db8)) + (net 104 "/Control/~{RAS}") (pinfunction "P64") (pintype "bidirectional") (tstamp 4150f44b-ecf5-4eaf-a3f1-748741f42cf8)) (pad "65" smd roundrect (at -1 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "/Control/L~{WE}") (pinfunction "P65") (pintype "bidirectional") (tstamp 81bb95a3-1dc8-4a4b-9b94-5ca77b775a08)) + (net 103 "/Control/L~{WE}") (pinfunction "P65") (pintype "bidirectional") (tstamp 0241955b-c9ac-4cc8-a9f0-52970dc7b671)) (pad "66" smd roundrect (at -1.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "/Control/U~{WE}") (pinfunction "P66") (pintype "bidirectional") (tstamp 99686932-9d4b-44b9-adf0-fc9a3870d1de)) + (net 102 "/Control/U~{WE}") (pinfunction "P66") (pintype "bidirectional") (tstamp 0b9b81c5-e5a1-411c-9778-7d23fbbdf7d3)) (pad "67" smd roundrect (at -2 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "/Control/DBG0") (pinfunction "P67") (pintype "bidirectional") (tstamp a783c2cd-e539-4b38-a5a8-71962d98bb7c)) + (net 150 "/Control/DBG0") (pinfunction "P67") (pintype "bidirectional") (tstamp 8e339894-1958-4615-a6de-d9344df9ef74)) (pad "68" smd roundrect (at -2.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 170 "/Control/DBG1") (pinfunction "P68") (pintype "bidirectional") (tstamp b2bba2c7-96d4-4589-8776-0ada3a51506e)) + (net 170 "/Control/DBG1") (pinfunction "P68") (pintype "bidirectional") (tstamp 536fa671-9c87-4352-af13-31c91322e19b)) (pad "69" smd roundrect (at -3 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7a00249f-8a6c-4c8f-a7ed-24d516987683)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ea379cad-c980-4129-8a30-e6a93ec055a4)) (pad "70" smd roundrect (at -3.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/Control/Acc~{BERR}") (pinfunction "P70") (pintype "bidirectional") (tstamp 55f17909-abec-4412-b3dc-ac92d52c6d05)) + (net 90 "/Control/Acc~{BERR}") (pinfunction "P70") (pintype "bidirectional") (tstamp d7e97b12-b461-4c83-b158-e988bd47392e)) (pad "71" smd roundrect (at -4 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 171 "/Control/DBG4") (pinfunction "P71") (pintype "bidirectional") (tstamp eb1cac57-12a2-41a4-9e4b-5e5421fb6ff6)) + (net 171 "/Control/DBG4") (pinfunction "P71") (pintype "bidirectional") (tstamp 41a30679-6894-4e2c-b4ce-283ad1b55817)) (pad "72" smd roundrect (at -4.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "/Control/Mac~{BR}") (pinfunction "P72") (pintype "bidirectional") (tstamp 943fd128-c2da-4784-8ac3-6dcc54052e5d)) + (net 55 "/Control/Mac~{BR}") (pinfunction "P72") (pintype "bidirectional") (tstamp c8d5bbef-d2c3-4539-8094-66ea6b679349)) (pad "73" smd roundrect (at -5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "/Control/Mac~{BG}") (pinfunction "P73") (pintype "bidirectional") (tstamp c1e5bec6-f164-4047-9c71-be5ab83293a6)) + (net 146 "/Control/Mac~{BG}") (pinfunction "P73") (pintype "bidirectional") (tstamp 73789b52-d1b8-4b00-a86d-339af78b87e9)) (pad "74" smd roundrect (at -5.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 32 "/Control/Mac~{VMA}") (pinfunction "P74") (pintype "bidirectional") (tstamp 1e826749-bebe-408d-9ac9-464636c4b691)) + (net 32 "/Control/Mac~{VMA}") (pinfunction "P74") (pintype "bidirectional") (tstamp 889d49ee-5186-48df-8ef5-4759dd0319b9)) (pad "75" smd roundrect (at -6 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 05b317fc-e975-473c-9631-adb9133487ac)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 899902b0-2037-4f22-b867-de9bf51eed67)) (pad "76" smd roundrect (at -7.6625 -6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 41 "/Control/Mac~{BERR}") (pinfunction "P76") (pintype "bidirectional") (tstamp 7550c4e0-cd4b-40cf-ad5f-ce621f073eaa)) + (net 41 "/Control/Mac~{BERR}") (pinfunction "P76") (pintype "bidirectional") (tstamp d4c57255-cf56-4661-9fb9-3f9530c99f1c)) (pad "77" smd roundrect (at -7.6625 -5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 33 "/Control/Mac~{VPA}") (pinfunction "P77") (pintype "bidirectional") (tstamp 87426fda-a315-47d0-9b62-8297142f5ea4)) + (net 33 "/Control/Mac~{VPA}") (pinfunction "P77") (pintype "bidirectional") (tstamp 5a4dc09e-20a4-4a8e-b0f5-351f019ebe4b)) (pad "78" smd roundrect (at -7.6625 -5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 30 "/Control/Mac~{DTACK}") (pinfunction "P78") (pintype "bidirectional") (tstamp f113d25c-2f20-4df2-a1d8-4db31a2c7a4e)) + (net 30 "/Control/Mac~{DTACK}") (pinfunction "P78") (pintype "bidirectional") (tstamp 9fd911b0-a547-4611-8f88-ab82fa120f71)) (pad "79" smd roundrect (at -7.6625 -4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 28 "/Control/Mac~{LDS}") (pinfunction "P79") (pintype "bidirectional") (tstamp bdb7ce8d-c6e0-4a7a-b26a-fed5b1d06261)) + (net 28 "/Control/Mac~{LDS}") (pinfunction "P79") (pintype "bidirectional") (tstamp 3b54ff8f-c7a4-4a14-923d-b7df1ef02a74)) (pad "80" smd roundrect (at -7.6625 -4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 27 "/Control/Mac~{UDS}") (pinfunction "P80") (pintype "bidirectional") (tstamp 57cde00e-2a6e-434b-a1f8-7d1e29aea59f)) + (net 27 "/Control/Mac~{UDS}") (pinfunction "P80") (pintype "bidirectional") (tstamp 98cb98f3-68be-4f06-a731-ce19f037a6e7)) (pad "81" smd roundrect (at -7.6625 -3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 26 "/Control/Mac~{AS}") (pinfunction "P81") (pintype "bidirectional") (tstamp 2bfdd8e0-8ab6-4dc6-81a8-2d3499aee744)) + (net 26 "/Control/Mac~{AS}") (pinfunction "P81") (pintype "bidirectional") (tstamp cf2a78f0-3148-4d20-85e7-fbe420fd04fd)) (pad "82" smd roundrect (at -7.6625 -3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/Buf/ADoutLE1") (pinfunction "P82") (pintype "bidirectional") (tstamp 06afa540-1053-4eb8-9ff8-493b59e15fa1)) + (net 96 "/Buf/ADoutLE1") (pinfunction "P82") (pintype "bidirectional") (tstamp 95840a66-07a7-4c73-a8be-437899b29028)) (pad "83" smd roundrect (at -7.6625 -2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "/Control/TDO") (pinfunction "TDO") (pintype "output") (tstamp 8ad466d2-c85c-40f8-bf34-cc180d958ee4)) + (net 81 "/Control/TDO") (pinfunction "TDO") (pintype "output") (tstamp 9eaefa2a-506d-462d-bef8-32795a3001eb)) (pad "84" smd roundrect (at -7.6625 -2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b3c7f1d0-904f-4fc2-96db-10913f88d452)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4ab506bd-5379-4de2-bc51-a659ebbd6e79)) (pad "85" smd roundrect (at -7.6625 -1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Buf/ADoutLE0") (pinfunction "P85") (pintype "bidirectional") (tstamp 96a8d099-4fad-4951-9400-576a134abe7e)) + (net 95 "/Buf/ADoutLE0") (pinfunction "P85") (pintype "bidirectional") (tstamp 5faddbf9-6047-4f0d-bc14-5c2b2b67837c)) (pad "86" smd roundrect (at -7.6625 -1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "/Buf/DinLE") (pinfunction "P86") (pintype "bidirectional") (tstamp 3eafb167-5d3f-412d-9b0d-fc0b1feb7159)) + (net 94 "/Buf/DinLE") (pinfunction "P86") (pintype "bidirectional") (tstamp 89fc3715-00aa-4244-8b27-4cdb88de2bb6)) (pad "87" smd roundrect (at -7.6625 -0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/Buf/Aout~{OE}") (pinfunction "P87") (pintype "bidirectional") (tstamp f6be2011-f551-48dc-80fa-cdf2060d6322)) + (net 93 "/Buf/Aout~{OE}") (pinfunction "P87") (pintype "bidirectional") (tstamp 36041227-f673-420b-b1b7-af122d086424)) (pad "88" smd roundrect (at -7.6625 0 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp 620d949a-3445-46e9-9800-0c5296744eae)) + (net 3 "+3V3") (pinfunction "VCCIO") (pintype "power_in") (tstamp 7cf934ec-60df-49ee-adc9-a825dfb64c91)) (pad "89" smd roundrect (at -7.6625 0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "/Buf/Dout~{OE}") (pinfunction "P89") (pintype "bidirectional") (tstamp 6f53f935-d504-4219-b5ac-93e7e4b9858a)) + (net 92 "/Buf/Dout~{OE}") (pinfunction "P89") (pintype "bidirectional") (tstamp 40679788-4bfc-4280-8991-ba50598ff819)) (pad "90" smd roundrect (at -7.6625 1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/Din~{OE}") (pinfunction "P90") (pintype "bidirectional") (tstamp 4e45d904-57de-4765-8f93-b6ee2739fe1b)) + (net 91 "/Buf/Din~{OE}") (pinfunction "P90") (pintype "bidirectional") (tstamp 0aa70e33-bb75-4580-85cc-f06ef0678cf3)) (pad "91" smd roundrect (at -7.6625 1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Control/~{RESET}") (pinfunction "P91") (pintype "bidirectional") (tstamp 01c9c2db-8ed6-4b4d-a689-5244b7991faf)) + (net 24 "/Control/~{RESET}") (pinfunction "P91") (pintype "bidirectional") (tstamp b76adbd4-09db-457c-bf01-941e444ac466)) (pad "92" smd roundrect (at -7.6625 2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 42 "/Control/~{IPL}2") (pinfunction "P92") (pintype "bidirectional") (tstamp 4882852c-f6c2-4f24-a957-140bdc97956d)) + (net 42 "/Control/~{IPL}2") (pinfunction "P92") (pintype "bidirectional") (tstamp c224f26c-d4cc-43fa-a21c-9c1df7a767ac)) (pad "93" smd roundrect (at -7.6625 2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/Control/Acc~{VPA}") (pinfunction "P93") (pintype "bidirectional") (tstamp fd5ad747-cff0-4cbe-8696-5f3ccde3d077)) + (net 89 "/Control/Acc~{VPA}") (pinfunction "P93") (pintype "bidirectional") (tstamp a32c8d52-d15c-4b5b-a231-ba88b371b987)) (pad "94" smd roundrect (at -7.6625 3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 166 "/Buf/AccA1") (pinfunction "P94") (pintype "bidirectional") (tstamp 7a7ea953-3306-4bed-8e3f-23b686d20a31)) + (net 166 "/Buf/AccA1") (pinfunction "P94") (pintype "bidirectional") (tstamp afa711c5-db5d-4c27-98cd-3d5009e29377)) (pad "95" smd roundrect (at -7.6625 3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 167 "/Buf/AccA2") (pinfunction "P95") (pintype "bidirectional") (tstamp 784aaabd-b2c3-4f3a-9426-3ea2099f2b56)) + (net 167 "/Buf/AccA2") (pinfunction "P95") (pintype "bidirectional") (tstamp 4c4a817c-4875-458c-8f8b-3c08d14e23e9)) (pad "96" smd roundrect (at -7.6625 4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 168 "/Buf/AccA3") (pinfunction "P96") (pintype "bidirectional") (tstamp ee38803a-bebc-499a-842a-eb13d326f737)) + (net 168 "/Buf/AccA3") (pinfunction "P96") (pintype "bidirectional") (tstamp 6e68e2a0-8035-4d40-8da9-36f38f6f071c)) (pad "97" smd roundrect (at -7.6625 4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 176 "/Buf/AccA4") (pinfunction "P97") (pintype "bidirectional") (tstamp 09933d8d-6b2a-41f6-87c3-0211445f6487)) + (net 176 "/Buf/AccA4") (pinfunction "P97") (pintype "bidirectional") (tstamp 1dfd1246-3628-411d-8e8d-55fdb65cf1b6)) (pad "98" smd roundrect (at -7.6625 5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCCINT") (pintype "power_in") (tstamp f104d2cb-1f5f-4e68-b55e-bc0115cddf79)) + (net 3 "+3V3") (pinfunction "VCCINT") (pintype "power_in") (tstamp 5bfcaf27-7556-4b70-9068-52155ca9ff8d)) (pad "99" smd roundrect (at -7.6625 5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 172 "unconnected-(U1-I{slash}O{slash}GSR-Pad99)") (pinfunction "I/O/GSR") (pintype "bidirectional+no_connect") (tstamp ee5a8626-f927-4d1b-a35d-0f1ad3110909)) + (net 172 "unconnected-(U1-I{slash}O{slash}GSR-Pad99)") (pinfunction "I/O/GSR") (pintype "bidirectional+no_connect") (tstamp 7a5d698d-153c-4301-b3a0-3cec2ea5a2e1)) (pad "100" smd roundrect (at -7.6625 6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 55c1ec9f-7042-43c0-bbf5-8c51f0b8d8d4)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 73d55cfb-1231-4b01-a88b-fc572d39a2ba)) (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -890,40 +887,40 @@ (attr smd) (fp_text reference "C22" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9092740f-3ea1-48bf-95f2-50ee8414b8c4) + (tstamp 931d791d-66ea-42d7-babc-68d79df5b2c0) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f0184231-68e1-46d4-b008-3d347cf1c654) + (tstamp e0c993ba-813a-4824-9e31-cdb2384db998) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 02c5771a-2c89-4473-a5b3-5d6bc931c50f) + (tstamp 930137ec-ae95-461b-b536-5a3aa3340ef2) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c521cb58-e382-4bb6-9710-f313ac6a9d17)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp b2ad152d-c479-4416-a45b-f4d1a8738f6b)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2cc5b2fd-2e33-4a9a-aea0-12d099a42c42)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9b808afe-156e-40b0-a692-55e08e8d045c)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c74bfb5-7e12-4247-b322-63ac910da20a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 14233fb8-bf08-468d-9877-15dacf7edf59)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc9f7173-4bd4-4b35-be7d-c48b2b912304)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a69d4456-4e60-4b16-8160-961582adab71)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ccac5c3-1adc-4a54-b2ec-bf9b44639584)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68c6c5a2-9fe0-49d5-917e-c87e73fc6a32)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 057b83e6-39ee-4cba-82ce-36c50b356a35)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca191143-f75d-4eb6-8b41-3260a479d234)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8ce87816-ae2c-4cd5-9d7b-8af03b73bab9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c82e892f-6b35-40f6-9a3c-3180ad18f365)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 227707cf-f206-4097-8cdb-4e94d7b8f8b2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6b73d0d5-a581-4f86-8086-8e65909d873e)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 10db6f64-9b0e-41a2-a82f-3be4b5b18c3a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4c35932f-9385-4bba-9c5c-2bd080eb2c7d)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d0fc1fe9-9dbc-43b4-8455-3f1702b1f878)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4022338e-79d4-404b-8241-0554da754e82)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "+12V") (pintype "passive") (tstamp ec7442e8-091e-4c95-8627-b4c0236c4c3f)) + (net 5 "+12V") (pintype "passive") (tstamp 77669e09-3da7-455a-b389-28762758c484)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 4b889a6e-a375-4927-96b4-89ca1c5f367e)) + (net 1 "GND") (pintype "passive") (tstamp ce807a69-0ee7-4e16-9750-41d2925de501)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -946,40 +943,40 @@ (attr smd) (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 330d6bb3-ef57-45af-b60c-d4594ac618f0) + (tstamp 6d20103d-42d1-4a13-bb11-f2467c4cc7b6) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d6f836a2-a324-4fbe-9322-20fdd2420aa2) + (tstamp fdb57728-271d-4b4b-b5f9-8577887527d9) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp fe1a2690-aed4-42f1-ab85-580bb9116138) + (tstamp 2a55ed96-afd9-4505-beb1-b436d66d30e3) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 51ca8d80-0618-4e56-b555-a75941a43663)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp dc85a244-4762-48cf-b6d1-c7e74bcebb8c)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d041aa76-1559-4469-b473-786cb5862b01)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 30e262e7-63d6-49de-b10c-ce623c9959d5)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 745e459d-c58d-4765-a12a-c29a6cc61f55)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20bf1783-74ef-45e9-afba-8adccab2835f)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88b17cfb-e057-420a-b75f-ef4e09e0af5b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c2f76685-d988-49c1-b4cf-8717ddec49b5)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d15a5112-3c5f-4347-b380-f25d643cd3eb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f70684be-5d9b-4b27-ab4d-de7dad8f1725)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02a85c5f-6a1c-4633-b1b1-7bb653875adc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4d6daae-d5c5-443b-bec2-9ce34b73e3bd)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 19df48f6-5138-4076-93f8-f8f4a7fcbb5d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp efa31cde-89b5-45ad-92da-db676e1d5e57)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 21bda686-c132-4116-9a0c-7cd3a313e6ae)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f1067c1b-f343-4220-ac5a-caf748194723)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp edceb4b2-af4c-45e2-88cb-c9fb0a7f3699)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ba3f380e-c353-4335-85ea-ec14872acbf3)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 40ac25f0-fb98-42b4-a5df-fd10894be0ff)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 57478ae7-0c2c-4b29-9f37-32721fb6e37a)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "-5V") (pintype "passive") (tstamp c388283b-ff16-406f-a223-c530bb48ed9d)) + (net 4 "-5V") (pintype "passive") (tstamp 529c372b-e551-4103-8a57-4d1eb6473079)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 58063aaf-f89c-47d4-856d-1f6f693200ac)) + (net 1 "GND") (pintype "passive") (tstamp bf835752-f410-4b49-95ba-0e8afb21cb14)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1002,40 +999,40 @@ (attr smd) (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 76d2b11d-1390-4b6b-b2c9-7b0401b545e2) + (tstamp 7347d878-76cb-4fc5-9621-5fc1eb82c686) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 667e3d5b-db2a-43e7-8b64-1e0569ff9683) + (tstamp d153ef4f-5eac-45f9-a6c2-870abfd8fa70) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7caee78f-96a4-4f68-aba2-393df9cd34e2) + (tstamp 0a81a356-5fa3-46ba-b87b-b64851f9a35d) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2e0b41f9-1d81-4b5c-93b5-8d8d496002a0)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 79a6b2cb-163a-45b0-842c-7d846281e35c)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c8ad6021-a44e-4dc3-8c21-170870a22518)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1c9c5e14-19de-4b42-9b54-b98fbea3acae)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 86c67692-ab26-4932-9d14-4f861f815d13)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d215a134-ffa5-48a6-9398-53fb9823fc90)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a92ca1bf-c416-4028-8b93-f7cdb16721b7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f163ff45-7003-49ed-93fc-1bceccefc62d)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65527f9e-f25f-4e44-a4c6-5d66b22d8c51)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2132fee-22a5-48eb-8c42-9ebf3c86db12)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0a57e502-fa9b-4ad1-ac96-cda75cd1ba3a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e587478-93c4-491a-9600-ca410208f0d4)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c798f8fb-35a0-42e1-bda3-11699feb512c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b235ddd8-b3e3-49f0-9a1f-1b337a41ea87)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2387b1c4-685c-4eda-9aac-3a3feac25ebd)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 59580a2f-d6ab-4384-a630-156da7479a05)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 13548683-9d08-4878-90b4-db8c4e7e52ee)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b4dc6471-2929-4ae5-a030-a20f4ab6f11f)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 97be0fbe-ab81-4170-9347-984075e0d4d2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe7b674a-b2bb-4501-b9cf-eb4e47804e2b)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 6 "-12V") (pintype "passive") (tstamp 3469d0db-f75b-4483-b478-ccbe3e9fd4a5)) + (net 6 "-12V") (pintype "passive") (tstamp 308b963b-bc45-4ec4-bc6a-ffc302911117)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp a3647efb-6fc1-41c1-9202-73b457661e20)) + (net 1 "GND") (pintype "passive") (tstamp 20a83ca0-0fe9-4b38-85b1-aa095abf275b)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1054,104 +1051,104 @@ (attr smd) (fp_text reference "U9" (at 0 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 2e0369b2-9c3c-4fb1-aadb-8ef55081a1d1) + (tstamp 9afecf3a-f318-47cb-bd18-d7d501d5c36c) ) (fp_text value "KM48C2100" (at 1.27 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 5c22729e-c648-4d16-a285-c67a23407505) + (tstamp 64a037d4-7109-4d78-8185-4d26e8b866df) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 698528c5-017a-4c2f-9128-5a98385ffad3) + (tstamp 12e2ac3d-c2c6-403b-b85a-9b6b3eaf0878) ) (fp_line (start -3.875 -9.525) (end -3.875 -8.875) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp a298025a-a34f-49a9-8bbc-eca9e727bea3)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 1bfabf8e-045a-47fb-9169-c2c3c93f8b27)) (fp_line (start -3.875 -9.525) (end 3.875 -9.525) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp a1020ef3-60ce-4ded-9c39-4ef9edd9338e)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d7a25bc6-bc25-41fb-a028-3ca21842eb1a)) (fp_line (start -3.875 -8.875) (end -4.699 -8.875) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp cae83ce3-f0d1-47f2-88cf-fe8436ffca1c)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 662053de-518e-4a84-a70f-1ebac29d9420)) (fp_line (start -3.875 9.525) (end -3.875 8.78) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp c9076c13-8a29-48c4-92b0-08afec563ab2)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp ae8400f4-9b98-4e62-96d4-47f892e401e3)) (fp_line (start -3.875 9.525) (end 3.875 9.525) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp f0faa5f5-d277-4d67-9a34-0d8f0c55a7ea)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 79abf807-4acf-44a5-b4d3-b13a8c238010)) (fp_line (start 3.875 -9.525) (end 3.875 -8.78) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 65d2b01b-4540-4a80-8ce4-fb45ffcc4c48)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 4576d275-9944-425f-93ca-0b9408835109)) (fp_line (start 3.875 9.525) (end 3.875 8.78) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp a730f6df-fa96-419a-b12a-6333cd1608a5)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 756354ba-9874-46d1-9d6f-a0eb417ba1a7)) (fp_line (start -5.08 -9.779) (end -5.08 9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5cad5075-7589-4bd2-a8f7-4d37271df964)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea017d67-1d18-4801-8e3c-d5a6f25bd319)) (fp_line (start -5.08 -9.779) (end 5.08 -9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 91764e05-44f1-41c2-8455-fec82632c30f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc2ce6c5-809c-4478-9f0f-831ae510dd37)) (fp_line (start -5.08 9.779) (end 5.08 9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5bc7b9af-56af-49bf-82c9-5670fee86051)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3002619c-a2b0-481b-b7f7-f5ace4de3832)) (fp_line (start 5.08 -9.779) (end 5.08 9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c7e03557-177f-42a3-8fd2-ef190d926a80)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 62eb585c-8b2d-496c-9a34-ad426a043c1d)) (fp_line (start -3.75 -8.35) (end -2.75 -9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 08f9f9e0-f8c9-4c4b-b9b8-4108ee902b35)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 332d1fec-b552-413d-8b32-a0c9c03a602d)) (fp_line (start -3.75 9.35) (end -3.75 -8.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9ad54bdf-3bfe-4227-ba61-f32e8386cefb)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 01e9c77c-cf0a-4d12-b33b-6b523ae5a9cf)) (fp_line (start -2.75 -9.35) (end 3.75 -9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dd888a6d-b4c7-4ec2-af4e-a3e03ab41f35)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 301a1b1b-0a78-4628-904b-4eed7d2019f5)) (fp_line (start 3.75 -9.35) (end 3.75 9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c343040b-1716-43f1-9eac-009121e1a36b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ceba846a-e75c-46b9-91e1-c67be72ac092)) (fp_line (start 3.75 9.35) (end -3.75 9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5d43f8c6-7c07-4b4b-bef3-dc1e1b679f30)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 97e537d7-0391-4287-ad0d-b32f3b17ac23)) (pad "1" smd roundrect (at -3.175 -8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 0957905f-f2f5-4690-85d7-fe12721de800)) + (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 5789e232-2558-46e5-89c5-757dd38c6587)) (pad "2" smd roundrect (at -3.175 -6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 159 "/Buf/AccD15") (pinfunction "I/O0") (pintype "bidirectional") (tstamp be44da2d-9a55-4718-a67b-f398b3d717b6)) + (net 159 "/Buf/AccD15") (pinfunction "I/O0") (pintype "bidirectional") (tstamp 8e806fd9-fffe-4047-a466-9b2da87a0280)) (pad "3" smd roundrect (at -3.175 -5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "/Buf/AccD13") (pinfunction "I/O1") (pintype "bidirectional") (tstamp 39bd988a-e7d8-41ed-aa02-7ec850a4b794)) + (net 160 "/Buf/AccD13") (pinfunction "I/O1") (pintype "bidirectional") (tstamp 4542cbf4-030d-4cc8-86bc-edc1d1ea9d11)) (pad "4" smd roundrect (at -3.175 -4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 161 "/Buf/AccD11") (pinfunction "I/O2") (pintype "bidirectional") (tstamp 8905c363-804c-4a0b-9e21-cbfdcfab92bb)) + (net 161 "/Buf/AccD11") (pinfunction "I/O2") (pintype "bidirectional") (tstamp 3baa3868-5aae-455d-a094-4c0ee2eb70ee)) (pad "5" smd roundrect (at -3.175 -3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "/Buf/AccD9") (pinfunction "I/O3") (pintype "bidirectional") (tstamp 48c7bbb7-e398-4114-bf9d-14efbef9bb80)) + (net 162 "/Buf/AccD9") (pinfunction "I/O3") (pintype "bidirectional") (tstamp 6bc8511f-f2ad-4533-bcff-eb9e728aee55)) (pad "6" smd roundrect (at -3.175 -1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "/Control/U~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 01bacb7b-c7a3-4b3e-909d-7acab513a3e6)) + (net 102 "/Control/U~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp aa677e3d-b236-4623-b199-589bd3948978)) (pad "7" smd roundrect (at -3.175 -0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "/Control/~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp 92b0f292-8700-4219-a5d9-7fb40be3e0e8)) + (net 104 "/Control/~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp 0eb324e3-9631-43e1-8ac6-dcdaa14d19f1)) (pad "8" smd roundrect (at -3.175 0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "/Control/RA3") (pinfunction "A11/NC") (pintype "input") (tstamp 5fa93e72-0bad-4246-b518-4103809cc926)) + (net 118 "/Control/RA3") (pinfunction "A11/NC") (pintype "input") (tstamp c10ee859-942e-4603-8dca-2f5d2bd80b80)) (pad "9" smd roundrect (at -3.175 1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "/Control/RA2") (pinfunction "A10") (pintype "input") (tstamp 461ae0cf-a817-44b7-97fe-d2a390b4e486)) + (net 116 "/Control/RA2") (pinfunction "A10") (pintype "input") (tstamp 820ecd4e-643c-4d27-b47b-747ac5752998)) (pad "10" smd roundrect (at -3.175 3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "/Control/RA1") (pinfunction "A0") (pintype "input") (tstamp 6bb6c666-18ae-4229-a005-f09554173b16)) + (net 113 "/Control/RA1") (pinfunction "A0") (pintype "input") (tstamp 261d5473-d51f-4057-8109-27277cf09af9)) (pad "11" smd roundrect (at -3.175 4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "/Control/RA0") (pinfunction "A1") (pintype "input") (tstamp 948dd2c8-80e4-4cec-b076-50dc4b77886f)) + (net 111 "/Control/RA0") (pinfunction "A1") (pintype "input") (tstamp 73208f4a-1f69-4722-a01d-47db16dbd4d5)) (pad "12" smd roundrect (at -3.175 5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "/Control/RA10") (pinfunction "A2") (pintype "input") (tstamp 54603218-e303-4dd4-9f68-50510624aa04)) + (net 109 "/Control/RA10") (pinfunction "A2") (pintype "input") (tstamp d5438a16-3c5d-4817-81da-4da61363face)) (pad "13" smd roundrect (at -3.175 6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "/Control/RA11") (pinfunction "A3") (pintype "input") (tstamp 130168be-b425-4370-9724-4f028fd9c3a6)) + (net 105 "/Control/RA11") (pinfunction "A3") (pintype "input") (tstamp f1a6240f-3456-4d11-9c37-f8499700f901)) (pad "14" smd roundrect (at -3.175 8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 81e0e178-b88b-469a-a06d-32d48f679f8a)) + (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp f2bab876-2f6b-4a01-a625-c58a4dfb3aca)) (pad "15" smd roundrect (at 3.175 8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0de1996c-24e3-4fc6-9759-7942e9098996)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 207e2d3b-ecc2-4efd-a6b4-5f15fca47d13)) (pad "16" smd roundrect (at 3.175 6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "/Control/RA9") (pinfunction "A4") (pintype "input") (tstamp 3eab3597-f008-48d8-9cab-78a53139b3a9)) + (net 108 "/Control/RA9") (pinfunction "A4") (pintype "input") (tstamp d9157344-3222-422f-b2c6-a529dca9df48)) (pad "17" smd roundrect (at 3.175 5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/Control/RA8") (pinfunction "A5") (pintype "input") (tstamp d156add5-6fbb-44e4-bd63-5fd040927ce0)) + (net 110 "/Control/RA8") (pinfunction "A5") (pintype "input") (tstamp d5ea59dc-3756-4f77-ba05-2d722fdfac7d)) (pad "18" smd roundrect (at 3.175 4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "/Control/RA7") (pinfunction "A6") (pintype "input") (tstamp ca2c75b2-5e82-4b4b-8f87-c8c51b3ccf7b)) + (net 112 "/Control/RA7") (pinfunction "A6") (pintype "input") (tstamp e79443c4-59fe-4dbc-9a43-4172be41cede)) (pad "19" smd roundrect (at 3.175 3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "/Control/RA6") (pinfunction "A7") (pintype "input") (tstamp 983be0ed-c597-420e-8bad-32bd2f0aae56)) + (net 115 "/Control/RA6") (pinfunction "A7") (pintype "input") (tstamp c0413f80-d3d6-4cf8-a37d-b72f4b5ae3db)) (pad "20" smd roundrect (at 3.175 1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "/Control/RA5") (pinfunction "A8") (pintype "input") (tstamp a41d7a01-034c-40b0-932f-e516846f4c2d)) + (net 117 "/Control/RA5") (pinfunction "A8") (pintype "input") (tstamp d507268d-3185-4be6-9588-aa320694bf53)) (pad "21" smd roundrect (at 3.175 0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "/Control/RA4") (pinfunction "A9") (pintype "input") (tstamp b6c41704-ae9b-439a-a2d3-9d9f1b82fad3)) + (net 119 "/Control/RA4") (pinfunction "A9") (pintype "input") (tstamp 70d8be6c-40de-4ef3-a9c2-f6c30cb84588)) (pad "22" smd roundrect (at 3.175 -0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 121 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 9543871f-091e-437f-836f-12be2de7db6f)) + (net 121 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 6d872e48-f81b-4629-b46f-cb2bb877b5d0)) (pad "23" smd roundrect (at 3.175 -1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "/Control/~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 02591181-179c-4671-94f1-e184561823b8)) + (net 122 "/Control/~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 7e11fd40-cc74-4bf3-845f-5935ce8df1d3)) (pad "24" smd roundrect (at 3.175 -3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "/Buf/AccD8") (pinfunction "I/O4") (pintype "bidirectional") (tstamp 0de488b1-debf-4795-9e35-cb6b389a3a18)) + (net 163 "/Buf/AccD8") (pinfunction "I/O4") (pintype "bidirectional") (tstamp aab6f9f1-58ad-4b88-8c22-792ae669c8f4)) (pad "25" smd roundrect (at 3.175 -4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "/Buf/AccD10") (pinfunction "I/O5") (pintype "bidirectional") (tstamp c49b5edc-0cc2-4c34-b150-00b2dbdd2679)) + (net 164 "/Buf/AccD10") (pinfunction "I/O5") (pintype "bidirectional") (tstamp 7f5cc284-2db7-416e-8c6d-e959f7a929ce)) (pad "26" smd roundrect (at 3.175 -5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 165 "/Buf/AccD12") (pinfunction "I/O6") (pintype "bidirectional") (tstamp 4ca23926-be8c-4c43-8572-26da96208a06)) + (net 165 "/Buf/AccD12") (pinfunction "I/O6") (pintype "bidirectional") (tstamp 57e6fa83-915a-418f-a35e-fef8ace52508)) (pad "27" smd roundrect (at 3.175 -6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "/Buf/AccD14") (pinfunction "I/O7") (pintype "bidirectional") (tstamp f52598d3-41a6-4b3f-9602-b1b825a62916)) + (net 158 "/Buf/AccD14") (pinfunction "I/O7") (pintype "bidirectional") (tstamp 1c8118ba-4f25-4b05-aa69-ceffb6b5093d)) (pad "28" smd roundrect (at 3.175 -8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 616d211e-2c03-4334-b5b5-7b53d19acbc4)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d687b3e3-2ef7-4b06-b2b1-6add88dbca34)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-28W_7.5x18.7mm_P1.27mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1170,264 +1167,264 @@ (attr through_hole) (fp_text reference "J1" (at 2.54 39.37 180) (layer "B.Fab") (effects (font (size 0.635 0.635) (thickness 0.15875) bold)) - (tstamp fbb51605-8f33-473d-b2c9-c4ed9784c7b4) + (tstamp b9d31006-b424-4c21-b2ec-7f283eab8b11) ) (fp_text value "MacSEPDS" (at 2.54 88.2015 180) (layer "B.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) - (tstamp 5db24321-4943-4979-893f-f316aca474b8) + (tstamp e46cc6ae-0cec-4ac6-a327-1f3b2186e2c4) ) (fp_text user "${REFERENCE}" (at 2.54 -9.4615 180) (layer "B.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) - (tstamp 79c60fdf-032c-45e0-a6a0-f2b60f8bd708) + (tstamp 82a06c95-d84f-4b74-88e8-1c95b0d3ded7) ) (fp_line (start -3.99 -0.3) (end -3.99 0.3) - (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ad459ff9-51a0-4889-b8be-558b6728b91b)) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a227545f-3433-413a-8687-629f7ea6c89f)) (fp_line (start -3.99 0.3) (end -3.31 0) - (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 7865aaae-4d32-449d-b49b-21c302b125f1)) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 05d27713-b9fb-42d7-90c9-aa23f6e40ac1)) (fp_line (start -3.31 0) (end -3.99 -0.3) - (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e0a56dac-05df-4158-86e9-fd855ef84972)) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c352c2b8-ee42-4f67-9294-f6401e8564fc)) (fp_line (start -3.5 -8.63) (end 8.59 -8.63) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 894d84a8-81ff-4881-86a1-d5a444f9d23c)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 619fda2e-2fcf-47f4-b219-6fd5ec5323c9)) (fp_line (start -3.5 87.37) (end -3.5 -8.63) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp cd5effa2-6712-4ce1-9bb4-1562dff378dd)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 18b5ddb7-a36e-4dc2-8209-edd30776bcf3)) (fp_line (start 8.59 -8.63) (end 8.59 87.37) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 213b20f0-b77a-4b47-aa85-0b78bac50757)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 6194f2f0-1da5-4acf-90bb-c3bfd97719a4)) (fp_line (start 8.59 87.37) (end -3.5 87.37) - (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp e0f451a9-faff-43f5-abc5-5d5d0e350304)) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 8ab9b986-bdc3-4ac6-bf05-5bd1b79cedea)) (fp_line (start -2.4765 86.741) (end -2.4765 -8.001) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46086518-d3c6-4570-851d-dea6ad3088c0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc4ad2c5-17c8-4e14-8afe-0ce5423a00ea)) (fp_line (start 7.5565 -8.001) (end -2.4765 -8.001) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94816ba0-b9af-4cb2-a808-07eea6e513f2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c60edc1-a226-463b-adbd-7b340ed0dcf9)) (fp_line (start 7.5565 86.741) (end -2.4765 86.741) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c407392d-4626-489f-b229-10599036b5ea)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f4dd795-94a4-4a7c-99dd-067ef23ff2bf)) (fp_line (start 7.5565 86.741) (end 7.5565 -8.001) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5087b04-8b92-4fd9-b5cc-ba912f8da165)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e68ba512-680d-40da-b41b-c00c6cab35f8)) (fp_line (start -2.9845 -8.128) (end 8.0645 -8.13) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1bf6fdf7-f22b-41f7-9b5c-e0d40027f1a4)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6ef33a19-8923-471b-9496-39f7a751a855)) (fp_line (start -2.9845 -4.63) (end -0.76 -4.63) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 070a3394-06bf-479e-9456-207de9fd4da7)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6321c2d9-643c-498b-ab3c-aa05ded20c77)) (fp_line (start -2.9845 -0.508) (end -2.2845 -0.008) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 2e0439c3-128d-458f-8e96-393000850aae)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp d2413155-9971-4372-b067-27d06078ac58)) (fp_line (start -2.9845 83.3755) (end -0.76 83.3755) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp f932c354-9af7-4a1c-9eff-94d4af3535ac)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 7670f3fe-3eea-412a-8be3-7d47a2121a01)) (fp_line (start -2.9845 86.872) (end -2.9845 -8.128) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 2d540cab-fdd5-473a-a38c-7b6236836ef5)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 3043e7c0-44ab-47b3-9d36-58f5e349a79b)) (fp_line (start -2.2845 -0.008) (end -2.9845 0.492) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp d6dd6bd0-d783-4b8e-a364-061e825fb552)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 849c37fa-b6e6-46dc-a4eb-79223f7425a9)) (fp_line (start -0.76 -4.63) (end -0.76 -3.13) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1d5a5c5f-c922-4637-835e-c092cd9bc3cc)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8cb89cd9-79ab-4450-b4a5-ed8c98f5e1aa)) (fp_line (start -0.76 -3.13) (end 5.24 -3.13) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6f03a058-8063-4bab-ac48-474014ba8dc1)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 54db7cb5-1cfe-4efa-a00a-b09a3aedf249)) (fp_line (start -0.76 83.3755) (end -0.76 81.87) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6bfd8287-0fc1-453e-9a37-aa44a227e8de)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 70a03592-645b-431e-94d0-81afe7d43af1)) (fp_line (start 5.24 -4.63) (end 8.0645 -4.63) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e9043af2-7c33-47a1-a15c-b6b826be9ede)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 186e5f6b-e140-4560-9077-2bcc3792a53c)) (fp_line (start 5.24 -3.13) (end 5.24 -4.63) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1eec6387-dbbe-4cf4-a00a-fda65ae10ce4)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 700b39fc-ea01-4157-b107-66f1d19182e4)) (fp_line (start 5.24 81.87) (end -0.76 81.87) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 29327724-5f26-4de7-9cd1-c2decca00c53)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ffb8cff6-ceb8-4fea-9b25-bf3a23cc5d63)) (fp_line (start 5.24 81.87) (end 5.24 83.3755) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 9bf4d9bc-716e-403d-b0ff-2641dd9a2195)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 4785145b-381f-4780-a4a8-85f009c0f607)) (fp_line (start 5.24 83.3755) (end 8.0645 83.3755) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp abb7740e-6287-4bec-ab14-5d00c95fc1da)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 1b849334-074f-41c4-ad4d-d28f276c392b)) (fp_line (start 8.0645 -8.13) (end 8.0645 86.868) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 3d7a67a6-befa-4a71-a2a3-22932626b2ad)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 6ac36edd-deb1-4d77-87df-a8dba4fca7e4)) (fp_line (start 8.0645 86.868) (end -2.9845 86.872) - (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 74029141-232c-434d-9e8f-eb8a256a268f)) - (pad "" np_thru_hole circle (at 2.24 -5.63 180) (size 2.85 2.85) (drill 2.85) (layers "*.Cu" "*.Mask") (tstamp a236478c-01be-45e5-9677-5abe6e4ebf1d)) - (pad "" np_thru_hole circle (at 2.24 84.37 180) (size 2.85 2.85) (drill 2.85) (layers "*.Cu" "*.Mask") (tstamp 8defec66-1905-4a1a-90ca-4aa4cd3decb3)) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 26fe0b81-8250-4a00-a4b3-38dcbd030c29)) + (pad "" np_thru_hole circle (at 2.24 -5.63 180) (size 2.85 2.85) (drill 2.85) (layers "*.Cu" "*.Mask") (tstamp 753c657a-fb53-4856-9dc9-9942b5cfff54)) + (pad "" np_thru_hole circle (at 2.24 84.37 180) (size 2.85 2.85) (drill 2.85) (layers "*.Cu" "*.Mask") (tstamp 26d251ac-42cb-473a-b195-8922c84f622a)) (pad "A1" thru_hole roundrect (at 0 0 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.16129) - (net 45 "unconnected-(J1A-FC2-PadA1)") (pinfunction "FC2") (pintype "unspecified+no_connect") (tstamp b36b7cc6-21ad-444f-8b78-28c8f6e32675)) + (net 45 "unconnected-(J1A-FC2-PadA1)") (pinfunction "FC2") (pintype "unspecified+no_connect") (tstamp a5d1f7df-ff14-41e3-ab7e-f586ec76512b)) (pad "A2" thru_hole circle (at 0 2.54 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 46 "unconnected-(J1A-FC1-PadA2)") (pinfunction "FC1") (pintype "unspecified+no_connect") (tstamp 03c7def2-2ab8-4741-8474-34a3cabb3e7f)) + (net 46 "unconnected-(J1A-FC1-PadA2)") (pinfunction "FC1") (pintype "unspecified+no_connect") (tstamp 52f7cc1f-5c9c-46af-84cb-024f8b0d3571)) (pad "A3" thru_hole circle (at 0 5.08 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 47 "unconnected-(J1A-FC0-PadA3)") (pinfunction "FC0") (pintype "unspecified+no_connect") (tstamp 3f24b7b9-f036-498f-8029-91eb07dcb4ca)) + (net 47 "unconnected-(J1A-FC0-PadA3)") (pinfunction "FC0") (pintype "unspecified+no_connect") (tstamp 332a2d61-bdb6-404c-8e2b-13959c95684c)) (pad "A4" thru_hole circle (at 0 7.62 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 10 "/Buf/MacA1") (pinfunction "A1") (pintype "unspecified") (tstamp 4fba0bdb-fe81-49f6-b22c-f3eb029964fa)) + (net 10 "/Buf/MacA1") (pinfunction "A1") (pintype "unspecified") (tstamp f1562d9f-d6cf-4db2-b256-0437cc863b5c)) (pad "A5" thru_hole circle (at 0 10.16 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 11 "/Buf/MacA2") (pinfunction "A2") (pintype "unspecified") (tstamp d4032e77-8701-4f8c-98e9-fc08d9c0a9fd)) + (net 11 "/Buf/MacA2") (pinfunction "A2") (pintype "unspecified") (tstamp 9be874e5-ebab-41d1-b9fe-e062e2df3c85)) (pad "A6" thru_hole circle (at 0 12.7 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 12 "/Buf/MacA3") (pinfunction "A3") (pintype "unspecified") (tstamp 5c8e71c9-ef23-4543-96e0-a64db884360a)) + (net 12 "/Buf/MacA3") (pinfunction "A3") (pintype "unspecified") (tstamp 52d2d47c-9081-417c-ac8e-a01aabcac0d4)) (pad "A7" thru_hole circle (at 0 15.24 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 13 "/Buf/MacA4") (pinfunction "A4") (pintype "unspecified") (tstamp 1c244511-ad28-4a43-b59b-ed91eb31aa63)) + (net 13 "/Buf/MacA4") (pinfunction "A4") (pintype "unspecified") (tstamp 141596f5-e075-427b-976e-1f3d40e5e7b6)) (pad "A8" thru_hole circle (at 0 17.78 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 14 "/Buf/MacA5") (pinfunction "A5") (pintype "unspecified") (tstamp fce7a9a6-c749-4cec-b51f-6c4e66ce185a)) + (net 14 "/Buf/MacA5") (pinfunction "A5") (pintype "unspecified") (tstamp 49475f2b-d9a5-4324-8e81-60b96bd88062)) (pad "A9" thru_hole circle (at 0 20.32 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 15 "/Buf/MacA6") (pinfunction "A6") (pintype "unspecified") (tstamp 9da1098a-3e16-419e-b2ef-746cf343421c)) + (net 15 "/Buf/MacA6") (pinfunction "A6") (pintype "unspecified") (tstamp 0121c127-8c56-426c-8247-dfc4226a94e0)) (pad "A10" thru_hole circle (at 0 22.86 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 16 "/Buf/MacA7") (pinfunction "A7") (pintype "unspecified") (tstamp e37f6f2b-a326-42db-8649-af0b8521f0c7)) + (net 16 "/Buf/MacA7") (pinfunction "A7") (pintype "unspecified") (tstamp ab22d713-e374-4ecf-9f39-053dfd528712)) (pad "A11" thru_hole circle (at 0 25.4 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 17 "/Buf/MacA8") (pinfunction "A8") (pintype "unspecified") (tstamp dc498336-9c98-4050-8a83-e91e307e29de)) + (net 17 "/Buf/MacA8") (pinfunction "A8") (pintype "unspecified") (tstamp f7152f61-6e3b-4630-a1d0-632e3407e4eb)) (pad "A12" thru_hole circle (at 0 27.94 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 18 "/Buf/MacA9") (pinfunction "A9") (pintype "unspecified") (tstamp 6ff21f80-3633-4c96-b7b9-e87ab4c359b6)) + (net 18 "/Buf/MacA9") (pinfunction "A9") (pintype "unspecified") (tstamp 488e8e62-db4a-47e6-b00b-1737ddfba03e)) (pad "A13" thru_hole circle (at 0 30.48 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 19 "/Buf/MacA10") (pinfunction "A10") (pintype "unspecified") (tstamp 232a32db-e820-4e23-bdfd-a70099aaf754)) + (net 19 "/Buf/MacA10") (pinfunction "A10") (pintype "unspecified") (tstamp e44271d7-8a14-4838-9929-d25d316a8f3b)) (pad "A14" thru_hole circle (at 0 33.02 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 20 "/Buf/MacA11") (pinfunction "A11") (pintype "unspecified") (tstamp 46cb329e-da82-4f9a-bc2b-410ab4ae4bfb)) + (net 20 "/Buf/MacA11") (pinfunction "A11") (pintype "unspecified") (tstamp 3fa1d51d-d456-4692-989c-ac5ea3283239)) (pad "A15" thru_hole circle (at 0 35.56 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 21 "/Buf/MacA12") (pinfunction "A12") (pintype "unspecified") (tstamp 3390c173-ccce-44a9-afe5-0bc6e32bbeac)) + (net 21 "/Buf/MacA12") (pinfunction "A12") (pintype "unspecified") (tstamp b5d3e8ac-4923-49a7-bcb1-b5a555c5faad)) (pad "A16" thru_hole circle (at 0 38.1 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 22 "/Buf/MacA13") (pinfunction "A13") (pintype "unspecified") (tstamp 1e2546b5-f2ab-4b74-9bf2-a72cec5623f1)) + (net 22 "/Buf/MacA13") (pinfunction "A13") (pintype "unspecified") (tstamp 2d688a59-7ada-4a06-b513-8cba8019ec80)) (pad "A17" thru_hole circle (at 0 40.64 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 23 "/Buf/MacA14") (pinfunction "A14") (pintype "unspecified") (tstamp 959fa2db-5bed-4194-9187-d0a51592c060)) + (net 23 "/Buf/MacA14") (pinfunction "A14") (pintype "unspecified") (tstamp 3fab9614-e442-41f7-9b1e-7b4dffb772d3)) (pad "A18" thru_hole circle (at 0 43.18 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 25 "/Buf/MacA15") (pinfunction "A15") (pintype "unspecified") (tstamp 22b52b8d-ca29-4d1b-b856-8890a802ee7a)) + (net 25 "/Buf/MacA15") (pinfunction "A15") (pintype "unspecified") (tstamp 27157b49-356f-4c27-bd4b-2c8e9942c52d)) (pad "A19" thru_hole circle (at 0 45.72 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 31 "/Buf/MacA16") (pinfunction "A16") (pintype "unspecified") (tstamp b7b26b20-adeb-4742-9577-3a8a82cd68d9)) + (net 31 "/Buf/MacA16") (pinfunction "A16") (pintype "unspecified") (tstamp 1c118e5c-2102-48df-9d35-409fc519be09)) (pad "A20" thru_hole circle (at 0 48.26 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 34 "/Buf/MacA17") (pinfunction "A17") (pintype "unspecified") (tstamp 955784f3-0ded-4429-91e5-9cb270adaf1e)) + (net 34 "/Buf/MacA17") (pinfunction "A17") (pintype "unspecified") (tstamp bbe7988c-bab6-4aba-a358-7526e5b98043)) (pad "A21" thru_hole circle (at 0 50.8 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 35 "/Buf/MacA18") (pinfunction "A18") (pintype "unspecified") (tstamp 8073178b-0817-4b30-91a2-1c245caf1ec5)) + (net 35 "/Buf/MacA18") (pinfunction "A18") (pintype "unspecified") (tstamp 83f01309-da7e-4f46-8958-a554aad7a71e)) (pad "A22" thru_hole circle (at 0 53.34 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 36 "/Buf/MacA19") (pinfunction "A19") (pintype "unspecified") (tstamp 90730214-8424-4a55-9927-6ad9fd3610b2)) + (net 36 "/Buf/MacA19") (pinfunction "A19") (pintype "unspecified") (tstamp 0ac8e979-05c2-486d-8153-ef6a28873284)) (pad "A23" thru_hole circle (at 0 55.88 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 37 "/Buf/MacA20") (pinfunction "A20") (pintype "unspecified") (tstamp ca21c8dc-42b2-4d02-8477-a48b3894759f)) + (net 37 "/Buf/MacA20") (pinfunction "A20") (pintype "unspecified") (tstamp 72eeab14-fabb-4ab7-9011-016a676c19ad)) (pad "A24" thru_hole circle (at 0 58.42 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 38 "/Buf/MacA21") (pinfunction "A21") (pintype "unspecified") (tstamp e8571163-bbd7-4af8-932f-956e79c33b86)) + (net 38 "/Buf/MacA21") (pinfunction "A21") (pintype "unspecified") (tstamp 3015a2a8-5b52-4d5c-aed5-0b4b0cfc1da7)) (pad "A25" thru_hole circle (at 0 60.96 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 39 "/Buf/MacA22") (pinfunction "A22") (pintype "unspecified") (tstamp 673a2da1-ff9b-4273-9aeb-361b31ed5d0e)) + (net 39 "/Buf/MacA22") (pinfunction "A22") (pintype "unspecified") (tstamp a370328c-d329-4dc4-b94d-c139aa04ec0f)) (pad "A26" thru_hole circle (at 0 63.5 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 40 "/Buf/MacA23") (pinfunction "A23") (pintype "unspecified") (tstamp 255fea3a-8aa5-479a-87b3-fc2d364ed567)) + (net 40 "/Buf/MacA23") (pinfunction "A23") (pintype "unspecified") (tstamp 3c55e9fd-d67e-4cba-a103-0d8da213baa0)) (pad "A27" thru_hole circle (at 0 66.04 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 230 "/ClkBuf/E") (pinfunction "E") (pintype "unspecified") (tstamp 154a2f45-02f9-4679-8ce5-3b6857da3375)) + (net 229 "/ClkBuf/E") (pinfunction "E") (pintype "unspecified") (tstamp deff0975-14dc-4727-b534-34e8bea7ae8c)) (pad "A28" thru_hole circle (at 0 68.58 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 233 "/ClkBuf/C8M") (pinfunction "C8M") (pintype "unspecified") (tstamp 98eecbf8-08c1-4a37-ae2e-3a322bc48d6c)) + (net 231 "/ClkBuf/C8M") (pinfunction "C8M") (pintype "unspecified") (tstamp 13877306-dfdd-41c7-acd1-cb0a6fbaa3e9)) (pad "A29" thru_hole circle (at 0 71.12 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 236 "/ClkBuf/C16M") (pinfunction "C16M") (pintype "unspecified") (tstamp e59071d1-3a7f-49b6-bdcf-7ea16c9d4601)) + (net 233 "/ClkBuf/C16M") (pinfunction "C16M") (pintype "unspecified") (tstamp 0c01a828-cadc-4591-a656-0562e59b353a)) (pad "A30" thru_hole circle (at 0 73.66 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 71ef3f6b-78e0-413b-aa22-d22e19ba644b)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 16c67cb7-cc9c-4d89-bfa6-668a5737d405)) (pad "A31" thru_hole circle (at 0 76.2 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp 64746adf-3fcd-4b48-b0d6-6326907f8797)) + (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp e3713e00-239e-43c6-b197-d21af162cdfd)) (pad "A32" thru_hole circle (at 0 78.74 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp 086eb551-0849-423f-9814-7374e9a3d7a6)) + (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp 2a389e61-d10d-4f9f-9b04-6226be948d0a)) (pad "B1" thru_hole circle (at 2.54 0 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp b169e080-3940-4f07-8951-4a57560a568d)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp b129de93-fe4a-4630-8b8b-cef451ca0268)) (pad "B2" thru_hole circle (at 2.54 2.54 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 224e6915-f44e-4f35-9a99-baf346b8b313)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp ea0d2a69-b00a-4942-ba6f-a0e9d7bc793d)) (pad "B3" thru_hole circle (at 2.54 5.08 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp f14c8286-40a2-4619-8dd4-6a4c9f19412c)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 3caf5eac-5d52-477d-91fd-cc9bc6365d82)) (pad "B4" thru_hole circle (at 2.54 7.62 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 1905f952-81dc-46fc-b70a-6b4ab9344949)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp ab9b17a2-47ed-4119-8150-caaa9bad3ccc)) (pad "B5" thru_hole circle (at 2.54 10.16 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 6558d724-2d60-4efc-978d-8460d29eeda3)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 1733f154-9844-4e8e-8ca7-c903acf17430)) (pad "B6" thru_hole circle (at 2.54 12.7 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 8c73aeac-71cb-49b4-a8be-796a183cd44c)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 1ad51ee5-3f0d-4b9a-ab50-ea1176fd53c3)) (pad "B7" thru_hole circle (at 2.54 15.24 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 8212c805-b2d3-4b59-aa42-c1714c8116eb)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 8668a283-d343-4f8a-bdcc-c8bbc13f7c24)) (pad "B8" thru_hole circle (at 2.54 17.78 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 2191a107-7b62-4f60-8a8d-94b3ce926879)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 9cceed61-1f8e-4267-9cc3-3af8f4165f0e)) (pad "B9" thru_hole circle (at 2.54 20.32 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 446b2690-1829-49c3-8f16-a5b2361d2321)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp a504581a-27db-45c1-91f5-cacfedf21936)) (pad "B10" thru_hole circle (at 2.54 22.86 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 48 "unconnected-(J1B-NC-PadB10)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp c248032a-1693-4c29-bbd5-8da1906be5fc)) + (net 48 "unconnected-(J1B-NC-PadB10)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 1b3156d2-6af8-4198-9de1-c434f90d9832)) (pad "B11" thru_hole circle (at 2.54 25.4 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 49 "unconnected-(J1B-NC-PadB11)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 3d6338b8-a00a-475f-b779-e5532b195b81)) + (net 49 "unconnected-(J1B-NC-PadB11)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 60f9a2e3-f209-49fd-a0f5-16bcf7f405ce)) (pad "B12" thru_hole circle (at 2.54 27.94 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 50 "unconnected-(J1B-~{HALT}-PadB12)") (pinfunction "~{HALT}") (pintype "unspecified+no_connect") (tstamp 924343e7-98df-47c8-8719-a2c7b777c134)) + (net 50 "unconnected-(J1B-~{HALT}-PadB12)") (pinfunction "~{HALT}") (pintype "unspecified+no_connect") (tstamp 17088aff-e1c3-41c7-95a1-704f3d00badc)) (pad "B13" thru_hole circle (at 2.54 30.48 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp ead71bf4-ec89-4bd5-a875-a8f5b493356d)) + (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp fc7e0219-baa1-4380-bfeb-a79114f948d3)) (pad "B14" thru_hole circle (at 2.54 33.02 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp bd23256b-a5cb-4f6d-a866-fcf0e89142fa)) + (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp 67805b9c-27d4-444d-9473-d80a164d3f06)) (pad "B15" thru_hole circle (at 2.54 35.56 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp 8464e45d-b1ce-4e24-8dbb-baae5099f722)) + (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp 221e0373-66eb-4ad5-870c-73b36f889854)) (pad "B16" thru_hole circle (at 2.54 38.1 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp bbaf3ad1-10c2-473f-97dc-9edd5a617bb7)) + (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp 350bc9b1-0536-41a1-95ba-fce651cdaf2d)) (pad "B17" thru_hole circle (at 2.54 40.64 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp fbf620f1-4437-4992-a24b-0159dc962fd2)) + (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp 09efab94-47dc-42da-9f4c-19e30c38585e)) (pad "B18" thru_hole circle (at 2.54 43.18 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 44 "/MC68k/~{IPL}0") (pinfunction "~{IPL}0") (pintype "unspecified") (tstamp 93740461-3a56-43be-a877-bb9aba8b631f)) + (net 44 "/MC68k/~{IPL}0") (pinfunction "~{IPL}0") (pintype "unspecified") (tstamp 5a41a803-0a74-4b17-8799-2ce9cfe78501)) (pad "B19" thru_hole circle (at 2.54 45.72 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 43 "/MC68k/~{IPL}1") (pinfunction "~{IPL}1") (pintype "unspecified") (tstamp 4511b7f5-4685-4803-a145-06e7d3356853)) + (net 43 "/MC68k/~{IPL}1") (pinfunction "~{IPL}1") (pintype "unspecified") (tstamp 20562c12-e1b0-4688-88b9-50aca790a2a4)) (pad "B20" thru_hole circle (at 2.54 48.26 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 42 "/Control/~{IPL}2") (pinfunction "~{IPL}2") (pintype "unspecified") (tstamp f02c07c6-357d-4e30-992d-3907dc3d4700)) + (net 42 "/Control/~{IPL}2") (pinfunction "~{IPL}2") (pintype "unspecified") (tstamp 43567328-b674-4a05-9ed0-d88847e32438)) (pad "B21" thru_hole circle (at 2.54 50.8 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 41 "/Control/Mac~{BERR}") (pinfunction "~{BERR}") (pintype "unspecified") (tstamp 57f8efa5-6d1e-47ca-bca3-9c0186545d17)) + (net 41 "/Control/Mac~{BERR}") (pinfunction "~{BERR}") (pintype "unspecified") (tstamp 9974eb75-7c6c-4940-9a3e-9ce3660f3c5b)) (pad "B22" thru_hole circle (at 2.54 53.34 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 51 "unconnected-(J1B-NC-PadB22)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 124e5692-a754-4e70-a592-57accafebc44)) + (net 51 "unconnected-(J1B-NC-PadB22)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp e0093f20-4f30-4ef1-80f0-ba2324bf6aac)) (pad "B23" thru_hole circle (at 2.54 55.88 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 52 "unconnected-(J1B-NC-PadB23)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 2e7b21a0-fb34-4061-9a9d-dddb6666ed24)) + (net 52 "unconnected-(J1B-NC-PadB23)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 2a865e0d-b736-4c34-9349-75b387705b2d)) (pad "B24" thru_hole circle (at 2.54 58.42 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 53 "unconnected-(J1B-NC-PadB24)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 15f61285-3ee9-49f1-960d-735285df7d74)) + (net 53 "unconnected-(J1B-NC-PadB24)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 730add86-d18e-4a52-a075-5b582cbd2902)) (pad "B25" thru_hole circle (at 2.54 60.96 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 54 "unconnected-(J1B-NC-PadB25)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 50a0c892-ccf7-468e-8e82-7613653abdfb)) + (net 54 "unconnected-(J1B-NC-PadB25)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 4c2f039f-2d5f-4d14-85a5-28ed23365050)) (pad "B26" thru_hole circle (at 2.54 63.5 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 56 "unconnected-(J1B-NC-PadB26)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp a92cf981-d308-4b63-a15f-5217de286160)) + (net 56 "unconnected-(J1B-NC-PadB26)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 3b002494-5a8f-41ef-a0ba-2014882615a4)) (pad "B27" thru_hole circle (at 2.54 66.04 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 73 "unconnected-(J1B-NC-PadB27)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp f352fb6c-6e28-4b89-820b-a13476bbcdbc)) + (net 73 "unconnected-(J1B-NC-PadB27)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 7decb1ea-2375-4419-9c45-33c24f964d2d)) (pad "B28" thru_hole circle (at 2.54 68.58 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 76 "unconnected-(J1B-~{EXT.DTK}-PadB28)") (pinfunction "~{EXT.DTK}") (pintype "unspecified+no_connect") (tstamp afd9a47e-81d0-4276-881f-cd5c65c89b2c)) + (net 76 "unconnected-(J1B-~{EXT.DTK}-PadB28)") (pinfunction "~{EXT.DTK}") (pintype "unspecified+no_connect") (tstamp 4711fe1b-4227-4160-ab63-6e576a96abdc)) (pad "B29" thru_hole circle (at 2.54 71.12 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 33ae0526-248b-4d6a-bde3-d98182a3de1b)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 583097c4-dda0-4777-b176-61dd6e96a7b8)) (pad "B30" thru_hole circle (at 2.54 73.66 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp 939a4fd6-d1e9-4ba6-be4a-850c54d88c32)) + (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp 3639ac10-337f-4e26-99b3-85873de17a1a)) (pad "B31" thru_hole circle (at 2.54 76.2 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp 5f0af03b-6844-41cd-ba4f-29d2b7fab1d4)) + (net 5 "+12V") (pinfunction "+12V") (pintype "unspecified") (tstamp 148f3d27-37eb-46f4-86ac-254585461d15)) (pad "B32" thru_hole circle (at 2.54 78.74 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 4 "-5V") (pinfunction "-5V") (pintype "unspecified") (tstamp 9dfde282-b79d-4e13-b00b-c12085ee0af0)) + (net 4 "-5V") (pinfunction "-5V") (pintype "unspecified") (tstamp 95039185-700e-4870-88a9-b29925c1b593)) (pad "C1" thru_hole circle (at 5.08 0 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 33 "/Control/Mac~{VPA}") (pinfunction "~{VPA}") (pintype "unspecified") (tstamp 80ac15a7-3d3f-4f1a-bdca-b0d551203437)) + (net 33 "/Control/Mac~{VPA}") (pinfunction "~{VPA}") (pintype "unspecified") (tstamp 4389247d-5fa1-45dc-8d51-c0188a0a685a)) (pad "C2" thru_hole circle (at 5.08 2.54 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 32 "/Control/Mac~{VMA}") (pinfunction "~{VMA}") (pintype "unspecified") (tstamp d5f74829-5a4a-42ae-adf2-6ae56619905a)) + (net 32 "/Control/Mac~{VMA}") (pinfunction "~{VMA}") (pintype "unspecified") (tstamp 43c01b8f-68a5-4540-ab9a-e69ebe5bbcd2)) (pad "C3" thru_hole circle (at 5.08 5.08 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 55 "/Control/Mac~{BR}") (pinfunction "~{BR}") (pintype "unspecified") (tstamp 80697f50-f2c9-447b-ace4-a7dcb40d7cc3)) + (net 55 "/Control/Mac~{BR}") (pinfunction "~{BR}") (pintype "unspecified") (tstamp 6d0bbc79-7515-436f-88aa-ac175bdc6a1b)) (pad "C4" thru_hole circle (at 5.08 7.62 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 78 "unconnected-(J1C-~{BGACK}-PadC4)") (pinfunction "~{BGACK}") (pintype "unspecified+no_connect") (tstamp b4f43e41-71cf-4138-ac77-73dfc35b48c7)) + (net 78 "unconnected-(J1C-~{BGACK}-PadC4)") (pinfunction "~{BGACK}") (pintype "unspecified+no_connect") (tstamp 90b6a7b9-34c0-4f20-8b69-e72b7b6b0d7e)) (pad "C5" thru_hole circle (at 5.08 10.16 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 146 "/Control/Mac~{BG}") (pinfunction "~{BG}") (pintype "unspecified") (tstamp ecb0d2c3-407e-495e-949e-86808c6f8a3f)) + (net 146 "/Control/Mac~{BG}") (pinfunction "~{BG}") (pintype "unspecified") (tstamp 40a88eef-79d9-445d-b39c-a4131def3c15)) (pad "C6" thru_hole circle (at 5.08 12.7 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 30 "/Control/Mac~{DTACK}") (pinfunction "~{DTACK}") (pintype "unspecified") (tstamp 58a2abaf-ccdb-4208-b283-d8dae060e77e)) + (net 30 "/Control/Mac~{DTACK}") (pinfunction "~{DTACK}") (pintype "unspecified") (tstamp 83b808c3-4849-4321-a884-e5300ea00a35)) (pad "C7" thru_hole circle (at 5.08 15.24 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 29 "/Buf/Mac~{R}W") (pinfunction "R~{W}") (pintype "unspecified") (tstamp 0c3f1905-b64e-44c1-97a3-3377a0910abe)) + (net 29 "/Buf/Mac~{R}W") (pinfunction "R~{W}") (pintype "unspecified") (tstamp 86eb6fed-77bc-4277-af3e-d0d01be2a16e)) (pad "C8" thru_hole circle (at 5.08 17.78 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 28 "/Control/Mac~{LDS}") (pinfunction "~{LDS}") (pintype "unspecified") (tstamp a2f99ac6-d7e5-4c25-97bf-21e1ca8de20f)) + (net 28 "/Control/Mac~{LDS}") (pinfunction "~{LDS}") (pintype "unspecified") (tstamp 5d5d2289-2148-4dca-97cb-861be0dd6a42)) (pad "C9" thru_hole circle (at 5.08 20.32 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 27 "/Control/Mac~{UDS}") (pinfunction "~{UDS}") (pintype "unspecified") (tstamp 2a9e6e0d-dd37-4a62-b346-883f770d4284)) + (net 27 "/Control/Mac~{UDS}") (pinfunction "~{UDS}") (pintype "unspecified") (tstamp 1f87962e-f361-4c3d-bba9-bbcd905b260b)) (pad "C10" thru_hole circle (at 5.08 22.86 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 26 "/Control/Mac~{AS}") (pinfunction "~{AS}") (pintype "unspecified") (tstamp b8f6eb8e-0ca0-4933-8414-5439d2a1dc44)) + (net 26 "/Control/Mac~{AS}") (pinfunction "~{AS}") (pintype "unspecified") (tstamp b754c811-6ad0-4314-abf6-b7377f8224e5)) (pad "C11" thru_hole circle (at 5.08 25.4 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 79 "unconnected-(J1C-~{PMCYC}-PadC11)") (pinfunction "~{PMCYC}") (pintype "unspecified+no_connect") (tstamp 42292422-f1b5-403e-a0e3-0c66bd759714)) + (net 79 "unconnected-(J1C-~{PMCYC}-PadC11)") (pinfunction "~{PMCYC}") (pintype "unspecified+no_connect") (tstamp 9029cdf0-6055-4888-a197-25c1fe7d921b)) (pad "C12" thru_hole circle (at 5.08 27.94 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 24 "/Control/~{RESET}") (pinfunction "~{RESET}") (pintype "unspecified") (tstamp 045cdebd-2d7e-4c7b-85e8-44b93d16a9a8)) + (net 24 "/Control/~{RESET}") (pinfunction "~{RESET}") (pintype "unspecified") (tstamp 5723597d-7bb9-488d-b604-aa958107ee99)) (pad "C13" thru_hole circle (at 5.08 30.48 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp 5244e9f7-5e65-42f9-9c5f-7e66479f1d49)) + (net 2 "+5V") (pinfunction "+5V") (pintype "unspecified") (tstamp 2e393c81-6256-4386-a4a7-0b965fd79179)) (pad "C14" thru_hole circle (at 5.08 33.02 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 57 "/Buf/MacD0") (pinfunction "D0") (pintype "unspecified") (tstamp b3147e28-4510-4533-89e3-cb79a2041f01)) + (net 57 "/Buf/MacD0") (pinfunction "D0") (pintype "unspecified") (tstamp 3d654e9b-4e0a-4a4c-9ddc-74cbcffa188d)) (pad "C15" thru_hole circle (at 5.08 35.56 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 58 "/Buf/MacD1") (pinfunction "D1") (pintype "unspecified") (tstamp 314e6a7a-84b7-484d-99de-bdc4e193a66d)) + (net 58 "/Buf/MacD1") (pinfunction "D1") (pintype "unspecified") (tstamp bbaaf07d-155c-439e-a6af-719af5a19bf8)) (pad "C16" thru_hole circle (at 5.08 38.1 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 59 "/Buf/MacD2") (pinfunction "D2") (pintype "unspecified") (tstamp 3a22639d-1950-41f9-b5e4-88d25fee209e)) + (net 59 "/Buf/MacD2") (pinfunction "D2") (pintype "unspecified") (tstamp 5f99c93c-ce24-432d-ad24-f61d9b28d57d)) (pad "C17" thru_hole circle (at 5.08 40.64 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 60 "/Buf/MacD3") (pinfunction "D3") (pintype "unspecified") (tstamp 3dea95a3-2ff4-49c1-9d0b-4d50620d1677)) + (net 60 "/Buf/MacD3") (pinfunction "D3") (pintype "unspecified") (tstamp a3479b7c-fcee-4ee2-b787-3c187a99b6da)) (pad "C18" thru_hole circle (at 5.08 43.18 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 61 "/Buf/MacD4") (pinfunction "D4") (pintype "unspecified") (tstamp 9c9c2433-d74e-49aa-b518-505d5e765e89)) + (net 61 "/Buf/MacD4") (pinfunction "D4") (pintype "unspecified") (tstamp 410a2072-30ae-4dde-8ef4-cab5a37e7e25)) (pad "C19" thru_hole circle (at 5.08 45.72 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 62 "/Buf/MacD5") (pinfunction "D5") (pintype "unspecified") (tstamp 2496b95e-cf70-4d02-bd96-a7e42a315a90)) + (net 62 "/Buf/MacD5") (pinfunction "D5") (pintype "unspecified") (tstamp 80b38d3b-a801-4ce9-b336-b36b77d528cf)) (pad "C20" thru_hole circle (at 5.08 48.26 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 63 "/Buf/MacD6") (pinfunction "D6") (pintype "unspecified") (tstamp 8c0f7872-f8bb-434a-9b3d-610c2cb0fe2e)) + (net 63 "/Buf/MacD6") (pinfunction "D6") (pintype "unspecified") (tstamp 117b1a1a-7428-4f0e-8fe5-9d5eddde6f6b)) (pad "C21" thru_hole circle (at 5.08 50.8 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 64 "/Buf/MacD7") (pinfunction "D7") (pintype "unspecified") (tstamp ee5edf16-fc63-43ed-a5ad-c669b8742ff0)) + (net 64 "/Buf/MacD7") (pinfunction "D7") (pintype "unspecified") (tstamp b8bd765b-db45-4f79-b847-70da44e07900)) (pad "C22" thru_hole circle (at 5.08 53.34 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 65 "/Buf/MacD8") (pinfunction "D8") (pintype "unspecified") (tstamp 94a15627-3b7a-454b-8821-68aafa0ff2b5)) + (net 65 "/Buf/MacD8") (pinfunction "D8") (pintype "unspecified") (tstamp 57871619-f7fd-4d50-a2b2-d99156f28757)) (pad "C23" thru_hole circle (at 5.08 55.88 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 66 "/Buf/MacD9") (pinfunction "D9") (pintype "unspecified") (tstamp f8cee275-1c37-4a08-b0ce-a73e2d0b199f)) + (net 66 "/Buf/MacD9") (pinfunction "D9") (pintype "unspecified") (tstamp 0ec8528c-6ec3-4df0-88c0-48aa7c763b58)) (pad "C24" thru_hole circle (at 5.08 58.42 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 67 "/Buf/MacD10") (pinfunction "D10") (pintype "unspecified") (tstamp 3eb5420b-beb3-45e6-a1e3-a04635134f26)) + (net 67 "/Buf/MacD10") (pinfunction "D10") (pintype "unspecified") (tstamp 69a5620f-fbd4-48e9-93de-8c787635a5cb)) (pad "C25" thru_hole circle (at 5.08 60.96 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 68 "/Buf/MacD11") (pinfunction "D11") (pintype "unspecified") (tstamp 235540af-5ca6-4508-99a4-29aac28a764a)) + (net 68 "/Buf/MacD11") (pinfunction "D11") (pintype "unspecified") (tstamp b4a49e29-9bf3-42f7-ba63-5afab5fd467a)) (pad "C26" thru_hole circle (at 5.08 63.5 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 69 "/Buf/MacD12") (pinfunction "D12") (pintype "unspecified") (tstamp 6e6514af-c2aa-4b04-a912-b2b46c5c21e8)) + (net 69 "/Buf/MacD12") (pinfunction "D12") (pintype "unspecified") (tstamp 8a41b09a-ba19-477c-94d7-833e3773f3aa)) (pad "C27" thru_hole circle (at 5.08 66.04 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 70 "/Buf/MacD13") (pinfunction "D13") (pintype "unspecified") (tstamp c8e84177-44ce-4b06-a775-d22fbd847b46)) + (net 70 "/Buf/MacD13") (pinfunction "D13") (pintype "unspecified") (tstamp 51c366b4-fa88-4083-893e-04a78c08fbec)) (pad "C28" thru_hole circle (at 5.08 68.58 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 71 "/Buf/MacD14") (pinfunction "D14") (pintype "unspecified") (tstamp 63d206f1-272c-4edf-adaf-9fe591218a8f)) + (net 71 "/Buf/MacD14") (pinfunction "D14") (pintype "unspecified") (tstamp e226842f-3ac4-4e0b-a155-f2c3cc62c232)) (pad "C29" thru_hole circle (at 5.08 71.12 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 72 "/Buf/MacD15") (pinfunction "D15") (pintype "unspecified") (tstamp d6bb5fd7-57cb-474a-ab3e-5f99451b1754)) + (net 72 "/Buf/MacD15") (pinfunction "D15") (pintype "unspecified") (tstamp dad8a75b-74f2-4893-a137-020adc1b8536)) (pad "C30" thru_hole circle (at 5.08 73.66 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 54442f52-a46a-4a02-a5ca-1f8b80cc467b)) + (net 1 "GND") (pinfunction "GND") (pintype "unspecified") (tstamp 8473bc40-dd0c-4586-adc3-36c0f719f28e)) (pad "C31" thru_hole circle (at 5.08 76.2 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 80 "unconnected-(J1C-NC-PadC31)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp 7f3d4c7a-c6ae-4809-b835-bdbc50352729)) + (net 80 "unconnected-(J1C-NC-PadC31)") (pinfunction "NC") (pintype "unspecified+no_connect") (tstamp b21f937c-f6e4-4d31-a1ac-8724c9e6dcee)) (pad "C32" thru_hole circle (at 5.08 78.74 180) (size 1.55 1.55) (drill 1) (layers "*.Cu" "*.Mask") - (net 6 "-12V") (pinfunction "-12V") (pintype "unspecified") (tstamp 29826abb-6f42-47ea-b1bb-2368a51db7a4)) + (net 6 "-12V") (pinfunction "-12V") (pintype "unspecified") (tstamp c5056d3d-2667-4622-9eb5-afef706c3330)) (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x32_P2.54mm_Vertical.wrl" (offset (xyz 0 0 -1.6)) (scale (xyz 1 1 1)) @@ -1460,40 +1457,40 @@ (attr smd) (fp_text reference "C27" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2a148c59-cc95-483c-8e22-7e6799b0df16) + (tstamp 8f6703a4-4bcd-4202-9178-99644fe08d47) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp f6edef92-ad32-488d-83f2-0c3bca1e90a9) + (tstamp a74bceac-4944-4e8c-90c1-2b99c0aa1d26) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp edb35397-7855-42bc-a7d2-3b8f561a38f2) + (tstamp 6e12cb71-2930-42b4-850f-c94f5d6f0212) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51afaa8b-43c1-48d6-96c2-4f32d472be4b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86ecfcc5-b13b-4688-bf0d-bf9cca40b056)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3ffacea-4c2b-4f83-9a9b-35af90535b38)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 273daa79-fc62-4190-af37-d071c9500fa6)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15aedc96-bb87-45ed-b888-edf626a2c83f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee4e70ce-cf3b-44a1-a047-a330d89f978b)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d0fbfadc-7897-41c4-a7ff-73c9df5bdaf5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 584cb88f-7b5c-41f6-b60e-70c5c301ba09)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7cd3302a-250b-47c8-9d1e-c09105447714)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8b224810-c130-47dc-a11a-698beba510f9)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f4ad81f-ad7c-421a-8955-e16bad4b2a7f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7039229-c4d4-44a1-9801-f4ecb5c7ddaa)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef07c4fa-65be-4a6e-ab95-70872943dc2f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a8706ab-5313-49c8-a169-1647f613f505)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27ec0986-f625-4b16-b053-f8fad2b24e5c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 52102594-dab0-42bf-a662-115b5116e7a2)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 850c7ea4-7b8f-4fa7-9b9a-1b423a2251c3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39f4e418-f794-44dd-be3a-2ece7a62ad60)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 34110203-4fb5-4d90-8951-e06a9d57fe47)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce519cbd-cae7-4416-804a-7fe3322bb8ed)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp c9097470-23af-4a30-90b7-473de7b5cd91)) + (net 3 "+3V3") (pintype "passive") (tstamp 1cf4499d-585d-4638-89fc-cb5cf5ee642c)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp b55c097c-f272-45df-8da1-9575716f1fdc)) + (net 1 "GND") (pintype "passive") (tstamp c5e4592b-adfc-4034-8dfc-33731390c084)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1515,78 +1512,78 @@ (attr smd) (fp_text reference "U2" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 8b9d17f0-286b-4d0e-b644-3eb7e58a16aa) + (tstamp 336a8dc3-6b11-4f13-8485-dc1101bd293f) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 7ba7f0d3-50aa-47e8-a410-1f369ac83461) + (tstamp 9416ce3c-466f-4f2a-a8c0-202857cfc990) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp b9a194f0-0b15-499e-a171-1aed2fbe1ff1) + (tstamp d1c45de5-7b4e-4356-97d5-711ba5ef859d) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 269921f4-e5b4-4939-9cf2-5487cfa4ad80)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 90597044-f8a4-4fa6-a646-7a7d54b04b91)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ab1bb68b-cdd7-4d6a-a5e1-0992cb1a1928)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a0e96b81-45bb-4d46-90f4-c4911e2fb5ca)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ce1bc13-fc0f-40d7-9936-2bdcd7048102)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5aa5cad5-8a28-4672-a271-48b348283594)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b75521f-0dd6-4f03-9d0a-debafe39f101)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11cf9073-c3c1-466e-9f97-1b5f70d6a956)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c6ae2bac-b423-4db5-8888-bc3bd1a010e6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63e37316-0936-42b2-9011-fd18a0466ffb)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f38619e1-9f16-49da-8983-5718f86ad7fc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df754666-4e12-4946-96b0-3d7af6ce942b)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8b3a46ba-da38-4686-9d86-deb9ed08f437)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 45c4179e-50bf-4834-b984-a272e2b2405a)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ebd5100d-ead6-41bd-b9bb-10bf5906d9af)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5ef0f428-0460-4d9d-9140-3af54ee174f9)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bbfc16d0-c502-4704-89a5-be14207e8f5d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a4de899e-ee16-460a-9cfe-5f565dafbdd5)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7713d35c-a028-48e9-992e-dd6bd61e2221)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a13f09c-9508-45fe-b402-749614108b15)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ccf3bd71-afa6-47e3-81fd-82ec4b317c4f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1aacf0c4-3707-4403-b5b5-96cd43345924)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp a8c0973d-f19e-4ada-bb65-237b3f91d7d0)) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp efe2911a-419c-4d36-901b-56d80bd8b6d8)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "/Buf/Aout23") (pinfunction "D0") (pintype "input") (tstamp 4fb7b4fa-d029-4b2e-8eff-a24703fb2a6c)) + (net 85 "/Buf/Aout23") (pinfunction "D0") (pintype "input") (tstamp 0be7ab7d-f004-4d32-9cf0-de5c3a981e9e)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 211 "/Buf/Aout22") (pinfunction "D1") (pintype "input") (tstamp 8d421784-f9ac-4a4a-b297-fc471aa82abb)) + (net 211 "/Buf/Aout22") (pinfunction "D1") (pintype "input") (tstamp 2e4b44fc-0c93-440b-851d-bde75e684bd9)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/Buf/AccA21") (pinfunction "D2") (pintype "input") (tstamp 41aee47b-2563-4507-9b11-73acd60d1f08)) + (net 137 "/Buf/AccA21") (pinfunction "D2") (pintype "input") (tstamp 96c73650-d21d-441a-a313-d9af19428362)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "/Buf/AccA20") (pinfunction "D3") (pintype "input") (tstamp a13719a7-98c6-4dcb-b871-9e7449d7cebb)) + (net 136 "/Buf/AccA20") (pinfunction "D3") (pintype "input") (tstamp 9174b91d-7a69-4269-bd6a-1f6bf8948e86)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/Buf/AccA19") (pinfunction "D4") (pintype "input") (tstamp 05d27079-0e18-42e2-b9d8-bfd6499f94ef)) + (net 135 "/Buf/AccA19") (pinfunction "D4") (pintype "input") (tstamp 73f79bdd-a83e-4b52-8beb-b507163d2fc7)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "/Buf/AccA18") (pinfunction "D5") (pintype "input") (tstamp 5116b6e4-5a9c-4e33-89ca-229e7de78d42)) + (net 134 "/Buf/AccA18") (pinfunction "D5") (pintype "input") (tstamp 316d044b-f3d0-4cc0-ab6b-dd4851c23953)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "/Buf/AccA17") (pinfunction "D6") (pintype "input") (tstamp ea0c4359-48e3-4eb9-a270-ca4a47153d2d)) + (net 133 "/Buf/AccA17") (pinfunction "D6") (pintype "input") (tstamp 0bae2626-e1af-400a-bbd3-cca83c4e37d1)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "/Buf/Acc~{R}W") (pinfunction "D7") (pintype "input") (tstamp a3edcbc6-f535-4727-a74a-d07736bec0ef)) + (net 140 "/Buf/Acc~{R}W") (pinfunction "D7") (pintype "input") (tstamp aebb71c8-7322-4006-a615-8dae4402f66a)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b5e9e1b0-d7a9-44eb-908e-b1385636a137)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8621f643-7e23-4bb2-88d9-e2b6bd0515be)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp c8c61929-208b-4198-90cd-339089869e03)) + (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp e2c80685-6bd7-496c-bdc0-5b24149f8000)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 173 "Net-(U16-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp f69271c4-7551-4fae-b082-6639e6226057)) + (net 173 "Net-(U16-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp 5f76d146-2f3b-4bdc-ab18-24a4c7da7c23)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 174 "Net-(U16-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp c7048aaa-9648-45c1-8969-084ac939b4ab)) + (net 174 "Net-(U16-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 7cb277df-7bca-428b-a0f2-e859fdb0812c)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 175 "Net-(U16-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp 973cde84-096e-47de-bbb9-9923ff8b8e5c)) + (net 175 "Net-(U16-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp 58cebf9b-485d-4678-b787-29ca65d33556)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 177 "Net-(U16-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp 7d18b70c-7638-41d1-a42c-6d0bb7295218)) + (net 177 "Net-(U16-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp a5ae7c4f-0c51-41d2-ad98-23920f59a50f)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 178 "Net-(U16-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp 401d1896-329b-438b-bf8b-7439d805ccb6)) + (net 178 "Net-(U16-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp 873838f6-4635-4c11-98d5-b41070fb4e21)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 179 "Net-(U16-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp e1d54e1a-a76e-41da-857b-6d90c7882a8a)) + (net 179 "Net-(U16-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp be3e4449-be9b-4cf3-9b47-4e861bff31e3)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 180 "Net-(U16-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp c0e76e07-6df9-4f9c-8803-8a596da39192)) + (net 180 "Net-(U16-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp 8bba24f0-48db-4db2-bf3f-62da367b8cb9)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 181 "Net-(U16-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp af9eafdd-0d4a-4a74-9b7d-3053289e9e2e)) + (net 181 "Net-(U16-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp f95859c5-cea6-49de-a165-18448da1504b)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 551b03dd-58ed-4161-9370-d339ac9cda72)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp d797f65d-60b0-4362-9504-f375ad587062)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1609,40 +1606,40 @@ (attr smd) (fp_text reference "C39" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1c970d91-7d4f-4fd3-86a4-96f44c2fb774) + (tstamp 07cd2f4c-5759-4b58-9ba6-e18300270702) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4a990410-95b1-49b2-9275-e0b1c49d3f2b) + (tstamp adad371f-e7ec-493c-891f-9c3b531cf3f0) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ac3c8f4e-2d99-4cef-8918-edee9bbc6cc8) + (tstamp b500dfc7-6ab1-421d-8506-7ee2c49ad326) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2e59513-15bd-4a53-8f83-77da93d3fd24)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 979a5819-7181-404d-9a70-5866f4c8b2c2)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6159766-8a5f-4c4e-affe-04c291b7a631)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3fefd7a-5a43-4175-8996-9635ad884d2f)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0702ee4-4f4f-4b15-8486-fcf2ed006558)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae20951e-d31f-4ca8-b4bc-67e2e50e3a3d)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21c42982-6c06-4296-984e-bb122f7f1876)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0613d184-acbc-47cd-8346-8e52637d50bb)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6c75adb-17d7-4d5d-a14f-db370cabeb4f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e502c1d6-38b0-403d-9fd7-3aea381d978f)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43ca0dcc-cae9-4c3f-8d93-9773f496d484)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84e90022-b783-40dc-983f-8f85c5a6afa2)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c421a108-1f87-4580-83c2-4f11e1e72d62)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c861143-df6c-411c-ac43-f858cbece2af)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3d2731b2-c4b6-4817-9bed-a07036cd12f3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f82715c9-a02e-4a34-981e-43075aafe115)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4bb5593d-79e5-4796-863a-e907692d410b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8ba73974-8018-4ffd-a8c4-17810a890a47)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20a65ede-52c1-4833-afa7-d1183115c833)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5dcc870-0647-46fe-9295-1e9876ea236c)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp d834b3e8-13d0-48db-b045-0ef1835fac44)) + (net 2 "+5V") (pintype "passive") (tstamp 984f4d15-d8eb-4850-ad0c-b8b9c722969e)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp ada9fd09-0a61-4810-bbde-858e20d10228)) + (net 1 "GND") (pintype "passive") (tstamp 547a09ea-c7bc-4d31-a0c8-3c847f0be5eb)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1665,40 +1662,40 @@ (attr smd) (fp_text reference "C33" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9ced3f28-233f-4e59-8472-21c50396852e) + (tstamp 58be57c7-48ef-4272-b5fd-dcdf58219108) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp ce6d35c3-d763-46fc-9ca0-2cf70397a540) + (tstamp 5d188a92-1ca2-40dd-9cfc-f0da29aeac1a) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f2dceb60-6fe5-49c7-bff4-21fccafaa4e0) + (tstamp 8c445b57-967c-4a6a-9785-3b13357af012) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 977aa8df-e6ed-44e1-8f55-5065865d8378)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 366f041e-7e53-4f0b-af93-da69fa764150)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24ffdee9-9319-44d1-860d-77895611cdc6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f883323-fd6b-4b76-817c-73ff951861de)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 534cab9f-cd75-4fb8-aa82-c1028a1b5111)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39eed4dd-cc01-4f9f-9fad-5bbf58483a47)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88e6ea76-df52-49f0-a667-240691fbd2de)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4ff1af4-a1e5-4dca-834e-737d47728b54)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ff6ea87-2c69-4213-a9fb-b85e840c7b05)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 951707f5-dd49-482d-99f0-f2fe7a61b7e7)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 743f20ad-9728-4bd7-a536-b75a8841e6e2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2d97df49-df6e-4c0a-8285-c62faab4861d)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4754f08-1aaa-4665-8760-ed610c87adef)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 95e80840-7aaf-4811-8a98-1db185f3f7c5)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d2756f47-7aaa-4d64-88a8-d65cc168670f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a0cf2e59-14db-412b-9e81-f2e879037e5a)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5519ca8-ee6c-4d74-a0ec-1b77b1533fcc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2235746d-c96e-4fde-885a-5815f35d4d1c)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 255aa271-e51f-44e0-904b-247078a3340f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 34338106-c2a4-4f34-87ab-fb49a65d57bc)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp d901e3fb-8972-497a-bccb-17fbd5b7e4b0)) + (net 2 "+5V") (pintype "passive") (tstamp d2343e94-71b0-4e10-979f-571f5ab3eb72)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 1e6c6179-8c54-47e8-99df-9b41f2715933)) + (net 1 "GND") (pintype "passive") (tstamp f2fbedb4-0fd7-4bf1-81bb-4c9ab69112d2)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1721,40 +1718,40 @@ (attr smd) (fp_text reference "C40" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8bae7bb8-5b06-4acc-a54b-d9bad5726f57) + (tstamp 0de92ad7-39b8-45cd-94b6-26e97c6683aa) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 519aadb6-bf0c-43cc-9912-28f59af40096) + (tstamp 9e8f90ab-f72c-427a-8308-d29f2aea1495) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6b5b91bd-19a1-40ff-9e70-05a0caab80ae) + (tstamp 8503221d-8388-437b-8bc9-71984b587c03) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c83181cb-a13b-41d1-9867-84b4b03a2a38)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8589957-0b9b-47b5-9daa-667588623630)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6078d56-c4c1-4f39-9902-3b6d070c49db)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e7d1e040-dd9a-46ca-af92-0328ea02de09)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c63ba918-c56f-436b-8285-31f360fabb3c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b77c06b6-b66e-45b2-88fa-6df1457982df)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dad9210-4427-49b9-b0b5-674f10889c6e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88dee0fc-6ba5-4b4f-880d-e33f5592cc09)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e6e3d79-ae67-4678-b75b-64e6f9e45a51)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a023152e-22be-46fc-83bd-7993c2b15a5f)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84b733de-58ef-4eb6-a0f3-462eb19ef408)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b73b59c5-668a-4900-8cb5-fce73ffdc6b3)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 10052a65-3acb-48cc-aaea-97218deabe73)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b6cb29b7-9c0a-4029-9dea-012e26182c99)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21862d49-5df3-4eda-a543-1060833697b0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d56a310d-1a79-4ef7-aaf5-c573660b4f14)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 580e7a5d-3e5f-4dc0-9b3c-4bab0e062adc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0865c73-324f-4178-a780-2dc3963ab80a)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff2fa40b-3bdc-47fb-b6a8-4fc1c8c90fd8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48859ddf-cdfc-4744-a809-1f136748ae90)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 7f390a7f-0879-4db7-a540-509beb817a70)) + (net 2 "+5V") (pintype "passive") (tstamp a806002c-9941-4413-9fb5-e1d52c835854)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 15f9fd38-f37b-4fac-8878-a5f905da3374)) + (net 1 "GND") (pintype "passive") (tstamp fc00a26b-be88-4ab6-8b85-d55cbcac9894)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1777,40 +1774,40 @@ (attr smd) (fp_text reference "C38" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3c78e255-55ee-4b7f-96d8-b6589ef52db8) + (tstamp 9f5cef7b-ad94-4b68-bcf1-e11e7704c2ca) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 0b25c31a-8be9-436e-af74-94e27fbe32b2) + (tstamp 42ee911b-5c4b-4591-9666-424885902921) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 246b5080-51f9-40c8-9e6d-b6912321566c) + (tstamp 50fce66e-a77b-43f6-850a-2bfc91658ad1) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aef2fb5b-b91c-4cd4-a784-413f53155dd8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c185ef0a-8c84-43af-a2f8-4a5e9bf47f26)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5f5b275d-75cf-4be4-b32e-a597de4a15d5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f73ab275-5c40-404b-9298-13125d3c6212)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f92e4c81-8d41-4fd7-90ea-2f08d2db8957)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 03faecdb-02b4-442b-813e-7cefe98c50da)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea24c425-78f4-4178-b648-756761a0f9c4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72c85a59-cfac-4639-a819-a15460b03217)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d3ed9dd-64d6-41b1-8d38-54be26dc1e83)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10feb50b-679f-4f56-996f-437022fa4ddf)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f727f32-b583-4669-9592-c7ce4c76de34)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 690208f3-1e33-4db7-87ae-bf82cb06e3f4)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0d3ee50-593c-4455-bef9-3eb5eb2f66c0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c79c7f12-8a43-4999-8232-0886d1adcda9)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b6945e6-0a4c-475e-9387-de64bba6431d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a24881a0-974a-443f-8507-dc96f05178db)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp efcfcb95-10b3-4d3e-9538-56865c09afdb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc9794d1-7291-490a-bc62-c724597550a9)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 444272bc-63a3-4078-aad3-30f20e8f5268)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3bde26bd-30ec-40cf-87db-5a5e886cc5ce)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 87883d77-69d1-47b6-a3aa-dcc902e9a3cc)) + (net 2 "+5V") (pintype "passive") (tstamp 4d5dfcbf-ceb6-49ef-8c5e-9eb8ef84751d)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 2aa46bb9-6362-406e-bcd7-88b60204ded2)) + (net 1 "GND") (pintype "passive") (tstamp 4b7e411c-d3ad-4303-9d7b-03e0e910c7cb)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1833,40 +1830,40 @@ (attr smd) (fp_text reference "C43" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8bbc9664-03ad-4e91-9538-3ed0ce8271e0) + (tstamp 58ff4ecb-02f8-4338-b263-782bed7b09cc) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 5eadb93c-e229-46df-a54e-78efc5c78382) + (tstamp fbb235da-342c-4d11-bc2b-21f6877c642b) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 242d30b0-3547-472f-96d2-276a51564443) + (tstamp d4e18a9b-6a2d-4021-95d8-9f7eab222c5c) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1af73e8e-9ec4-408d-bc23-2ae86d6a5719)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b666c09a-3054-4997-ad6e-916b57736e38)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f95b9e08-8708-4603-92b8-f9beac42be7d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba437817-fcb4-4c57-8e5c-9fef7ee3eb4a)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9af1ad3f-194f-4932-a157-c5293ee1074e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 775d6942-eabf-49d1-bea4-54e0764aa216)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77835b2b-8f49-44f1-993c-c24c5ee9a106)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c64c8eab-9928-4517-9377-68479c30dd2d)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab20aa3e-344a-4a95-9491-76a664bb14f7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4734866-84c3-41fc-b7b4-f4af9baf1e63)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5359f358-b8f6-4fe9-b956-7094a008be80)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f038dc96-689e-408b-940e-5217a38ec5ab)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 987ccdea-a861-4470-b884-8789a73e8351)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bf6f4f0e-fbc4-4fc6-ad76-946be69861bc)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ea55925-28bf-4c85-abb1-41fbcc6b4eb7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76b62e12-5b33-4f76-8ef9-ecad14495d09)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2f1e800-765b-4670-a979-8197fb9dd5af)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 59fc22b6-2f03-4994-9f2f-6c2a637d5671)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c913859-bb00-409a-94b0-e6a046feea44)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7122ff35-7fc6-439e-a05d-bcec5c6c87e6)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 43f473d8-521a-4cd8-8fc1-253b0ad5398f)) + (net 2 "+5V") (pintype "passive") (tstamp f8dc5ecd-7b02-4ff0-8798-7c895ba090de)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 938bff9c-8af3-43d3-932d-9adffd5bc294)) + (net 1 "GND") (pintype "passive") (tstamp fb316bac-598e-4203-9399-6b9d5e27a6b0)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1889,40 +1886,40 @@ (attr smd) (fp_text reference "C44" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0245b283-91b7-4dcb-a64a-8402aa6007ba) + (tstamp 2397afeb-dc3a-4efe-ad02-35f3e4cbcb9b) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d998d5b4-c5e8-40bc-aeaa-d52a41982061) + (tstamp 4ec1bc59-2bde-41ac-89d9-cef0414f89f0) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp fad4325f-0855-4750-aec3-58171b9ed727) + (tstamp 7426cc3b-3774-4c1b-9809-734772978978) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a9332466-8e96-472c-aff9-adc9c234f040)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f13102ac-75a9-476a-a47f-f29157a21bb3)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1881edd3-3144-4d02-9c54-287628f7aefe)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa8154aa-d6ff-44f9-9f81-228cd4b7e5e4)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6215a2a-b72b-4aa3-8961-28f8fc2df6d9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8755d27d-8af6-467b-86a4-5debb7a292a7)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 37ae8e13-a0b6-49b6-abd3-39c83121b81b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c665ffa-e456-4c19-a2ed-482502144e1c)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b88a0b8-86d1-4b96-ba37-cc8016957bfe)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ffa6ff0-bd27-4aad-adba-0cbd9f2f9af5)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea082c6e-cb9f-455e-8302-a771fddfa923)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1513b146-bbbe-48f3-9ca2-33026f53dba1)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 10e86b62-cd90-466b-a2fa-24de76036e7f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb77def7-59ff-4bd3-953d-d39a1729ca8b)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48cdfb95-6811-4f68-97e0-53d58630dcd9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 79454ed4-e9d5-4fe2-b30f-85d3e45417d6)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 14560a47-f734-4ac3-ae49-0f045698c413)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6dd7e956-20ad-4ef1-8e72-7a3f700360ff)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c2086fce-06a0-4372-90a0-480d60e1d77e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0db5d2ef-46cb-4204-84d7-88391a7e8fca)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 66ef1979-e5e9-4ab0-91a1-0f2202498d45)) + (net 2 "+5V") (pintype "passive") (tstamp 071e233a-cb81-4cfb-a7b9-29f1195d92e4)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 782119db-fb01-4379-824e-21a43db56f1b)) + (net 1 "GND") (pintype "passive") (tstamp 300fa68a-d55f-4cf5-b7d4-3ed7bca3fdb0)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1945,40 +1942,40 @@ (attr smd) (fp_text reference "C35" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5d0adf40-9243-4ce4-9b5e-48e243dc0584) + (tstamp cac726bc-2d47-499c-90e9-b2f791a1391d) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d2db6883-3448-4ba0-87e6-9fa45ba2f939) + (tstamp 4303d50b-1d14-4759-a89e-3d30ac1062f9) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 91c34a43-bce5-4ba9-abd5-5247d4f34553) + (tstamp bd5462fe-f04e-404a-9742-cbe02badd584) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d37f4d2-27ba-41b1-9a1b-016cb5ae41e9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cc9d47c-c158-4728-8a3c-1391cf3f73de)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ffeec927-8bf2-4366-a86d-7dda203ad338)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72bf2a50-e09c-48c5-a5d8-ecbbd33170bd)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3561e43f-0068-4a34-b8eb-0e4e360a00eb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3afacd9-084a-45c7-8fb0-0c1438097e15)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e03d6ba6-2f7b-4139-a219-948ae46097d9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a0e67789-f583-452b-9c36-5f6db6fa5719)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0b38cff-a458-4e62-bac8-fd707e61d45e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c3c64bf1-897e-44c2-9b39-85ded54303f5)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 499b62d3-20a4-42f4-ade4-d659fd822890)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b1cacf6-e90c-4244-89d6-2bb34dd02b7a)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da332b8f-c615-4c74-ba90-af7945c5181e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 741a7e6d-d550-4e09-9dd2-0e8f995df486)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ded0ef0d-04c9-4af4-b7a8-212b49a43e10)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 360b65ee-d379-4aaf-b82e-4f8a8c9cee6e)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e460832-6ad4-444a-96d4-8851c42fffd5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 771cf4e8-1a6c-416a-b64c-67cf54800259)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4dc842cd-5778-4295-b476-ca7a8bd7b44f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1a343064-d5b9-478a-894d-c5dca136e5fb)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 226c0bc1-7392-44b1-aae1-5925d4996d5b)) + (net 2 "+5V") (pintype "passive") (tstamp 8301a1e5-6757-477b-ad42-11a311802297)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 4207c0f2-5d3e-49ec-807d-309b2650c61c)) + (net 1 "GND") (pintype "passive") (tstamp 5e56fc89-e352-4d4b-965f-3e6e1d346515)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2001,40 +1998,40 @@ (attr smd) (fp_text reference "C34" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp fac4e48e-565f-4b89-baa2-d0623a464e12) + (tstamp 5944d696-b792-4cc3-b731-56ede77fc011) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 7018ac14-f35e-4424-ac9d-25cb3d93b5de) + (tstamp 29c906b2-70d2-42c8-b298-92c95b179d57) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp fb3cf879-d3a5-4174-83ae-b08734ab865f) + (tstamp af3b59ca-e640-4efa-a0cf-1a7dd450bece) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1bd4237d-f54f-4e43-9cd2-524ca76f3d3c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8924496d-0281-43fe-91a6-fcbf39bdd50a)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 618460b2-8e6e-4243-86e5-8c98944389ee)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf00ef26-1278-4a85-b861-0f402c52038e)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f8829643-b8e1-43f0-9178-e6a62c4df025)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ef98c9d-2bf0-4171-ad41-22fc675601fc)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ab01501-2712-47ad-99aa-8c22af3dd9bc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4d7948f-2cda-42e8-9bb2-6b9c0eb29960)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b035f75-fd8c-4dd4-929c-cb9e3ab4dff7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab27839a-0aaa-4f68-abcc-79fe3c61a525)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67e87106-0d37-4e0e-ae41-4f2a9b33dea6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34b458c8-784c-4728-bd39-487d26ad19dc)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 953342a4-360f-410f-97eb-e046fb8eaa06)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20ebbf0b-47b4-460b-acdb-b7a68fc0a7ba)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 97716671-6b0d-4ac2-ac4a-48330a6d6513)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7812aec-9248-4ea8-a516-04b3967c4241)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b24c7e5-d8c5-412c-b9c9-924ad2463c87)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1d70549-46aa-4562-867b-7a79804b2db2)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 68bb7329-1ea3-4e18-af3a-df3c4d75dfb4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5165443-3079-4a22-a305-4fb616ffd857)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 6c8e78db-76f7-43ea-9e6c-67862daff1ca)) + (net 2 "+5V") (pintype "passive") (tstamp 60c8a570-5f60-4cfb-ac0a-ca4448b8fa2e)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp c6d2401b-f980-448d-823d-a86d73cab2ce)) + (net 1 "GND") (pintype "passive") (tstamp 988c73fe-daef-4c5a-b5b9-7054f1b487fa)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2057,40 +2054,40 @@ (attr smd) (fp_text reference "C36" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e14a8595-9420-46db-9793-0a66556176a2) + (tstamp 468d1b75-9b3b-4a59-a1b9-fc32d7ef473d) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp fe96d890-157d-4b64-a99a-674e1d167552) + (tstamp f114fae6-c1c2-4a08-8367-cabd48f187f3) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 07e98a50-3fef-4534-a72b-b23361ba930c) + (tstamp c20a3276-e284-44d0-8cff-54408be3a4a3) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fdc5c3c-d65c-4c9b-93ee-c90e52e54e87)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d1ffcc2-4be8-481f-87d5-fff192934dfe)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24db1abe-9da2-4c19-94e7-a7d566d18fb7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b41438d3-ca68-4e09-bae2-a4e9dab08c91)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05dac0b6-59b0-4d9d-8909-e309362991b3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7bb6989-a2d5-45f7-8a95-bf95bf74b1b9)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1166bae2-3404-418f-94ce-c0724377d09e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ba201cd-144a-45a9-9d9c-ce18d4790b98)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be14a76d-4a8d-497d-bd7c-01c4315c6d13)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2b7f9a2-701b-426e-9910-6d494992042a)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3983b81f-18df-4ec8-88df-2573e73ae024)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17af8233-b750-4b5f-862b-6cb064a21200)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d4e0304-dfe1-4ace-b2f6-16a2e5fd7390)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6b525079-7763-4637-8013-29b5a4cda9c5)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7de1ba69-363f-4b02-b465-07bde7877308)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ef1a471-3257-43fa-ba27-7c552fe736e3)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29847f95-5433-4447-8dff-b1cf6e7f02e3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0b800e1-fcda-4254-8d62-6e180aa495cb)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36f67ad0-89c4-47ce-88b4-add053c7e67b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d40d65f6-d8d4-4481-baa0-7bfdfab077e7)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 83ef1d8e-1f97-4fb3-ac21-dc8c00cd9741)) + (net 2 "+5V") (pintype "passive") (tstamp 30bf6df9-564a-4dc3-94fd-f7653e237ab5)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 38b829ff-e1da-4533-921f-ae40c9338d50)) + (net 1 "GND") (pintype "passive") (tstamp 61234ed5-e7fe-4e7c-927e-789734e5bf39)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2113,40 +2110,40 @@ (attr smd) (fp_text reference "C41" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4fa56378-20cc-4bb6-a5d3-8059ab0d536c) + (tstamp ce3ee5d3-6844-428d-b5e0-90807cb79570) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 93f3bf26-1d5c-4dda-9627-4711a3419de4) + (tstamp 503fe144-3db8-408e-b34d-0c80c1a1a307) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 726294d0-ad64-4034-adbb-8fe634746db8) + (tstamp 3d2818f7-c972-4155-b113-5e488c867bb0) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8f73a8d-86aa-42d0-8dbb-13a88b7ed66f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7076118b-3350-4990-bdea-beb6bde0fd89)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acf119cf-fc0e-4e21-a8e6-4ba56bac276f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70e3d101-7ceb-4e92-aec1-4083475567f1)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8d436ce-f5f7-41b7-ba93-475411e84b97)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c4ed333-965e-41ac-ba51-76a1c3f3b981)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3323b66-36fe-405d-9aec-b76cd6a3cd42)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 194a371e-9f12-4882-96a7-dd587e1b415e)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f4f95c3-d425-499c-ada6-281c9e2ae40a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0f90413-9b33-4120-824e-16fa82e3d61c)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e216268c-e8ab-47ab-83f9-f15e810bf472)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 27af0865-ffa7-4ec8-8af6-65da6193d996)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6dcef7be-a6fe-4ced-a09d-63a82c04d271)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e76b1c6-6c56-4bab-b66a-a7edf753f315)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 33c9e214-fc24-4c3c-8038-bf2c8c066dd9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f19977c-6144-4a84-8a19-b57c7e1861e8)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4256d9f6-d6e4-4ca2-b7c9-4834dc135263)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a85609e6-cc05-4816-b56d-d1da65e06d3f)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a9a34092-9854-4995-aeb7-98c17e0bee17)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d583e055-6a47-47e3-a1dc-c5a59cadbcb7)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 88ea67ab-513c-4490-85bf-b84a1b299283)) + (net 2 "+5V") (pintype "passive") (tstamp d8ec36ea-af62-449b-9bfe-0847714b9839)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 994bac2c-0675-4093-a724-78814a3c24dc)) + (net 1 "GND") (pintype "passive") (tstamp acb0203d-50a2-438c-a70b-f1e24e601b69)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2169,40 +2166,40 @@ (attr smd) (fp_text reference "C42" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 17a87270-5fb9-4524-b069-fef7ffc912d7) + (tstamp 3fa198c9-34b7-4ee7-8d22-1fb933dfc9d3) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 5419ab39-3ee0-424e-ab76-5268b94e6ca5) + (tstamp 5b444197-d124-487a-87f1-8bfacbfe935b) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 817914a5-fc54-4470-8870-c6a6ee36df86) + (tstamp 5d488693-d770-40fe-9d86-3461161e382a) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 486184a0-7043-449f-9c15-3b7410c71aef)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3712c38-e700-42ee-9297-69d27904b5a5)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 525f19de-2b8e-40ea-b4ee-2a227316d49d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d53d0ee5-2d1f-49aa-b06a-2662ecbca163)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf68ff08-8b57-4132-a750-f2d6109075ff)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3b35cf5-c17c-4578-bcbc-4ec5ce10b62a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 08468b67-f3dd-4b78-b58e-8fb14732fe69)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2d61949-cad4-414c-b894-bfde785aaf26)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98be4d1c-9847-4330-870d-1c33128ac253)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cc775c06-e2e3-4da0-80df-7832f4cd6b40)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0c73a80-7202-48ef-a80b-88692a5c7da8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ac50efc-e0a7-4335-afa1-c1dcac0d7b47)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 04f4a297-e06f-441e-a674-c06fc23010d7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29f83777-7fa3-4652-9795-a0d5ef449184)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e813a48-2935-43f7-907d-b5cb20a191ae)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca2c224c-4502-457d-baa3-257a9fb4ebf0)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d751a4a8-e80a-49fd-87d7-afedd0e18848)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3f140141-f3a6-4119-bfc7-03aaea9a4c4f)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b3170ed-2820-4bd2-9f8a-93892801503a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 18227fa9-6a3b-4e20-a0ba-5bcb99196adf)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp a953cabb-8b3b-4646-8dd8-c66a31af5e32)) + (net 2 "+5V") (pintype "passive") (tstamp c391ca39-aa36-403c-ad3f-902a7c6d5b10)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 8e7e7ecd-288f-4a38-888b-9b8db7b93137)) + (net 1 "GND") (pintype "passive") (tstamp f898be2d-b9bd-47b6-8cfe-5c266e40061d)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2225,40 +2222,40 @@ (attr smd) (fp_text reference "C18" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp aabbac4a-0e47-42ff-b62e-76c8ea6901bd) + (tstamp 03fe860b-8910-4f03-bbd3-5c357d7b1b00) ) (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d9d6c903-838f-46a1-8ae9-60a05be60c05) + (tstamp e9ae8e6b-410e-4758-adcd-d5047f1c5c7f) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 77409406-46b6-4c41-a172-ba6d3217e761) + (tstamp 56e467d3-dd99-4ca3-8c9e-8053706d0bc0) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 75c2c6b3-1185-4452-ae9a-db92487329b1)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d0d0f7b9-350f-41e4-952e-4a3c57813d18)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f16a518c-1f1c-4889-b7eb-a14aa640cab1)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 40e77894-00ee-46a7-81d3-c20fb0069182)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 08fde869-fa85-4df8-8cd3-15bb83a95b8e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1455f4ee-11da-4d9f-9d82-769afdff6513)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ea704dc-24a6-4955-b16c-d47590be5a9b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d07dd88-cbe2-4e0b-b558-884ae4fbef28)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c58bffbc-b0c4-4e9a-ab03-607ecf01f527)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 363f91de-e126-4cee-b533-a49e1e14b153)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2e2cedf-62aa-4855-b439-19a5e331279f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 59be7731-a7e0-4c89-86c2-084a5cb496fe)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 44caf4bb-462b-4285-aba3-b9ca06a8b92b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 69e91c67-39b3-4a72-bae4-4208f15f131c)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 406f6c5d-26e5-447c-a2b5-e51cccc07dfa)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c5cdce41-df90-4b57-9dcf-c81205448eae)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ab212930-ace7-4088-8d2a-8a92fe74d6a2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca5a5b53-b5de-4e37-9115-cc18ff270a83)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0a87ad86-5943-4bb2-8faf-a4a8dadb1a73)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 725c1f38-0fc5-4a9d-a7e3-5aeea50031a5)) (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 8a5ad697-7fc9-4607-b6aa-26455133f92f)) + (net 2 "+5V") (pintype "passive") (tstamp 61cf2911-d650-4b87-8685-4bd79edb9de2)) (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 34470652-b54d-4acd-8ec0-8bd84a304e33)) + (net 1 "GND") (pintype "passive") (tstamp 8f9da101-55e1-4eb2-8535-4bf9827f924d)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2281,40 +2278,40 @@ (attr smd) (fp_text reference "C1" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c4b82b81-b8a7-4bc7-b092-5f93906ced34) + (tstamp 4437ae77-584e-4a39-b3fd-ffe9e71d91e0) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d4ac92fe-c5fc-4d40-9e85-3bb7a8893b5b) + (tstamp 39dd4516-03f1-48fc-8cb7-005903389dec) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp aea153b3-044e-46c8-8f78-1a427e75de19) + (tstamp 389976de-9a7f-4302-ad00-20847a6bb878) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 5c37e127-97c0-41bf-9011-ad23c39b3771)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c79521c7-feec-4c7f-bc05-cc7dfe082bdc)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 44b887c9-6b4f-4ea1-9efd-b5ea737a9302)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp df7d48a0-b672-440a-804a-fd8f8b27befb)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 371e051b-3826-483c-8505-c224c7517070)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72961526-eda9-4ab9-a533-89011f19148f)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9a6791b-8443-4869-8c5a-e6c79063936c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5654dbf4-4954-434a-aab6-8d38013082b9)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 363a5a72-31d3-4fb2-9ebd-2a614ade807b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cdcb9b6c-b644-4dc9-820e-f9f1025c579b)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e51d1d2-9a1e-4477-ba74-65559704ede6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 973b9060-8fdb-4038-aa44-cb6d710f3e91)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2faec265-d69e-4565-a8b9-590d82d7195a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c9235100-e1ed-4de0-bbd9-53393f028480)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe0ddd86-5be0-4d0e-8457-b56d2d10d545)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 56467342-4d89-4a38-8132-c7a732bc7d56)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 499e6436-de5a-4485-89f2-739f1a50aab8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5c70c9f5-3366-44f3-b1f0-4c8900dbb4fd)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fc155e78-f889-4df1-85d5-ad95a61da554)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e0324f11-1bdb-4582-909d-2401d77707c1)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 4aa9ed54-d19c-4e5f-860d-4b582fac5fe2)) + (net 2 "+5V") (pintype "passive") (tstamp b4623da5-34c7-4c6b-9d85-a200aeb62ddd)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp a1546a40-cc99-40d3-828e-78518d8e4431)) + (net 1 "GND") (pintype "passive") (tstamp 7360b09e-5c90-4b7a-9bea-d3682390da46)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2337,40 +2334,40 @@ (attr smd) (fp_text reference "C2" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5c9ac018-85cb-4290-9f6c-b318bc01839d) + (tstamp 9ef8a83c-a745-4bbf-bf04-1c568ffe9ccc) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f21e573d-e84e-43be-96e4-e8d5980f5226) + (tstamp 83ed97a0-6ec8-49d9-9ee6-5f54f7cb59f8) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6b4c2826-843b-4948-a00d-3c03435a5b07) + (tstamp 9a2103a5-d4a1-45cf-9cdb-128518aacf0d) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d4ca6b7a-cd5f-4cf3-b3a8-180e6fdd0868)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp b82baf98-5357-4a9f-80ec-1132944ba2a5)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 5ec4e296-99c1-49a5-acc4-b20a5d5206cc)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e7d6a716-cba2-4c92-9125-bd503c6458dd)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0d2a8b5-538d-4e0d-a0e8-4172451db4cf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d87bd1c-34ff-4920-b2c3-e499e9fd7a7a)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7e696ca-71c3-46a7-bb53-f582af6e83d6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5793831b-ac8a-4ebe-b946-14f1a0bcee1c)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5fbb8f2c-9a00-409c-bb6d-c7396afcb29b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bf76414-a096-488c-b942-903b4a56304e)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdabb77c-73b9-44ae-b7a3-8ee56d43d376)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06ecb41d-050e-4ecd-b10d-377eda738d7b)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7d9884e7-bb7f-4a33-a322-85d381035b08)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 560bbb0e-b655-47ec-bf7f-b445b1e54d04)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7626319b-e96e-4e86-a50f-eda348fe7d61)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ac0a6358-c5b8-496d-9fdf-eeaec5eba3c6)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eceb6473-6ce4-40b0-9de9-557cc0cdfcc5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f3f62614-d02b-440d-8d85-c97b0232cd1f)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 12b30263-eba1-4f57-989a-edef43fc3935)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 374707e6-244f-47d2-b866-37f0558b18fa)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp a94e0b41-5651-471d-a836-6a6bc0011da7)) + (net 2 "+5V") (pintype "passive") (tstamp 94845fad-ac9a-4d2e-9df9-8ab496b4f66b)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 642a56d1-86c8-46e8-9ede-cecbdb279fd2)) + (net 1 "GND") (pintype "passive") (tstamp 4fbf16c5-7552-4415-9879-607b70efa645)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2392,78 +2389,78 @@ (attr smd) (fp_text reference "U24" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 9da536ec-9627-4e29-96b9-7bb70cf75dd1) + (tstamp 119f567e-474f-41f4-bc0d-07e29b81d1a6) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 858766e8-d2cd-42e7-bb06-3f79055aca3c) + (tstamp d8436c91-22c4-4e32-baaf-2427618cf36b) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 1f1ecbe5-a875-450c-8660-7fd02a04b7bd) + (tstamp 01ac032e-adbc-439d-9aed-6823ddb65cd7) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b349f0d4-9ca7-4a0b-ad33-dcfeb495dc3a)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1de8e94d-81a7-4b40-9ebb-a8a7a3c3ff10)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 996e8d31-6a0d-448f-b269-30e0c1ee4ff3)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e301c2cc-f960-478b-a4c2-e2ebf43b9461)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98c34c17-69ef-4f6e-8a42-a0f8d20b2a29)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e54b40b-bc18-47ef-b4b2-573ea5e9214e)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8e0d600-f276-4879-83c1-2a17a570c695)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9d3f9064-def4-424f-a2ce-9bf0551e6f69)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a859c4f6-8f71-4ae5-8b7b-d61b50cd4bc0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f850c8a-efca-4270-a7bc-817658e3fa9d)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9383b944-6d79-4bec-942d-1932b0285a21)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5f80a0b-c6ce-495f-acb5-b490c045ecbe)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 06a19fcb-d059-45ba-964d-be954e3abe46)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3f2da58b-d7ed-4d0b-bd68-3df98e4c7845)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp afdf3fb4-4acc-41a8-89c7-69df42b15601)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a3690278-abb7-41db-848d-54aa22753548)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 316e0fbd-c99a-406c-a45d-c6b2d9be1078)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 55390763-18a7-4701-9553-cdbd41c30c56)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ba1b917d-1830-41f9-8f40-0ce0525d481a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e287df1a-fcf6-441e-9ad0-96eb0853a48d)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a047611d-215c-468b-bea1-232e539869fd)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 23cce264-8569-4450-99c5-77c409ac376a)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 2d728bd5-4115-4f63-800e-df2647de44f7)) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 9b196c3c-80f3-473b-a895-477565a59586)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 159 "/Buf/AccD15") (pinfunction "D0") (pintype "input") (tstamp 7b4a4b19-b7df-44bc-8201-c8123c1cfeb4)) + (net 159 "/Buf/AccD15") (pinfunction "D0") (pintype "input") (tstamp e9a8c3b1-6488-4682-a579-53d863a0ef20)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "/Buf/AccD14") (pinfunction "D1") (pintype "input") (tstamp a92755fc-c618-4f21-8418-87ddb7c799d6)) + (net 158 "/Buf/AccD14") (pinfunction "D1") (pintype "input") (tstamp 17cff83d-d5b3-433e-99c6-04ade7e1f8c2)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "/Buf/AccD13") (pinfunction "D2") (pintype "input") (tstamp e3dba531-1d1a-4ef1-bf2c-87babfb80ae1)) + (net 160 "/Buf/AccD13") (pinfunction "D2") (pintype "input") (tstamp ec5d4ac2-bc8b-4a4d-ae13-0d934113fd8b)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 165 "/Buf/AccD12") (pinfunction "D3") (pintype "input") (tstamp 1e64b576-27c7-4dc9-a133-a1b7cc872ed2)) + (net 165 "/Buf/AccD12") (pinfunction "D3") (pintype "input") (tstamp deabffca-7508-455b-9fb7-bb0499a72621)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 161 "/Buf/AccD11") (pinfunction "D4") (pintype "input") (tstamp 03f71752-6c74-4d78-88c5-7c750053bf50)) + (net 161 "/Buf/AccD11") (pinfunction "D4") (pintype "input") (tstamp 8693a59f-8755-4d83-8f87-621f337d7eb6)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "/Buf/AccD10") (pinfunction "D5") (pintype "input") (tstamp 610e7c51-3329-4be1-b8ff-bd3c2957ca49)) + (net 164 "/Buf/AccD10") (pinfunction "D5") (pintype "input") (tstamp b2cf30be-3d23-430f-9de8-dd28b4c89643)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "/Buf/AccD9") (pinfunction "D6") (pintype "input") (tstamp 7fb55604-51f4-4307-a2a7-c0571d048c5a)) + (net 162 "/Buf/AccD9") (pinfunction "D6") (pintype "input") (tstamp a34b05b2-15c6-4c7d-b173-4107f4575ab0)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "/Buf/AccD8") (pinfunction "D7") (pintype "input") (tstamp 26715074-29f4-47e3-8c47-f98fe2aeb193)) + (net 163 "/Buf/AccD8") (pinfunction "D7") (pintype "input") (tstamp 2c13a2db-eab5-4107-96a5-8784b1675aa8)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp af3f3d86-578c-4ffa-983c-712c02835dac)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3878aff2-955f-4b4c-a1bb-cc1b21e4b28a)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp a4c51ccc-f6fb-411d-86ec-766341e1f3d4)) + (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp 4c3fd9e3-c2b3-43e0-baff-687038c3bc40)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 225 "Net-(U21-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp 13f4395d-62f8-42d2-a892-a2ee9a94e323)) + (net 225 "Net-(U21-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp d201010a-31f9-4122-a2c4-030e6ce912aa)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 224 "Net-(U21-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 41c0556f-35de-42bd-98c6-5816a1556d84)) + (net 224 "Net-(U21-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 985bb8b5-5bf3-487c-bcb6-5ece155092fd)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 223 "Net-(U21-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp b8e4dd4c-f0e0-4376-acf1-573a62abb64f)) + (net 223 "Net-(U21-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp 243da1ed-459f-4351-8f7d-986c4ad00b13)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 222 "Net-(U21-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp 5ab8d9cf-eabb-4666-b7da-a59108c67c0c)) + (net 222 "Net-(U21-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp 01d290b5-1270-48fa-945f-fabf9e528c7f)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 221 "Net-(U21-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp f6aece0e-604c-4591-b06f-f96162d73d59)) + (net 221 "Net-(U21-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp 0611a96c-0220-4ddd-a277-79fcd78bb983)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 220 "Net-(U21-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp 523d0772-d5a9-4823-9b03-3450c69fff14)) + (net 220 "Net-(U21-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp 3b9fcd2d-6e19-4a47-86a0-8044407e8f8a)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 219 "Net-(U21-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp 6f603568-8e00-4b0f-a69e-6548829e188a)) + (net 219 "Net-(U21-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp 0c245231-b4ff-4191-899e-e0153d3fd529)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 218 "Net-(U21-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp 0f341758-2520-4205-9b46-d8e00cbee82b)) + (net 218 "Net-(U21-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp 057571cb-01c5-4832-aaed-a95d4c755715)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 779e74ca-0aad-43e6-9bc3-048be9f00d96)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp cc9e4e18-a91e-4c5e-a48c-d49ba26b79da)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2485,78 +2482,78 @@ (attr smd) (fp_text reference "U23" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp b9268734-04bd-41cd-84c3-4932416d4398) + (tstamp 0c5159a9-f2a3-4654-87b6-84ff9eba006b) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp ecc58ea7-f242-4e6f-894c-e0480dd8b12c) + (tstamp fed1c767-0688-4834-b3ad-cd2cf950c20b) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp d1767a49-3ed8-4fa9-a75b-08fadd6d9bda) + (tstamp 32f0d693-2ebc-422a-b323-b898379b6288) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp a8670c37-c66d-46ce-b729-0c8989b41e8f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c15efaa8-c78c-4c1e-9ef4-3247f91e0192)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 468e5d96-7deb-469d-b8e2-8411797771ae)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b7064b2a-e51c-494a-aeda-eb22250bcce2)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab875f18-6f30-45e0-ac9b-269be6f21aa8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2158bfea-f080-4110-b085-a6f6f851ec7b)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e2e3a5e9-bfb6-4995-b32a-40b38b68417c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f14bb7ae-98b0-43f1-9146-f8f05b2177a5)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e84a863a-9f32-4f04-95b9-5e60184b5eb4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 56ba453d-39b9-44c6-a76c-f161d912b282)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a49ccfc2-e4a5-4d03-8212-7911aa0068c9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4cc53342-489b-41fb-b4ea-5c4c7fd892cf)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9f6910de-b87a-461e-a4cf-aa02de28b8a6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3beef41e-7c9c-4ac6-b827-2120663fccb0)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a45ff97f-98bf-4283-9d46-8eb0bfc128a6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 005f06dc-abde-48c0-a06f-de50e30ba4d5)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 93c52eac-fec1-4f37-bbd9-c536602274ef)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a9b687ad-cd74-4a70-8d7e-8f99ecef76e7)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 04cf93f9-4d2e-4be6-842e-58403bb85c48)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp aa209e1c-fdfb-451d-a293-8f33ad4f11af)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 32fe8cf9-6338-45fd-baf1-7e50186f4ad2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 00ca95de-4731-4983-88fe-2e826127b633)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp b0f855aa-aa6d-483c-8316-7325ce8d8c12)) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 771fdc36-e2a6-4a7b-ab9f-000e0c1789d9)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "/Buf/AccD7") (pinfunction "D0") (pintype "input") (tstamp 99681fd1-fa09-4b92-9563-269667271142)) + (net 152 "/Buf/AccD7") (pinfunction "D0") (pintype "input") (tstamp 8c785176-ed3b-4cd6-aa31-cf58499f4f82)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "/Buf/AccD6") (pinfunction "D1") (pintype "input") (tstamp 6ee8731d-a696-46d1-be49-f919ee90deb5)) + (net 151 "/Buf/AccD6") (pinfunction "D1") (pintype "input") (tstamp 78ddeac5-cb67-4af1-98a0-548a10cfc928)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 153 "/Buf/AccD5") (pinfunction "D2") (pintype "input") (tstamp 62cc8732-0a7b-4a67-b3ca-f48e3a4d1c62)) + (net 153 "/Buf/AccD5") (pinfunction "D2") (pintype "input") (tstamp 26a71dc5-1578-4e47-8d21-fc1ec71f1d71)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 157 "/Buf/AccD4") (pinfunction "D3") (pintype "input") (tstamp 5cc67a1e-c0aa-4d5f-9f48-4b3f79f7d31b)) + (net 157 "/Buf/AccD4") (pinfunction "D3") (pintype "input") (tstamp c47c82e6-64d2-437f-9186-360517ab4f82)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "/Buf/AccD3") (pinfunction "D4") (pintype "input") (tstamp 9a1ba911-2833-4a60-9a5c-c7a10d113531)) + (net 154 "/Buf/AccD3") (pinfunction "D4") (pintype "input") (tstamp 3187b5c4-b3b9-44f5-8dde-c72dae5dbdf4)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "/Buf/AccD2") (pinfunction "D5") (pintype "input") (tstamp 028a6bb5-ddf6-4b99-828b-da5af85608e2)) + (net 156 "/Buf/AccD2") (pinfunction "D5") (pintype "input") (tstamp 4aa76ad3-cc78-47d9-9c8d-56532ed32ff9)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 155 "/Buf/AccD1") (pinfunction "D6") (pintype "input") (tstamp f1519b39-955f-482b-9519-4a6723315f05)) + (net 155 "/Buf/AccD1") (pinfunction "D6") (pintype "input") (tstamp f3b6489c-89b4-48f8-9939-655fd4ee8e8b)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 183 "/Buf/AccD0") (pinfunction "D7") (pintype "input") (tstamp 32a40b38-aff1-47ce-bf27-aa210c88a355)) + (net 183 "/Buf/AccD0") (pinfunction "D7") (pintype "input") (tstamp b1a09034-66eb-4c0d-8f96-ea7f895f190d)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3ca80cdf-19d4-40bf-be1e-4d5c6a423168)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 158b2dd7-26c8-4faa-8344-1a95e54a4f3c)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp df660a39-5ca0-4506-8afd-7699b011fe23)) + (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp 30eec1f7-4288-4676-b0ad-04cc2391ba4b)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 217 "Net-(U19-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp 7883a384-0327-4b39-89c6-d07fc1b3120d)) + (net 217 "Net-(U19-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp 445849a8-a3c2-4c19-a6fd-9cfaf9f5781d)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 216 "Net-(U19-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 9e763642-c367-4238-96a7-54821ae4b98e)) + (net 216 "Net-(U19-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 829d4838-b6a7-4814-b074-5637bac1d0a8)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 215 "Net-(U19-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp 199b347e-a843-4e4c-9a38-3ec9f598b100)) + (net 215 "Net-(U19-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp d339050b-03bf-4a65-acd4-88ef743d1388)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 214 "Net-(U19-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp f78e8a75-fa4c-4dd7-a3bf-0c18414472b3)) + (net 214 "Net-(U19-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp 5c735704-09e8-4171-8175-bd2382c5caef)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 213 "Net-(U19-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp bb396466-319c-4b44-97a2-382482b41fa7)) + (net 213 "Net-(U19-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp 9911c6fa-0723-4956-b730-6e73e8727458)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 212 "Net-(U19-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp 497d97a1-a84c-4be1-823a-2d24e6023f64)) + (net 212 "Net-(U19-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp b76af4cb-05b6-40f5-a5fb-770921b93329)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 210 "Net-(U19-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp a693d242-76df-48e5-adc3-754a05886911)) + (net 210 "Net-(U19-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp b9f03cbc-dae3-4b52-bc53-bd8bb31c4927)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 208 "Net-(U19-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp 54b5c443-ac82-45f3-af32-6284365fd00e)) + (net 208 "Net-(U19-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp 02587c56-92f7-41fd-9dfe-f85c4b6b9ad9)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 3fa93e79-ec6c-40a4-b8f4-fcc930397cd9)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 9f50e9bd-5ebb-4047-92c2-3355039cd144)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2578,78 +2575,78 @@ (attr smd) (fp_text reference "U22" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 87cdef0e-bf63-463d-976b-e02deaca3e8a) + (tstamp 34e70ef0-8e55-44c5-b508-e296d11d3e1f) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 0a271307-2894-4bc4-9a77-aaff83ff2f55) + (tstamp abaf75a4-93e6-435f-89c6-4573377ee7b8) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 5b9c9d82-83ab-460a-b87a-80b22cf45ad6) + (tstamp 7bda21a8-4bb9-4fb0-b96d-fbd7ec02735e) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fcd40e0a-9a91-4512-a757-a1c0583bd539)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4a2373e9-a846-45f2-ba61-71a568724840)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7350e650-518f-4052-b3ed-7fbe26580636)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 12cc22d3-57f4-4832-ae9c-7209aebc71f1)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e57c8979-1d8d-4f15-a21c-1b9dd197d97e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da86d6f9-a8f8-47fd-bde5-9000d44f22b2)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf5dddc5-762b-407e-8113-34b2d3d74635)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c118a27-6075-43c6-af94-4f4756150f3b)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8bc0bba2-0ae8-4ed9-a955-8b57bacbf8c6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef3b78b6-d82a-4a75-a9da-1034ff74ea2e)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7ead973-9e33-4aad-a460-dcc45a27e500)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ea1497b-dca3-4a3d-92ce-b0eae5dd3e40)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 88606366-efb1-49fe-8c52-cec868295fb0)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c50ffe96-d63d-45e5-b0f1-ab90c91838b9)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 743988e8-f553-476d-bf9f-2c47c09cd96b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e424a284-6518-4a89-94ce-c25781093a7e)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 808dc8b9-119b-46ac-8653-06e0c1125853)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f271a36d-e7eb-48e4-bb63-ddd2e3940bc6)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 79da451f-502f-4408-a9e2-c6fcb6c3b9cd)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 48f5b056-9ed8-4caf-b053-03d2deca76e5)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a363860-2fcf-4f75-8a64-b304baa3a613)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a136403c-985f-4d48-9d55-e44ee0744dd1)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/Din~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 3bd2a831-68ff-4c64-ba66-cdee1e1f6a9b)) + (net 91 "/Buf/Din~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 4ff14a20-be47-4f0f-9ffa-cbc61a0e2889)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 72 "/Buf/MacD15") (pinfunction "D0") (pintype "input") (tstamp 60e85697-7739-4986-a1cb-2ce8fb5c8f53)) + (net 72 "/Buf/MacD15") (pinfunction "D0") (pintype "input") (tstamp 7a83880f-e740-4da6-a4d0-d97195d10e13)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 71 "/Buf/MacD14") (pinfunction "D1") (pintype "input") (tstamp 63adcd35-db95-409f-9872-81436c78ff74)) + (net 71 "/Buf/MacD14") (pinfunction "D1") (pintype "input") (tstamp 7ec933f2-fb09-44af-b762-73fbf9013a8e)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "/Buf/MacD13") (pinfunction "D2") (pintype "input") (tstamp 289d9c68-68bd-433d-94f1-3bbcba612d14)) + (net 70 "/Buf/MacD13") (pinfunction "D2") (pintype "input") (tstamp 3e4d44ac-4029-482f-b76a-264b4fd664c8)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "/Buf/MacD12") (pinfunction "D3") (pintype "input") (tstamp c2eecd00-73d3-47f1-b884-a7a13ff48ec7)) + (net 69 "/Buf/MacD12") (pinfunction "D3") (pintype "input") (tstamp 9212644d-cc42-4fc3-ae9e-a616a0aa0e25)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "/Buf/MacD11") (pinfunction "D4") (pintype "input") (tstamp 7ba0e2af-3f3c-410c-a943-bd7f4ea902fc)) + (net 68 "/Buf/MacD11") (pinfunction "D4") (pintype "input") (tstamp 703b412f-1c62-42e7-ba29-6eda93221045)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "/Buf/MacD10") (pinfunction "D5") (pintype "input") (tstamp b5b0fc23-4ab3-4229-8b3f-386f2db4a4f2)) + (net 67 "/Buf/MacD10") (pinfunction "D5") (pintype "input") (tstamp 1e765dc9-631d-4f1d-a710-4f725f3b2650)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "/Buf/MacD9") (pinfunction "D6") (pintype "input") (tstamp 7f5d481b-3968-42b8-b942-79599e4fb2af)) + (net 66 "/Buf/MacD9") (pinfunction "D6") (pintype "input") (tstamp a81792ff-2509-4224-bd9f-d7f7d81b3134)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "/Buf/MacD8") (pinfunction "D7") (pintype "input") (tstamp 8336eb59-26f5-44f3-a41b-b3788bd4926b)) + (net 65 "/Buf/MacD8") (pinfunction "D7") (pintype "input") (tstamp b4839e8a-91be-4c65-a6d5-c25b4cd07b5f)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3039a6e7-8f08-432e-b412-c12691045822)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 42bad3da-e43d-4520-ae26-a8eb36cde673)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "/Buf/DinLE") (pinfunction "~{LE}") (pintype "input") (tstamp 088bf37b-8e55-4cba-b27f-db2a1146b930)) + (net 94 "/Buf/DinLE") (pinfunction "~{LE}") (pintype "input") (tstamp 72b6125a-6308-4186-8231-52c37d61586e)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "/Buf/AccD8") (pinfunction "Q7") (pintype "tri_state") (tstamp 6a3e07fa-99c5-41a9-b03a-807ce628132d)) + (net 163 "/Buf/AccD8") (pinfunction "Q7") (pintype "tri_state") (tstamp 4c38c132-1452-4393-8236-c450653f90dc)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "/Buf/AccD9") (pinfunction "Q6") (pintype "tri_state") (tstamp 94360780-7b25-43df-a4f5-94c3e7a8c6cf)) + (net 162 "/Buf/AccD9") (pinfunction "Q6") (pintype "tri_state") (tstamp a834ebc8-592a-40ef-89c6-cc9f7491d0d0)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "/Buf/AccD10") (pinfunction "Q5") (pintype "tri_state") (tstamp 8154d46a-2ae5-44e8-b690-ba815d84a91c)) + (net 164 "/Buf/AccD10") (pinfunction "Q5") (pintype "tri_state") (tstamp f2b7d214-2377-44ae-b161-033c119ac9a4)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 161 "/Buf/AccD11") (pinfunction "Q4") (pintype "tri_state") (tstamp 21c8e0e5-592d-4a52-943a-cea726da0daa)) + (net 161 "/Buf/AccD11") (pinfunction "Q4") (pintype "tri_state") (tstamp fbbe2732-4ac5-4220-85ed-767c57cb98c6)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 165 "/Buf/AccD12") (pinfunction "Q3") (pintype "tri_state") (tstamp ba5764fb-d367-4727-9008-d90c1b001661)) + (net 165 "/Buf/AccD12") (pinfunction "Q3") (pintype "tri_state") (tstamp 7d57ba91-88f9-44b5-9b1d-0f5b189e9353)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "/Buf/AccD13") (pinfunction "Q2") (pintype "tri_state") (tstamp ab4771eb-ddfa-4d99-a1b2-08d310b9c3a3)) + (net 160 "/Buf/AccD13") (pinfunction "Q2") (pintype "tri_state") (tstamp d992a2c0-ad35-41d9-834f-04b9fe1aae19)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "/Buf/AccD14") (pinfunction "Q1") (pintype "tri_state") (tstamp 6f53b51c-8d02-44c8-93de-27099d171d00)) + (net 158 "/Buf/AccD14") (pinfunction "Q1") (pintype "tri_state") (tstamp 71455a76-89ed-494b-be47-4d4eb4e05ddf)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 159 "/Buf/AccD15") (pinfunction "Q0") (pintype "tri_state") (tstamp 81759dbb-2fbd-4fff-9aea-2c05fafb69ed)) + (net 159 "/Buf/AccD15") (pinfunction "Q0") (pintype "tri_state") (tstamp b6ec9526-1d52-4a06-bc5f-321026466a66)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 87e00080-acb2-4d28-9a92-14f4254fa49b)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 1cc4a124-77df-4e9f-ac42-65d49b397ce9)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2671,78 +2668,78 @@ (attr smd) (fp_text reference "U21" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp daf4853a-b962-46b1-a800-bd26c46db9d0) + (tstamp 11904ebf-0477-4864-bb86-f6be030fe88a) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp bd3d7be1-d75d-4d79-8d26-c7d88359609c) + (tstamp dc722d94-fce0-4e92-8bfe-f37c4b317a7d) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp af0ecace-7820-4069-92a2-66bbc9431d18) + (tstamp 78a8f4fa-e1b6-4227-9f35-e662cc52880b) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ff0fc659-d9b0-4a04-9a2b-5124c2fb2739)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 36c4eb0f-87f4-4a6f-89ba-d80250c942c6)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 803131e7-6904-41ce-bc0f-b60eb5dfa273)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 58ef339d-b5e5-4f6a-9b78-c3eb9676e1fc)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f87dc92f-7cf3-47ad-a603-beb32f101dff)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94a9d601-06bc-4fea-8f31-2812ab2926ac)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e1f23996-45ac-4b6a-9e77-01e5088aba58)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b429dd7-243b-4bfd-ab7c-fdb039c5cc2b)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccbee0fd-89bb-4002-a811-749dbaadc13b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c67d7548-1555-453e-819d-efefec0b7a38)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1eb8c445-d4bd-4d1c-817c-67d49f88a937)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2457970-8888-495b-b107-728a66254d1e)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 638e873c-beb8-4329-ae14-0d6d361a93ee)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3058c63d-dfb4-4c58-b191-8aea66a4bdd8)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 60118e2e-dc88-40bd-8d29-a1e05afc41ba)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7d2baa1d-012f-4e3e-8b9e-c3d86fac9dbc)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7be7a62d-a07a-4c01-b1bf-df36c39f8cce)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d49a9f04-9c65-4f0a-b8a5-4fa04c835cf3)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 87908066-2c56-456c-ac05-0085b5e8732a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 27f3fec8-f349-4303-a183-4824e31ccf3b)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bc082784-496c-49a1-9ee7-23faf105a878)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 82d652e6-85e5-49d7-846a-a29e29f25460)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 5305e4f7-fb3f-4b66-ad51-fc667711b432)) + (net 92 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 36c2f692-10a9-4999-ba7b-7f101827db53)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 218 "Net-(U21-D0)") (pinfunction "D0") (pintype "input") (tstamp a30f8733-5670-47c6-be8d-73b3e07eafcd)) + (net 218 "Net-(U21-D0)") (pinfunction "D0") (pintype "input") (tstamp bb218fcb-11fb-4613-bd5a-45c68bbc1097)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 219 "Net-(U21-D1)") (pinfunction "D1") (pintype "input") (tstamp 0a17b781-c226-4ad7-9c15-e001f494c80e)) + (net 219 "Net-(U21-D1)") (pinfunction "D1") (pintype "input") (tstamp be8dcecf-9773-4c10-8e7c-967293d24d6a)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 220 "Net-(U21-D2)") (pinfunction "D2") (pintype "input") (tstamp 66b2dca6-fdce-449d-96bf-d94958045244)) + (net 220 "Net-(U21-D2)") (pinfunction "D2") (pintype "input") (tstamp 3e371c7b-7ac9-460c-b86b-715424ff10c5)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 221 "Net-(U21-D3)") (pinfunction "D3") (pintype "input") (tstamp e74ddee7-cead-40c5-9864-28970dd48dcc)) + (net 221 "Net-(U21-D3)") (pinfunction "D3") (pintype "input") (tstamp 67767145-cd35-4dc9-9298-111dbd17a1fd)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 222 "Net-(U21-D4)") (pinfunction "D4") (pintype "input") (tstamp ad959f11-fa98-42f7-8dad-204b71110438)) + (net 222 "Net-(U21-D4)") (pinfunction "D4") (pintype "input") (tstamp efc4f7f2-cdd7-4ce7-a69a-8dc61a9510ba)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 223 "Net-(U21-D5)") (pinfunction "D5") (pintype "input") (tstamp d2eb6864-87a8-4047-930c-680d24edc44b)) + (net 223 "Net-(U21-D5)") (pinfunction "D5") (pintype "input") (tstamp b370ee5a-4262-4908-bf41-0f287f4b2490)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 224 "Net-(U21-D6)") (pinfunction "D6") (pintype "input") (tstamp 2b87572e-185d-45ca-8182-f8c8c7d9877c)) + (net 224 "Net-(U21-D6)") (pinfunction "D6") (pintype "input") (tstamp f1d8271b-5c7a-4c87-8128-ede93b80802f)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 225 "Net-(U21-D7)") (pinfunction "D7") (pintype "input") (tstamp e4c3e309-b413-4fac-9079-2ea1c37e7089)) + (net 225 "Net-(U21-D7)") (pinfunction "D7") (pintype "input") (tstamp d64cc953-180c-419e-99a9-d9fe800692bd)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 40a29152-23ff-48ff-a476-ea950616218b)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1a3a89db-8500-4c79-afae-7d4e366206d5)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 4c88b5bc-7660-40d3-a8a0-a4cc9ffa0597)) + (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp b9ac2b32-e11e-4f8d-b71c-f18982cb9953)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "/Buf/MacD8") (pinfunction "Q7") (pintype "tri_state") (tstamp c5dd8c1a-eecd-4cb0-abbd-ddb673b76831)) + (net 65 "/Buf/MacD8") (pinfunction "Q7") (pintype "tri_state") (tstamp c2af85ba-3275-41e2-ab2e-e67f7e53fbb5)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "/Buf/MacD9") (pinfunction "Q6") (pintype "tri_state") (tstamp c421a289-2524-4c5b-87ae-9f8b71da0869)) + (net 66 "/Buf/MacD9") (pinfunction "Q6") (pintype "tri_state") (tstamp 3c0d4578-4398-4543-a180-b7c3ec4360db)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "/Buf/MacD10") (pinfunction "Q5") (pintype "tri_state") (tstamp b77efe0b-41f3-4973-963b-ccbf26eac2e5)) + (net 67 "/Buf/MacD10") (pinfunction "Q5") (pintype "tri_state") (tstamp 1df5645d-6f1d-4e22-bef8-f9adcb3ffb90)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "/Buf/MacD11") (pinfunction "Q4") (pintype "tri_state") (tstamp 7a382fc7-dbb8-4e9c-adb4-38a4ef2cb4b1)) + (net 68 "/Buf/MacD11") (pinfunction "Q4") (pintype "tri_state") (tstamp bddfa7e0-65a4-410e-b41b-c5b1431894d9)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "/Buf/MacD12") (pinfunction "Q3") (pintype "tri_state") (tstamp 57972f9e-82a3-4af3-92c0-d54d5c7fb0af)) + (net 69 "/Buf/MacD12") (pinfunction "Q3") (pintype "tri_state") (tstamp ffb5038f-dd5c-4e43-8fa3-15e7e4f8f5bf)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "/Buf/MacD13") (pinfunction "Q2") (pintype "tri_state") (tstamp c39239a3-c616-44e3-a6e6-863045b8e4ed)) + (net 70 "/Buf/MacD13") (pinfunction "Q2") (pintype "tri_state") (tstamp 7d327a0b-3d8b-4ae3-9e59-ad7533882e30)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 71 "/Buf/MacD14") (pinfunction "Q1") (pintype "tri_state") (tstamp 0f93cd50-5971-439b-95a1-d0a4a7b0c11b)) + (net 71 "/Buf/MacD14") (pinfunction "Q1") (pintype "tri_state") (tstamp b2546b14-c669-4a1e-ae18-117de0b103a5)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 72 "/Buf/MacD15") (pinfunction "Q0") (pintype "tri_state") (tstamp 07fbec5e-6b73-470c-be5c-df7172b4a596)) + (net 72 "/Buf/MacD15") (pinfunction "Q0") (pintype "tri_state") (tstamp 59463ab4-efac-4b08-b963-65901d84ae9b)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 72377d3c-d4ea-41cf-9e0f-bebb05370deb)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp f00c8880-5dcf-42b7-9e83-f9f7d9ded9d9)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2764,78 +2761,78 @@ (attr smd) (fp_text reference "U20" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp cb563a74-6c7d-4e53-a310-a46e8b03a110) + (tstamp d5019fe9-2a7d-42a7-ba5b-e9090975c3e5) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 6fa2388c-90f6-4758-8ff5-4ea5c2c40b79) + (tstamp b55f4f7e-60c0-4d89-b5c2-bea3d61947c7) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp a5130ed2-c55f-4017-9ed4-26dfff0b5a2c) + (tstamp 7656b1c2-50f9-42e4-83d1-5b77e082e776) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b28a0f66-ec10-4161-8330-51ab9025f988)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1116536d-2fc0-484a-9d4d-6c1d7a40bb87)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 791916e1-7990-45b1-af1d-2103dfe9065c)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0780fbb1-bd4d-42fd-8621-6a1b86fcd86c)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99f4e7b2-4714-4c9e-bb2b-d2f958b38f78)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16f5870a-4287-4f0b-b371-b69915b6ea71)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4755608-b40f-450b-b390-456a1b9393a1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d81b3f66-c646-4735-a495-906717c16558)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95b5e0a5-4e00-424e-950f-200c7c4e5b2d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 79dccf91-8ed1-4385-ba87-486d67b9299e)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dff1488d-2f1b-4f3b-b1eb-28beb92c7797)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7eec7323-2263-48c0-9c3a-4f4e4f500759)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 227b1e59-4b20-4154-b37d-b5e2d32dcc8c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 48bacaea-80fe-41b9-88d8-a125a4228340)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 47bdb862-fe0f-4fba-8ac0-24047cc400a6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e601c3d5-9e8b-450e-9bf8-f5aab4038e4a)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp edc00f89-8437-4bf3-a1f1-a784ba678945)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f91529bc-92be-4d39-82a6-4fc3f9871295)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5f956b7b-e284-4137-a336-c6f0790fcce2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3d23234f-9740-4fe4-954e-0a0e73c1c866)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7efd62df-8352-4573-b42c-6c06011737ae)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e7393689-b506-4416-ac6f-9b94703c0f2c)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/Din~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 8c0280ce-ed1e-47d6-a61b-b36c55b7cbaa)) + (net 91 "/Buf/Din~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp c086d4e5-4425-4cae-bd43-d26360add93a)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/Buf/MacD7") (pinfunction "D0") (pintype "input") (tstamp 8142e179-2de4-4953-b3c3-1f076a1f4e8a)) + (net 64 "/Buf/MacD7") (pinfunction "D0") (pintype "input") (tstamp a9fa3327-5816-4e4d-8f5d-286aae0b56db)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/Buf/MacD6") (pinfunction "D1") (pintype "input") (tstamp e72c46ca-5cce-4b21-a33b-9acf99caa9d7)) + (net 63 "/Buf/MacD6") (pinfunction "D1") (pintype "input") (tstamp 538ff29e-a965-41e6-bf84-e30f2fb1918b)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "/Buf/MacD5") (pinfunction "D2") (pintype "input") (tstamp dfb573d8-28d3-4682-8423-cf813961815a)) + (net 62 "/Buf/MacD5") (pinfunction "D2") (pintype "input") (tstamp a5d4185f-7d9f-4f22-b1c2-310b4c33aa55)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "/Buf/MacD4") (pinfunction "D3") (pintype "input") (tstamp 18d76821-187a-45f5-9f00-490d5b8ca472)) + (net 61 "/Buf/MacD4") (pinfunction "D3") (pintype "input") (tstamp c74d8b04-715b-405d-89b8-aede3b63e0fe)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "/Buf/MacD3") (pinfunction "D4") (pintype "input") (tstamp fbf7d741-4016-42b2-ae6f-5ee174a6b90c)) + (net 60 "/Buf/MacD3") (pinfunction "D4") (pintype "input") (tstamp f5f0d8df-2bba-414a-826f-5dd5296da4bc)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "/Buf/MacD2") (pinfunction "D5") (pintype "input") (tstamp 8cbbf889-8e89-433b-8172-3577c4a18d47)) + (net 59 "/Buf/MacD2") (pinfunction "D5") (pintype "input") (tstamp a5b73e96-0f0e-4d84-a7ee-f47e9dfdc798)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "/Buf/MacD1") (pinfunction "D6") (pintype "input") (tstamp de8d94c2-d681-41d0-865b-d585b7ceff07)) + (net 58 "/Buf/MacD1") (pinfunction "D6") (pintype "input") (tstamp bb23ab65-adfd-4200-9924-dcb72ae857d6)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "/Buf/MacD0") (pinfunction "D7") (pintype "input") (tstamp bc2af501-7bfd-4115-9b86-0b6f28f9c9fb)) + (net 57 "/Buf/MacD0") (pinfunction "D7") (pintype "input") (tstamp 682055c7-ffa7-4397-a576-98ee47842887)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bb2df50c-7e0e-4370-8d3a-e51e56c34d5f)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 771b7aaa-44b1-4063-ab7f-ce050e190884)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "/Buf/DinLE") (pinfunction "~{LE}") (pintype "input") (tstamp dac5a1bd-862d-499e-a6a5-1c8962c6d156)) + (net 94 "/Buf/DinLE") (pinfunction "~{LE}") (pintype "input") (tstamp 2b11fc7a-8464-4615-aa54-f3395ebb2809)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 183 "/Buf/AccD0") (pinfunction "Q7") (pintype "tri_state") (tstamp ff1e18c4-f99b-4643-9784-4a4cb5298456)) + (net 183 "/Buf/AccD0") (pinfunction "Q7") (pintype "tri_state") (tstamp 52babebd-89b1-4719-af2f-57b59ec1150b)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 155 "/Buf/AccD1") (pinfunction "Q6") (pintype "tri_state") (tstamp 83691eb8-1ea5-4d28-bdcc-0f997a112014)) + (net 155 "/Buf/AccD1") (pinfunction "Q6") (pintype "tri_state") (tstamp c9f037a0-75c7-47d7-b03d-f8947f7c4550)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "/Buf/AccD2") (pinfunction "Q5") (pintype "tri_state") (tstamp 64ad0b50-77e0-46cd-b204-b4495dcf7534)) + (net 156 "/Buf/AccD2") (pinfunction "Q5") (pintype "tri_state") (tstamp 98de4733-a4c7-4ce1-98b1-8b18b4ad9d09)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "/Buf/AccD3") (pinfunction "Q4") (pintype "tri_state") (tstamp 98780443-082a-4767-aa1d-2b91ee80a77c)) + (net 154 "/Buf/AccD3") (pinfunction "Q4") (pintype "tri_state") (tstamp cc17928a-5e67-48b5-bd77-8770ed301a8b)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 157 "/Buf/AccD4") (pinfunction "Q3") (pintype "tri_state") (tstamp 31ee72f5-7649-406b-8a86-be232e6d819b)) + (net 157 "/Buf/AccD4") (pinfunction "Q3") (pintype "tri_state") (tstamp fd4b7aba-6ba3-4260-97e0-5a38a60df4e2)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 153 "/Buf/AccD5") (pinfunction "Q2") (pintype "tri_state") (tstamp 028613ff-da35-406d-b91d-39c25db350b5)) + (net 153 "/Buf/AccD5") (pinfunction "Q2") (pintype "tri_state") (tstamp 71023d15-8fe9-4db4-859e-15c9e2c13bed)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "/Buf/AccD6") (pinfunction "Q1") (pintype "tri_state") (tstamp ad424936-f116-469a-8c68-103f903fdf19)) + (net 151 "/Buf/AccD6") (pinfunction "Q1") (pintype "tri_state") (tstamp f72c2059-4605-45f2-b417-8cf961da53f8)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "/Buf/AccD7") (pinfunction "Q0") (pintype "tri_state") (tstamp 222053e9-ee26-4412-8505-19427d8e0221)) + (net 152 "/Buf/AccD7") (pinfunction "Q0") (pintype "tri_state") (tstamp 61aed7bd-ae10-4777-9d52-18bd77e5d7a8)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 1282e60f-e017-43eb-a312-0c867db83448)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 6903e5f7-636e-4124-9e4b-0f788cbd0a76)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2857,78 +2854,78 @@ (attr smd) (fp_text reference "U19" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 1c99a55c-9172-40d4-9807-e4f3cfa77c3b) + (tstamp 655f8079-efe2-4237-aea6-0bbeb67ad778) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp a9a02b23-1b74-4543-ae2d-77d778f5f404) + (tstamp 4274141f-3453-4430-bf05-898e53be2256) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 54b319d6-c4a9-49d4-b523-19337b54aa15) + (tstamp ffdf88f5-c7b9-4761-8ea2-5264b261d179) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0837d8f0-3aba-4ac8-a065-d260a043b22f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 94423b74-aba0-43e7-b528-33b8d810725d)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6b8045ac-4984-4d15-bbae-f3df25d4658e)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8c1ab9fa-3afd-4d1b-9095-2d32fd2bf7f4)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ba03618a-6951-4d0e-87c1-9fcb6fa73938)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f3db58a-4fef-4bc8-b669-1367c9c7cf84)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6b33fa9-c951-4cdb-9dd0-49c3a090c6ae)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8f56f12-f691-437b-998d-db512c9a8596)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec3cdd91-bd99-4edd-97a6-95cc7e51f318)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2fc33a80-4ec8-4825-8f33-561dfe11e62e)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 759d4beb-8c18-4c6a-85c4-22c7e04ffa04)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2fbfe2d1-a4bf-40b6-a304-ee4cc3f7e993)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a77bcdf3-a4fd-4b0e-a760-5c20ddba2423)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2b9e6390-a8d1-40e1-928a-501f32a7cb7b)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 70c5abec-4d0a-42c4-b4fa-a8041b4ae11e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bd33a9ed-cbe5-4cfb-8d45-4b17a9201629)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5cbb2fc0-2d24-45bb-9530-3a38169febe9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d0d97089-c605-4c3c-aba3-6a799183224e)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a6cdd9d2-11e4-4755-844f-ecce35469498)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 85cc3659-7543-4715-af65-b0e92f6d4a3b)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fbbc3078-20c4-4d29-bbd3-a0352865010f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9b4b5344-bb31-4d7d-991c-e976dd9776bd)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp c96cbc20-221a-46f8-997e-93761bdd3cca)) + (net 92 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 0d5a2a6c-a80b-446a-8923-96ac5ef88404)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 208 "Net-(U19-D0)") (pinfunction "D0") (pintype "input") (tstamp 88f29f80-f2a7-4b0b-9106-508fe96e5e61)) + (net 208 "Net-(U19-D0)") (pinfunction "D0") (pintype "input") (tstamp c813d0df-c4d2-4fbd-8ef2-46d3918717f9)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 210 "Net-(U19-D1)") (pinfunction "D1") (pintype "input") (tstamp fea2d4cc-c679-4c3a-8ea4-959d7bcda7f4)) + (net 210 "Net-(U19-D1)") (pinfunction "D1") (pintype "input") (tstamp e3627b85-bd28-48f3-adbe-36bb70400e4a)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 212 "Net-(U19-D2)") (pinfunction "D2") (pintype "input") (tstamp a6c7cf40-3b4b-4090-8865-705a5d8a0950)) + (net 212 "Net-(U19-D2)") (pinfunction "D2") (pintype "input") (tstamp 7be1136d-fde3-4f84-8aed-11809d49302d)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 213 "Net-(U19-D3)") (pinfunction "D3") (pintype "input") (tstamp d1b9e6e2-8a99-40b0-b6f3-18470cf011db)) + (net 213 "Net-(U19-D3)") (pinfunction "D3") (pintype "input") (tstamp 9bad8eaf-053b-4f8a-b64b-89648a72f1e5)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 214 "Net-(U19-D4)") (pinfunction "D4") (pintype "input") (tstamp 7efd534a-c603-472f-ad91-05fb1f1366a8)) + (net 214 "Net-(U19-D4)") (pinfunction "D4") (pintype "input") (tstamp 7643de50-8368-49f2-ae07-b3d6187d0a4f)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 215 "Net-(U19-D5)") (pinfunction "D5") (pintype "input") (tstamp 582c366d-1ec3-4889-a30b-a0414e6fa926)) + (net 215 "Net-(U19-D5)") (pinfunction "D5") (pintype "input") (tstamp c68e14e4-8096-4a33-97ad-1669011f5ff7)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 216 "Net-(U19-D6)") (pinfunction "D6") (pintype "input") (tstamp 8b92ecf1-e99d-483f-8c11-356ba02ab088)) + (net 216 "Net-(U19-D6)") (pinfunction "D6") (pintype "input") (tstamp 79e213d1-06b7-4ab6-8115-d2d0ce5d56af)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 217 "Net-(U19-D7)") (pinfunction "D7") (pintype "input") (tstamp 72f1d89d-23aa-4275-84d0-df7ec8847b1d)) + (net 217 "Net-(U19-D7)") (pinfunction "D7") (pintype "input") (tstamp a130db7a-af8d-4717-bd0e-71d192f919fe)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 05ead6e7-6559-4ce2-9e89-2042e79d56dd)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4c7d45f9-e1a0-4613-aade-6ca7fd24b1ac)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 415c2ed8-aa7a-4655-96b6-3c3469a8bff5)) + (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 132cde55-0ce0-4833-8932-5c4152feaa54)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "/Buf/MacD0") (pinfunction "Q7") (pintype "tri_state") (tstamp 78ea72eb-940d-409b-a348-d013f327ace6)) + (net 57 "/Buf/MacD0") (pinfunction "Q7") (pintype "tri_state") (tstamp 3a6f785c-dac3-4041-a922-97fc938c386e)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "/Buf/MacD1") (pinfunction "Q6") (pintype "tri_state") (tstamp a4283f9f-0568-427b-9df0-9179f7b27991)) + (net 58 "/Buf/MacD1") (pinfunction "Q6") (pintype "tri_state") (tstamp 967452aa-ea0b-43e7-bca5-9e90ada72183)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "/Buf/MacD2") (pinfunction "Q5") (pintype "tri_state") (tstamp 9a0d4d84-7aea-4ac5-bd7a-fc65aace1ed1)) + (net 59 "/Buf/MacD2") (pinfunction "Q5") (pintype "tri_state") (tstamp b0cb6e24-d62e-4bdf-9390-fc13cb4accfc)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "/Buf/MacD3") (pinfunction "Q4") (pintype "tri_state") (tstamp e68159aa-810e-4be7-b531-823e57afc5a9)) + (net 60 "/Buf/MacD3") (pinfunction "Q4") (pintype "tri_state") (tstamp e74e07db-71bb-47bf-903e-4a850c37bf5d)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "/Buf/MacD4") (pinfunction "Q3") (pintype "tri_state") (tstamp 701b0465-4910-4148-a63f-48540dc4ed26)) + (net 61 "/Buf/MacD4") (pinfunction "Q3") (pintype "tri_state") (tstamp d5889de3-39da-4fa1-9a22-aaebff058cd3)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "/Buf/MacD5") (pinfunction "Q2") (pintype "tri_state") (tstamp 3d9bd044-b9a0-430f-a9e8-7a2bb284f843)) + (net 62 "/Buf/MacD5") (pinfunction "Q2") (pintype "tri_state") (tstamp 3d7f7b54-7ff5-47b0-baf5-450b1b537bc5)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/Buf/MacD6") (pinfunction "Q1") (pintype "tri_state") (tstamp 1aa9d707-1dc3-4651-a1c8-c9f882c7ba7d)) + (net 63 "/Buf/MacD6") (pinfunction "Q1") (pintype "tri_state") (tstamp 820b3a69-0735-4938-a464-70894bae52ab)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/Buf/MacD7") (pinfunction "Q0") (pintype "tri_state") (tstamp 9f3e7a10-4640-4819-95b4-0afa64e7f8f0)) + (net 64 "/Buf/MacD7") (pinfunction "Q0") (pintype "tri_state") (tstamp a27a3810-36a5-4625-9430-2860f081f6e7)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 3d14169c-697b-4227-a5c1-1bae496ac793)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 4df1a533-e35d-4bcf-9224-69b9cc34285c)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2950,78 +2947,78 @@ (attr smd) (fp_text reference "U18" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 50e1ba6c-57b0-47c9-a872-9b320b9ff0b8) + (tstamp ff0b8a48-420b-4b32-80fb-27e469f1c54e) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 4fabaa0c-30bb-4ee5-9b88-a9b3ad465901) + (tstamp de15b5a9-0bdb-4d44-9ef6-92118956be59) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 61b6204a-6350-401f-832d-62d28139dcbd) + (tstamp d9c1de4b-3697-4e63-b5cd-cf7c655f9324) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e99930b2-8e27-4eff-a208-a491ac290b24)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cd763477-e2ed-4adf-b8a7-15b8a388e1aa)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp edde9aaa-6b0a-4d8b-9317-101bed0ef0bf)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c20a9e72-5829-4810-8944-d5dfaa9a65b5)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 138086c6-bca7-4ee3-92fb-0e1c1c8fcbe4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e62497ed-f372-45c6-b329-3e2e6999b743)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ffced882-d884-4814-bc39-4c6b33b70e32)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f923d79-d740-472b-8d98-dea857275558)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c35a9d07-d71c-4939-b6b8-1ae027f1c240)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66d8577e-c05e-4230-8bf3-b77f70e61e5d)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd0d2dba-a8fa-4ebe-aa88-ac78949369d1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2d10c278-7257-4a4d-8026-266de5a564c6)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a8a0c3ec-25ef-4e28-804e-200cb505f2b0)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 74eb16e2-050a-4154-b552-2d9a2b51bee5)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8420f214-886d-49dd-aedb-360a0cf0d563)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5b595e12-c155-40d5-86b6-5db5da3749aa)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e4fcf9b6-d3ed-412f-bc8b-4453c1ab1849)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4e7fdea5-2bb4-44a7-9168-647121815e59)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 121c23ef-0e2d-4bbe-ab07-5a9106f12130)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 22824142-50ae-4659-ba20-ae76917add89)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c2c8bbe0-11d0-4153-9b2e-81e74cd37085)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9b30cce9-bbc5-484d-af0e-43739754545c)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 97772dea-6f5a-4f12-a3f8-7d551af96b4a)) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 1c907ad3-9290-4502-87a7-f382c19b56ef)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "/Buf/AccA16") (pinfunction "D0") (pintype "input") (tstamp 4129563a-79c1-408b-aa98-bc74efd8c6a2)) + (net 132 "/Buf/AccA16") (pinfunction "D0") (pintype "input") (tstamp 6470d0f5-c062-4e8f-8674-fbee18e5ab21)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "/Buf/AccA15") (pinfunction "D1") (pintype "input") (tstamp 74980a38-b386-4f36-a820-666dd5047a6e)) + (net 131 "/Buf/AccA15") (pinfunction "D1") (pintype "input") (tstamp 04f1f945-80b1-41cb-8a1b-9b6a70af491b)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "/Buf/AccA14") (pinfunction "D2") (pintype "input") (tstamp 26909829-60bc-4915-9960-3efdb8f573b5)) + (net 130 "/Buf/AccA14") (pinfunction "D2") (pintype "input") (tstamp f4d5c322-a8e1-41b5-bc9e-5b5f7c7e64b5)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "/Buf/AccA13") (pinfunction "D3") (pintype "input") (tstamp 8e0e9f45-8e1a-4e7c-950c-0722f1011bcb)) + (net 128 "/Buf/AccA13") (pinfunction "D3") (pintype "input") (tstamp c30cf8b9-7a62-456d-8763-fa2c7033d856)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/Buf/AccA12") (pinfunction "D4") (pintype "input") (tstamp 7401731c-700c-47aa-ad65-bd4e0915eb20)) + (net 120 "/Buf/AccA12") (pinfunction "D4") (pintype "input") (tstamp 0833b437-25c5-44b0-a60f-62138368513c)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/Buf/AccA11") (pinfunction "D5") (pintype "input") (tstamp 985acec6-7fb3-4c28-98e4-799ff272a691)) + (net 114 "/Buf/AccA11") (pinfunction "D5") (pintype "input") (tstamp 1955004a-4155-40be-9438-3597975f41d0)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/Buf/AccA10") (pinfunction "D6") (pintype "input") (tstamp 65ecb37d-e321-4acf-90fd-81df9ecdf61e)) + (net 107 "/Buf/AccA10") (pinfunction "D6") (pintype "input") (tstamp 246ed3c2-6871-40bc-ad41-dc04dd487d57)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/Buf/AccA9") (pinfunction "D7") (pintype "input") (tstamp 62256b33-d4ef-4288-97d8-ab140f9eb583)) + (net 101 "/Buf/AccA9") (pinfunction "D7") (pintype "input") (tstamp 2451fadc-565c-4132-a707-81c99f1d6b9b)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 75019586-a064-45b4-9e87-adf001746bff)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a1d05930-845a-40bf-a5ae-80abfb273da4)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp 2adca365-839e-401c-8849-078559fe5fc6)) + (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp 4a0d92c1-da41-4b3b-80f5-ef43621be6a9)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 207 "Net-(U15-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp e4a454bb-793c-457d-ba40-c8f14a41ff7b)) + (net 207 "Net-(U15-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp 261599a1-0c6a-4382-b6db-49ee5d39310a)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 206 "Net-(U15-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 1fe07193-7b6d-441e-88cf-cf43b9eb0288)) + (net 206 "Net-(U15-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 7150897e-6c8e-4d10-8090-e86f4f9ad2bd)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 205 "Net-(U15-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp 32d29192-2132-4fff-8d4a-97a251f6a36e)) + (net 205 "Net-(U15-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp b065bd00-c34d-407d-80f3-bc6362253726)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 204 "Net-(U15-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp d852fb6d-4a21-42e4-8179-166ee7cf451f)) + (net 204 "Net-(U15-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp 74277e89-bbe3-43b9-b295-b80aa54d6fd8)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 203 "Net-(U15-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp 529520c8-d42b-43d7-8f7b-4bcd3a87eaaf)) + (net 203 "Net-(U15-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp 0258864c-35a3-4e22-aac1-1b05fe07dce0)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 202 "Net-(U15-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp c77c4ad9-2aae-4cfd-a61f-fef09cdb7540)) + (net 202 "Net-(U15-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp 29cbabbc-3fa8-44b0-9bee-9789b45474cb)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 201 "Net-(U15-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp af23e238-8a2e-4928-b747-3b1ebd0fc910)) + (net 201 "Net-(U15-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp 2341031e-f6e9-4ae8-a0f4-18b123c95bfd)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 200 "Net-(U15-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp c7d74283-bff6-4ab2-8c24-dc3466d611a7)) + (net 200 "Net-(U15-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp 8103c9ef-c47b-42e0-b164-66cc8049a043)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 7da171bd-285b-477f-8fee-1a6cb5e4bac0)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp c81ec847-d166-489f-a624-81cb9b8e73d9)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3043,78 +3040,78 @@ (attr smd) (fp_text reference "U17" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp d319c088-392b-4800-bf23-c0c76e7a64f6) + (tstamp 79a8d134-86c6-42bb-b8b3-80a58a773f4f) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp a96a43a0-94cb-4438-a000-46344417e089) + (tstamp ac2a4803-f407-432f-933f-9a0277232f1d) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 4125ef4c-aae7-4bd4-93b9-249e4a9da33b) + (tstamp 195617bb-7d16-4be8-84f4-ddff0a8efedb) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b3485a27-b34e-4931-a442-ad585556f5d8)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 210fd23e-3746-43d4-8ec4-5d53dbe5c0a9)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e4616e08-8b8b-46ff-ad8e-7dcec39bdd9d)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4db8d0ea-c4f9-4425-a43d-7e7f32905a43)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5089a924-22fc-452f-a883-86b40af6cd7a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f778314-3f16-4a75-98e9-51696ae1b7fb)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32d49f23-4cd9-4699-9c75-ac5c9ba8d596)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f67ac1b-eb51-4858-a42e-736ced00b7e6)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7edd3ddd-f611-4148-a03f-fef0103166d1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 041c309d-ce73-429e-9dde-0f80c7415bd9)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2953359-b179-42bf-b9c3-3876fe4d40d9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 603e2ace-4566-4fc1-af86-9aff5ac517dd)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp efdb04d5-fdcd-496e-9a4c-0b2aa9302040)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0295e27b-4f41-4494-947c-6882b71773f3)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fcefdef1-c540-480d-acf2-6711c5304d9c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 18798004-f16a-475c-bbf8-398522f36353)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2bfb30ff-86a1-44ee-9089-9354508ff832)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a6bbfc6-9661-4b5b-ace8-85076f522ad3)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 557d21f7-b63d-4d90-bd2d-4ef8d1697cb7)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 39fff698-00a2-401e-a10e-8bb7f8f24572)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp de8ca13c-6c0e-4359-b80c-76bf60b33574)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp acd303f6-187b-47b6-b406-4998a1751f38)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 77fc0381-99f2-4c20-a395-55c9d28b2abd)) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp c607f047-dc69-4422-8fe8-2d46b28a1055)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/Buf/AccA8") (pinfunction "D0") (pintype "input") (tstamp 01cb933c-cb70-445d-b31f-e737e21dadb0)) + (net 100 "/Buf/AccA8") (pinfunction "D0") (pintype "input") (tstamp 4bfab1d0-64ed-4971-910a-4902a1b2e10a)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/Buf/AccA7") (pinfunction "D1") (pintype "input") (tstamp 5d7c51a6-03d9-4d76-9765-8232eccc0b75)) + (net 99 "/Buf/AccA7") (pinfunction "D1") (pintype "input") (tstamp 59a5bfb9-6cfd-4a7b-b401-e0d7059ada7e)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/Buf/AccA6") (pinfunction "D2") (pintype "input") (tstamp 8de951eb-935c-4838-8307-f5359c98e377)) + (net 98 "/Buf/AccA6") (pinfunction "D2") (pintype "input") (tstamp 79a4c9f7-e17a-476d-8279-58a3e3026c5a)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/Buf/AccA5") (pinfunction "D3") (pintype "input") (tstamp 3b4b21b1-94be-488d-974a-30b5a83ec094)) + (net 97 "/Buf/AccA5") (pinfunction "D3") (pintype "input") (tstamp 17936244-149f-4f6d-8492-04d391a17dbd)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 176 "/Buf/AccA4") (pinfunction "D4") (pintype "input") (tstamp d2c7aa65-a288-4666-8e86-1cc3a4db1a1b)) + (net 176 "/Buf/AccA4") (pinfunction "D4") (pintype "input") (tstamp 3bf13856-80a2-4851-9ad8-29e37779796a)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 168 "/Buf/AccA3") (pinfunction "D5") (pintype "input") (tstamp c42ab771-5a00-45fc-aafd-176e4302965a)) + (net 168 "/Buf/AccA3") (pinfunction "D5") (pintype "input") (tstamp 15fe4cf1-014b-46ec-9241-066e4d2a1ebc)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 167 "/Buf/AccA2") (pinfunction "D6") (pintype "input") (tstamp 6527bfa5-063b-44ad-8e81-077c2d7c773c)) + (net 167 "/Buf/AccA2") (pinfunction "D6") (pintype "input") (tstamp ca994342-d1a7-4228-a214-69b5540f21bf)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 166 "/Buf/AccA1") (pinfunction "D7") (pintype "input") (tstamp 930a446f-a979-4f26-ab4a-b5ebae4f4bcc)) + (net 166 "/Buf/AccA1") (pinfunction "D7") (pintype "input") (tstamp f49080aa-33d7-4150-a02f-34d45417d9e6)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b65bc565-27eb-4289-9cd1-23269d590010)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 343f1a3e-9754-4e8e-9deb-bbc6d0a07bd7)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp a08dfc13-c0d1-4949-ab0d-303f80d23f16)) + (net 96 "/Buf/ADoutLE1") (pinfunction "~{LE}") (pintype "input") (tstamp cdd53123-8631-47cc-aa45-57f8131a547e)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 191 "Net-(U13-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp ae86dece-4abc-4dad-a988-ce79f14a456e)) + (net 191 "Net-(U13-D7)") (pinfunction "Q7") (pintype "tri_state") (tstamp 05c9ec24-7f68-4f47-9880-d112c8e838a5)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 190 "Net-(U13-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp af200727-a439-4eae-b226-09cf4c9aa579)) + (net 190 "Net-(U13-D6)") (pinfunction "Q6") (pintype "tri_state") (tstamp 9382b4a8-2e2c-4796-98db-b79c4044a1db)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 189 "Net-(U13-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp 984c5704-3b1e-433c-bf33-42fc58fd3637)) + (net 189 "Net-(U13-D5)") (pinfunction "Q5") (pintype "tri_state") (tstamp becadf48-8239-4953-bb91-289e9658f7d3)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 188 "Net-(U13-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp ad324c0f-8b35-44c5-8e13-4bfb1b84bc38)) + (net 188 "Net-(U13-D4)") (pinfunction "Q4") (pintype "tri_state") (tstamp 93c44352-f1e4-4f2a-b42b-5dcb1f5eb82c)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 187 "Net-(U13-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp 3a78de88-ca0e-4a26-bb09-ce1a472784e7)) + (net 187 "Net-(U13-D3)") (pinfunction "Q3") (pintype "tri_state") (tstamp d3ef8f6d-3c36-4cde-803f-068862cef9df)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 186 "Net-(U13-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp d1c46d58-fe19-46af-8fa2-6e715761a86c)) + (net 186 "Net-(U13-D2)") (pinfunction "Q2") (pintype "tri_state") (tstamp 8a8a5eb2-56e4-4d38-aff0-3d0114811498)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 185 "Net-(U13-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp 1f91fd69-d049-4f07-91f9-5b5cbaab6797)) + (net 185 "Net-(U13-D1)") (pinfunction "Q1") (pintype "tri_state") (tstamp 5373c36f-55ed-4ed5-b41a-5683ca14fc0c)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 184 "Net-(U13-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp 1f3b3ff7-f60a-4807-b725-1476b0445934)) + (net 184 "Net-(U13-D0)") (pinfunction "Q0") (pintype "tri_state") (tstamp 2d119896-c1a2-4743-be0c-0b41dfc2221c)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 17ac59f0-1b3e-4213-8668-d7caed866fee)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 850509e4-8887-4ab5-8167-30317acad2c6)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3136,78 +3133,78 @@ (attr smd) (fp_text reference "U16" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 1bc4e940-228a-4464-9d33-ffcdcacc89ba) + (tstamp 64ec86b7-7e43-451e-b14d-42e7d0a7981c) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp ce858ca0-4d65-4d5d-ad09-24f2ac599eb8) + (tstamp dcdaa095-a69a-49c7-8946-febc431b1253) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp a8768e1a-c35d-4089-acce-64717336c2d1) + (tstamp a69a033d-eafc-4271-be7a-1f7d3116b2ff) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0098d009-dae3-446c-8b3e-5b54d82e4d61)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f563dead-ba4a-4951-ae6e-e9220333c5dc)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp f8c92b73-719e-4bcf-b44f-e50a3ebbe4ef)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 30201223-e37f-4cab-a644-4753689dee74)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 495173fa-cf2a-4ea5-bc07-12a73d178f5e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72e77323-5986-4fee-8533-45ee6e4405cd)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 31a94a2b-cf8d-48a1-bddd-a6007423e585)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 33b5811d-aef4-4c49-83e6-e3cebd853dbb)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e614f76-e28d-43f4-b6ec-81899a7efa0f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b62df69-9c7c-4847-9781-7df9dbe4e32f)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e10ec61-2446-414e-a4ec-f0ff03745cfd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9b4aed1d-182d-4734-9c20-d1e224b98d23)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1a9408bb-68e3-4703-b8b2-b645c7426e1b)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0edc7d11-6b0d-4750-9f29-67c8d8b8edbd)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2b2f6fe6-8499-4916-a41d-d542c365fefc)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0cbee6db-3125-4cd9-8ec6-e85dfce6e524)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 14ba1cfe-be22-41e7-b8b9-5cace169999f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 43dce5f3-ae6c-457b-bd54-daf581ab86e2)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 36404c1d-1bf5-46a2-9970-7cb1ad380550)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 02018598-0465-42d7-a454-c0281818cbb2)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 73de591f-97e6-4b17-9daa-5912c06fa356)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 43566e32-f247-47a1-92ac-7605d05f2d6f)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp c3ccd756-ba92-4123-a27e-b82233634dbe)) + (net 93 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp f0d7b232-6898-4271-b94c-68ec170d614a)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 181 "Net-(U16-D0)") (pinfunction "D0") (pintype "input") (tstamp 4d20c5f5-680a-4886-9a55-876957c79b6c)) + (net 181 "Net-(U16-D0)") (pinfunction "D0") (pintype "input") (tstamp aeb0890b-c904-4be1-85d1-350fed51e76e)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 180 "Net-(U16-D1)") (pinfunction "D1") (pintype "input") (tstamp 0714eafe-06dc-4bd9-82f0-cd7c15463ddd)) + (net 180 "Net-(U16-D1)") (pinfunction "D1") (pintype "input") (tstamp c9f0f0a5-48f7-4ffe-891f-300bea331612)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 179 "Net-(U16-D2)") (pinfunction "D2") (pintype "input") (tstamp 4b9f1fbd-6dda-4960-80b7-1f566aeebf87)) + (net 179 "Net-(U16-D2)") (pinfunction "D2") (pintype "input") (tstamp 84e22dc2-de83-4958-b291-3c91c7222f48)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 178 "Net-(U16-D3)") (pinfunction "D3") (pintype "input") (tstamp ea4e8e67-b15b-4940-8d38-257b2977a833)) + (net 178 "Net-(U16-D3)") (pinfunction "D3") (pintype "input") (tstamp 026cad8b-881a-4c29-b0e7-ce2b7e628473)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 177 "Net-(U16-D4)") (pinfunction "D4") (pintype "input") (tstamp 1d00f568-75ae-4e1a-96ee-5f99fe0ecf5c)) + (net 177 "Net-(U16-D4)") (pinfunction "D4") (pintype "input") (tstamp 28125c3b-8b59-4390-9510-3bd856665b20)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 175 "Net-(U16-D5)") (pinfunction "D5") (pintype "input") (tstamp 5c671c9f-f9c6-4427-9cdd-53aa08ac88b6)) + (net 175 "Net-(U16-D5)") (pinfunction "D5") (pintype "input") (tstamp d33dc02c-d671-4c16-a952-0dc508470bc3)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 174 "Net-(U16-D6)") (pinfunction "D6") (pintype "input") (tstamp 1e141d08-e074-4644-97b5-15de27fe4454)) + (net 174 "Net-(U16-D6)") (pinfunction "D6") (pintype "input") (tstamp 78052fd5-2d51-40da-9f31-98e80c4b35bb)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 173 "Net-(U16-D7)") (pinfunction "D7") (pintype "input") (tstamp 4738613f-f49f-40fa-8d85-9d0121b8f702)) + (net 173 "Net-(U16-D7)") (pinfunction "D7") (pintype "input") (tstamp 7f3c3400-c59b-4762-9de7-8a969fcfa1a6)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9d9db4f7-dfe2-4893-a333-268d6aaacc36)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3d3c4dc5-568f-4007-84fb-2d1a5158183d)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 15e1af60-8407-4762-a6ca-548a259fbc14)) + (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp daaf892b-2d37-44ab-b15e-d3dd2abe5569)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 29 "/Buf/Mac~{R}W") (pinfunction "Q7") (pintype "tri_state") (tstamp 6401935d-65c7-488b-a49c-ec5cedef5dc9)) + (net 29 "/Buf/Mac~{R}W") (pinfunction "Q7") (pintype "tri_state") (tstamp e6d7b1a1-e3c5-42f4-b96f-721864db46d1)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 34 "/Buf/MacA17") (pinfunction "Q6") (pintype "tri_state") (tstamp 8af638e1-361d-4816-a976-57949a04ad28)) + (net 34 "/Buf/MacA17") (pinfunction "Q6") (pintype "tri_state") (tstamp 41844a17-b044-4561-bdcb-237a5d684266)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "/Buf/MacA18") (pinfunction "Q5") (pintype "tri_state") (tstamp d6ff18df-0456-45d3-b113-f356831a6bef)) + (net 35 "/Buf/MacA18") (pinfunction "Q5") (pintype "tri_state") (tstamp 0554e4c7-ce55-43c4-b11f-440cb1302c6a)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 36 "/Buf/MacA19") (pinfunction "Q4") (pintype "tri_state") (tstamp f4eb0b03-3996-49dd-b2d0-f911c0faadca)) + (net 36 "/Buf/MacA19") (pinfunction "Q4") (pintype "tri_state") (tstamp 47e7e0eb-89df-4e89-ac2c-79b352862368)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 37 "/Buf/MacA20") (pinfunction "Q3") (pintype "tri_state") (tstamp 4e1e6187-67df-4bb5-bc3d-0d72e10f6bc7)) + (net 37 "/Buf/MacA20") (pinfunction "Q3") (pintype "tri_state") (tstamp 8904ced0-a844-4d2d-bbf1-563d8e8ed7cb)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 38 "/Buf/MacA21") (pinfunction "Q2") (pintype "tri_state") (tstamp 9ad86071-c0f3-401b-bcaf-70df4c0cc35c)) + (net 38 "/Buf/MacA21") (pinfunction "Q2") (pintype "tri_state") (tstamp 0bb89793-593a-47e0-8de8-854b2004bab9)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 39 "/Buf/MacA22") (pinfunction "Q1") (pintype "tri_state") (tstamp 618b7cb2-b52a-487a-83ef-a6e7cc4cd313)) + (net 39 "/Buf/MacA22") (pinfunction "Q1") (pintype "tri_state") (tstamp f7f6f95c-0ed2-4cca-b061-8b65b7ddb471)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 40 "/Buf/MacA23") (pinfunction "Q0") (pintype "tri_state") (tstamp a74dead7-2e9b-4c75-8a9a-9f3da95a9426)) + (net 40 "/Buf/MacA23") (pinfunction "Q0") (pintype "tri_state") (tstamp f580aaae-1baf-4d1b-bb48-df45a156a74e)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp f7c63a21-f5d3-40f7-9abc-6f2907e78172)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 7fa50ca2-892e-41f0-9980-17575460ef56)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3229,78 +3226,78 @@ (attr smd) (fp_text reference "U15" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp e7fb76fe-b7fb-42b0-8760-eb00a0eb633e) + (tstamp c748ef59-5881-4891-9c2e-2f191041f567) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 32924075-7315-47ad-8ed9-b525dcd2c5cd) + (tstamp 55bf4beb-1e86-46f4-98a0-4b3961c77f46) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 0f9f1faf-4e56-473b-a193-6c101c77d110) + (tstamp 3d35c196-5a74-4b46-8ea8-9c61f1d7ce8f) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 510b8994-d8b0-4795-ba71-e4982472b4c7)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp b9e19909-f564-4ad3-849d-0284e6c31cbc)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 51ded101-f909-4129-b52a-7656714b100f)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0d2d3cb9-48a5-452b-abfe-519460c14762)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09640805-5c00-43a4-9d26-4485395c24e3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 293afab0-58bc-4374-ba28-c8108a020cea)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef2a0ec8-e706-47eb-b198-447384450654)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 176d7bf6-fd58-40e5-aa9d-b43beca91210)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 862c6c7e-37cc-4475-a68b-553c497a2f83)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 855d8d6f-1855-475c-98db-4148d6ec3bfb)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dc17399-0ebe-48c8-923e-d9f14fea86bc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ad01213-3d97-45b0-8b95-d3d5509f01d6)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 53dca202-8efc-41fd-85e9-7a2f382a2f4d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3e07fbe6-eb43-4803-a8e2-3a1c084fe53c)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 98105c5d-ce1e-4fcd-b5a6-f3f2192f45f7)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d51225ad-39c3-49fd-bc2b-e30ba6e4adf3)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b8b9562f-e037-452f-8e25-eac726557d9c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d5133c61-08a3-4b6a-b9ff-fabc2710f6b1)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 708cfe44-21e0-47a4-81e7-18a7d6bed2db)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f72d0b9a-05e1-4d17-ad12-fe4bd4693484)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7748bec6-0b6c-4849-8db3-8d35da2daad1)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2c8f38d1-623d-454a-981e-a004c7ef88d5)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 9133e0fb-9277-4dbc-bdf5-796ff3316154)) + (net 93 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 83d5d1fc-8340-4ba6-ba86-36f25ea2c36a)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 200 "Net-(U15-D0)") (pinfunction "D0") (pintype "input") (tstamp 6a578965-764f-4145-ab72-65d331c3150f)) + (net 200 "Net-(U15-D0)") (pinfunction "D0") (pintype "input") (tstamp a0a082bd-23bf-4ccf-bddd-6f1bccfd4e20)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 201 "Net-(U15-D1)") (pinfunction "D1") (pintype "input") (tstamp bda23695-7dd8-4326-a0f7-3ca6bc6bda92)) + (net 201 "Net-(U15-D1)") (pinfunction "D1") (pintype "input") (tstamp 816efaa0-5b64-49e7-acd0-64e3fdc8ea8e)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 202 "Net-(U15-D2)") (pinfunction "D2") (pintype "input") (tstamp 6b364daa-6a8f-48a6-b305-0da68f985199)) + (net 202 "Net-(U15-D2)") (pinfunction "D2") (pintype "input") (tstamp 92489450-8320-48ad-bd4e-a4d9c948f51c)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 203 "Net-(U15-D3)") (pinfunction "D3") (pintype "input") (tstamp b1827be8-d022-473b-bee8-0a86e9287d20)) + (net 203 "Net-(U15-D3)") (pinfunction "D3") (pintype "input") (tstamp 77fc90a7-b62f-4563-9d9e-19b70aeac03c)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 204 "Net-(U15-D4)") (pinfunction "D4") (pintype "input") (tstamp d548e07b-7959-4a79-86db-6828be986589)) + (net 204 "Net-(U15-D4)") (pinfunction "D4") (pintype "input") (tstamp f13a3b68-1f54-442e-90cf-0cfc0ffbd1bd)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 205 "Net-(U15-D5)") (pinfunction "D5") (pintype "input") (tstamp bc6ab345-d8a6-4961-bc43-a614e8bc3bb1)) + (net 205 "Net-(U15-D5)") (pinfunction "D5") (pintype "input") (tstamp 1f8115e6-257a-415b-9662-3ac3c8461ed7)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 206 "Net-(U15-D6)") (pinfunction "D6") (pintype "input") (tstamp 751fe696-a485-489e-ba73-cc939bb7f320)) + (net 206 "Net-(U15-D6)") (pinfunction "D6") (pintype "input") (tstamp 2cc47102-d6fd-4285-a4dc-8e8e37680f2a)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 207 "Net-(U15-D7)") (pinfunction "D7") (pintype "input") (tstamp 55dd9442-fa9d-4bfa-b71e-7f420c9b27bc)) + (net 207 "Net-(U15-D7)") (pinfunction "D7") (pintype "input") (tstamp 0fd7516b-d822-446d-887c-33305438fcdf)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8bc03153-a1dd-42a6-9aab-d187df6eed16)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 59acd9af-1065-4f8e-80ee-529f64af2b74)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 60e78db1-caf0-4c78-801b-6edcfa71291b)) + (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 13d819e0-9a68-4ca9-b357-dc3b9a2e3fd8)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 18 "/Buf/MacA9") (pinfunction "Q7") (pintype "tri_state") (tstamp 60c789fd-6d2e-4506-87a7-e4d0bfb8d8bb)) + (net 18 "/Buf/MacA9") (pinfunction "Q7") (pintype "tri_state") (tstamp fa060b13-9ab4-4028-bd97-8ff828411707)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 19 "/Buf/MacA10") (pinfunction "Q6") (pintype "tri_state") (tstamp d0323927-28ea-47c0-9caa-8d6595678042)) + (net 19 "/Buf/MacA10") (pinfunction "Q6") (pintype "tri_state") (tstamp 8fb2fb96-d470-453b-89bb-5223378fa074)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 20 "/Buf/MacA11") (pinfunction "Q5") (pintype "tri_state") (tstamp db629461-7f79-4c15-b6e6-3d74c73a833d)) + (net 20 "/Buf/MacA11") (pinfunction "Q5") (pintype "tri_state") (tstamp b9043016-ad6b-42b0-8f82-36ec09ec7623)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 21 "/Buf/MacA12") (pinfunction "Q4") (pintype "tri_state") (tstamp 930ce033-bd79-435f-af80-8af53e64897e)) + (net 21 "/Buf/MacA12") (pinfunction "Q4") (pintype "tri_state") (tstamp 5e000450-d721-4a66-9cd4-be526d71793c)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 22 "/Buf/MacA13") (pinfunction "Q3") (pintype "tri_state") (tstamp ce1ab2ab-203c-443e-b20c-6d5a7a81c283)) + (net 22 "/Buf/MacA13") (pinfunction "Q3") (pintype "tri_state") (tstamp ef2330e8-3853-4dd7-8c69-ad3ecb1ba927)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 23 "/Buf/MacA14") (pinfunction "Q2") (pintype "tri_state") (tstamp 2173c583-d8ff-4008-b20c-808ad49167f6)) + (net 23 "/Buf/MacA14") (pinfunction "Q2") (pintype "tri_state") (tstamp bcbdd50f-eb3c-40ba-ad6e-6c18965d9d89)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 25 "/Buf/MacA15") (pinfunction "Q1") (pintype "tri_state") (tstamp 26a366dc-70bc-4e90-970c-86b0d0abef58)) + (net 25 "/Buf/MacA15") (pinfunction "Q1") (pintype "tri_state") (tstamp d6673ee2-4adc-45e1-97cb-58eca3664722)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 31 "/Buf/MacA16") (pinfunction "Q0") (pintype "tri_state") (tstamp 73e1fed3-c26c-4b62-9df6-4f846430be28)) + (net 31 "/Buf/MacA16") (pinfunction "Q0") (pintype "tri_state") (tstamp 023287d2-a91b-4dfc-88ff-e3562c9e4c47)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp e07ed379-a077-4407-bbcb-8ef2d1e03c82)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 82d58652-e3be-4900-a70c-22f8b1ac8acd)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3322,78 +3319,78 @@ (attr smd) (fp_text reference "U13" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 0435a84e-8971-4fb8-ba4a-fa96d060e9f2) + (tstamp 3f8894f7-37a6-4300-b993-1e251dcce74b) ) (fp_text value "74AHCT573PW" (at 0 1.016 90) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 07054006-f76f-4ba7-81ae-503a4671f073) + (tstamp e1cbd7bd-be7c-4934-8830-b718ba771720) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 04401638-c0bd-40f7-ae10-52548ec5d7b8) + (tstamp f5268bb8-86fd-4863-a9c4-1e385263b4ed) ) (fp_line (start -3.45 3.75) (end -3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 42fc39a3-0a30-4a3a-9228-873d62c7646c)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 37b189d5-1a63-41e3-b811-990b6b077eb0)) (fp_line (start 3.45 2.225) (end 3.45 -2.225) - (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 38ec880c-1ad4-4154-a168-743a8eb49a4b)) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 0f84021b-c6c7-4663-aa70-c309fdc85757)) (fp_line (start -3.55 -3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29e37488-8ae0-44e0-9cc8-8e84549101c8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ed76e76f-a634-4027-9580-85da8633a72b)) (fp_line (start -3.55 3.95) (end -3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9684bc2e-66f0-4ad8-bcab-9e961b8480fc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d05c0a5f-3c3d-4f99-8edc-94b29362198b)) (fp_line (start -3.55 3.95) (end 3.55 3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eddeb003-d186-4a2d-9e44-9b0c89e48f62)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de463bd9-c025-4446-a018-fd720b28155a)) (fp_line (start 3.55 3.95) (end 3.55 -3.95) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 26aa8e44-38b8-405b-bb4f-29dc83df9be0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bac75283-b3a8-4203-a207-cbc88b8c0b64)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3600eecb-6c67-4a45-96e5-f720d7de9255)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 92b5394e-e505-4bcb-a655-34f61385b79c)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b70cb00f-97c2-48b5-84d6-e612177b04e4)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 374af659-70a4-4ac1-bbf4-73242e340cc7)) (fp_line (start -2.25 2.2) (end -3.25 1.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 27557473-a9ca-4f97-be90-d078deab97e5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1c61284c-af5d-4095-a5a3-8d8472f26ba7)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1e5d935c-491c-4205-9fbc-6ca98b90f7ad)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp db10f3f4-2902-44d9-a8cc-2247c9a3803c)) (fp_line (start 3.25 2.2) (end -2.25 2.2) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2af241bd-c476-448a-b47d-ba99ad789ac3)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6a354a4c-9f21-4879-bd61-bd522ca9739b)) (pad "1" smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp fe9fa069-744d-4364-8724-e592a91d2c79)) + (net 93 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 424b534d-2e8b-4b08-a392-f043f8b58e52)) (pad "2" smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 184 "Net-(U13-D0)") (pinfunction "D0") (pintype "input") (tstamp 685814e6-1918-4708-8975-1c69047a0174)) + (net 184 "Net-(U13-D0)") (pinfunction "D0") (pintype "input") (tstamp 6726ac2e-69f9-4590-b0be-57291ddddcf2)) (pad "3" smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 185 "Net-(U13-D1)") (pinfunction "D1") (pintype "input") (tstamp e3efb64f-8428-4ed7-95a1-c780b9d842b3)) + (net 185 "Net-(U13-D1)") (pinfunction "D1") (pintype "input") (tstamp 5c6ae77f-9ddb-4954-9f4a-02610ccd283a)) (pad "4" smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 186 "Net-(U13-D2)") (pinfunction "D2") (pintype "input") (tstamp 1c578670-edb0-4a3d-a233-29c2f0dd9467)) + (net 186 "Net-(U13-D2)") (pinfunction "D2") (pintype "input") (tstamp a6621927-5800-4b90-9af1-73ead5f600fd)) (pad "5" smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 187 "Net-(U13-D3)") (pinfunction "D3") (pintype "input") (tstamp f9710151-55ab-4f85-973a-dfc491b83f71)) + (net 187 "Net-(U13-D3)") (pinfunction "D3") (pintype "input") (tstamp 27f3ed30-ee74-4110-86ff-a2b88b82b025)) (pad "6" smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 188 "Net-(U13-D4)") (pinfunction "D4") (pintype "input") (tstamp 81cb2d99-ace0-445c-b24a-6f1675f048a1)) + (net 188 "Net-(U13-D4)") (pinfunction "D4") (pintype "input") (tstamp d5b2ad7f-bc9f-4ed0-b8a6-25a6336d6be9)) (pad "7" smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 189 "Net-(U13-D5)") (pinfunction "D5") (pintype "input") (tstamp fc63d39b-1665-4ede-af9f-0d01fbf16acd)) + (net 189 "Net-(U13-D5)") (pinfunction "D5") (pintype "input") (tstamp 6b26ebcb-c72a-42fe-8190-505913e87612)) (pad "8" smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 190 "Net-(U13-D6)") (pinfunction "D6") (pintype "input") (tstamp 6910655c-37bb-4c65-ae12-9ef3276704c0)) + (net 190 "Net-(U13-D6)") (pinfunction "D6") (pintype "input") (tstamp fff39304-66b3-49aa-96bd-fb680ca6d05c)) (pad "9" smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 191 "Net-(U13-D7)") (pinfunction "D7") (pintype "input") (tstamp d780a113-a35c-4e9a-a484-ea1cccf9bca5)) + (net 191 "Net-(U13-D7)") (pinfunction "D7") (pintype "input") (tstamp cccc2058-451f-4db5-90b4-52b605cef256)) (pad "10" smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c34fd0e5-8b71-4d6e-9b57-2d8f34f7e46d)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp fa8d02ab-3b49-4503-8a2d-1301742ed521)) (pad "11" smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 6bb6e19b-9be6-465c-99a6-aa3aa4a4faa0)) + (net 95 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 2d48ff88-9e7b-408e-8739-ee28590ea531)) (pad "12" smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 10 "/Buf/MacA1") (pinfunction "Q7") (pintype "tri_state") (tstamp f17d59b7-52e0-49f8-b43a-e85c478219b0)) + (net 10 "/Buf/MacA1") (pinfunction "Q7") (pintype "tri_state") (tstamp 31e9dea0-c0c8-4f7a-80aa-0a54a3a87998)) (pad "13" smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 11 "/Buf/MacA2") (pinfunction "Q6") (pintype "tri_state") (tstamp 91a3e91b-6edd-41d2-9f47-760f82cb1086)) + (net 11 "/Buf/MacA2") (pinfunction "Q6") (pintype "tri_state") (tstamp 715f2ea9-a4e3-482b-acd4-e54d057d77ef)) (pad "14" smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 12 "/Buf/MacA3") (pinfunction "Q5") (pintype "tri_state") (tstamp 3d37e7fa-a0ef-48e8-b3e3-ff3e4d160302)) + (net 12 "/Buf/MacA3") (pinfunction "Q5") (pintype "tri_state") (tstamp 03714dc9-7dfb-4ef6-a0c8-4dc0c6e8eeac)) (pad "15" smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 13 "/Buf/MacA4") (pinfunction "Q4") (pintype "tri_state") (tstamp a8a3940e-e9bf-4186-867d-72cf6f93cd89)) + (net 13 "/Buf/MacA4") (pinfunction "Q4") (pintype "tri_state") (tstamp 8dbedc74-5d33-4442-9494-7d35a0547822)) (pad "16" smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 14 "/Buf/MacA5") (pinfunction "Q3") (pintype "tri_state") (tstamp 2f7e332c-73ec-4a4a-9c7c-acb7df2c49de)) + (net 14 "/Buf/MacA5") (pinfunction "Q3") (pintype "tri_state") (tstamp 0a5fd188-b603-42f7-91d3-fa599dafb949)) (pad "17" smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 15 "/Buf/MacA6") (pinfunction "Q2") (pintype "tri_state") (tstamp e12be769-4524-465c-bde9-9bd79e3141b8)) + (net 15 "/Buf/MacA6") (pinfunction "Q2") (pintype "tri_state") (tstamp acea431d-b48c-4b8e-af31-32533dbb7987)) (pad "18" smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 16 "/Buf/MacA7") (pinfunction "Q1") (pintype "tri_state") (tstamp 2c5eb142-ef5c-4492-8496-231c2b452fa3)) + (net 16 "/Buf/MacA7") (pinfunction "Q1") (pintype "tri_state") (tstamp fd6a8118-9bfa-4e74-85ea-58230d7370a2)) (pad "19" smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 17 "/Buf/MacA8") (pinfunction "Q0") (pintype "tri_state") (tstamp 7c4f28db-97a2-4342-bed4-c310d9afd421)) + (net 17 "/Buf/MacA8") (pinfunction "Q0") (pintype "tri_state") (tstamp e900a869-b734-4ae4-93eb-130daaa4c559)) (pad "20" smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 58aa492f-dd8f-4041-b5bc-578afbe027fa)) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 2192e7d6-3f33-471b-83b0-ac91206dadd4)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3412,104 +3409,104 @@ (attr smd) (fp_text reference "U8" (at 0 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp bcb55171-d9a5-4c9a-8ccf-1344aa1aba90) + (tstamp 9825e044-9664-40a9-8412-94effabd2a69) ) (fp_text value "KM48C2100" (at 1.27 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp a607fb20-9fe7-44d3-9815-531016ac4440) + (tstamp 6d3607d4-0d05-492f-bd3e-106f616025ea) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp af8b507f-39c8-4211-b70a-afd63c3bd0bb) + (tstamp 0e52db32-6de3-46d2-8593-0157f04253eb) ) (fp_line (start -3.875 -9.525) (end -3.875 -8.875) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 9d4aa12e-1e75-4581-8106-876db5d09bf3)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 08be9673-c660-40a9-9e96-506ce033f316)) (fp_line (start -3.875 -9.525) (end 3.875 -9.525) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp ff26afe1-6598-4d8e-8312-33c971c36d16)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 8f305293-a43a-4ee3-9fc9-7bfd56c46502)) (fp_line (start -3.875 -8.875) (end -4.699 -8.875) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 56ebea20-efc1-4078-ba87-7da8ac8e1cbc)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 01aea73f-9bef-4049-8844-cdd41d6dd949)) (fp_line (start -3.875 9.525) (end -3.875 8.78) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp a175f453-ba45-4872-b147-c41bb0ef6d84)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 977fe199-2e5f-4e1f-8827-d6fe69042512)) (fp_line (start -3.875 9.525) (end 3.875 9.525) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d8169239-69c2-4e7f-bb27-cb7761c9eb38)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 58332915-3b31-48ae-a86e-a2eddbc60110)) (fp_line (start 3.875 -9.525) (end 3.875 -8.78) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp bbb46abc-683e-4d37-8957-f58ea2bb570e)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 39e235bf-d65b-469a-9174-359ba97abb70)) (fp_line (start 3.875 9.525) (end 3.875 8.78) - (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d23a1572-4e2e-4f1c-8e5a-512cfb98ba51)) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp a9acb3a6-5eb4-49ff-aee8-11458d41dbd3)) (fp_line (start -5.08 -9.779) (end -5.08 9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4486c147-e9da-4fda-ac85-eca403de9221)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0607f09f-c2f1-4d82-8ebc-1814fd23b4e1)) (fp_line (start -5.08 -9.779) (end 5.08 -9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6a790cd-7047-42b8-b8c9-31c67dc31749)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 301361e3-2fb2-481e-a175-ec28ea34e8cb)) (fp_line (start -5.08 9.779) (end 5.08 9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ecfa88b-581f-411a-bbc3-620890b135e5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9f829f9-181b-497e-b12d-2a2efae5fe15)) (fp_line (start 5.08 -9.779) (end 5.08 9.779) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5a3710d-3dc1-4314-8024-157b3e7bfeeb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92c523f4-84d9-40cf-9e1f-e523ada31145)) (fp_line (start -3.75 -8.35) (end -2.75 -9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e215145a-b6e5-4980-9137-819ec135a6c3)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 15263f5b-95ed-40a0-b41a-b1772d82b3ee)) (fp_line (start -3.75 9.35) (end -3.75 -8.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9465c884-127e-48f5-8d97-be85fce4ba4d)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e4fb657c-eae9-445e-a0cd-1cdf0d29265f)) (fp_line (start -2.75 -9.35) (end 3.75 -9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe39448e-1cf9-4944-8f1b-6eb8580490f6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f631a9bd-57d1-40e8-943e-66906795b5b4)) (fp_line (start 3.75 -9.35) (end 3.75 9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 655d3eb3-82a4-47d0-b472-4b7462698d5c)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp af9e1ced-af6d-4f43-9a92-01505b82e41a)) (fp_line (start 3.75 9.35) (end -3.75 9.35) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8338e3a2-0862-4d67-8237-281bd2ea56b2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cc57d712-75ec-4d58-8e9d-3b67dfa2e6c8)) (pad "1" smd roundrect (at -3.175 -8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp f6e35314-3317-4b4e-9c00-0f46ea4f36dd)) + (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp f59995bc-bed8-468a-b1e8-3e17c3607a9b)) (pad "2" smd roundrect (at -3.175 -6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "/Buf/AccD7") (pinfunction "I/O0") (pintype "bidirectional") (tstamp be4cff96-83ec-45dc-8ad2-d448de19f3a3)) + (net 152 "/Buf/AccD7") (pinfunction "I/O0") (pintype "bidirectional") (tstamp 3c5a951f-ae58-4412-8443-0232753bde57)) (pad "3" smd roundrect (at -3.175 -5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 153 "/Buf/AccD5") (pinfunction "I/O1") (pintype "bidirectional") (tstamp 4342df80-20ed-4166-8528-78d3f02cf361)) + (net 153 "/Buf/AccD5") (pinfunction "I/O1") (pintype "bidirectional") (tstamp 9b91331d-862c-4d79-931d-ef0900ae0165)) (pad "4" smd roundrect (at -3.175 -4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "/Buf/AccD3") (pinfunction "I/O2") (pintype "bidirectional") (tstamp a5d30a2e-96fb-4e11-9f33-c67f2d2df2e8)) + (net 154 "/Buf/AccD3") (pinfunction "I/O2") (pintype "bidirectional") (tstamp e3b04aa2-dbd2-4369-8458-bcfa136a8542)) (pad "5" smd roundrect (at -3.175 -3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 155 "/Buf/AccD1") (pinfunction "I/O3") (pintype "bidirectional") (tstamp 42ceea94-7e13-4e5f-ab8f-9e57b5d300f6)) + (net 155 "/Buf/AccD1") (pinfunction "I/O3") (pintype "bidirectional") (tstamp 14c8d989-061c-464d-b11a-c8010b4c9159)) (pad "6" smd roundrect (at -3.175 -1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "/Control/L~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 24140f9f-f443-4894-920a-32c067ddc1e1)) + (net 103 "/Control/L~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 48e8c66b-0c69-42da-ba0f-d0471f3c3dbe)) (pad "7" smd roundrect (at -3.175 -0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "/Control/~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp 8e95e17b-40af-4d91-a969-98ca35bbb91f)) + (net 104 "/Control/~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp 792ef27e-c759-4fb3-b3c0-14e52f478766)) (pad "8" smd roundrect (at -3.175 0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "/Control/RA11") (pinfunction "A11/NC") (pintype "input") (tstamp a5932d75-ca09-4bd3-ba50-f0cabddf0f57)) + (net 105 "/Control/RA11") (pinfunction "A11/NC") (pintype "input") (tstamp 3ba7bd99-f5a3-4482-b657-796cd027ab8b)) (pad "9" smd roundrect (at -3.175 1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "/Control/RA10") (pinfunction "A10") (pintype "input") (tstamp 86f4a507-3d35-4959-afd6-bc98ebb46516)) + (net 109 "/Control/RA10") (pinfunction "A10") (pintype "input") (tstamp 79c05404-86a8-426f-aca5-2cd33c3e4f31)) (pad "10" smd roundrect (at -3.175 3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "/Control/RA0") (pinfunction "A0") (pintype "input") (tstamp 0770fe60-b5bb-4bf7-836e-7166aea24bd5)) + (net 111 "/Control/RA0") (pinfunction "A0") (pintype "input") (tstamp 592e8c16-1e4e-4750-884e-39b8fedb293a)) (pad "11" smd roundrect (at -3.175 4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "/Control/RA1") (pinfunction "A1") (pintype "input") (tstamp ad6465eb-c063-4e17-85aa-93caea0592eb)) + (net 113 "/Control/RA1") (pinfunction "A1") (pintype "input") (tstamp 163344f0-832c-45b3-9b85-ad48b7d0008d)) (pad "12" smd roundrect (at -3.175 5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "/Control/RA2") (pinfunction "A2") (pintype "input") (tstamp a7b33a70-dec1-43fb-b3de-3d1bf2443f83)) + (net 116 "/Control/RA2") (pinfunction "A2") (pintype "input") (tstamp d05aa566-8690-4c71-a948-844a5e3b46ee)) (pad "13" smd roundrect (at -3.175 6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "/Control/RA3") (pinfunction "A3") (pintype "input") (tstamp 94c921e1-9d60-4f49-86ab-d8dfc4aa6412)) + (net 118 "/Control/RA3") (pinfunction "A3") (pintype "input") (tstamp c416d99c-ebd9-4fd6-b6c9-6d3a16c4cde5)) (pad "14" smd roundrect (at -3.175 8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp b5dfc4f9-d889-430e-b09a-bb7026d01b8d)) + (net 2 "+5V") (pinfunction "VDD") (pintype "power_in") (tstamp 82dca6ac-3855-4ed4-befc-d43adf14f72f)) (pad "15" smd roundrect (at 3.175 8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 08720db8-e3d3-48b1-97f7-3aaad9a34e28)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp fd79b426-a2ef-477d-ace4-b1eb02e6a9ad)) (pad "16" smd roundrect (at 3.175 6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "/Control/RA4") (pinfunction "A4") (pintype "input") (tstamp 539f9234-dd21-4f55-825f-8125ddc1b064)) + (net 119 "/Control/RA4") (pinfunction "A4") (pintype "input") (tstamp 17ad5c98-13e6-42cd-8a62-6cd67b96bed4)) (pad "17" smd roundrect (at 3.175 5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "/Control/RA5") (pinfunction "A5") (pintype "input") (tstamp 2e994bcc-c054-4a92-a377-585b6e7a8ccb)) + (net 117 "/Control/RA5") (pinfunction "A5") (pintype "input") (tstamp 812bde63-e857-4340-bd38-264235688a87)) (pad "18" smd roundrect (at 3.175 4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "/Control/RA6") (pinfunction "A6") (pintype "input") (tstamp 72c19e7f-55fb-4ce0-949f-49a55c37d894)) + (net 115 "/Control/RA6") (pinfunction "A6") (pintype "input") (tstamp a9225d90-a3c2-4dcf-9686-adf6c91966b6)) (pad "19" smd roundrect (at 3.175 3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "/Control/RA7") (pinfunction "A7") (pintype "input") (tstamp e6b8e0b0-afcf-4ebf-8e74-c915349bdd11)) + (net 112 "/Control/RA7") (pinfunction "A7") (pintype "input") (tstamp eac5e30e-a1d8-462f-b4df-0f1a366a7d39)) (pad "20" smd roundrect (at 3.175 1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/Control/RA8") (pinfunction "A8") (pintype "input") (tstamp 2640045c-b382-42ae-ac8f-026649135cf1)) + (net 110 "/Control/RA8") (pinfunction "A8") (pintype "input") (tstamp 2c92e66c-447f-4465-9e83-d96646da44b5)) (pad "21" smd roundrect (at 3.175 0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "/Control/RA9") (pinfunction "A9") (pintype "input") (tstamp df22f746-7221-494f-ae04-04422ba34754)) + (net 108 "/Control/RA9") (pinfunction "A9") (pintype "input") (tstamp e94ef09d-fea6-49db-8ee2-0d5c011742ce)) (pad "22" smd roundrect (at 3.175 -0.635 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 121 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 26f5f0d8-9502-44a3-ad8f-ce0109149cfc)) + (net 121 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 1b0d036e-7c68-4788-a613-4bc314001a17)) (pad "23" smd roundrect (at 3.175 -1.905 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "/Control/~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 00c0ec97-739d-4010-b0d9-4fa172ac1fec)) + (net 122 "/Control/~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp da5b329e-5e71-4469-9aea-6c9bc3edf8aa)) (pad "24" smd roundrect (at 3.175 -3.175 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 183 "/Buf/AccD0") (pinfunction "I/O4") (pintype "bidirectional") (tstamp fe7d8170-4005-4136-99e9-9d62a94ec121)) + (net 183 "/Buf/AccD0") (pinfunction "I/O4") (pintype "bidirectional") (tstamp 0e7153ec-6cec-4b19-8e5a-5212ce3484b0)) (pad "25" smd roundrect (at 3.175 -4.445 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "/Buf/AccD2") (pinfunction "I/O5") (pintype "bidirectional") (tstamp 6baa0d30-21a8-4af6-a331-88413816de7a)) + (net 156 "/Buf/AccD2") (pinfunction "I/O5") (pintype "bidirectional") (tstamp b80f8f8b-dd57-432a-9679-5d795c1a4922)) (pad "26" smd roundrect (at 3.175 -5.715 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 157 "/Buf/AccD4") (pinfunction "I/O6") (pintype "bidirectional") (tstamp fc9aac68-d993-4a8c-a161-8748e63b20d8)) + (net 157 "/Buf/AccD4") (pinfunction "I/O6") (pintype "bidirectional") (tstamp 789d4934-d5d2-4d1b-929a-44000fe679b4)) (pad "27" smd roundrect (at 3.175 -6.985 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "/Buf/AccD6") (pinfunction "I/O7") (pintype "bidirectional") (tstamp 731768ca-f10d-4918-96b0-a98629fe5a3c)) + (net 151 "/Buf/AccD6") (pinfunction "I/O7") (pintype "bidirectional") (tstamp 7cea9359-a82e-4474-a2d9-2228e14f9cce)) (pad "28" smd roundrect (at 3.175 -8.255 270) (size 3.048 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f60ec674-3cb0-4376-be0a-a2f566f2418b)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e66992ac-657b-4e14-bbd1-3e277054172c)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-28W_7.5x18.7mm_P1.27mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3532,40 +3529,40 @@ (attr smd) (fp_text reference "C29" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8fe28934-1aa4-419a-87ce-7329154e3c14) + (tstamp 093f88ed-eec4-4c52-afb2-64339518adb6) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 0b4f4097-ea10-46b9-a55c-31d696a79c0e) + (tstamp 79a48d3b-e8da-4de2-b30c-fdd9dc430c69) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 80aa6244-386a-4204-9dbd-3c99fc856dce) + (tstamp fa1f64aa-c014-4183-9af9-060e10775aef) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1bfdb8c1-ee47-43cb-834f-f58ddee12bd6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09c7a3e5-baa0-424c-9d0f-0013121ef798)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d831436-27cc-4a68-8aa2-bb209de29a4a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33903faa-5c29-4e63-a256-12fdbeb72991)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 18079015-6299-4467-a2d5-edd919114205)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f34360b9-2148-46db-9611-5255811a344f)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd27e69c-5958-49c1-983a-2385885f9c85)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd2b4125-b982-4f3a-9136-6d446598ae01)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2240b53d-6bf2-466a-abaa-1f408140e30f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e7a5bdd-80ff-4c00-9909-a0a284b0f0ee)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 990f8478-00f6-4eab-9b4d-359695298001)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7187c4e8-35ca-480c-b966-42df405fb0d7)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6da55bdf-8153-4807-bc42-8f912f4cec0d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e0f849a-feec-4e03-90b9-a1793fac8d77)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90b5faa2-2803-4f47-904e-834081f52519)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a9dca703-439e-4595-80fe-5c350be56c55)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ffe27c04-a6fc-4e5e-8fb2-d177c15a75b6)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 626e6807-836a-4a7e-91a7-29e377791c3d)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af940086-0806-4908-aea6-96723c10f66f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4dca859f-e38e-404c-87c0-b637ae93c1b6)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 449933eb-198f-49dd-b830-1c8350b5eef0)) + (net 3 "+3V3") (pintype "passive") (tstamp af18924c-c5db-44e7-82dd-3c6b69a3bbe0)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp e81acaa3-55a0-4c2e-9c46-773081ba5748)) + (net 1 "GND") (pintype "passive") (tstamp a7f1e34a-3d93-4b83-8266-cdc9519dd720)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3588,40 +3585,40 @@ (attr smd) (fp_text reference "C31" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a8c4af2c-67ec-42ff-9760-4ea55a555b7b) + (tstamp 616455f6-7e58-41aa-8db3-4d117b8ed4b7) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp ca3a70ac-bd30-4602-aacd-1239c0e6c33f) + (tstamp dae7ddac-b999-4e56-815c-757d8de69f8a) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 28d5def9-3d5d-446c-8b3f-e51e63c70840) + (tstamp 8c50bf5e-773d-4da7-8354-e8d8cb1ee008) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5325e65c-50b3-43ca-ab7d-62053aaef1ef)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a29e700d-ee98-4d96-ac46-ed83da7a7757)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e61536bc-f9ce-4932-b1e9-4d6751aad469)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30b92ba8-c929-45d6-94d5-4762ea062c3a)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32bfd9f1-4053-4d11-8b1e-3bcc73d0085a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2cb50df-faa0-413d-993f-c5a0865eb43a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c91c6a3d-67b4-4dc5-989b-b28e2d75f0cc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0df71501-2bad-4a57-866a-663b84608da9)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a292dbe-c520-4d19-9310-c64a0238df40)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82fc26c0-ad59-4f44-9927-e6a7c3b8ceba)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b572e095-e9ba-4499-abf3-32e26011bee9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16867531-562e-4e07-9407-29e8587845c5)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ad2780dc-d2f1-443f-9f20-271ed55a84ce)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f3af7a41-af64-434c-afb4-c0395b6d05d6)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c8d600a3-9905-4270-a4c8-a6f4f926570a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 13ee1b27-0693-450c-9671-a62a4de8e0f2)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3fe55274-9995-4f9c-9f2d-2ac842f05879)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7412620-fe21-407d-b5ca-4ebfa1f523e8)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2550a047-1ad1-4b1e-8e59-e9493491fd5b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 867f6ade-e83d-487f-b7d6-1bbdefd521c3)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 2701806b-cf02-4aa2-9d88-a7e88db05278)) + (net 3 "+3V3") (pintype "passive") (tstamp 9c879557-026b-4c2d-85c4-b3f9bedb0960)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 5556a11f-9e31-4253-b32b-473431d1f048)) + (net 1 "GND") (pintype "passive") (tstamp 15f24434-0905-41a2-938f-6ac4d4a14178)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3644,40 +3641,40 @@ (attr smd) (fp_text reference "C30" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 508a660a-beb1-4a91-ae8d-de9ab59fda8b) + (tstamp ff478bf9-183b-4446-80f8-7369c567b856) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4dc4e546-3075-4b54-9cf9-624bea7c1da7) + (tstamp eeec66cc-db11-4fc7-827d-c7390128b18c) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e83ecdf4-4b62-41a2-91e5-eb460d44f5e5) + (tstamp 10aed581-414e-4c72-9014-831269f33373) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4fb49d53-bcbb-419b-a890-5176d76802ad)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 534bb5e1-89e8-40f9-9926-5ecffbc24feb)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3cc4813a-612c-40aa-9c2e-538531f337e2)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aeb653cd-fd50-4e0b-803b-a9e28494368b)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb56949d-c107-47c7-8957-2b9bdc335286)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c47e12cb-8e88-47e8-bf4a-2a9cfcd6e4d9)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a41798b-9a4a-4fe9-ab45-d6b39ce30e13)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b125a40a-3f31-49f1-8550-1cba24bde26b)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6966f7cb-ab3c-4184-97f5-2b8794b3d568)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9261c50-e6c8-4c59-b536-19a13971d3d2)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d94e09d-b5f7-459a-bf9a-b295633d4f14)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0bb37e1-3ef4-4bcd-b9ba-e3c2a2802952)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c66ae86-dadc-4871-b631-07a20ce0237e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a46323c3-98ef-4f02-bcd5-23232d85e4fa)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 12dec816-49c7-4300-b5ed-3d10b66d4de7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8405ca5b-e592-42e4-a669-a357e2aba31f)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 090d5238-5fc8-4ffe-bcef-1145f402ea09)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c58894a1-79b8-47e2-a2fc-f884edf2c61a)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9f564ba-dff4-40ad-99cf-f73fa9f8c6b5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 057a02dc-dbe4-4b1b-a79b-d8c55005aa49)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp a4803c9c-ed07-4670-b5ef-5597080517a0)) + (net 3 "+3V3") (pintype "passive") (tstamp a3ec96ac-75c0-442c-a31c-aa97863a172b)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 889b70ef-9a0c-4924-82c3-aff3532cb512)) + (net 1 "GND") (pintype "passive") (tstamp 027e53de-12e4-462f-9cf7-0bf8d7930a0a)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3700,40 +3697,40 @@ (attr smd) (fp_text reference "C26" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bf08ff61-da9b-4afd-9a18-eaab91f10264) + (tstamp 9d08ee8b-5561-42ba-b095-e6594afbce63) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp da0785e4-693e-4e50-a14c-25eb533c74b8) + (tstamp 83e72714-7c7e-4c1d-8d3c-96fd3141510b) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d397b574-007a-4c12-9355-2b825171829f) + (tstamp 7375530f-28b6-4997-9075-69e7438d996c) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c8286e4-47e6-4ac5-bbdb-3233dd1c4650)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55f2cbe7-5389-4880-9439-cdcd82c593b1)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 705a6d4a-fb34-4db3-96e3-8720e7efcc66)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b05da10f-e1cb-4069-bc9a-8dc61e30c490)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1339bdd-98b3-4af1-a06e-1da983f67749)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dcd9c8d-6ce5-4946-b3ce-47da1cfa6473)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfd22942-377c-47c1-977f-72e403cb3b9c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ca8495b-0e72-4018-a571-98b1bd7cd95f)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 18bac546-6084-44d9-b568-f97b14f65c49)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da5a1650-0db9-4d98-8747-8b0c019078ce)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e92a642-85f3-44e9-92e9-301d6fe384c6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e1892da-e8f1-4d99-8c25-1df1ebdb377d)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99f6fbfb-610d-4c88-8580-10ecc0370556)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c4078dc-051e-4965-af80-14a9521645e5)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8fc9921-f76e-438d-8dad-490a7fbd2789)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 18f356a7-7492-4768-981f-53e8d0db8f6e)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c2aacaf-4d58-4015-a17c-ea4adb487d5b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 647c2ba5-d561-4930-8a0e-9a36c2eb9004)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86b9dab3-3659-42ef-b9a9-ee97f135ed0c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af6a0ff7-996a-43ba-8b21-0808ca28c985)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp b953c68e-3290-4951-a210-a556f2fed1b6)) + (net 3 "+3V3") (pintype "passive") (tstamp b2909e5b-cca4-45e0-ac71-d781adbdd6fb)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp b14cd209-61d8-45d5-8cd5-67b181dc3c13)) + (net 1 "GND") (pintype "passive") (tstamp ce5596a8-0de1-4f31-9c5d-b504c4a9a66e)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3756,40 +3753,40 @@ (attr smd) (fp_text reference "C28" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3af44039-949d-4df1-9cd8-5bc43e6d7b69) + (tstamp 4389d1b3-652e-4ba4-8bb1-9ac6cb3688da) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d37595e2-b408-4985-8fd5-2638c80bc6f0) + (tstamp f35652e6-24c9-4d12-ab5f-d37eb8d12aa9) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8f067e31-997d-4649-83ab-bf0107fcf5aa) + (tstamp 4b685b2f-cdef-4469-b56a-1ef1266bd3fd) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fca606b5-4ffe-4a41-adc9-da41e191bfd6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 347fd77a-cce2-4cdb-8530-37e80a0720c8)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a1eeabf-346f-490c-a6ba-11cd8539c368)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cafd0ca2-efb8-4502-a63e-de808958f6c4)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d73e8909-0d6c-493d-9b4a-7068894d2cad)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43a95a20-29a5-465f-a840-251914358f18)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f98d7e27-f801-44b4-897e-41920f3cd252)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 071e3951-71a2-42c6-8251-5a350b0f777b)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cbb17c61-12a4-4f4b-8544-a15e5129742b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e428296b-213f-48d1-8e1f-3a43deb9e09e)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f154724-15cf-470a-a47d-f452734a5aa9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10cf00a1-ba9b-4edb-8df0-fa274d109683)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 319eeca8-31b1-4d53-996d-64d2fda9d4a3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 837f5f1e-858d-43be-bf92-1b61b8c9ec0f)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac9e0ef8-d6a6-41f4-b7aa-dc93295fcb7e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de90aaac-d3b3-483a-885c-d31682e58a0b)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dce8edc5-32d8-48d0-8356-3e6816fa7e7d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp adaae74d-33a4-43e8-844e-2a814015dfd5)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72ec6205-18b5-40cc-a55d-80ee88a8e138)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e2a3d6e-fec8-4361-9077-5958ef9210f4)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 81cfd050-38bd-418e-ad96-aab326c587f7)) + (net 3 "+3V3") (pintype "passive") (tstamp 58ec7725-14c9-47fb-b805-1c8b9cafac44)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp a20277fd-e694-43b6-834b-992d414308b3)) + (net 1 "GND") (pintype "passive") (tstamp 38ab12df-08d3-41ab-8c5e-a7cb1727017c)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3812,40 +3809,40 @@ (attr smd) (fp_text reference "C32" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8e9b1c4b-8365-4321-92e5-7e786f17354e) + (tstamp 411a4042-a192-4ed2-8c53-e77305bcfaad) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 71cabfc8-3856-4891-8d3a-924cfb90ab5c) + (tstamp 4272d986-b964-4844-abda-4d78e5fb33f5) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 11be1b01-c293-4736-9f74-d094689d8571) + (tstamp 1e7f24d0-19fe-46c7-b063-78cb55d03b14) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a84e725-a4eb-4d22-a147-3abccb1a3f04)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4882aba1-bd68-470b-8393-95c37ef8be2a)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2f31856-c6ab-4ef6-b4a3-96179cbe12f1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4be2ab48-0361-449e-a54a-6925bb287468)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c00306e7-2376-4159-a965-37eed57ba936)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58c74407-a997-471d-b4a0-ec253c1c8ef6)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 76d01b75-b211-4a53-a35e-c04e2c0bb390)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccb26ea7-b342-479b-8522-28174441c6a9)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 219959dc-ad7e-4d38-b931-610644498ca7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 497891c2-117c-4359-964b-a9724a5f7287)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac579f00-7520-4dfe-9e72-f985edf9b0b3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7fb3c9c-97af-4778-b45a-a250fd090e87)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0cad7062-c64d-4649-a33d-e211f92219f2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89fdbb4d-681a-4f48-8384-ecc4a28b6ea2)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02862a38-afd2-4282-8a76-7cd9bdb9f78f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 46f57379-a2fa-4a37-891e-f6b357a768d8)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a1a47409-9172-420d-bf71-ae2d96988d40)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca182e0a-c48e-4d21-8c7d-964d1bb25936)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb4a4110-bf8e-492e-9871-05ae8e4f36c4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f853bac-f106-4cbe-8e42-c7aa3272642d)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 31da2214-6ef3-4990-bbfc-ffad38c88314)) + (net 3 "+3V3") (pintype "passive") (tstamp 1adffd94-f42e-45eb-902d-74c470005d40)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 7ec08cf0-934f-491d-b24a-663d83111e1b)) + (net 1 "GND") (pintype "passive") (tstamp 12e01bbb-f8cf-4b02-a068-688268594fb8)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -3866,16 +3863,16 @@ (attr smd) (fp_text reference "FID1" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp fffcac0b-b259-41cd-a275-aa5e38375706) + (tstamp 712db206-7483-42f8-8183-2c8b8f3c6afd) ) (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 9613fdd0-5302-4e8b-856e-f46aec4b9502) + (tstamp 9faedcd9-3532-4011-ab31-f367910cdb32) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 814c03bb-19a6-4f2e-b4d8-bb86329ad7c0)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 92d7a9df-3427-43f9-8bf9-d9d932eac011)) (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 16b48f59-9637-4151-8678-8c834a9a7d06)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 9d3cb539-fbd8-4855-9e60-e7e0656c49b9)) ) (footprint "stdpads:Fiducial" (layer "F.Cu") @@ -3891,16 +3888,16 @@ (attr smd) (fp_text reference "FID2" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp 6bc23c95-9c6d-427a-90f3-086ca55be3f0) + (tstamp d556e1ee-03f3-4b6f-89c4-769311ae7da7) ) (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp b0ce9550-f948-4498-995d-0e103daf43bc) + (tstamp e3d59f65-3b3c-4031-aef5-0fc56f97bf2a) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp fdfc8b94-5fdb-4610-814d-9a85e6a60a89)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 7c3f5f65-599d-49f5-9e92-61cc0c8bf64b)) (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp c416e507-a90f-4417-8d23-91e35a7b3009)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp b612a63a-cc71-44f4-9f94-fd2048ed3576)) ) (footprint "stdpads:Fiducial" (layer "F.Cu") @@ -3916,16 +3913,16 @@ (attr smd) (fp_text reference "FID3" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp a9a282f3-ae73-4182-94f3-0f3faa44a4ea) + (tstamp 7bcebede-5258-4be7-925b-cee80be92c19) ) (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 02c72362-4818-489d-a1fe-67b755e72f52) + (tstamp bd46ef20-4255-4967-a5ce-bfab717ab955) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp dea311da-1bcf-446a-a67f-21f4ee09bc8d)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp d3ffcf2f-19e4-4d19-a6fd-b01f1d70f5dd)) (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 53f1deee-7ee8-4a52-b0c1-9f289527fbf9)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 08fe1cfd-0c06-4950-80f6-423768fb6db0)) ) (footprint "stdpads:Fiducial" (layer "F.Cu") @@ -3941,16 +3938,16 @@ (attr smd) (fp_text reference "FID4" (at 0 0.05) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp 0bdaa534-97a9-48fc-8122-073c86746e86) + (tstamp 24ca1741-31d0-4c71-a775-328dc862d7c5) ) (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 5fa912c5-6b6c-4206-ad23-daa3e010fd7c) + (tstamp 88e0a694-7524-4e49-9acb-32d04104d185) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 4ac7c7dc-bc71-469d-82ed-f175214e0503)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp e36ca744-04bd-4da0-bc40-2f4f75a222cc)) (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 35d066cc-888d-4b71-9a2c-03711e0cce06)) + (solder_mask_margin 0.5) (clearance 0.575) (tstamp e7375ea7-e8b3-49fd-8170-0c940f86f048)) ) (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") @@ -3966,16 +3963,16 @@ (attr exclude_from_pos_files) (fp_text reference "H1" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp d2815059-5622-41c9-a49a-b0a7f8ba1564) + (tstamp 11aeb50e-ce23-4d31-a5cd-fd8315ee9549) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp e9d3124c-97d6-4bb9-be74-0c82d42a2482) + (tstamp c072b930-559f-4be9-a2da-7184991e8c90) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 198c742e-61af-4fb1-9f87-98bb99fa7194)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp b134031c-c137-4356-ba6c-1b6418e811b1)) (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp 8378cbca-220c-483a-b865-2190a1a0c42d)) + (solder_mask_margin 0.148) (tstamp 565cba5b-526c-48e1-bcdc-e42cb39d8c58)) ) (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") @@ -3991,16 +3988,16 @@ (attr exclude_from_pos_files) (fp_text reference "H2" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 9693ae49-0762-4617-bde6-9001a61c4b8c) + (tstamp 0a6514ed-359e-4284-b676-696a258afa55) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 0e20d6f5-bfb6-45d6-8196-ab0755c3e0b1) + (tstamp e555638c-a50f-4ba2-8422-285a1ed4550b) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 9f897da6-764a-46aa-80a0-6f69d6675cc6)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 7128a648-c366-461a-8515-625758bea03e)) (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp 30fac2f9-51d0-4ed2-8aef-8bce4f1b2db5)) + (solder_mask_margin 0.148) (tstamp a788af7f-7b28-454c-a1ca-9512dd734065)) ) (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") @@ -4016,16 +4013,16 @@ (attr exclude_from_pos_files) (fp_text reference "H3" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 0a47426c-ac16-414c-b093-2ed3c6e5c540) + (tstamp 75ea4b97-cdd0-4824-82f7-c9564fadfb73) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp c34b2beb-b915-461e-8b6a-7891f658a6aa) + (tstamp f3fb2e7c-f658-41ea-934b-81299231d205) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 3e28e933-301a-47e8-ad71-4047d9cb4161)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp babe9dd6-f52f-499d-be8c-f6213f4ce028)) (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp 8800d7f0-2f06-45f9-9bce-c8a22a4802a5)) + (solder_mask_margin 0.148) (tstamp 8a354199-8cd5-458a-abb4-9801bd41c08c)) ) (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") @@ -4041,16 +4038,16 @@ (attr exclude_from_pos_files) (fp_text reference "H4" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 7535e910-6616-494d-b84b-656d33e953f7) + (tstamp 5229a8c4-f9ef-4224-852e-534885f04731) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 1ce5f7ca-537a-46f7-8410-040d9f3ffc4f) + (tstamp 35b23f81-fde2-441f-ac0b-d24c8e01d699) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 0f84fec7-6c15-4aa3-b09e-b765967cf377)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp d59aadfd-edb8-4b28-b1d5-cd8bff21884f)) (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp b66d2cba-a973-4648-8c58-2d8717e9d08b)) + (solder_mask_margin 0.148) (tstamp 56d2222f-26a8-4df8-8414-78872231c544)) ) (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") @@ -4066,16 +4063,16 @@ (attr exclude_from_pos_files) (fp_text reference "H5" (at 0 0) (layer "F.Fab") (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp c7a60a4e-bcd0-4ca4-8a8a-4d6541066ca5) + (tstamp 8e477a0e-63a0-4a27-bc7d-b18679a3f7ae) ) (fp_text value " " (at 0 2) (layer "F.Fab") hide (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp a2681b93-5446-48ed-8f96-9036e81d509c) + (tstamp a9e25df3-69bb-4bf3-8f54-ea1f47e11d17) ) (fp_circle (center 0 0) (end 1 0) - (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp dabd74ca-8a81-4699-94c8-5d069065b6d5)) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 05b4c911-55b6-4e8b-9492-d85c5dfb31b3)) (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") - (solder_mask_margin 0.148) (tstamp 2c761b17-1209-43ca-99b4-6f5b04193673)) + (solder_mask_margin 0.148) (tstamp a72b5d73-2380-4f9d-ab46-5c290cf8725a)) ) (footprint "stdpads:PLCC-32" (layer "F.Cu") @@ -4083,7 +4080,7 @@ (at 135.89 76.2) (descr "PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py") (tags "PLCC LCC") - (property "LCSC Part" "C72257") + (property "LCSC Part" "C645935") (property "Sheetfile" "RAMROM.kicad_sch") (property "Sheetname" "RAMROM") (path "/00000000-0000-0000-0000-00005f723900/00000000-0000-0000-0000-000061871415") @@ -4092,164 +4089,164 @@ (attr smd) (fp_text reference "U10" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 1c92c88c-1a92-4a30-b194-64b4e5902fd3) + (tstamp 5a58f0a2-e0a1-47b4-b2f8-14e978e65276) ) (fp_text value "39SF040" (at 1.27 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp a3175284-c0b3-4f10-b76c-44730abe0f5a) + (tstamp bbf04769-9a31-467e-b6c5-bf3474cbcb40) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 643e10e9-074f-464f-b199-e95621ea5dc2) + (tstamp 13cc7f12-f6df-4d28-ad04-910285938ffa) ) (fp_line (start -7.095 -5.825) (end -5.64 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp a485b7c2-84f7-4fc0-98b9-e31e3d935a06)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bb5f7441-8b30-4640-b40d-1f9b2bbd97be)) (fp_line (start -7.095 -4.37) (end -7.095 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7373d96a-9fc3-4b9c-a05d-4f9db5ba60e3)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7eefe721-27a8-4968-9754-633e3c1027cf)) (fp_line (start -7.095 4.37) (end -7.095 4.652782) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bcda325d-74e8-454c-ad48-766267527643)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ea318afa-5fad-4d9e-ab8f-548dda00f51f)) (fp_line (start -7.095 4.652782) (end -5.922782 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d9f90ef1-ea3e-4e16-a119-d83de3a60669)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 59019446-fce7-41fc-b23d-45d39bcda017)) (fp_line (start -5.922782 5.825) (end -5.64 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp be1b7b20-4aad-4dea-a0e3-efa87b80fdce)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 322796cc-486e-41d1-8988-096a5a018f95)) (fp_line (start 7.095 -5.825) (end 5.64 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2625f5e8-1bc2-4ffe-a309-9b67121df3f4)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 81abc284-fde8-4180-b1d9-0bd547392aa2)) (fp_line (start 7.095 -4.37) (end 7.095 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 339edc42-6a96-44b2-92e3-55bf2898479b)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c2e738c1-ec69-406a-9a08-390704f70972)) (fp_line (start 7.095 4.37) (end 7.095 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 022378ca-cf5b-4261-9f24-3d059d7ef517)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bc169a8a-0621-4773-936e-ecbb5b7379dc)) (fp_line (start 7.095 5.825) (end 5.64 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 94759a5d-785e-4d29-b0d2-b9a227a86676)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 5dcc56bc-9472-43c7-8bf9-c514b0acfb5d)) (fp_line (start -7.82 -4.36) (end -7.23 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dc78d9e-fc04-4b6b-a1d5-d0726e3861b8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c3e90a2b-948a-4ecf-8b99-e783f97a898e)) (fp_line (start -7.82 0) (end -7.82 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 687497c5-ae8d-4efd-8178-f6d381ab86af)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7491ee0c-7d49-4942-9cdf-76a421cfe22e)) (fp_line (start -7.82 0) (end -7.82 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2278f3e-766a-460d-a5e9-441d936ea4ce)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69ad0f01-b27a-4aa6-a116-63a1d6dca2d2)) (fp_line (start -7.82 4.36) (end -7.23 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 90bceb8b-6f0c-4813-ba1f-7ccccf31a62a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29fdd761-132c-48e7-98b0-978f5377faa9)) (fp_line (start -7.23 -5.96) (end -5.63 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff1d05cd-1f2a-4f57-832b-5a3668ea9da8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c232e8e-4a68-41bc-bb68-716b187eaef4)) (fp_line (start -7.23 -4.36) (end -7.23 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db6bf53f-dee2-4ac7-b8aa-283cfab79ff9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f215fc0-68ee-4074-a364-ef2316b8c25a)) (fp_line (start -7.23 4.36) (end -7.23 4.68) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2de52054-f4eb-48cc-8cd9-40c6d047fc1c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fe246b0-d1f2-4960-9c63-31ba268a27c4)) (fp_line (start -7.23 4.68) (end -5.95 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55326f25-63ae-4a5a-9a97-c9024a041572)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a751c2ef-ff8b-4775-9241-158c9a47a648)) (fp_line (start -5.95 5.96) (end -5.63 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f91b6c1-275c-4a1b-9dfe-adfba7bb51a1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 716f4c4d-c956-46f5-a2c0-2ecbfa8900e2)) (fp_line (start -5.63 -6.55) (end 0 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac335159-f475-425d-8c20-a0698a8d2d1f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a219e824-f197-419d-8a39-a38994f82744)) (fp_line (start -5.63 -5.96) (end -5.63 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c45f432-5678-4d04-9b5a-f7e6f79035f6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 33c5b459-3535-47b3-95dc-43054b5fc176)) (fp_line (start -5.63 5.96) (end -5.63 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1fabd447-87c6-43fe-8373-06f989b6f3ca)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e4163ee-45c9-436e-bc81-85a27d70e06f)) (fp_line (start -5.63 6.55) (end 0 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e1f70fda-4b64-4978-accd-537dae99684e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2d612280-b8f2-403e-a899-8921fb1e09a4)) (fp_line (start 5.63 -6.55) (end 0 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc111622-7bd9-4149-a9e1-4f7104c4c283)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4eb263d-d19e-4745-9aa3-173cb45f1bfc)) (fp_line (start 5.63 -5.96) (end 5.63 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46a8b5ec-74d1-49da-924d-f63e4156283a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68c9ab0c-eae5-4a6b-8650-218b1f1f52c4)) (fp_line (start 5.63 5.96) (end 5.63 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 005a32ea-2b2b-46c4-a436-0e222be90c79)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d88c80b5-4187-4fc7-836f-a70ed25d1207)) (fp_line (start 5.63 6.55) (end 0 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 948d6e9a-01f5-47d0-80b4-499930974f5f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b258c33-2a21-482d-a43c-21bc4cf88474)) (fp_line (start 7.23 -5.96) (end 5.63 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 578328dd-a738-4e8d-ade8-82d5c378fd17)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd1d4f35-be76-4a41-8a82-7bc556b5660c)) (fp_line (start 7.23 -4.36) (end 7.23 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd87188d-70ec-47b3-8da7-efe6a17cbea5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1054732e-b483-40ad-98a8-a797ef4a62c6)) (fp_line (start 7.23 4.36) (end 7.23 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1cac4ab8-84c2-468e-8227-d1ca6c8a58ca)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 79d465da-0c05-4839-9417-9bfa0289e69d)) (fp_line (start 7.23 5.96) (end 5.63 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65f5ceaf-b1d4-4cbc-998d-e1cb5c903964)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 33eb3401-6326-4db3-a4ea-201e8b6abbed)) (fp_line (start 7.82 -4.36) (end 7.23 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6744d34f-3d29-406f-85a0-b7ebb07c16e4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0ff2de1-4c7e-44a4-99d4-b4fe1e1777d2)) (fp_line (start 7.82 0) (end 7.82 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f5d0fef4-9b01-4c39-897c-39a772350335)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c2f5fecf-07ac-45ef-9e72-8036da203e57)) (fp_line (start 7.82 0) (end 7.82 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f10b47a2-32f5-4f2e-b9d2-c80ebbe01718)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0a09924-a542-487d-978f-49eac0c4e823)) (fp_line (start 7.82 4.36) (end 7.23 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc800b90-8678-4f0b-a547-49aea3610b81)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f662aa82-f6e6-42b0-af96-002189cd453c)) (fp_line (start -6.985 -5.715) (end 6.985 -5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb7ab834-f987-45f6-9f6e-9e4e6f8ebe11)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f04d194-ab5f-41ab-b1fc-bbec1dc365cf)) (fp_line (start -6.985 -0.5) (end -6.985 -5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bff22039-9ee0-46b0-a579-d5bfc4347b2d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d38216cd-6e80-482c-a8a2-665c81e944e7)) (fp_line (start -6.985 0.5) (end -6.277893 0) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb743fca-d5c2-45cd-9b0e-d98f77050679)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03aa5682-f9e6-49dc-a2a0-b4863cc17283)) (fp_line (start -6.985 4.575) (end -6.985 0.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa24ee66-9369-4bb5-96c2-f33f9b5160e7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 97d5f6ba-ba7a-4bd6-9b22-408bd62c8073)) (fp_line (start -6.277893 0) (end -6.985 -0.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5939230d-6def-480c-9fe6-d4f3731e183c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp faf964cc-1056-46e6-8a82-6c7c6ed80f87)) (fp_line (start -5.845 5.715) (end -6.985 4.575) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f23c2269-2e21-4215-9449-419c5152038b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b2607f4-ac4e-400d-a5e4-4dfa47198d1a)) (fp_line (start 6.985 -5.715) (end 6.985 5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd3b4ff4-7f42-4349-94c6-cca18563d66a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0a96709-c645-47f8-9587-aa3fc035e942)) (fp_line (start 6.985 5.715) (end -5.845 5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45ac3a70-9655-478e-94c6-e01eef3a519e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6bb290dc-02b2-4a57-af16-eb0314e3eba6)) (pad "1" smd roundrect (at -6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "/Buf/AccA15") (pinfunction "A18") (pintype "input") (tstamp e806013b-aa71-4be5-a2f4-6b6563763718)) + (net 131 "/Buf/AccA15") (pinfunction "A18") (pintype "input") (tstamp a7e17d43-32c3-43d2-9813-2c9fec53c0ac)) (pad "2" smd roundrect (at -6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/Buf/AccA9") (pinfunction "A16") (pintype "input") (tstamp cd4c21ac-346f-4be7-a8da-7e1460bb2ef6)) + (net 101 "/Buf/AccA9") (pinfunction "A16") (pintype "input") (tstamp acfaceea-d805-44ff-9e6b-a51cce865245)) (pad "3" smd roundrect (at -6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "/Buf/AccA14") (pinfunction "A15") (pintype "input") (tstamp cd615416-769a-46b2-a228-9c8028f4b8cb)) + (net 130 "/Buf/AccA14") (pinfunction "A15") (pintype "input") (tstamp dc418300-fb87-465c-9768-5e50136bee90)) (pad "4" smd roundrect (at -6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/Buf/AccA11") (pinfunction "A12") (pintype "input") (tstamp 59941373-78b2-4f9a-8bcc-bc15f6c4f731)) + (net 114 "/Buf/AccA11") (pinfunction "A12") (pintype "input") (tstamp fca521ab-79c2-4a96-b9d8-9aefdd349036)) (pad "5" smd roundrect (at -5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/Buf/AccA10") (pinfunction "A7") (pintype "input") (tstamp 01528d88-be16-435c-b3df-86d4ed5fc6ac)) + (net 107 "/Buf/AccA10") (pinfunction "A7") (pintype "input") (tstamp 4f2fa2f2-4c2c-4e7b-82ac-653a58c5b18c)) (pad "6" smd roundrect (at -3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 166 "/Buf/AccA1") (pinfunction "A6") (pintype "input") (tstamp 65debd91-f38c-4122-b3e2-79f1e42e15f9)) + (net 166 "/Buf/AccA1") (pinfunction "A6") (pintype "input") (tstamp 915ee065-c720-495f-8e7f-f0f95239ce43)) (pad "7" smd roundrect (at -2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 167 "/Buf/AccA2") (pinfunction "A5") (pintype "input") (tstamp d692b79a-0262-43cb-bbb1-375107c5d282)) + (net 167 "/Buf/AccA2") (pinfunction "A5") (pintype "input") (tstamp 8dd815bf-4112-43ee-a6d5-912ea5e1368b)) (pad "8" smd roundrect (at -1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 168 "/Buf/AccA3") (pinfunction "A4") (pintype "input") (tstamp e2f6d403-43e6-4921-8878-8d566c5faa67)) + (net 168 "/Buf/AccA3") (pinfunction "A4") (pintype "input") (tstamp ebb3523e-fd1e-42e9-b9f6-8233ad23b014)) (pad "9" smd roundrect (at 0 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 176 "/Buf/AccA4") (pinfunction "A3") (pintype "input") (tstamp 498a9c8b-1acb-4f5b-8da0-eecbeace115b)) + (net 176 "/Buf/AccA4") (pinfunction "A3") (pintype "input") (tstamp f5e3d148-d2c5-4f3b-a525-b248a3233377)) (pad "10" smd roundrect (at 1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/Buf/AccA5") (pinfunction "A2") (pintype "input") (tstamp 2c9de512-90ef-4458-87b2-1b9726b61ddd)) + (net 97 "/Buf/AccA5") (pinfunction "A2") (pintype "input") (tstamp 94f81a2e-e5c5-42ce-b106-d8ef5ca43f2b)) (pad "11" smd roundrect (at 2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/Buf/AccA6") (pinfunction "A1") (pintype "input") (tstamp aff54058-7026-455c-a85b-d4f1f6c2065d)) + (net 98 "/Buf/AccA6") (pinfunction "A1") (pintype "input") (tstamp 1ba6a3fb-e32e-4ea5-b485-7ff5c5a0e2d0)) (pad "12" smd roundrect (at 3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/Buf/AccA7") (pinfunction "A0") (pintype "input") (tstamp ff45b522-4bd1-4f34-b287-b2baa3ffb569)) + (net 99 "/Buf/AccA7") (pinfunction "A0") (pintype "input") (tstamp 5e6624e8-fdda-42a3-af8c-ccd50f2338d3)) (pad "13" smd roundrect (at 5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 183 "/Buf/AccD0") (pinfunction "D0") (pintype "bidirectional") (tstamp 421939ae-990a-4c4d-9403-1fa58cb44952)) + (net 183 "/Buf/AccD0") (pinfunction "D0") (pintype "bidirectional") (tstamp bf0ac129-1fe8-4093-a580-80f27d8645a1)) (pad "14" smd roundrect (at 6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 155 "/Buf/AccD1") (pinfunction "D1") (pintype "bidirectional") (tstamp a900d934-22e4-4e11-a817-1104bec9dbbb)) + (net 155 "/Buf/AccD1") (pinfunction "D1") (pintype "bidirectional") (tstamp 39b21db2-d3a5-41b9-a225-def85d1cb62a)) (pad "15" smd roundrect (at 6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "/Buf/AccD2") (pinfunction "D2") (pintype "bidirectional") (tstamp 6a4ce6f8-83ad-425a-8d6e-fc1c85f1d03b)) + (net 156 "/Buf/AccD2") (pinfunction "D2") (pintype "bidirectional") (tstamp b2d104cb-f624-4fb7-9346-6a1ad47e84c3)) (pad "16" smd roundrect (at 6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ceaab9a5-36ed-4bcc-90bc-58f94d8c85c6)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 50ba4e3e-2a1c-4d41-9205-dc04cfc6cd06)) (pad "17" smd roundrect (at 6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "/Buf/AccD3") (pinfunction "D3") (pintype "bidirectional") (tstamp 375ce398-8cc4-4a0e-bb76-e02221b5f90d)) + (net 154 "/Buf/AccD3") (pinfunction "D3") (pintype "bidirectional") (tstamp 6c89624f-4603-4481-81af-60d3bbb6c2b2)) (pad "18" smd roundrect (at 6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 157 "/Buf/AccD4") (pinfunction "D4") (pintype "bidirectional") (tstamp c7b5516e-933b-4a1c-aa48-f07b2102a2b6)) + (net 157 "/Buf/AccD4") (pinfunction "D4") (pintype "bidirectional") (tstamp 92a4bcb8-6b2c-4673-9746-7b3dadc393cb)) (pad "19" smd roundrect (at 6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 153 "/Buf/AccD5") (pinfunction "D5") (pintype "bidirectional") (tstamp 5078ca89-cd80-4512-b50d-23688c02898c)) + (net 153 "/Buf/AccD5") (pinfunction "D5") (pintype "bidirectional") (tstamp 555d3558-6d36-4a6b-b8d0-31d7fd31d5b3)) (pad "20" smd roundrect (at 6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "/Buf/AccD6") (pinfunction "D6") (pintype "bidirectional") (tstamp 186c6f62-0122-42e3-9317-aa287836e73a)) + (net 151 "/Buf/AccD6") (pinfunction "D6") (pintype "bidirectional") (tstamp aa6a73d1-21e3-4d0f-b0ee-5526f89ecd31)) (pad "21" smd roundrect (at 5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "/Buf/AccD7") (pinfunction "D7") (pintype "bidirectional") (tstamp c4b3beb8-f942-4101-bfc7-f9aeacf71b87)) + (net 152 "/Buf/AccD7") (pinfunction "D7") (pintype "bidirectional") (tstamp 43711cc3-797d-417d-8dfc-af583913aebb)) (pad "22" smd roundrect (at 3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "~{CS}") (pintype "input") (tstamp d53a1bfb-05b8-45ae-9650-c0c74e941572)) + (net 1 "GND") (pinfunction "~{CS}") (pintype "input") (tstamp 4806d818-342e-4479-ac29-1ff75f132301)) (pad "23" smd roundrect (at 2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/Buf/AccA12") (pinfunction "A10") (pintype "input") (tstamp 66345cad-0cbd-4934-a03b-fffb4a9082ce)) + (net 120 "/Buf/AccA12") (pinfunction "A10") (pintype "input") (tstamp e23b9fa6-1366-4e04-b01d-aea012676428)) (pad "24" smd roundrect (at 1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "/Control/ROM~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp fafdea52-4ff6-47c4-b287-5fc43f7e3189)) + (net 123 "/Control/ROM~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 4aeeaa0b-ada5-4824-a168-43c6c698efaa)) (pad "25" smd roundrect (at 0 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "/Buf/AccA13") (pinfunction "A11") (pintype "input") (tstamp b16365ca-9d51-42af-8328-f8557cf311ba)) + (net 128 "/Buf/AccA13") (pinfunction "A11") (pintype "input") (tstamp bb041a3b-224e-4ed7-9b7e-c0ba061d5e2a)) (pad "26" smd roundrect (at -1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "/Buf/AccA16") (pinfunction "A9") (pintype "input") (tstamp 007864f8-108f-439b-8e56-20a031f1507e)) + (net 132 "/Buf/AccA16") (pinfunction "A9") (pintype "input") (tstamp 0f062fe4-f7d5-4ea6-9c05-6ab24b3ee1e5)) (pad "27" smd roundrect (at -2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp b118096f-626e-41cf-95f7-947bf57d1953)) + (net 133 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp ed54febb-e3a5-4c49-b286-5a3ed35d73a8)) (pad "28" smd roundrect (at -3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/Control/RA8") (pinfunction "A13") (pintype "input") (tstamp 8d2cbadb-c8bb-40d4-b054-bbbd7d61b5cf)) + (net 110 "/Control/RA8") (pinfunction "A13") (pintype "input") (tstamp 4d19348a-e64b-40b7-b4b0-787812f2213a)) (pad "29" smd roundrect (at -5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "/Control/RA11") (pinfunction "A14") (pintype "input") (tstamp 85ef89c0-1cd5-459a-bdc6-edc13841812f)) + (net 105 "/Control/RA11") (pinfunction "A14") (pintype "input") (tstamp db431c40-5d7a-4921-ac23-ba529c2f38c5)) (pad "30" smd roundrect (at -6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/Buf/AccA8") (pinfunction "A17") (pintype "input") (tstamp ec659efe-3762-4fa4-a247-394339126be5)) + (net 100 "/Buf/AccA8") (pinfunction "A17") (pintype "input") (tstamp 823e8335-8f22-4eff-9902-b2248073803f)) (pad "31" smd roundrect (at -6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 16281407-06a7-4c1d-831e-2fe726961074)) + (net 124 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp ed4f4849-78f1-4423-a3aa-5a0822055284)) (pad "32" smd roundrect (at -6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 9d38c7fb-ee00-44bd-b85a-99eaa6058a4a)) + (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 0114010a-b704-4ab2-ad8b-e2b67d84efa5)) (model "${KIPRJMOD}/../stdpads.3dshapes/PLCC-32.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4262,7 +4259,7 @@ (at 161.29 76.2) (descr "PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py") (tags "PLCC LCC") - (property "LCSC Part" "C72257") + (property "LCSC Part" "C645935") (property "Sheetfile" "RAMROM.kicad_sch") (property "Sheetname" "RAMROM") (path "/00000000-0000-0000-0000-00005f723900/00000000-0000-0000-0000-00006187142d") @@ -4271,164 +4268,164 @@ (attr smd) (fp_text reference "U11" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp bde3bef9-d1ea-4c78-af0a-6bf718aaca2b) + (tstamp 4b5d4c53-eb89-459d-b75c-88501ecaadd9) ) (fp_text value "39SF040" (at 1.27 0 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 2b2d9114-0cca-4f20-85d4-990cb1144df3) + (tstamp 18ac5f36-48e5-40c2-853f-318404ba0d9d) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 2ac9337c-c166-48ce-9676-b6bddcf9489f) + (tstamp 15dddd65-9050-47b9-917f-863ae5ee4fdb) ) (fp_line (start -7.095 -5.825) (end -5.64 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 50a1b28b-14b9-4f93-a481-d8cbb82934db)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2f8ad503-9867-46e7-b517-39ba46d7353e)) (fp_line (start -7.095 -4.37) (end -7.095 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 68476b0e-50c0-482a-8c90-680005809108)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 797f05fa-5586-4b03-85ba-034a02fff141)) (fp_line (start -7.095 4.37) (end -7.095 4.652782) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3e2da577-bbef-4ff0-afbb-3f6621f19dcb)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 24488161-0a1b-4ed0-acb2-2412fe54c9b3)) (fp_line (start -7.095 4.652782) (end -5.922782 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d18f9ca1-8b08-42ee-b4a5-935a28914add)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ace3cfd1-9c17-4142-ad9c-b8720b05d5ac)) (fp_line (start -5.922782 5.825) (end -5.64 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 83cea1c4-fe26-45b3-9d60-f854b4f222e1)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 24b1c4e6-9b6e-4f9d-9cc9-151bf58c0476)) (fp_line (start 7.095 -5.825) (end 5.64 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1a0ee18e-0125-4217-96ab-cca5380cf249)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 010c82bc-ea9d-4e5a-b209-0ebe1ba59616)) (fp_line (start 7.095 -4.37) (end 7.095 -5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d79f7b39-d9d4-44ac-8601-72492103f842)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4b5a75ea-5626-4043-98ab-01a942de86d1)) (fp_line (start 7.095 4.37) (end 7.095 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 91091c8c-ca05-48eb-a7c6-f3dae8fd0b35)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp af464d1d-731a-415a-93a5-0e9f537ad9e3)) (fp_line (start 7.095 5.825) (end 5.64 5.825) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d380acf0-48e8-4229-8963-878ea89595a5)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1739dd20-7908-4f60-b03a-acc6b60cce60)) (fp_line (start -7.82 -4.36) (end -7.23 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aef9948c-7937-4267-8dee-74c8c7ffd26e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 952c4092-c3b0-48b3-ad68-6e5979eb78d3)) (fp_line (start -7.82 0) (end -7.82 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6e8c7966-de1e-477c-9210-59667e4f891a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7123097b-666f-4a62-b1db-fc06c4d6ebbd)) (fp_line (start -7.82 0) (end -7.82 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 534f7ae4-df16-4491-8315-6d1a9d59f714)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0a98f98-3476-4e0f-9d72-044b47b4d44f)) (fp_line (start -7.82 4.36) (end -7.23 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8fafe89-1838-43fc-91fc-55cc4343da92)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 201998ba-d21d-48bf-9deb-c94cd98873f5)) (fp_line (start -7.23 -5.96) (end -5.63 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a11f6422-4226-4234-8150-d43532628e84)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6befd874-581c-4606-b89a-1a8444dfc30a)) (fp_line (start -7.23 -4.36) (end -7.23 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a433d62-0d5e-4fa1-90ec-b04479cf5532)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8ce3767-a7a3-497c-87ce-4a5f6f30492f)) (fp_line (start -7.23 4.36) (end -7.23 4.68) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d46fe44-1743-4781-93df-c85fff4ac9e3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ecbe48b2-cb72-406f-b231-ab04178deea9)) (fp_line (start -7.23 4.68) (end -5.95 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7ddfada-0808-46c9-afc9-2938abb79417)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 81209e4c-241e-44eb-aba6-521772591177)) (fp_line (start -5.95 5.96) (end -5.63 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 853504f5-23f6-4122-a72d-294e7f693fd8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4834a5f-4cc2-4e20-9af7-be46911175a1)) (fp_line (start -5.63 -6.55) (end 0 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 648381cc-8285-45f6-ba53-1af4fc8bf226)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 70c7b187-5848-4df8-bdf3-35b32288b847)) (fp_line (start -5.63 -5.96) (end -5.63 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 488b13aa-ff99-4a08-98c8-7dfb168fd65c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4f87eb1-e8eb-4e39-8385-ea5e7dadb627)) (fp_line (start -5.63 5.96) (end -5.63 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 553bd18c-1337-4722-b20b-133b6d4a53ba)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 03eee7ac-0739-4520-aecf-b832eebff2a7)) (fp_line (start -5.63 6.55) (end 0 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dc9ce16-cf12-46da-9338-b70e7145ba6f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 748eb38b-bbb0-4215-80ee-28361cc4c515)) (fp_line (start 5.63 -6.55) (end 0 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7cb87793-edde-4794-81d0-8e04431a219c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d3c6085-9369-4e43-ada9-d05920992eb0)) (fp_line (start 5.63 -5.96) (end 5.63 -6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 159b55a8-2521-4107-8942-060cf1cf55f7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 31a69503-2239-423c-a590-fb31b8f7c1c5)) (fp_line (start 5.63 5.96) (end 5.63 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 41c04488-34f2-4800-99c9-f082471f9353)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 461389a6-7ac2-41bd-95a9-77ebac54cab0)) (fp_line (start 5.63 6.55) (end 0 6.55) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abde3239-a2eb-44fb-8a27-762989a04b8a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 450f787b-856a-4422-ab8b-124fba7d5b44)) (fp_line (start 7.23 -5.96) (end 5.63 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c7c43ab8-a65c-4404-898d-2aa8939cbed4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 842cfff8-4329-46c1-8776-2e5541590736)) (fp_line (start 7.23 -4.36) (end 7.23 -5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 38c0d326-4854-4214-bae1-41817113be8c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e82e0d3-4492-4db8-862b-148c353e1769)) (fp_line (start 7.23 4.36) (end 7.23 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2775b862-a8ba-46a7-9b55-8b99819ce258)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8a25886-9812-4c4b-87bf-4f0d69ea91fb)) (fp_line (start 7.23 5.96) (end 5.63 5.96) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e015a00b-0a88-45da-829c-3fea01aa50db)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 71474f4b-b25d-48cb-bee8-7c1cb86188f1)) (fp_line (start 7.82 -4.36) (end 7.23 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 87a90ed5-0cd2-4b62-89e0-7823b493fe12)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f8800f69-c05d-427d-b687-7ecced261bd0)) (fp_line (start 7.82 0) (end 7.82 -4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bf511a03-933f-4ff4-8c7d-284e2fe261af)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e1cc369-d202-44e1-b3bb-064c5c6d0112)) (fp_line (start 7.82 0) (end 7.82 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35d865aa-8cc2-4252-9df0-f89de0a77185)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6dd649be-c222-4d76-8c23-5f0fdb529c7d)) (fp_line (start 7.82 4.36) (end 7.23 4.36) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b37436cf-097e-45bb-97a3-2a8a74c50f17)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f875cf98-0333-4f91-85e3-6e7aa84c1e7f)) (fp_line (start -6.985 -5.715) (end 6.985 -5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e5cac262-2dc6-41fc-bbca-50212bed19a8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8dde3a3-c1f7-4706-a866-4ee8d8728064)) (fp_line (start -6.985 -0.5) (end -6.985 -5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc68f19a-13aa-4f54-8901-109875135ae1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 701d900e-7a55-4551-8642-6b551fe0458b)) (fp_line (start -6.985 0.5) (end -6.277893 0) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4abbb120-d2a8-477e-9597-4ff29f4f2735)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a2006ca1-ad7f-446f-8f90-d7739bf5ef31)) (fp_line (start -6.985 4.575) (end -6.985 0.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7df4424c-4082-4a57-9dd4-9435106bba09)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c6c87bf8-0027-485b-ae2c-428739a257a4)) (fp_line (start -6.277893 0) (end -6.985 -0.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 485c8295-b6c9-4eba-a4a2-904adbf06dec)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e5187a0-6ebc-409e-9015-05c30b955683)) (fp_line (start -5.845 5.715) (end -6.985 4.575) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2560b4b2-6dfd-45c2-991d-0a970a8fd974)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa1759f1-e9a0-4888-ada4-822cd949918b)) (fp_line (start 6.985 -5.715) (end 6.985 5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee7030f9-210d-467c-916e-8a95ec84ce80)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72aaf00d-4f0c-480c-835d-f39db8d375d7)) (fp_line (start 6.985 5.715) (end -5.845 5.715) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4fc5704-f669-4602-93ef-7b398839de27)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2eef1cdd-17d5-4131-a933-ef30ddc27096)) (pad "1" smd roundrect (at -6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/Buf/AccA9") (pinfunction "A18") (pintype "input") (tstamp 70eee93d-56d6-4baf-b7ca-ea720a773702)) + (net 101 "/Buf/AccA9") (pinfunction "A18") (pintype "input") (tstamp 141eb281-1650-4e99-8261-daa1876f9bb3)) (pad "2" smd roundrect (at -6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 166 "/Buf/AccA1") (pinfunction "A16") (pintype "input") (tstamp 6a337379-7181-43de-a5e2-5b8234c9d60c)) + (net 166 "/Buf/AccA1") (pinfunction "A16") (pintype "input") (tstamp 965c38b7-fd7f-442f-93af-00cd4dadb22a)) (pad "3" smd roundrect (at -6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 168 "/Buf/AccA3") (pinfunction "A15") (pintype "input") (tstamp 254e5263-4ba0-4aba-87a6-0c638ffbc0b6)) + (net 168 "/Buf/AccA3") (pinfunction "A15") (pintype "input") (tstamp f6f79503-914c-4cd1-8f81-0ec3f8ed97f0)) (pad "4" smd roundrect (at -6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/Buf/AccA7") (pinfunction "A12") (pintype "input") (tstamp 83bc2273-79d8-4911-a925-5084936cf8a5)) + (net 99 "/Buf/AccA7") (pinfunction "A12") (pintype "input") (tstamp c9074da9-2b68-4c3f-8101-28944842986f)) (pad "5" smd roundrect (at -5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/Buf/AccA6") (pinfunction "A7") (pintype "input") (tstamp 6786786d-cf29-4814-af9d-5462b45b26be)) + (net 98 "/Buf/AccA6") (pinfunction "A7") (pintype "input") (tstamp b5e416ef-46a8-453f-9709-47f37f2dc973)) (pad "6" smd roundrect (at -3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/Buf/AccA5") (pinfunction "A6") (pintype "input") (tstamp 32532457-be4a-4513-92fa-4226bffcdc64)) + (net 97 "/Buf/AccA5") (pinfunction "A6") (pintype "input") (tstamp 2c965c64-80d1-465d-9c27-568648db1ebe)) (pad "7" smd roundrect (at -2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 176 "/Buf/AccA4") (pinfunction "A5") (pintype "input") (tstamp 1100240c-bbe6-4842-bd57-48111062b727)) + (net 176 "/Buf/AccA4") (pinfunction "A5") (pintype "input") (tstamp 42a6ed96-c554-4f6d-8892-6e0cd6fc446d)) (pad "8" smd roundrect (at -1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 167 "/Buf/AccA2") (pinfunction "A4") (pintype "input") (tstamp 5facabbb-76a3-4c85-a2d7-ce166dbd0dbb)) + (net 167 "/Buf/AccA2") (pinfunction "A4") (pintype "input") (tstamp bc67dd98-f771-4050-af71-c1f9e1359837)) (pad "9" smd roundrect (at 0 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/Buf/AccA10") (pinfunction "A3") (pintype "input") (tstamp 66840869-0e8a-4756-8591-bf0c1fa2adb9)) + (net 107 "/Buf/AccA10") (pinfunction "A3") (pintype "input") (tstamp 967e820c-46d5-4ff5-8c9b-ab3072abf37a)) (pad "10" smd roundrect (at 1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/Buf/AccA11") (pinfunction "A2") (pintype "input") (tstamp 3ec9abe0-8eaf-4c59-9880-1d83675a0c93)) + (net 114 "/Buf/AccA11") (pinfunction "A2") (pintype "input") (tstamp f53ea5bf-d228-4bbf-a9b4-127719573f34)) (pad "11" smd roundrect (at 2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "/Buf/AccA14") (pinfunction "A1") (pintype "input") (tstamp b4629922-deba-4359-82e7-c1bbca8c53bd)) + (net 130 "/Buf/AccA14") (pinfunction "A1") (pintype "input") (tstamp 1f0d698f-3d04-400e-b635-aae09ed45a94)) (pad "12" smd roundrect (at 3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "/Buf/AccA15") (pinfunction "A0") (pintype "input") (tstamp 6f32638f-71af-403c-b45e-391f6691f0f1)) + (net 131 "/Buf/AccA15") (pinfunction "A0") (pintype "input") (tstamp 740f2794-a083-4bda-82c0-dfc66607810c)) (pad "13" smd roundrect (at 5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "/Buf/AccD8") (pinfunction "D0") (pintype "bidirectional") (tstamp 954ddeb1-c980-43f3-8edc-f5c412eeaa39)) + (net 163 "/Buf/AccD8") (pinfunction "D0") (pintype "bidirectional") (tstamp 6f2236dd-eead-4cb9-803b-77e74eb40931)) (pad "14" smd roundrect (at 6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "/Buf/AccD9") (pinfunction "D1") (pintype "bidirectional") (tstamp 1e6ab3da-1c75-440b-b06e-f614e649e954)) + (net 162 "/Buf/AccD9") (pinfunction "D1") (pintype "bidirectional") (tstamp 0b8c05bb-7a6f-4269-8820-1851b9ce1835)) (pad "15" smd roundrect (at 6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "/Buf/AccD10") (pinfunction "D2") (pintype "bidirectional") (tstamp ff0867a5-3875-4807-b186-dee97ad57aea)) + (net 164 "/Buf/AccD10") (pinfunction "D2") (pintype "bidirectional") (tstamp e29fa2e8-c348-4d31-827a-e93b0c79045a)) (pad "16" smd roundrect (at 6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1d944ec0-642e-4776-b8ee-9b85f6c5be8c)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 028d5671-5027-4bac-a7b2-1a5de2b52797)) (pad "17" smd roundrect (at 6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 161 "/Buf/AccD11") (pinfunction "D3") (pintype "bidirectional") (tstamp b263772a-aed3-406d-8c0a-6dfa5d76314f)) + (net 161 "/Buf/AccD11") (pinfunction "D3") (pintype "bidirectional") (tstamp af9db2a6-77fa-47bf-9857-9d7e02bca6ae)) (pad "18" smd roundrect (at 6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 165 "/Buf/AccD12") (pinfunction "D4") (pintype "bidirectional") (tstamp 63eb83ae-009f-4440-b7bd-69cdacb98d72)) + (net 165 "/Buf/AccD12") (pinfunction "D4") (pintype "bidirectional") (tstamp 9541ca54-915c-4aa6-baa3-23afdbcfa05e)) (pad "19" smd roundrect (at 6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "/Buf/AccD13") (pinfunction "D5") (pintype "bidirectional") (tstamp b83cb49c-05db-429c-8f7e-6d7c8aa43faf)) + (net 160 "/Buf/AccD13") (pinfunction "D5") (pintype "bidirectional") (tstamp 227090e3-d045-40d3-b9dd-030997e805f0)) (pad "20" smd roundrect (at 6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "/Buf/AccD14") (pinfunction "D6") (pintype "bidirectional") (tstamp 4d3d80a2-b2de-4af3-a1cd-68378345ddb1)) + (net 158 "/Buf/AccD14") (pinfunction "D6") (pintype "bidirectional") (tstamp 6cebcfda-c0a6-4a9e-98db-4f13c27c2d99)) (pad "21" smd roundrect (at 5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 159 "/Buf/AccD15") (pinfunction "D7") (pintype "bidirectional") (tstamp 142ffcda-f847-4051-be86-a45dc6cb6ec0)) + (net 159 "/Buf/AccD15") (pinfunction "D7") (pintype "bidirectional") (tstamp 11d650b0-8bd5-4d43-b011-cf54adc7163a)) (pad "22" smd roundrect (at 3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "~{CS}") (pintype "input") (tstamp 3263e56d-d57c-4b5f-97ac-690b5e0998d9)) + (net 1 "GND") (pinfunction "~{CS}") (pintype "input") (tstamp 6920f98e-ba47-446f-8fdf-25143c9648dd)) (pad "23" smd roundrect (at 2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/Buf/AccA8") (pinfunction "A10") (pintype "input") (tstamp 56de7077-01f1-40d6-88ab-97abaa2d011c)) + (net 100 "/Buf/AccA8") (pinfunction "A10") (pintype "input") (tstamp 0d51d698-94a7-4173-bca2-810b7fe42435)) (pad "24" smd roundrect (at 1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "/Control/ROM~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp aa5fbd68-fae8-473e-bcca-3d243bec16af)) + (net 123 "/Control/ROM~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 95b9062d-377b-4f83-a960-6679c0fe57bc)) (pad "25" smd roundrect (at 0 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "/Control/RA11") (pinfunction "A11") (pintype "input") (tstamp 2aafefe4-4cce-4e8a-9e8d-67c06d28d679)) + (net 105 "/Control/RA11") (pinfunction "A11") (pintype "input") (tstamp 5967b277-9cc9-476e-b472-c5c590d73ca8)) (pad "26" smd roundrect (at -1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/Control/RA8") (pinfunction "A9") (pintype "input") (tstamp 9e0097c8-d076-4521-bdf1-a3657d800f7d)) + (net 110 "/Control/RA8") (pinfunction "A9") (pintype "input") (tstamp 40832ae9-c771-4f61-b0b6-a3deceef7ab4)) (pad "27" smd roundrect (at -2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp ecb4704d-54d4-499a-b64f-4a3dbe4f2f03)) + (net 133 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp 3c7125ec-b5a2-431b-b5d4-04d58beac12c)) (pad "28" smd roundrect (at -3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "/Buf/AccA16") (pinfunction "A13") (pintype "input") (tstamp 9d4e42e7-64df-4445-8d4f-9ad66fa72054)) + (net 132 "/Buf/AccA16") (pinfunction "A13") (pintype "input") (tstamp 15aad234-5047-4902-8cdd-12b4691b0f3d)) (pad "29" smd roundrect (at -5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "/Buf/AccA13") (pinfunction "A14") (pintype "input") (tstamp 2dd42b93-7492-498e-9fee-acccc388f4b4)) + (net 128 "/Buf/AccA13") (pinfunction "A14") (pintype "input") (tstamp 85acf51a-3f56-4aca-804f-04aceeec8a62)) (pad "30" smd roundrect (at -6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/Buf/AccA12") (pinfunction "A17") (pintype "input") (tstamp 975bc5ff-8f65-4681-94d2-30cf1bc3cecf)) + (net 120 "/Buf/AccA12") (pinfunction "A17") (pintype "input") (tstamp 36247617-f3e8-409e-9ce6-086b1f167210)) (pad "31" smd roundrect (at -6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 80de99fb-a704-4231-89cd-9718980a8bb7)) + (net 124 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp bea46d71-583b-4ef0-a73d-033068124462)) (pad "32" smd roundrect (at -6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 54f04f2d-aa2a-4156-ad0c-0970ac0c86e5)) + (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp e9f604d8-8520-4182-af5e-798c7fc53871)) (model "${KIPRJMOD}/../stdpads.3dshapes/PLCC-32.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4451,236 +4448,236 @@ (attr smd) (fp_text reference "U14" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 33785520-3eee-4885-9f64-e7a39d7d1776) + (tstamp b5b63e69-0f12-4962-8226-b6aed8686fd3) ) (fp_text value "MC68HC000FN20" (at 0 1.27) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp aa57b5b8-ce08-48fd-887f-ad33de6055e7) + (tstamp 2eab09fe-e5e1-42da-ba7e-c40717ecb652) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp cc5218b3-3200-49fe-8e95-b0842b56eb61) + (tstamp 7ec0d3ff-a6ee-4614-aa61-2632d29773d9) ) (fp_line (start -12.2258 -11.050582) (end -12.2258 -10.72) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf6bd215-2e76-443b-a3a7-5aded1abfbfc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 68e1bf2c-2967-44d5-ad49-a39cbe3dd1db)) (fp_line (start -12.2258 12.2258) (end -12.2258 10.72) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27bfba68-a262-476d-a100-0cfdd871b687)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc47c55c-7c77-4e71-92c0-1b221f3038f4)) (fp_line (start -11.050582 -12.2258) (end -12.2258 -11.050582) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1aaa6d5-4120-4732-8b68-8c876c8e505b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1425f9b-9ed5-4176-9a37-09bb0bbbfff9)) (fp_line (start -10.72 -12.2258) (end -11.050582 -12.2258) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69af9af2-6271-47a3-9bc7-99c1ff6089ad)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f792ab34-5bca-4fa1-bedd-1126774c4e5e)) (fp_line (start -10.72 12.2258) (end -12.2258 12.2258) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9fb7512b-bb6c-418f-bb6b-6c3174afae45)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53e08692-dcf7-440f-9231-2b8bb14f67c6)) (fp_line (start 10.72 -12.2258) (end 12.2258 -12.2258) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a481eb0-c196-46fa-ac67-0bb5bb661add)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2ce98804-a362-4348-8084-3295f4c17001)) (fp_line (start 10.72 12.2258) (end 12.2258 12.2258) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b577202-f0b2-44dc-801e-0450e0c2c211)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b34631b9-672e-4128-b7d4-8872ad0554b6)) (fp_line (start 12.2258 -12.2258) (end 12.2258 -10.72) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58b26d6d-1e65-4e83-8d00-7389aef11c46)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f93aa3af-3f38-40d9-982b-b70f7212077c)) (fp_line (start 12.2258 12.2258) (end 12.2258 10.72) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b9fce33-1644-4945-9a67-f7af773713e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6fad6ae5-76dd-4983-9112-22f9637e4710)) (fp_line (start -12.9 -10.71) (end -12.9 0) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d46df2bb-7725-4414-a43b-910594ef051a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54311a0b-795d-4f68-a027-a90be5a41b08)) (fp_line (start -12.9 10.71) (end -12.9 0) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fded6e4d-98a1-4ffe-a415-e7b2e83b7415)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 60deeee4-3a09-4df2-bc22-422245f0ece9)) (fp_line (start -12.37 -11.08) (end -12.37 -10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84b5a682-0a88-4f34-88ed-cb763595ea3d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7f015ce-3fa7-4177-b07b-e5a5f226f0f6)) (fp_line (start -12.37 -10.71) (end -12.9 -10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5320249e-f868-427f-8bed-0441255917ff)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52c29e8c-ad84-4532-8e1b-aff2aebc526b)) (fp_line (start -12.37 10.71) (end -12.9 10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7d889a4-892d-4151-9f3b-7732291c3a93)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7cf42796-2a9b-40e1-bc7c-55373dce20a5)) (fp_line (start -12.37 12.37) (end -12.37 10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ab4a68c-b7d5-44f7-86b6-96b51bb2c74e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94779be3-44d3-4517-ae94-ecac17857923)) (fp_line (start -11.08 -12.37) (end -12.37 -11.08) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f38f708-04c6-4e25-8ad0-51fe65392a6d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9499c95-1a88-4553-a6f7-b36d279beef2)) (fp_line (start -10.71 -12.9) (end -10.71 -12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98e65d9e-0099-478a-82a4-2e4f023a1362)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7bb704c-6696-4d3c-8186-fb6c07a8ccd6)) (fp_line (start -10.71 -12.37) (end -11.08 -12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8fc1f678-04ed-4364-a9e1-ccf26cf0a670)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec9690fa-e5d9-4d75-8089-9a09087c4847)) (fp_line (start -10.71 12.37) (end -12.37 12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13290208-f67a-4a84-b339-76f304d8e2f7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5b96c045-3356-49d9-ad1c-3e94b78e3849)) (fp_line (start -10.71 12.9) (end -10.71 12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db2b6d8e-eb94-4452-8dc6-41b49f5148bb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67a25bab-5764-477e-8309-606bd8d904c3)) (fp_line (start 0 -12.9) (end -10.71 -12.9) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f1e65027-d061-45fa-950f-dd9c89d2b27a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b37d71d4-fe75-48db-91a7-adbbeb6b31cf)) (fp_line (start 0 -12.9) (end 10.71 -12.9) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7630ff21-edcf-45d4-8d4b-ae8a3d5607a5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp deedba13-59f5-4636-9543-4165bc94a7f7)) (fp_line (start 0 12.9) (end -10.71 12.9) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 50805471-cd3a-4c8d-9455-f295ec1f70dc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b91ebcdc-8a5c-488d-a984-7ca9e3321c2b)) (fp_line (start 0 12.9) (end 10.71 12.9) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05d5a346-e6f4-4b57-b73a-65035af92e99)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 074ac021-6b99-44ba-99e3-5cd9c79ef1a8)) (fp_line (start 10.71 -12.9) (end 10.71 -12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2dce9ef0-d84b-47a2-a2c5-b3ee41c5c2a1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8f064f47-5e2a-4043-bddf-ec3a91275ead)) (fp_line (start 10.71 -12.37) (end 12.37 -12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 129f11bb-2806-42b1-a241-7e9118ed7b95)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a91fee5-f593-4584-9b57-711370377623)) (fp_line (start 10.71 12.37) (end 12.37 12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a0aab42-489f-4ee1-af54-fd77119709a3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8e7f3c8-ccfd-4684-a940-3fa826cee1dd)) (fp_line (start 10.71 12.9) (end 10.71 12.37) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4975f1e-7ce9-49b5-bd4e-283202e9e232)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f712cc3-c4fd-43e6-a08b-877dbbf5cfd8)) (fp_line (start 12.37 -12.37) (end 12.37 -10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5cc6e8f4-eb1a-432d-8b94-b4bf53f230cd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f6bf969-313c-4cb6-932b-fe8d0e631712)) (fp_line (start 12.37 -10.71) (end 12.9 -10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c89a57fc-f909-471d-84a0-219716aece1c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2adea56d-2fe8-444e-86b6-8c2046d3b221)) (fp_line (start 12.37 10.71) (end 12.9 10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d629f428-e40b-4f92-a4e9-8c134c5ca228)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da072811-8952-4dfa-956a-3ba316e74c1b)) (fp_line (start 12.37 12.37) (end 12.37 10.71) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fbf6656a-ff1a-44b9-b67b-c5a5676ecaa2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55cbec7a-cea0-40ce-8c65-fec8685c2d4d)) (fp_line (start 12.9 -10.71) (end 12.9 0) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f59ccd24-852a-41ce-b1d8-4f5a10f8f2f3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88e415c9-e9c2-4daf-8f04-f57a46f69c65)) (fp_line (start 12.9 10.71) (end 12.9 0) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11ec2399-a66b-41da-bb4e-46ed44cc96a6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 318f7399-df61-4205-9043-1574cf174ac6)) (fp_line (start -12.1158 -10.9728) (end -10.9728 -12.1158) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 345c4620-67a1-40e3-a039-0cb9344332fb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 694cfb92-aa82-4d0a-b2ec-2c1bd36ee8e0)) (fp_line (start -12.1158 12.1158) (end -12.1158 -10.9728) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea35ac32-1fda-43f5-a893-6a17b1a2a04f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d92f576e-172e-4b00-ab9c-dde2074eb8f9)) (fp_line (start -10.9728 -12.1158) (end -0.5 -12.1158) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b55195e1-f1ce-41da-82c2-2eafcab0e3cc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81adc7ba-7426-4494-93f6-43bf9defbb76)) (fp_line (start -0.5 -12.1158) (end 0 -11.408693) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df7bc136-c126-40fd-b0dd-6a32d8bab874)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae72485a-5b88-4989-a6b4-6cf19083d283)) (fp_line (start 0 -11.408693) (end 0.5 -12.1158) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe7609cb-c4da-4566-9c50-42e838dcf519)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b42cf119-c0d4-4a73-b5b4-9ff1c23d961a)) (fp_line (start 0.5 -12.1158) (end 12.1158 -12.1158) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d403c3f8-8744-4344-8bc9-88843fddb8cf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp faa1ff43-01ed-4bdb-959e-45146581cb63)) (fp_line (start 12.1158 -12.1158) (end 12.1158 12.1158) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 01be0254-c3db-48dc-b615-79791c385d47)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 43c163bf-a73c-4f0f-9456-97d2eacc863b)) (fp_line (start 12.1158 12.1158) (end -12.1158 12.1158) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 33a2ac2b-4f8b-4ee1-9243-bc945fae7059)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5eace254-0c7e-4c57-95a9-93a98fb34b08)) (pad "1" smd roundrect (at 0 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 157 "/Buf/AccD4") (pinfunction "D4") (pintype "bidirectional") (tstamp fab33e66-f89e-4ecf-8572-c82171cbcae3)) + (net 157 "/Buf/AccD4") (pinfunction "D4") (pintype "bidirectional") (tstamp af8c03fa-e518-4030-85be-f6b9fb8e09e6)) (pad "2" smd roundrect (at -1.27 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "/Buf/AccD3") (pinfunction "D3") (pintype "bidirectional") (tstamp 5fcc4f3e-b5c8-4263-ad5b-3ce2a4075111)) + (net 154 "/Buf/AccD3") (pinfunction "D3") (pintype "bidirectional") (tstamp a695b4e1-716a-40c7-bdfe-560c7df84d4f)) (pad "3" smd roundrect (at -2.54 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "/Buf/AccD2") (pinfunction "D2") (pintype "bidirectional") (tstamp 287896b4-9546-4752-ae55-0bec859818da)) + (net 156 "/Buf/AccD2") (pinfunction "D2") (pintype "bidirectional") (tstamp caf3723f-c99f-47ba-9972-61632f914519)) (pad "4" smd roundrect (at -3.81 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 155 "/Buf/AccD1") (pinfunction "D1") (pintype "bidirectional") (tstamp 262e13c2-9985-438f-9657-d12ec778dec6)) + (net 155 "/Buf/AccD1") (pinfunction "D1") (pintype "bidirectional") (tstamp e7373f32-05c6-4a1a-8c31-5122ea739c8b)) (pad "5" smd roundrect (at -5.08 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 183 "/Buf/AccD0") (pinfunction "D0") (pintype "bidirectional") (tstamp 4ddd86ed-cfb4-457a-8de8-11c3ee3a0129)) + (net 183 "/Buf/AccD0") (pinfunction "D0") (pintype "bidirectional") (tstamp ac12c218-bcb9-4331-8602-4d5b87bf587d)) (pad "6" smd roundrect (at -6.35 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 126 "/Control/Acc~{AS}") (pinfunction "AS") (pintype "output") (tstamp 63934385-2ad0-45da-bc25-599fadd21d42)) + (net 126 "/Control/Acc~{AS}") (pinfunction "AS") (pintype "output") (tstamp db6b0ee0-7c75-4974-8c36-d64c49507142)) (pad "7" smd roundrect (at -7.62 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "/Control/Acc~{UDS}") (pinfunction "UDS") (pintype "output") (tstamp a381a793-1d7e-4e11-afb7-41a177cd4707)) + (net 125 "/Control/Acc~{UDS}") (pinfunction "UDS") (pintype "output") (tstamp 5ad089bb-ef24-4ba5-8a97-4b245953f02a)) (pad "8" smd roundrect (at -8.89 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 127 "/Control/Acc~{LDS}") (pinfunction "LDS") (pintype "output") (tstamp aa93985f-7fbe-4fd4-b250-7dfe917bc854)) + (net 127 "/Control/Acc~{LDS}") (pinfunction "LDS") (pintype "output") (tstamp 8edddf26-de03-422c-b3b1-32e28f0a7445)) (pad "9" smd roundrect (at -10.16 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "/Buf/Acc~{R}W") (pinfunction "R/W") (pintype "output") (tstamp a3707b58-ebe4-42ae-8d94-542906b0fafe)) + (net 140 "/Buf/Acc~{R}W") (pinfunction "R/W") (pintype "output") (tstamp 53d84734-2f2e-4f0a-b73f-d0959240b4f3)) (pad "10" smd roundrect (at -11.8 -10.16) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 129 "/Control/Acc~{DTACK}") (pinfunction "DTACK") (pintype "input") (tstamp 3aaf452c-b677-49d7-8114-8c953a88edce)) + (net 129 "/Control/Acc~{DTACK}") (pinfunction "DTACK") (pintype "input") (tstamp dcd384de-05b4-4f4f-a637-a5eb4e12e750)) (pad "11" smd roundrect (at -11.8 -8.89) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 192 "unconnected-(U14-BG-Pad11)") (pinfunction "BG") (pintype "output+no_connect") (tstamp 019f4c7c-1c88-449a-96bf-638ffd5b4843)) + (net 192 "unconnected-(U14-BG-Pad11)") (pinfunction "BG") (pintype "output+no_connect") (tstamp c49bd370-b694-492f-9c9c-12fce0c4d484)) (pad "12" smd roundrect (at -11.8 -7.62) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "BGACK") (pintype "input") (tstamp ccff3e30-5260-4232-a5a2-5f85170740b7)) + (net 2 "+5V") (pinfunction "BGACK") (pintype "input") (tstamp 15d49336-78f6-469c-9114-426d3d9d94fb)) (pad "13" smd roundrect (at -11.8 -6.35) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "BR") (pintype "input") (tstamp 450660eb-482d-4107-a5e6-60c2fd6aeb7d)) + (net 2 "+5V") (pinfunction "BR") (pintype "input") (tstamp ebf71769-d439-4fb1-abe5-eac67fbba898)) (pad "14" smd roundrect (at -11.8 -5.08) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp ae2ff96c-c4d5-4cf7-a7af-89ce3a373eca)) + (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp f3ce1459-a674-4b30-83f3-d779d366e51a)) (pad "15" smd roundrect (at -11.8 -3.81) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/Clk.sch/MCLK") (pinfunction "CLK") (pintype "input") (tstamp 25570e73-6413-4a79-b9f6-166fab460a53)) + (net 88 "/Clk.sch/MCLK") (pinfunction "CLK") (pintype "input") (tstamp 06176981-3386-4513-80d8-1c2ce60b7433)) (pad "16" smd roundrect (at -11.8 -2.54) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 43fbebb2-dc20-4f74-8c4f-c0d027309fe6)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 299c53d1-2520-40b1-bbf4-80130113a80c)) (pad "17" smd roundrect (at -11.8 -1.27) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d4211eec-b80f-46d9-96bc-3726722f6717)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 228868df-9302-40b3-988b-01285c8dbf4b)) (pad "18" smd roundrect (at -11.8 0) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 193 "unconnected-(U14-NC-Pad18)") (pinfunction "NC") (pintype "no_connect") (tstamp f1390ca8-1d10-4e0c-9bac-cb2608df7c7f)) + (net 193 "unconnected-(U14-NC-Pad18)") (pinfunction "NC") (pintype "no_connect") (tstamp 6faded10-4ac3-48fc-a3e3-40ac8a79b83f)) (pad "19" smd roundrect (at -11.8 1.27) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Control/~{RESET}") (pinfunction "HALT") (pintype "bidirectional") (tstamp d70de9e4-4d06-4a14-add2-112ad4cd219b)) + (net 24 "/Control/~{RESET}") (pinfunction "HALT") (pintype "bidirectional") (tstamp 7391e844-f7a8-437a-8fc5-0c24a6fccfb7)) (pad "20" smd roundrect (at -11.8 2.54) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Control/~{RESET}") (pinfunction "RESET") (pintype "input") (tstamp 4b16d251-a3df-4e9b-af4d-b5a164fcb3b7)) + (net 24 "/Control/~{RESET}") (pinfunction "RESET") (pintype "input") (tstamp 4d09dbe6-0448-4d77-9421-736b95f0a424)) (pad "21" smd roundrect (at -11.8 3.81) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 194 "unconnected-(U14-VMA-Pad21)") (pinfunction "VMA") (pintype "output+no_connect") (tstamp 0eb56c19-529c-4cde-98c7-5a9b33af66ff)) + (net 194 "unconnected-(U14-VMA-Pad21)") (pinfunction "VMA") (pintype "output+no_connect") (tstamp a0e2b6df-7c66-479a-84f8-52d722e2fe78)) (pad "22" smd roundrect (at -11.8 5.08) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 195 "unconnected-(U14-E-Pad22)") (pinfunction "E") (pintype "output+no_connect") (tstamp ce3a8479-69b1-4c35-b847-d6e3b6a73f07)) + (net 195 "unconnected-(U14-E-Pad22)") (pinfunction "E") (pintype "output+no_connect") (tstamp 10dfd134-fd42-45c7-b24c-6f67eaf9ec2f)) (pad "23" smd roundrect (at -11.8 6.35) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/Control/Acc~{VPA}") (pinfunction "VPA") (pintype "input") (tstamp a3f9e221-bdcf-4f0b-a8b0-21bc0b33fc6e)) + (net 89 "/Control/Acc~{VPA}") (pinfunction "VPA") (pintype "input") (tstamp 62e465b6-f69b-43c9-b494-314a7fb61110)) (pad "24" smd roundrect (at -11.8 7.62) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/Control/Acc~{BERR}") (pinfunction "BERR") (pintype "input") (tstamp 0a707dc5-84f2-47fe-8d97-8700ab420461)) + (net 90 "/Control/Acc~{BERR}") (pinfunction "BERR") (pintype "input") (tstamp 238f7df0-6335-48bd-964b-728c7a6da42a)) (pad "25" smd roundrect (at -11.8 8.89) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 42 "/Control/~{IPL}2") (pinfunction "IPL2") (pintype "input") (tstamp 00fc35a1-13f6-463e-9533-b9567a932f6e)) + (net 42 "/Control/~{IPL}2") (pinfunction "IPL2") (pintype "input") (tstamp fc421c76-7505-47ea-ac8f-74f004638a75)) (pad "26" smd roundrect (at -11.8 10.16) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 43 "/MC68k/~{IPL}1") (pinfunction "IPL1") (pintype "input") (tstamp f4533031-73d9-4c8e-a06a-1fc38d55fce3)) + (net 43 "/MC68k/~{IPL}1") (pinfunction "IPL1") (pintype "input") (tstamp 548a920a-beef-44a8-b40e-7fb50c85fe02)) (pad "27" smd roundrect (at -10.16 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 44 "/MC68k/~{IPL}0") (pinfunction "IPL0") (pintype "input") (tstamp 465c0c11-efac-4144-b5ea-21c510f309bd)) + (net 44 "/MC68k/~{IPL}0") (pinfunction "IPL0") (pintype "input") (tstamp 9bf8e5e0-dca5-4bb6-bb35-900809712907)) (pad "28" smd roundrect (at -8.89 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 196 "unconnected-(U14-FC2-Pad28)") (pinfunction "FC2") (pintype "output+no_connect") (tstamp 6c9a157a-fd4c-47a9-af35-906c65eff9e1)) + (net 196 "unconnected-(U14-FC2-Pad28)") (pinfunction "FC2") (pintype "output+no_connect") (tstamp 971c3258-f050-4c59-9aea-0e5b19d29d56)) (pad "29" smd roundrect (at -7.62 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 197 "unconnected-(U14-FC1-Pad29)") (pinfunction "FC1") (pintype "output+no_connect") (tstamp e0e683e5-d759-4ae1-80d0-1ad75c516686)) + (net 197 "unconnected-(U14-FC1-Pad29)") (pinfunction "FC1") (pintype "output+no_connect") (tstamp 18231a2b-93a8-4eb3-82e5-0bf8bafeec31)) (pad "30" smd roundrect (at -6.35 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 198 "unconnected-(U14-FC0-Pad30)") (pinfunction "FC0") (pintype "output+no_connect") (tstamp 15292121-2b51-44a5-95bd-dfffda6bf40d)) + (net 198 "unconnected-(U14-FC0-Pad30)") (pinfunction "FC0") (pintype "output+no_connect") (tstamp f0a375bd-7fed-4393-9a11-16a64bc7d72d)) (pad "31" smd roundrect (at -5.08 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 199 "unconnected-(U14-NC-Pad31)") (pinfunction "NC") (pintype "no_connect") (tstamp 94a90f09-1e17-4ade-bcc1-dc49107d71b9)) + (net 199 "unconnected-(U14-NC-Pad31)") (pinfunction "NC") (pintype "no_connect") (tstamp 08d6b106-a653-48e1-b848-faef226d06ab)) (pad "32" smd roundrect (at -3.81 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 166 "/Buf/AccA1") (pinfunction "A1") (pintype "output") (tstamp 8e927b3c-5139-4444-b899-726b63948d65)) + (net 166 "/Buf/AccA1") (pinfunction "A1") (pintype "output") (tstamp 2460d779-f293-4f7f-af5b-03dc7f62a908)) (pad "33" smd roundrect (at -2.54 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 167 "/Buf/AccA2") (pinfunction "A2") (pintype "output") (tstamp 3684fbad-21ef-4d1e-abd3-29b92ea79e67)) + (net 167 "/Buf/AccA2") (pinfunction "A2") (pintype "output") (tstamp 46c42364-1e41-4b63-afce-48692676574b)) (pad "34" smd roundrect (at -1.27 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 168 "/Buf/AccA3") (pinfunction "A3") (pintype "output") (tstamp 50770f8e-bb27-46f4-88f9-73bb88fc5917)) + (net 168 "/Buf/AccA3") (pinfunction "A3") (pintype "output") (tstamp 3b64ffb1-d9e2-4743-a0b2-4a79a467edf4)) (pad "35" smd roundrect (at 0 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 176 "/Buf/AccA4") (pinfunction "A4") (pintype "output") (tstamp 9f32c3cc-2ba8-4ce8-bf40-0593ef955783)) + (net 176 "/Buf/AccA4") (pinfunction "A4") (pintype "output") (tstamp 49bc770b-ba80-4231-b5d1-439a66e5a577)) (pad "36" smd roundrect (at 1.27 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/Buf/AccA5") (pinfunction "A5") (pintype "output") (tstamp 99267f69-2622-4eed-bfb4-e89fa2b842c6)) + (net 97 "/Buf/AccA5") (pinfunction "A5") (pintype "output") (tstamp 103efdcc-5cbb-4d6d-9277-08781ab4dea9)) (pad "37" smd roundrect (at 2.54 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/Buf/AccA6") (pinfunction "A6") (pintype "output") (tstamp fe802671-9bfe-41c0-8ae1-cfa6e0902b88)) + (net 98 "/Buf/AccA6") (pinfunction "A6") (pintype "output") (tstamp f3411fff-a7ec-4608-adfb-50102cc435e2)) (pad "38" smd roundrect (at 3.81 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/Buf/AccA7") (pinfunction "A7") (pintype "output") (tstamp 758d5a08-642e-4ad9-a2db-42bc4eca37c0)) + (net 99 "/Buf/AccA7") (pinfunction "A7") (pintype "output") (tstamp 35cc88bf-fcbf-4970-9307-8f306529f6f7)) (pad "39" smd roundrect (at 5.08 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/Buf/AccA8") (pinfunction "A8") (pintype "output") (tstamp 4910871c-0349-4152-aa08-28b8b63b3c8e)) + (net 100 "/Buf/AccA8") (pinfunction "A8") (pintype "output") (tstamp 206e1567-8017-4b13-b23f-0a0c564f533b)) (pad "40" smd roundrect (at 6.35 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/Buf/AccA9") (pinfunction "A9") (pintype "output") (tstamp 56c56304-27de-4859-beac-9ba7a3b5bde5)) + (net 101 "/Buf/AccA9") (pinfunction "A9") (pintype "output") (tstamp 47c42e7c-d5b0-4a02-8081-b216af1cc08a)) (pad "41" smd roundrect (at 7.62 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/Buf/AccA10") (pinfunction "A10") (pintype "output") (tstamp ca317f55-f1bb-4f2a-afaf-2248e0135feb)) + (net 107 "/Buf/AccA10") (pinfunction "A10") (pintype "output") (tstamp cc3d7285-df0f-4b04-9639-f77ef549df94)) (pad "42" smd roundrect (at 8.89 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/Buf/AccA11") (pinfunction "A11") (pintype "output") (tstamp d3826581-da9e-4812-9be2-49a02b113c40)) + (net 114 "/Buf/AccA11") (pinfunction "A11") (pintype "output") (tstamp 006590e3-7ae5-4ebc-a5aa-63f04ad9b2f2)) (pad "43" smd roundrect (at 10.16 11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "/Buf/AccA12") (pinfunction "A12") (pintype "output") (tstamp d2441257-30c0-4050-8765-a53daa92f86a)) + (net 120 "/Buf/AccA12") (pinfunction "A12") (pintype "output") (tstamp 13f67341-1a64-457a-9997-b5db0a8cc8ba)) (pad "44" smd roundrect (at 11.8 10.16) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "/Buf/AccA13") (pinfunction "A13") (pintype "output") (tstamp 7a70bfce-5a36-45ae-bf69-a4851357514b)) + (net 128 "/Buf/AccA13") (pinfunction "A13") (pintype "output") (tstamp 92609a45-2bcd-4f2a-bea9-c8c973cb8367)) (pad "45" smd roundrect (at 11.8 8.89) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "/Buf/AccA14") (pinfunction "A14") (pintype "output") (tstamp 94a012ac-9bf1-48f4-a0de-2833da8a868f)) + (net 130 "/Buf/AccA14") (pinfunction "A14") (pintype "output") (tstamp 1b8a1c32-8c15-4152-aba8-377d2e0d84c8)) (pad "46" smd roundrect (at 11.8 7.62) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "/Buf/AccA15") (pinfunction "A15") (pintype "output") (tstamp 5ffc1de6-f8c6-4cf7-aa73-97ffa808c7a2)) + (net 131 "/Buf/AccA15") (pinfunction "A15") (pintype "output") (tstamp d8842536-1720-4189-82c2-b71be49c9f57)) (pad "47" smd roundrect (at 11.8 6.35) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "/Buf/AccA16") (pinfunction "A16") (pintype "output") (tstamp 172b4850-dfb2-42cf-86ae-8230b2a46f09)) + (net 132 "/Buf/AccA16") (pinfunction "A16") (pintype "output") (tstamp f1e37c18-abd6-409c-b943-2b5e82e73bd6)) (pad "48" smd roundrect (at 11.8 5.08) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "/Buf/AccA17") (pinfunction "A17") (pintype "output") (tstamp fbe28a41-b633-491e-8161-996248b943ff)) + (net 133 "/Buf/AccA17") (pinfunction "A17") (pintype "output") (tstamp e634e62d-990a-42ce-8872-687440bbed77)) (pad "49" smd roundrect (at 11.8 3.81) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "/Buf/AccA18") (pinfunction "A18") (pintype "output") (tstamp a50c0e2e-4c84-4b52-b301-8cf85f6e8573)) + (net 134 "/Buf/AccA18") (pinfunction "A18") (pintype "output") (tstamp 915c259d-029f-4d6d-bc16-e1a1edd65d1d)) (pad "50" smd roundrect (at 11.8 2.54) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/Buf/AccA19") (pinfunction "A19") (pintype "output") (tstamp 2769232c-6c25-4f8d-98e4-68068aab6e49)) + (net 135 "/Buf/AccA19") (pinfunction "A19") (pintype "output") (tstamp f3edcee7-8a79-4913-ae3d-631a7efbc398)) (pad "51" smd roundrect (at 11.8 1.27) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "/Buf/AccA20") (pinfunction "A20") (pintype "output") (tstamp 34273ad7-5f6f-43be-9068-58140a6fc1c0)) + (net 136 "/Buf/AccA20") (pinfunction "A20") (pintype "output") (tstamp f9cf3a43-9f93-4aac-80b8-b5ddd85301cc)) (pad "52" smd roundrect (at 11.8 0) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 8a383cae-5b89-42b1-91c9-78269d9cd3b7)) + (net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp ad988071-e7b4-4943-8190-bd3add4babc2)) (pad "53" smd roundrect (at 11.8 -1.27) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/Buf/AccA21") (pinfunction "A21") (pintype "output") (tstamp ccb8fb50-2c2c-4e19-aa1e-a8ab80d8e4a5)) + (net 137 "/Buf/AccA21") (pinfunction "A21") (pintype "output") (tstamp 254ce5c9-86f7-4055-8b60-9253eaf924b4)) (pad "54" smd roundrect (at 11.8 -2.54) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "/Buf/AccA22") (pinfunction "A22") (pintype "output") (tstamp 95fbe3ff-72eb-4fd3-9f75-65b0aa63bfa2)) + (net 138 "/Buf/AccA22") (pinfunction "A22") (pintype "output") (tstamp 8f3ec3b5-f463-4395-b751-7997ab9aa661)) (pad "55" smd roundrect (at 11.8 -3.81) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "/Buf/AccA23") (pinfunction "A23") (pintype "output") (tstamp f399e6c7-dd22-49f7-89b2-ee7784a959f3)) + (net 139 "/Buf/AccA23") (pinfunction "A23") (pintype "output") (tstamp 110985f9-2257-4006-be57-37a2ea465060)) (pad "56" smd roundrect (at 11.8 -5.08) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 676e4446-db31-4739-ae09-969e5cd2d86a)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 495c66c9-7abc-4041-9bc7-e787c077c604)) (pad "57" smd roundrect (at 11.8 -6.35) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp fcd26a01-d441-4a11-9906-04e6b5001c0c)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 64bbdcf2-9e89-4eb6-9023-dc01f75b1fe5)) (pad "58" smd roundrect (at 11.8 -7.62) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 159 "/Buf/AccD15") (pinfunction "D15") (pintype "bidirectional") (tstamp 3dc4401f-2946-418e-8ca5-1cad71c9313b)) + (net 159 "/Buf/AccD15") (pinfunction "D15") (pintype "bidirectional") (tstamp ecf60465-24d7-4ff9-a401-bc72e51e5120)) (pad "59" smd roundrect (at 11.8 -8.89) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "/Buf/AccD14") (pinfunction "D14") (pintype "bidirectional") (tstamp 187bad94-6f1a-457c-88b4-f52ccc5118a7)) + (net 158 "/Buf/AccD14") (pinfunction "D14") (pintype "bidirectional") (tstamp 3842fc8e-4416-44c5-a7b9-3dcf9fb7d6ca)) (pad "60" smd roundrect (at 11.8 -10.16) (size 1.7 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "/Buf/AccD13") (pinfunction "D13") (pintype "bidirectional") (tstamp 5a8c5f54-0c93-4511-8d1f-3c8679ef5026)) + (net 160 "/Buf/AccD13") (pinfunction "D13") (pintype "bidirectional") (tstamp b32e5d89-9dbc-4d30-9b1b-b5074ec1c6ac)) (pad "61" smd roundrect (at 10.16 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 165 "/Buf/AccD12") (pinfunction "D12") (pintype "bidirectional") (tstamp 97ce52ea-c891-4ca2-90f4-04d969cc7431)) + (net 165 "/Buf/AccD12") (pinfunction "D12") (pintype "bidirectional") (tstamp c33fe07c-1938-47ad-82b7-8921aebe4553)) (pad "62" smd roundrect (at 8.89 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 161 "/Buf/AccD11") (pinfunction "D11") (pintype "bidirectional") (tstamp 4a0e7c7e-df48-498a-9c7b-7a617addfd1c)) + (net 161 "/Buf/AccD11") (pinfunction "D11") (pintype "bidirectional") (tstamp b5a2eacb-67e7-406b-8fff-73778738bb89)) (pad "63" smd roundrect (at 7.62 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "/Buf/AccD10") (pinfunction "D10") (pintype "bidirectional") (tstamp 249fa039-47cd-41f0-afac-b0c66bc237c3)) + (net 164 "/Buf/AccD10") (pinfunction "D10") (pintype "bidirectional") (tstamp de6d2810-7ac2-433c-9db6-f98aedb76169)) (pad "64" smd roundrect (at 6.35 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "/Buf/AccD9") (pinfunction "D9") (pintype "bidirectional") (tstamp 16979089-e46c-4625-b737-665eacec0497)) + (net 162 "/Buf/AccD9") (pinfunction "D9") (pintype "bidirectional") (tstamp 60b25b4e-775c-4801-970c-45c6650f80a4)) (pad "65" smd roundrect (at 5.08 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "/Buf/AccD8") (pinfunction "D8") (pintype "bidirectional") (tstamp 90e93c1d-321f-45cb-87ba-83689dd2a03c)) + (net 163 "/Buf/AccD8") (pinfunction "D8") (pintype "bidirectional") (tstamp 4fe4a84e-f98d-428c-97f1-b7c8af7a0d43)) (pad "66" smd roundrect (at 3.81 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "/Buf/AccD7") (pinfunction "D7") (pintype "bidirectional") (tstamp b16b6691-0e33-4eab-a76f-b50fb4293f90)) + (net 152 "/Buf/AccD7") (pinfunction "D7") (pintype "bidirectional") (tstamp 2e2438eb-3be5-45e6-b541-c33a118cb487)) (pad "67" smd roundrect (at 2.54 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "/Buf/AccD6") (pinfunction "D6") (pintype "bidirectional") (tstamp bc33ec5f-0998-4ba3-8e38-acc0ad4b859d)) + (net 151 "/Buf/AccD6") (pinfunction "D6") (pintype "bidirectional") (tstamp 8c292adb-64ee-4607-810a-779d4d3b5d79)) (pad "68" smd roundrect (at 1.27 -11.8) (size 0.6 1.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 153 "/Buf/AccD5") (pinfunction "D5") (pintype "bidirectional") (tstamp 68539860-78d3-454f-9039-4e59eb72c7b7)) + (net 153 "/Buf/AccD5") (pinfunction "D5") (pintype "bidirectional") (tstamp 59aa79b8-a161-4ff7-b6f6-4733b75e23d1)) (model "${KIPRJMOD}/../stdpads.3dshapes/PLCC-68.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4693,7 +4690,7 @@ (at 114.95 87.6 90) (descr "module CMS SOT223 4 pins") (tags "CMS SOT") - (property "LCSC Part" "C108494") + (property "LCSC Part" "C92102") (property "Sheetfile" "Power.kicad_sch") (property "Sheetname" "Power") (property "ki_description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223") @@ -4704,58 +4701,58 @@ (attr smd) (fp_text reference "U3" (at 0 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 949251b8-a0a6-4272-a77b-864b95badc75) + (tstamp 81b579d4-2201-4d00-a162-ffff97a68485) ) - (fp_text value "AZ1117CH-3.3" (at 1.016 0) (layer "F.Fab") + (fp_text value "AZ1117CH-3.3TRG1" (at 1.016 0) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp 00d296ba-690d-4c53-90a8-120351b073b3) + (tstamp 28d755b8-3926-4512-ac73-ff0aecad45ba) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 018cc624-068a-4a4d-820d-4c4213aadc50) + (tstamp b814888d-1e2a-4856-a3f4-799024fc7b54) ) (fp_line (start -1.91 -3.41) (end -1.91 -2.15) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp a078d4a7-e29f-43eb-9386-ca00a5e9f104)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp a7fa10ad-e9fe-4acb-96db-de6301bb638a)) (fp_line (start -1.91 3.41) (end -1.91 2.15) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 468faac0-43d8-4727-9ce4-b89678f984d1)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp eb2b7941-d73a-4c01-ba8a-fdb79427b40d)) (fp_line (start 1.85 -3.41) (end -1.91 -3.41) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp aac8882d-a715-47cd-b6f1-710b5502aa2f)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7561c8a0-656c-40b3-98cd-17c99a581cff)) (fp_line (start 4.1 3.41) (end -1.91 3.41) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f6677874-a6b7-4042-839a-578ce13dc039)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ff97fd9a-7c3e-467e-835a-f074821db611)) (fp_line (start -4.4 -2.15) (end -4.4 2.15) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc99bcaa-352e-43c6-89b0-4db5c110443a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44b9dbb6-92f4-4f2f-b0d8-40863397951a)) (fp_line (start -2.1 -3.6) (end -2.1 -2.15) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 442effdf-da30-4092-b7bc-180ec8a03ecb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp adc7e3be-12f9-48bf-8388-1a6508422096)) (fp_line (start -2.1 -2.15) (end -4.4 -2.15) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2e8a02f-da8b-4d26-8cf6-1aca4f2c9511)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9bb57377-1110-4a2e-a026-42e58735b0a5)) (fp_line (start -2.1 2.15) (end -4.4 2.15) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2ca1675-3702-413b-83c0-f51fb22c5e83)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cab0a2d0-3afb-4108-8b5d-c87f7525f661)) (fp_line (start -2.1 2.15) (end -2.1 3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 704b916e-982a-4139-a75f-5835d8b9be32)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aee2a654-f443-41bd-8603-697f5ba517f8)) (fp_line (start -2.1 3.6) (end 4.4 3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5d44fc6-e644-4a06-bd96-b3d3ba72d0e7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04b3aeec-66f4-4445-aafb-9337777668c5)) (fp_line (start 4.4 -3.6) (end -2.1 -3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 859d2d9c-e7bb-4c84-b6a4-9e9031014ab5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a24062c-0bb8-4337-a629-d12f6f6d0e9e)) (fp_line (start 4.4 3.6) (end 4.4 -3.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 758079eb-c631-4930-b91f-b97f6ab39481)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9268aa8f-c865-46d4-9f01-613d8a445805)) (fp_line (start -1.85 3.35) (end -1.85 -3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0bc8a37-ae9e-4f01-b1ec-e1b84e7903ad)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2d2a8eb-0928-461b-8fb5-907d3fc1a0a7)) (fp_line (start 0.85 3.35) (end -1.85 3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d3bb91e6-851a-4626-9adb-d6c2a8486947)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89d005bd-ef11-452b-8466-3eaba35b748c)) (fp_line (start 1.85 -3.35) (end -1.85 -3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 54a1a713-a400-40f9-a555-c1ed7903f6bf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85393a3f-2496-490f-a7b8-3e42459aa0f0)) (fp_line (start 1.85 2.35) (end 0.85 3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2c13372-88c6-401b-b9a0-bcd34a3ac043)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc0c9ad1-bd5b-4a96-a61c-56077e5dfb28)) (fp_line (start 1.85 2.35) (end 1.85 -3.35) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 828d0f1e-115d-4252-9460-30309db33d32)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1900d93-197c-4bf1-9e85-32e52d9bf006)) (pad "1" smd roundrect (at 3.15 2.3 270) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c1c34c27-c2bb-40e7-9b71-9b266f321997)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f3763089-3741-407c-871d-4d5cc935569e)) (pad "2" smd roundrect (at -3.15 0 270) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) - (net 3 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 32f9c7b3-66c9-4842-97f7-362d5bb918a6)) + (net 3 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 9f84a806-cf93-435c-b3f4-34c0eef310cb)) (pad "2" smd roundrect (at 3.15 0 270) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) - (net 3 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 5779586e-8283-4e77-b6a6-b326fdeca22a)) + (net 3 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 5f7a8bed-51d3-44c2-b686-1fce377a23bb)) (pad "3" smd roundrect (at 3.15 -2.3 270) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) - (net 2 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp 51017e82-8e4a-4508-9910-fa50ae7b3092)) + (net 2 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp 53cad091-0f55-412e-abaf-a04bae7f6a26)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4778,40 +4775,40 @@ (attr smd) (fp_text reference "C7" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 233dcfbe-a428-47e6-be84-45832037a168) + (tstamp 70097f05-8e0b-42e4-9cfe-768f1913987b) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 897510ba-7988-4fb9-b647-e07a7e6039b2) + (tstamp f603244c-5b38-4686-9a94-cb3ec9946eba) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a4ffb7b1-36c6-4d12-8060-b7b27e83d0a1) + (tstamp 63695d7b-0f38-4380-971a-efd39b103b06) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp b7b849cd-77e0-47fe-b275-4ac79e2b08fe)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2585cfcb-4543-46fb-aa3b-8c34e35f44f8)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c8c05dbb-1846-4ca4-aae9-9e1c66c87059)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9db58968-b6f8-42cd-9f40-66c86b161baa)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 788b8a1b-e768-4d1c-bcb0-9a625ac9667f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7713bea7-4004-4601-b586-9741221d2090)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 533a3f2c-95ff-45ef-94c5-983f30e93db1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdce71eb-6c66-4534-b4fc-1e51ce305896)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 927809ea-3358-40e0-998e-f8edfa86de3d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00fb06d3-9806-4540-ac11-1911f694f2c9)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ec2d034-43ba-4ced-9090-792a56f4a3ea)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d57694c-55bd-4812-bd81-554ba585f8ad)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b1a7dbb6-9d76-419d-b70a-ebbf61e4523a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2f7c60fc-8a9c-4762-8aeb-15966d145557)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5c00dda2-d3e4-41e4-9774-02e0f80babfc)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fb548d60-a78e-4830-b324-e142edb380ff)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 38ec6b3a-fd12-4715-a6c7-0bd2f685b3b8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3e3b0997-3808-45d8-9929-88710fc0c625)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 96adda07-59fc-4914-b753-0407514e2533)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 97c95316-5c06-4647-8826-8d94ed384f54)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 060e9ca3-679a-4a02-ad77-1bbc2d204ae7)) + (net 3 "+3V3") (pintype "passive") (tstamp 27fa2c2c-0f56-4097-a8db-ae8b347e500e)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 7991e08d-a2de-48ae-b839-72c2a264ce5f)) + (net 1 "GND") (pintype "passive") (tstamp 891d7835-1091-4832-8522-2c677215b99b)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4834,40 +4831,40 @@ (attr smd) (fp_text reference "C8" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8b081529-40ca-43c9-9e9d-c7892a869652) + (tstamp e2a3fb42-0b0c-45e7-97a7-79d26d462ae8) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ae69d0ac-b2ff-484b-bb23-32cbbc895bc9) + (tstamp 8e1a9ce8-1435-4fff-954b-84050596cb3a) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 29e8b4a2-273c-462b-8df5-e4db6af8b655) + (tstamp a8d57f20-bcf5-4d4c-b2a8-e2bed43b4f03) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4903d0d5-1c9d-4bc1-8b6e-140f503ffacc)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 02b792af-33a1-405c-8873-4e609be66a07)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ec6db279-ec28-4fa2-ba86-774a3dce8838)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f9f53e6f-d0de-48a8-b619-d6221dd86117)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43d636b6-7669-4126-8276-1ff481446679)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4fb1bf6c-ba9b-4f6e-9017-6a9e95f96778)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac059f5b-1f17-4ca2-bb79-da15b3695620)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 994f0702-af99-4a86-af35-6da71d7749b9)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c53d7643-11ae-4c4b-a20d-5ee75305ef16)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2cd55677-3c10-478d-83d3-92e3f14b2d8d)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 792adffc-d5aa-48e6-b7be-7a7f28d852eb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8158a1b2-8f63-4cc1-af17-c12c0e448561)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ede57d03-dd21-4031-b37d-930e4b7dcf5a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 54dccab4-46ab-4e3d-844d-7fa87243aee1)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a7c78a2-7842-4554-9d09-6ab74a7c29d0)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b94abc63-f52a-43d1-ac35-1d649fb04966)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0eabc9be-29c3-46db-b4aa-3f7051c397ab)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fc63feb0-cf6b-45ea-a35e-03caef4462fa)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7fa11061-52aa-403e-a9d7-476aaf7aa6a2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 62487528-01bc-43b2-ba06-e06866f7635a)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 045a8000-d2df-498b-af0f-718e5b3b1909)) + (net 3 "+3V3") (pintype "passive") (tstamp ce5b09b9-859d-46d1-813e-3ea32251e4a8)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 44424ea8-0935-40cd-86ab-c13786023251)) + (net 1 "GND") (pintype "passive") (tstamp 6e8c60ad-e099-44fa-b794-434bd3560314)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4890,40 +4887,40 @@ (attr smd) (fp_text reference "C16" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1e18135e-a9e7-4d47-91b6-50cb0e5efeea) + (tstamp 36fe641f-70fe-4241-907e-09e39197ee76) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c3aef3a9-74eb-4f59-a27c-82befb78720b) + (tstamp 7432d5f7-9296-437f-8bb1-02ff37ef77e3) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8c1a0073-48e5-441d-922d-edf21847f59a) + (tstamp a127f6bd-1eab-4a63-9565-b52283ed2559) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 91aaf323-1edc-4d25-92a5-9864a695c17d)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7ea44bad-46e8-4844-948f-f5def13c8dd4)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9e2487b0-bd2a-49e6-a58f-1bbf6581702b)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c3ba0289-4871-483e-8c54-224c70d5e762)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 91175b3b-3b0d-414b-896e-542f78ba9520)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00a14430-a8a6-402f-bbbc-f950ee6986e2)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dfe3d480-3621-4772-be6e-df6127514ace)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ca2babf-31e6-4d14-8f13-5dbe134816e0)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c7fd90f5-f95c-4e27-b4b7-9bde04ec894b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46e40375-daa7-42a6-807c-4c040f78ef1d)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a36fe5a3-2137-4a03-be53-b1f6edd2c4e9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 773822b3-a8d1-4e0e-aa5b-5126a2b0e948)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 66a375db-c462-4be9-b88e-ce57038b2c39)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 94c72dd6-0ac9-40e8-b8b2-e2f4a10b1734)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f1839e0c-8a62-4d2f-ab15-cb2333774848)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 73d3e3e7-ac85-43f3-bc8f-48151923814b)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b4f8f4d7-47bd-4422-bd03-3152a45cb929)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6b85ae7d-cc14-431e-86b9-e7331174e336)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 47a169ef-9b1f-4cb1-aa0e-c7815696c93e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 24eb95cb-0716-4690-810b-4ac36e1ff15a)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 463d6fe7-181d-4dc7-8b17-2a143ea0b8eb)) + (net 2 "+5V") (pintype "passive") (tstamp 80ac0f3a-c59b-4952-9f4b-2e8d07b50a1c)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 5362046c-e84c-4fcd-b05e-1ec36632aa4c)) + (net 1 "GND") (pintype "passive") (tstamp d659e95f-668c-437e-a235-5528dfc043d8)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -4946,40 +4943,40 @@ (attr smd) (fp_text reference "C17" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 65dc60a1-daf7-4a82-aee7-3491e0ad800b) + (tstamp 8690336b-b5dd-4a2a-acc9-566166e167b8) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7e39aa11-62ff-4eb1-b1de-42f7c7b2f604) + (tstamp 183e4760-2387-4486-ab61-69dd7b5f732f) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a640d3ec-6945-41c8-a24d-badec41a5942) + (tstamp 159f536f-5ab3-4046-a1a5-6915cb3cf832) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 97db7dcd-9b8c-4443-af03-f26eeb395cf4)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp a029d129-17ee-4b9e-b114-f42e0bfc93da)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1f0f4e41-8bc6-4c8a-997c-eb99362a52a3)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2f61fc97-efd7-42a8-b42d-addca9a46819)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3769e4eb-324f-4072-a5d0-617fbd868ecb)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ab7f9b2-d763-4a60-ab27-9dadba3b6c45)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d05a9893-a061-46ac-ac79-8baa3602c27b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29d2c995-e2b1-4486-94a7-f450c6e35fce)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e82dd6d-d322-4638-9464-6698677e58c4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42acfde6-a228-48ad-b6f9-873673249156)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aa54f7ea-d76b-41ac-876a-1168abac3edd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9256b7d8-bed6-4042-bafe-589b21a5e911)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dc4cec7d-15a6-4b58-ae33-da7e2c696d1f)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e58491f2-a483-478c-83cf-71d0d713fc2f)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3292406e-45d6-464f-9607-4f3496f1e071)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f15185a0-54c6-4800-97e2-c750822d5de7)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 930bd866-ff70-455e-825d-09db95737284)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6e7dd063-8378-4afb-946b-e165629a8cb4)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 12b7b906-7518-400b-9038-0730977fc4fa)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3809c07f-811e-434d-8ffa-a189c8473009)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 28b4720a-5aec-4464-90fd-4ccb1d8b7783)) + (net 2 "+5V") (pintype "passive") (tstamp 8721a732-1b68-4e27-8e0e-80ec5b767081)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 819d1b9d-cfe1-4e25-95fd-dbbc2f3a7893)) + (net 1 "GND") (pintype "passive") (tstamp 34e5809d-56bc-4b91-bf1c-c7cfbd899305)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5002,40 +4999,40 @@ (attr smd) (fp_text reference "C15" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 09dc2b8d-50c1-43dd-8f1f-0aae474becbc) + (tstamp f6360e7f-cbfa-40b8-9434-cd11e10d3c09) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 59bfaa5d-1a61-4866-a867-2897654965b7) + (tstamp 8d033903-d580-4064-88ac-493c467b4819) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d7119bbb-120b-4e2a-9241-480d8d1cb5d3) + (tstamp ea9a7093-9eef-4517-bbe9-0c77da07bbcc) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e4be56b0-a6fa-4669-b421-b2740901e09a)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1e62de69-78ee-435b-9c00-6386dbf2c383)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1869852a-912f-42c9-ab37-3dab7c1c8502)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 8f1e8de1-15e7-4ecb-af31-c34c5a3fe09e)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 048e68d4-248e-4a74-ab28-93fc08cd7dc3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1255bfac-f4e4-4114-858d-1636573deaec)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bca94c2-7c28-4822-a7fd-2de312b8cc3c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 891d94e5-21e1-4fa6-8184-8bd8eed78d70)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0acce1d0-d4df-49c0-acb6-49bb74246dc6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7577d160-2d90-4589-8cd6-2bbc5a07c429)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 903b4b80-b0cf-47ae-bfdb-d7965c493e41)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d41195d-f5c6-4fd2-9965-c90f161340a4)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp df16a556-30e0-4c39-81ad-9561ea18d450)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bdc7258f-9bbe-4336-b5af-2c01f3090e70)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 64d2fe72-4692-4522-a719-c79a21f80385)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8446656-a112-4149-8817-04e6b55a60f7)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4df97bac-3c7e-4a1f-9e74-30ca781b2319)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2d0dfd02-2f4a-4031-9abc-35ac271fd84a)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9ec366bd-fd87-4a88-a236-e59723e82efa)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 02be377c-ed47-4db3-ae20-8014a11e980e)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp c4c33157-1c00-4870-9174-43a7fae5aa3e)) + (net 2 "+5V") (pintype "passive") (tstamp cb16105a-4dc6-4c51-85d4-51a0b822a82b)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 5b19fa8b-ad49-4709-b212-9dee365776cf)) + (net 1 "GND") (pintype "passive") (tstamp 94d9724f-7726-4065-85df-40b642340594)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5058,40 +5055,40 @@ (attr smd) (fp_text reference "C12" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0c110208-85e6-42e0-9375-c0b66c6c57b1) + (tstamp eafdb13d-79f9-46e7-949e-0cb7cf582a45) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2eb351b9-f70e-4452-a84f-62ebfcb586b2) + (tstamp c592b7b8-9681-4871-86a2-8952fd859b27) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6533c63e-e6e4-4c5e-8e9a-acdf3b1a8e6d) + (tstamp 78be1e62-1b68-4a64-a026-5b6dbb93fe4e) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7d2e17fd-d7de-45c9-a181-fd8914a70dd9)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 39e7b04b-f9e1-43c9-9577-67ac38591c43)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e0a8614e-cbee-4d71-bd7b-57035475661d)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 869a8b9a-5b58-42c7-b240-21f196ece0b4)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a98524d-fa74-4d07-b97e-b7391e3d4e92)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c492eb2e-c49c-424b-a6e1-d935e583f306)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94cfb5d9-1dd4-435c-95e0-74e97d6ff40d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9d61636a-5a93-410a-ad36-540fe9a071a6)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cb089eff-540d-4606-8c72-7cc641314903)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 275bdd16-ac70-4f71-9cbb-2284f4d7d0f8)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8620f42-36dc-42db-953c-e1d17defb7f2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f714f3a-403e-4ec3-83b6-ee259552e478)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 140c9cdf-8c2e-4145-a738-a3f75f57e3ce)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bafbce4d-5a5f-4643-824f-b0d922b83901)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7fafaccd-8947-4ebd-a365-0ced59f8080e)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a1e28c8f-9e8b-408e-8cb0-72e89cff4937)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1e205001-2561-438f-b266-8b05884aa381)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5b5e21bb-fec9-4d09-9481-420f58d38394)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 62144e2a-c188-4fc1-b537-558353fe7dd6)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2aedf0d4-eac1-42fb-b83e-7d7ef56ee5bb)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp d622b9b9-1071-4338-b064-2786d9efb708)) + (net 2 "+5V") (pintype "passive") (tstamp a8252c8f-0039-42dc-a543-e9e0eb8e24f6)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 24a7a62d-bd66-4fe4-a384-740404f88e80)) + (net 1 "GND") (pintype "passive") (tstamp a031c19e-79ba-469c-8d15-45d5dac0879f)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5114,40 +5111,40 @@ (attr smd) (fp_text reference "C13" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 25a49c50-8c69-4772-aebe-84f42f1b327f) + (tstamp f8cd59ab-17bb-4281-956c-ca7ea0884240) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1f455692-e892-466c-a864-570ddef4353a) + (tstamp 41e8c610-5f4b-4aa6-a81b-acd2bb221a24) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4b3c8d98-cb1b-4486-8a4b-81bef562384a) + (tstamp f80f0d87-1133-45f3-9f62-7b5b195a7fc7) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 8adc690d-2b45-4ef4-a6d7-2f0cad2a3b66)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 2061d0d7-86e0-4369-a49b-596062b0687c)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 54c27169-9e9f-4fa0-9b73-9d8f3960d739)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0f8bb58e-1223-4a52-8295-bb7842a1414c)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2868c7da-2b01-4a98-b2ad-15559ea335c2)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e2121af3-a2e5-4e10-b40a-fc94c814488d)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05c6d7fb-1555-418a-912f-fa33a775e7b9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93be06ec-e31c-4324-bbdd-531118e1e5be)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ecf141b5-6ba8-43c2-9c48-8effb017333f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dcb7ce15-876a-465c-8a35-d45deb6bd28f)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 526f18fe-de30-43f7-8e98-04312caf4690)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b374e6d-8d3a-48e8-9687-10526a3cf67c)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f23e9c46-a8f0-4b81-8218-e9f7ddd674ab)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eba5152a-eb2a-4216-8f84-7bb1d33b021a)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 14972626-e062-46cf-b366-ec48e822b2f2)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9d16589a-31c2-4b97-8b90-bda7ca85bf0f)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c5b405a4-4026-4477-9c35-c55903ced435)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a6eca5e7-f152-4c3c-8c99-677109c1b0d9)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 52f188cd-f699-4d48-a2b2-98e55b1dfd28)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 86880930-7aa7-498e-a16e-a65c64ab5a37)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 5367c601-efa4-4559-9b59-80ce99486f21)) + (net 2 "+5V") (pintype "passive") (tstamp bb5a88d8-b49f-4568-b3be-4df2eb598289)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 8c3f5579-ee8c-4ca7-9871-6b8016aeea31)) + (net 1 "GND") (pintype "passive") (tstamp c0b3001b-9179-475b-a3ce-d8f5020ee18f)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5170,40 +5167,40 @@ (attr smd) (fp_text reference "C14" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9fa74975-757f-4b90-a11e-0a18ff82d1e3) + (tstamp 17d396ae-f1b9-40c1-bf4b-b678ae7c41d4) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0009a14c-4fcc-45a6-b65b-d45d23c4b964) + (tstamp 3d996e49-698c-422b-a2c9-2ae01591d063) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5abcf7b7-e4a2-4faa-b5f6-3f7a57160119) + (tstamp bace7068-fed6-4808-8b88-2297f7cae6ca) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d443026c-fe1f-4a1e-b83d-3d82e9083370)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 175501d5-b087-43a9-b627-23631eafd5c3)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 47df2304-4320-4038-8a8e-79570134bc42)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 11160717-b8c4-4edf-9b53-7c9220dd4e38)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35371a54-b77d-4f2d-b39d-b076e58d649d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9550ac5c-63be-475f-afbf-8cc697860e2e)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6942bdc3-595d-4be9-97ca-ee9d058eda18)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e596aaa2-1efe-43ed-9118-1221987585ad)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3599705b-3235-4b29-a21f-981094497402)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01b39ab4-b24a-43ba-9a71-54221c270efa)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5c4fc90d-88a4-4e0e-8abd-8a2b00aeb729)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f6f0b6f-d20f-42ab-9dff-8debaf2e9cc4)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bd84ce02-7d41-4715-ba79-33f3d1a06e25)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 021cb5c5-3daf-4502-b9dc-0299ca440301)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8fe57c40-ca8b-4828-a97c-f651e341e5ec)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 13c1df93-b4e7-49fc-a102-23b633b4ef02)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b1c6bb04-0051-41c1-bea0-d1d363ac7258)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e736cdbf-98c1-468d-8ec2-dee8fd53e6ed)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8bc2e56d-a0da-42b1-b240-c34ab7ed2f96)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9ca10681-77e5-4889-ad6c-c20a7e56ed3a)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 854724fc-33ae-4395-b6fe-39770d56af2f)) + (net 2 "+5V") (pintype "passive") (tstamp 9f5029db-1ce6-45a4-a634-9b298e74f2b4)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 92be030e-50d3-48c0-87fd-96e8365269d4)) + (net 1 "GND") (pintype "passive") (tstamp b6c2ba6c-eec4-4af7-a001-6fe9b76a463b)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5226,40 +5223,40 @@ (attr smd) (fp_text reference "C37" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ed7abdd7-eb40-4c29-a4ca-d6c718b44d4a) + (tstamp 2f7ec8f3-d6b4-4526-a32b-1b7e296a46f2) ) (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 7b63dfb2-1852-4af2-97e6-a63b12cd2a2e) + (tstamp ca70080c-2d4d-4620-935f-0543f3fa810d) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 225e8194-0868-4398-a19b-fcbb43727537) + (tstamp 658b449e-485a-4f6a-84ba-f309a73cf345) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91e4f0db-40bb-4d1e-a129-6a6c4cf59685)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b7b3c58-11a6-415e-81e2-c54b5bb1c1f8)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03a08890-1e2e-456e-aee2-eb1cd75fde4d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d580fa15-8f79-421c-b28e-b79c04a5d3bc)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7f725a9-c01c-4eb8-a987-1534a5b9029a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f703837-3d70-4710-ade4-ab014beb1db8)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4175fa21-1546-497a-aead-593ffa67d119)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d331be1-3c5f-458d-9a66-99261419b0af)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4efebe9-dd00-4d63-9a94-94145eb66b7c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 478fa5d1-ba8e-4ba5-a172-f342f168a9e6)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 61871a0e-58fe-4ba0-9e52-8498cf480da4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94a918b3-a32a-4bbd-8d04-76b2a459aeee)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1edb0fe0-91ca-4425-bff0-995881c4255e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b6ba316d-6e07-4948-be2d-c6a4b0aa72e8)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7334139a-2470-4416-af28-d58d78cf922b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0c4ebad-e824-412b-8ec5-b05e6fc6d223)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6bca01b5-24e7-4c38-abf7-75d6a2ef3390)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e3447bd-2e1e-4895-a8ba-12a39db7ccf0)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 94479e52-ee6d-4135-92a8-c1c3592c6a75)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 10ad3362-d0c5-43e2-83b8-3f6be364d053)) (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 511a4dad-c148-440f-8cea-40638e269c36)) + (net 2 "+5V") (pintype "passive") (tstamp 4af76c50-c458-47e0-ba28-91e2066972b7)) (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 3c753727-6a87-4a5a-8e13-3f9e379c2d85)) + (net 1 "GND") (pintype "passive") (tstamp dcbba8ba-e2a2-42b6-98e9-0884cb915676)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5267,7 +5264,7 @@ ) ) - (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") + (footprint "stdpads:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000061abfa0a) (at 112.345038 48.046936 45) (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") @@ -5278,62 +5275,66 @@ (property "ki_keywords" "connector") (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-000061ac4edf") (attr exclude_from_pos_files) - (fp_text reference "J2" (at 0 5 45) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 91287931-5914-4f33-b001-1e8a135663ac) + (fp_text reference "J2" (at -0.9525 0 45) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) + (tstamp c9da8677-8fb2-4b2a-943d-a5af21f0a03e) ) - (fp_text value "JTAG" (at 0 -4.8 45) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e59b75e0-76db-42cd-8628-fea318b90d13) + (fp_text value "JTAG" (at -0.9525 1.27 45) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) + (tstamp 828cec9e-9b08-425a-a214-5c718c488d5f) ) (fp_text user "KEEPOUT" (at 0 0 45) (layer "Cmts.User") (effects (font (size 0.4 0.4) (thickness 0.07))) - (tstamp 818627aa-8946-4426-b303-d2b1084a5d76) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 14cb6975-0afc-4317-9c5f-9e56f60bf076) + (tstamp e261a429-0f0d-4465-85a2-c28c47edcbc1) ) (fp_line (start -3.175 1.27) (end -3.175 0.635) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3aa624c4-f41f-4fd4-8483-fd71f5bee3b5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85f08685-3d78-42a5-9c2b-84ada4fe84d1)) (fp_line (start -2.54 1.27) (end -3.175 1.27) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 43026852-7163-4b57-b2c3-cfca11c1c6ff)) - (fp_line (start -5.5 -4.25) (end 4.75 -4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15b01ebd-51cb-4a91-a142-1dbf642e8409)) - (fp_line (start -5.5 4.25) (end -5.5 -4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a14c626f-b3f0-4070-a1c7-1ca17d1a6efb)) - (fp_line (start 4.75 -4.25) (end 4.75 4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp efd9c5d3-3d7b-4019-b7c9-819e37dcc9ce)) - (fp_line (start 4.75 4.25) (end -5.5 4.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 701c488c-8e50-4f70-9e6e-e13bc0ba9b68)) - (pad "" np_thru_hole circle (at -3.81 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7285e7c3-9dc6-4af8-90af-8d59a2f7e2ee)) - (pad "" np_thru_hole circle (at -3.81 0 45) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 53c9e3f2-4a69-4c97-bf0d-4ca8b97d1fc8)) - (pad "" np_thru_hole circle (at -3.81 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp e153e336-a7e6-4470-b0e1-3a65a6285f49)) - (pad "" np_thru_hole circle (at 1.905 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 36c684ca-554b-4fda-8636-fe0efd17e53c)) - (pad "" np_thru_hole circle (at 1.905 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp f85b366c-cc36-4bbd-84dd-6c5d9ec590f9)) - (pad "" np_thru_hole circle (at 3.81 -1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 737ab403-9f6c-4a17-af5b-d18ed932e66f)) - (pad "" np_thru_hole circle (at 3.81 1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 35929b09-8df1-46a5-8633-c7b6c0cece77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e6dce4c-0b7b-49e4-907d-48b8e1fd5a8d)) + (fp_line (start -5.5245 2.54) (end -5.5245 -2.54) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa7c9394-bc94-4fae-b306-92ad07fe04d0)) + (fp_line (start -3.81 -4.2545) (end 3.048 -4.2545) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c45f3b54-41d3-4647-b9ed-fd5257524093)) + (fp_line (start 3.048 4.2545) (end -3.81 4.2545) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 664ec0e3-d420-4a11-bc96-8fb27652d1e8)) + (fp_line (start 4.7625 -2.54) (end 4.7625 2.54) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8a0543b-8f94-4fa5-b534-36da6ea0cad0)) + (fp_arc (start -5.5245 -2.54) (mid -5.022335 -3.752335) (end -3.81 -4.2545) + (stroke (width 0.05) (type default)) (layer "F.CrtYd") (tstamp 9b51e93c-bcfc-4bc8-91c1-36f84765e28f)) + (fp_arc (start -3.81 4.2545) (mid -5.022335 3.752335) (end -5.5245 2.54) + (stroke (width 0.05) (type default)) (layer "F.CrtYd") (tstamp 50e6a0c9-ea65-4f36-8212-cce0d4c23639)) + (fp_arc (start 3.048 -4.2545) (mid 4.260335 -3.752335) (end 4.7625 -2.54) + (stroke (width 0.05) (type default)) (layer "F.CrtYd") (tstamp 86f08edf-5332-4286-89fc-0cb96febcbdc)) + (fp_arc (start 4.7625 2.54) (mid 4.260335 3.752335) (end 3.048 4.2545) + (stroke (width 0.05) (type default)) (layer "F.CrtYd") (tstamp d24d386e-2d33-4b07-83b5-fafbc73e93de)) + (pad "" np_thru_hole circle (at -3.81 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 08ca5757-6a16-4df9-8cd6-3ba5aaf6e2a1)) + (pad "" np_thru_hole circle (at -3.81 0 45) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp ea721d43-15a5-43cd-b051-308b01f6c238)) + (pad "" np_thru_hole circle (at -3.81 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 94da082f-8f5f-476e-894d-af78df6bada1)) + (pad "" np_thru_hole circle (at 1.905 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp aaa5d8da-4ead-4e62-b329-db9c0e0019d8)) + (pad "" np_thru_hole circle (at 1.905 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 88fc08ce-ee3c-4d45-815a-9dad67ff5b20)) + (pad "" np_thru_hole circle (at 3.81 -1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 84836ae4-187d-49d9-9ee0-550140c33eb6)) + (pad "" np_thru_hole circle (at 3.81 1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp c250891a-49df-4038-8235-26f8eab08255)) (pad "1" connect circle (at -2.54 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 147 "/Prog/TCKrc") (pinfunction "Pin_1") (pintype "passive") (tstamp 8d0f4a06-90a4-4cfa-b41d-361e79acb554)) + (net 147 "/Prog/TCKrc") (pinfunction "Pin_1") (pintype "passive") (tstamp c0d75ea0-8a84-4590-a43f-bca9a2000d21)) (pad "2" connect circle (at -1.27 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 62c42720-f3cf-4a05-b1e4-207d661680ae)) + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 8bc436b2-c2c1-4ec3-ae47-22a1262f77e3)) (pad "3" connect circle (at 0 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 81 "/Control/TDO") (pinfunction "Pin_3") (pintype "passive") (tstamp d2b01ddb-33ef-4d8c-9efe-51bf59b8739b)) + (net 81 "/Control/TDO") (pinfunction "Pin_3") (pintype "passive") (tstamp 14781567-aa81-4bf0-95a7-4dc3c5b79ea5)) (pad "4" connect circle (at 1.27 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 3 "+3V3") (pinfunction "Pin_4") (pintype "passive") (tstamp b89c2fc4-0347-4ae8-aeff-0bbfe98ab6c3)) + (net 3 "+3V3") (pinfunction "Pin_4") (pintype "passive") (tstamp be7eb856-d51b-48eb-bbb4-0335a572502b)) (pad "5" connect circle (at 2.54 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 74 "/Control/TMS") (pinfunction "Pin_5") (pintype "passive") (tstamp b8f6cdc4-e848-4f79-a671-bcc6bc262430)) + (net 74 "/Control/TMS") (pinfunction "Pin_5") (pintype "passive") (tstamp be2b8ed7-6d00-4617-a6de-1d9b137c8110)) (pad "6" connect circle (at 2.54 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 82 "unconnected-(J2-Pin_6-Pad6)") (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp d7372f8d-d7ca-455b-b713-af76907e4192)) + (net 82 "unconnected-(J2-Pin_6-Pad6)") (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp 56d06db3-4cba-4d79-8a25-51bb9996cce4)) (pad "7" connect circle (at 1.27 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 83 "unconnected-(J2-Pin_7-Pad7)") (pinfunction "Pin_7") (pintype "passive+no_connect") (tstamp f570dcdf-1059-48f0-ba73-655e6b1fdb03)) + (net 83 "unconnected-(J2-Pin_7-Pad7)") (pinfunction "Pin_7") (pintype "passive+no_connect") (tstamp 5d41b394-7049-4c62-9a02-5c92d83cfe8b)) (pad "8" connect circle (at 0 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 84 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 925f669b-8a5d-4d52-88d0-87a73f017d01)) + (net 84 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 55304689-322c-487c-96fc-5d669b60ceae)) (pad "9" connect circle (at -1.27 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 77 "/Control/TDI") (pinfunction "Pin_9") (pintype "passive") (tstamp d9324710-73a6-40a7-89f7-c40bb24670ce)) + (net 77 "/Control/TDI") (pinfunction "Pin_9") (pintype "passive") (tstamp 49d1ff71-db02-41f3-9ca5-dc2c399552cf)) (pad "10" connect circle (at -2.54 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 1 "GND") (pinfunction "Pin_10") (pintype "passive") (tstamp d5f35cfa-b7b2-4221-86e1-7a0440876c22)) - (zone (net 0) (net_name "") (layer "F.Cu") (tstamp dca36d9c-30c3-405f-b0ea-4bfd46b8a0df) (hatch full 0.508) + (net 1 "GND") (pinfunction "Pin_10") (pintype "passive") (tstamp 664aa473-9f62-4877-959f-248ca54ee497)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp a454d220-9381-43d9-918a-8c4f0d36bcbe) (hatch full 0.508) (connect_pads (clearance 0)) (min_thickness 0.254) (filled_areas_thickness no) (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) @@ -5353,7 +5354,7 @@ (tstamp 00000000-0000-0000-0000-000061b01b1c) (at 106.5 57.55 180) (tags "resistor") - (property "LCSC Part" "C25804") + (property "LCSC Part" "") (property "Sheetfile" "Prog.kicad_sch") (property "Sheetname" "Prog") (property "ki_description" "Resistor, small symbol") @@ -5364,52 +5365,47 @@ (attr smd) (fp_text reference "R16" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 09b0f1fb-5e01-4d7a-a1ce-83e26a18108d) + (tstamp eab3cb75-ac15-4322-87d8-efd264816151) ) - (fp_text value "10k" (at 0 0.25 180) (layer "F.Fab") + (fp_text value "DNP" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 9ee86efb-2a4a-416a-bac4-976562cb2ccd) + (tstamp 9b47ace6-146a-4e8b-a724-bf67980c4de7) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp fd789068-a473-41ee-9149-698c19c1a36d) + (tstamp a001a9ea-baba-4985-b1e6-448f04f42a50) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 846699fa-cad9-4669-9405-5e0f1de69546)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 636c792f-8b86-4198-9687-820fc9510e4f)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ba0d279-ba58-4170-912a-ec38e516a3b5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2ef33a4-f0eb-4e16-8bb0-f545707cd68d)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d94958c8-ed9b-46b5-baca-25860249fe0a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8b1a45a-0c47-4152-a52a-7536d38ae6e6)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35bcbd45-8ce2-43d3-a728-5b53210bc1ff)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4acee458-5f04-4bf3-903a-08658706b91d)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef42c24a-f059-41c8-8917-0c66ed260d63)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4270082-ec4a-41e2-956f-cdc9bb014261)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8842684-1508-4f0c-a1de-a91f52d28d6e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4969259c-b79a-4562-8e06-a47c81c0b0a4)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9672038f-b3ff-4a6c-9993-cacad146ed65)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 692f3a9a-d098-4be1-980b-b49590565bf7)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73c9ebeb-ffc1-4c70-b564-720c71c0c8a4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58ebbe78-947d-4af1-aed2-6641ca9d1590)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f41674d7-dae2-41c4-b175-a531779dbdd5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b73f1255-b456-4f80-933c-8ad23a4c6fae)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 49378ebd-e2f5-4f75-bdfa-3f9ea2902d38)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81a0f03b-1bf5-4cdb-9a61-97b8b28d96dd)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/Control/TCK") (pintype "passive") (tstamp 591a4cb2-3521-463b-9bab-4be226b443b0)) + (net 75 "/Control/TCK") (pintype "passive") (tstamp 7179ca03-2d7d-4367-a348-917f0ebce8c2)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 147f9f9c-818c-4892-a638-02d6cf50fb59)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) + (net 1 "GND") (pintype "passive") (tstamp 79208e25-ff40-49a6-8df9-eb1aaf40b046)) ) (footprint "stdpads:R_0603" (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000061b09daf) (at 117.913685 45.650685 135) (tags "resistor") - (property "LCSC Part" "C25804") + (property "LCSC Part" "") (property "Sheetfile" "Prog.kicad_sch") (property "Sheetname" "Prog") (property "ki_description" "Resistor, small symbol") @@ -5420,45 +5416,40 @@ (attr smd) (fp_text reference "R15" (at 0 0 135) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 00c66304-7133-43c4-84a0-10533811a65c) + (tstamp 60b8d96c-03b7-4bdd-8396-06249aa7acaa) ) - (fp_text value "10k" (at 0 0.25 135) (layer "F.Fab") + (fp_text value "DNP" (at 0 0.25 135) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp e84e7ed5-f5e7-4598-a896-dac992a786e0) + (tstamp 3d690220-0f68-4733-bd56-3b609d749889) ) (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1273e9cc-224b-4a19-81d1-5a8208ce64d2) + (tstamp 680c44d8-333a-471e-88ef-d7a0693484d2) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 562aabdf-1703-4b1c-b0c7-43a017bc6c4c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fd2259f5-a252-4bf0-8ac1-2f9ae1643ba1)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 708e856b-e3ac-4ae6-b22c-ffcedde48e3b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e6e03c5-546b-4261-83cb-69748de4301b)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp caaa93a6-dbf7-4b3e-8e18-340b0c20df42)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 91fd21a6-94af-445c-af1a-1bbfe934eb29)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 962cebae-889f-4f42-86d3-2613782bbf42)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fd2b9dc-e643-428d-8bf4-21cde21a6591)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd9cb45a-9ef3-43dd-bc2c-87285bde436c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9dbfa587-bfa3-4830-bc3d-4077a17ecb8a)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef35de0a-092a-4a02-aa9f-0d5f310866fa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d84fe522-4d24-4cd6-9f9d-2bd9a3563ea5)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11ab9faa-b0ab-4787-bcfc-dc3135f4928c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8560df72-9d69-4ecc-b924-ca8d4d42ebfb)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78308a8a-a5ce-4506-800b-ee02f9b4e596)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35d6152d-2f43-41c5-94bb-f482501879fb)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85189101-02fc-4f02-8dcf-906c09e1134b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67dc3e24-cd67-440b-bfe5-f20c4faec1f0)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bef67d13-3d4a-4df9-966e-8ccbe74c8f5b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d74650cc-c30e-4f49-a55a-d64f79eec694)) (pad "1" smd roundrect (at -0.8 0 135) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 3847651f-4b46-4aca-908d-6d2d41564e2d)) + (net 3 "+3V3") (pintype "passive") (tstamp 6e86231f-8640-403e-b24a-5ceac8fe86b5)) (pad "2" smd roundrect (at 0.8 0 135) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 74 "/Control/TMS") (pintype "passive") (tstamp 4e228a70-fab0-43e0-b67d-25e33aa1fbbb)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) + (net 74 "/Control/TMS") (pintype "passive") (tstamp 8c9fca37-a7a2-481b-a455-bf7358c9c58a)) ) (footprint "stdpads:SOT-23" (layer "F.Cu") @@ -5476,44 +5467,44 @@ (attr smd) (fp_text reference "Q1" (at 0 0) (layer "F.Fab") (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp 676bb127-d241-4c63-bfd4-73bf18649bf8) + (tstamp 5f85c72c-ea59-424c-b3aa-30ddfa901fd2) ) (fp_text value "MMBT3904" (at 0.45 0) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp fb7e6aa3-be1a-40af-8a10-99a53c9b4847) + (tstamp 77515524-6694-4543-8d51-1da07fa6d426) ) (fp_line (start -0.76 -1.58) (end -0.76 -0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b88f5eee-5792-439f-bcd6-54f203805f60)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09552426-8a3c-4b8f-a1b7-786b6571675e)) (fp_line (start -0.76 -1.58) (end 0.7 -1.58) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5fde10f0-1720-4dd5-ab8d-5ac0bdc438b3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb0edd92-54d0-49cc-ae39-94576d1c385a)) (fp_line (start -0.76 1.58) (end -0.76 0.65) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3028b37e-6b93-403f-8f5f-96c8f384118a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ce838f2f-f845-4546-aa93-51b3a8e11565)) (fp_line (start -0.76 1.58) (end 1.4 1.58) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05e000bf-4d67-4f19-8bf7-d7383afb70b1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cac3591e-a7e3-4e64-bd69-e0f092477a4a)) (fp_line (start -2 -1.8) (end 2 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43012af9-f4d2-4373-b91c-f78e50cf432b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02c11705-8c77-4802-9c18-3d99c452f618)) (fp_line (start -2 1.8) (end -2 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1cef4351-c980-49be-afca-f918e429cac0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 537afbe1-8926-4616-8feb-d1052c5a0e83)) (fp_line (start 2 -1.8) (end 2 1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 854083fe-2fbf-4bce-a3ee-f44bc3b840d4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05338911-72df-4192-be00-a1bf41d1e960)) (fp_line (start 2 1.8) (end -2 1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 390b9cf8-ef54-44af-9310-1551f28f502e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b04a9ed2-314f-426b-a395-64c4215b3a06)) (fp_line (start -0.7 1.52) (end -0.7 -1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af87ce19-2c67-4dff-9d78-29f1b1d6cce6)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd00bcd8-ecf7-4050-baef-642d294eb1eb)) (fp_line (start 0.15 1.52) (end -0.7 1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c579f711-9d82-41ef-bd76-cabe7a03e020)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 13ac16a4-1aa1-4d3e-9379-95f0370af660)) (fp_line (start 0.7 -1.52) (end -0.7 -1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 053e31f9-f8a4-498f-a486-2f16acb22f56)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f6054aaf-d6c1-486e-a433-cf272afd1b26)) (fp_line (start 0.7 0.95) (end 0.15 1.52) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc718b2e-9b01-48a0-8dfa-3e63974541cb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8de375b-b09a-4806-a47a-6a61573382ac)) (fp_line (start 0.7 0.95) (end 0.7 -1.5) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f9a66f7-d2d2-41b7-9494-2b5053c25ff8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0ceec1b-a959-48c2-9ea2-3a72daf7a981)) (pad "1" smd roundrect (at 1.05 0.95 270) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 243 "Net-(Q1-B)") (pinfunction "B") (pintype "input") (tstamp 6ea47692-2e0e-4842-8da5-a82fb60f224a)) + (net 240 "Net-(Q1-B)") (pinfunction "B") (pintype "input") (tstamp 4abd487e-1f3d-45ef-a80e-751979462154)) (pad "2" smd roundrect (at 1.05 -0.95 270) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "E") (pintype "passive") (tstamp a4de0e2d-927e-4b48-9ada-84044bf76752)) + (net 1 "GND") (pinfunction "E") (pintype "passive") (tstamp 0cebea3f-bfae-4453-b782-6279377b5d82)) (pad "3" smd roundrect (at -1.05 0 270) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 242 "Net-(Q1-C)") (pinfunction "C") (pintype "passive") (tstamp 1c03800c-d4d9-4387-b966-9a036a5f906f)) + (net 239 "Net-(Q1-C)") (pinfunction "C") (pintype "passive") (tstamp 740f65ec-e263-49d3-af2a-15d08cf3ce61)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5523,7 +5514,7 @@ (footprint "stdpads:C_0805" (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000061e4a7bb) - (at 174.25 91.15 -90) + (at 177.65 91.15 -90) (tags "capacitor") (property "LCSC Part" "C15850") (property "Sheetfile" "PDS.kicad_sch") @@ -5536,40 +5527,40 @@ (attr smd) (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp eb573eca-c47a-4e65-8f8c-8016c2a59abe) + (tstamp d6304879-9c53-4929-a031-8a91226c06e9) ) (fp_text value "10u" (at 0 0.35 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 597fb109-3144-47f5-82b3-013afd9e0efb) + (tstamp 6c03f506-571b-460a-9235-8d6342cf35e8) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 85d1872f-8ee0-420c-9534-7597e3d13885) + (tstamp 2e1d33cd-dd01-4c4d-9278-743523664977) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e9041e25-5e12-4781-be9f-65f45629aa15)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6ba9060a-c570-4840-9341-3c381b842385)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3d4974e4-821b-4b4c-bd71-ec42ba9145c8)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e73216f3-f2d7-4f36-84cc-2422a5df2eb0)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f205ff93-6189-42f8-a290-a7fd5d8a8e82)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8135f6a0-14b8-465e-ad5b-c62befc0f2da)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb305bfc-f02b-4e12-b83a-2f0b9f8a4b53)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a6d0f50-df25-4f38-a774-13d48b26203d)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65f74014-138d-4f58-a9d0-045c64afac80)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a5758df-de58-4703-a6ea-e07c30e9629a)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6549cd40-3fb5-49da-aae9-22c13a6fb7cf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f39f8863-7408-4361-92df-27b06dc05c31)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5ada3bdb-c918-41cf-8122-5775d10e9dd5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3082dc47-6435-4ac3-a22a-6427245cb6ca)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe978978-f68d-4f1e-8f04-5247adee7329)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cef94ba8-c3b0-4c9e-ba0b-ae660f2e1071)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 08c5596a-a7b8-4b21-a3a1-dfef3c77a706)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fa6672d0-69e1-4355-85eb-4395dfd60653)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 60480140-d85a-4fef-92f2-ac4db2bdad3a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b9cbf785-43fd-446e-ba5c-26485c39f1f4)) (pad "1" smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 1b74be7c-c9ce-4211-9dd2-6341a17e4982)) + (net 2 "+5V") (pintype "passive") (tstamp 86924142-16b2-4d39-b137-926f4796a320)) (pad "2" smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 6f4cfeb3-3f6f-41fb-8417-e2ee05f5193d)) + (net 1 "GND") (pintype "passive") (tstamp e5e43a18-cab8-4eb6-89fe-b53121b11ab5)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5593,74 +5584,74 @@ (attr smd) (fp_text reference "U12" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 0a8de077-eedb-4f53-95b4-4ac313e385ef) + (tstamp 01f7d511-80e3-44e2-a648-9541687f4caa) ) (fp_text value "CH340G" (at 0 1.016 270) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 702edebf-82d7-4555-922a-6a0b53fedb2b) + (tstamp c36dda8e-18e1-4443-ae0b-5231940ac3e8) ) (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 5eb1ce47-40fd-4a8d-a5af-6948820d2715) + (tstamp 714b547b-299e-4770-b00f-bc6b67d73160) ) (fp_line (start -5.06 0) (end -5.06 -1.95) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46b54b3c-f522-4b63-82f6-1b1c5c045385)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f728ef31-8b1e-41c5-97a2-8a4e6d6707aa)) (fp_line (start -5.06 0) (end -5.06 3.45) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a6605617-f7d1-4075-adf8-d536d95baa98)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6c0f86bc-0b45-43f6-aeab-69329f70c12b)) (fp_line (start 5.06 0) (end 5.06 -1.95) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ea70b35-cf76-4017-87a9-7187f4782317)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 094e1512-f460-41a5-870e-8c03d7c70430)) (fp_line (start 5.06 0) (end 5.06 1.95) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e7d97021-9ff0-437d-a090-761dc8f0d0d3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f9e90f6e-43a4-4b2a-a160-58bddcbfb9f7)) (fp_line (start -5.2 -3.7) (end -5.2 3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9dc79294-fc39-4c4d-9c99-665014b8db3c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e018151-0546-48a5-8fb9-6f1a34ec565a)) (fp_line (start -5.2 3.7) (end 5.2 3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d42b1af8-9f18-4113-a5f6-f8ffc3f72ab9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88816e0e-42f8-402e-98bc-9289f02564f5)) (fp_line (start 5.2 -3.7) (end -5.2 -3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52ff11f3-c7d4-4d99-99b6-2994576e6a14)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7146ff77-57fc-477f-939b-4693ca7d069a)) (fp_line (start 5.2 3.7) (end 5.2 -3.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c18b995-9ae8-429c-ad7d-ea3e0b8efb31)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5094e63e-f7b3-4b0a-8155-f07df43d68fc)) (fp_line (start -4.95 -1.95) (end 4.95 -1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8675c797-d4ed-412f-aafe-5bad7ab93dce)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1db2270f-4675-4641-add8-f2437365a039)) (fp_line (start -4.95 0.975) (end -4.95 -1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a960413-ee98-4c39-9f3b-62ba434e59dc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4ee12b60-aa5e-4dda-8642-551b124bfda9)) (fp_line (start -3.975 1.95) (end -4.95 0.975) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 605cdf65-92a0-486b-a066-f6b1692104a8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5ab7448a-0e20-4538-9632-702bb5aef186)) (fp_line (start 4.95 -1.95) (end 4.95 1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81f1612f-dcb1-4a19-b4f2-cb25ac32bdb4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03305f87-0c83-4427-9cbc-6d3cf3526a49)) (fp_line (start 4.95 1.95) (end -3.975 1.95) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 05409779-0001-4802-8742-6c54250b3607)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50650e05-0215-4088-a180-24b4c0625a14)) (pad "1" smd roundrect (at -4.445 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a70c1b13-7c3c-4b3d-9a7a-ff6df0961c05)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 42d60bad-3b87-440b-9cb6-0d249803ed93)) (pad "2" smd roundrect (at -3.175 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 209 "/Prog/UTCK") (pinfunction "TXD") (pintype "output") (tstamp c57bb924-20ff-491d-879f-53a68e1bd163)) + (net 209 "/Prog/UTCK") (pinfunction "TXD") (pintype "output") (tstamp c3d40817-5925-4376-babd-de4083848816)) (pad "3" smd roundrect (at -1.905 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "RXD") (pintype "input") (tstamp 9a54a2d8-1a2c-4287-a646-e02a7adb4902)) + (net 3 "+3V3") (pinfunction "RXD") (pintype "input") (tstamp e73a9ec3-3a01-4193-9a95-5e38e07dd487)) (pad "4" smd roundrect (at -0.635 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "V3") (pintype "passive") (tstamp 8a883aba-faf4-4ce2-8254-931c217f5d07)) + (net 3 "+3V3") (pinfunction "V3") (pintype "passive") (tstamp 37a4ff9b-df9b-480a-b7d0-719a13ab6e15)) (pad "5" smd roundrect (at 0.635 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "Net-(J3-D+)") (pinfunction "UD+") (pintype "bidirectional") (tstamp be4d731f-d212-47cb-890c-8d403ea1242a)) + (net 87 "Net-(J3-D+)") (pinfunction "UD+") (pintype "bidirectional") (tstamp b7bbe88f-da63-44c6-ba3a-5ce7250ac410)) (pad "6" smd roundrect (at 1.905 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "Net-(J3-D-)") (pinfunction "UD-") (pintype "bidirectional") (tstamp 7aabe37c-26a5-4813-bc78-833bbc58905c)) + (net 86 "Net-(J3-D-)") (pinfunction "UD-") (pintype "bidirectional") (tstamp ff407a73-56d7-4dc2-a840-dcd064d37857)) (pad "7" smd roundrect (at 3.175 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "Net-(U12-XI)") (pinfunction "XI") (pintype "input") (tstamp e55136c3-7598-4f81-9cce-1e23beb4a37a)) + (net 9 "Net-(U12-XI)") (pinfunction "XI") (pintype "input") (tstamp 8463361b-c655-442d-bc5c-3cbc530db573)) (pad "8" smd roundrect (at 4.445 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 8 "Net-(U12-XO)") (pinfunction "XO") (pintype "output") (tstamp 328c5165-7a88-412d-b173-d590d417bde9)) + (net 8 "Net-(U12-XO)") (pinfunction "XO") (pintype "output") (tstamp 400b2a1b-94c7-412a-af14-88fef4a45c2c)) (pad "9" smd roundrect (at 4.445 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "/Control/TDO") (pinfunction "~{CTS}") (pintype "input") (tstamp 9e4c6c31-8953-48bb-8bed-97d15bacfa2b)) + (net 81 "/Control/TDO") (pinfunction "~{CTS}") (pintype "input") (tstamp 41059d14-f4b0-4cb2-891d-249c08c5efbc)) (pad "10" smd roundrect (at 3.175 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "~{DSR}") (pintype "input") (tstamp 02b96a91-1d26-495e-9aa9-bdacae28c683)) + (net 3 "+3V3") (pinfunction "~{DSR}") (pintype "input") (tstamp e6b342b4-a248-48d2-a02b-8a7f40305515)) (pad "11" smd roundrect (at 1.905 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "~{RI}") (pintype "input") (tstamp 913b27d1-2c1a-4e04-9d85-f96922526732)) + (net 3 "+3V3") (pinfunction "~{RI}") (pintype "input") (tstamp 8eab9d2c-a3bf-40bf-9979-4483ecf946a7)) (pad "12" smd roundrect (at 0.635 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "~{DCD}") (pintype "input") (tstamp 41473795-c8c4-4797-b651-6068dcaa8c3a)) + (net 3 "+3V3") (pinfunction "~{DCD}") (pintype "input") (tstamp 27636e5b-70bb-4883-b257-3f79fa9bfb03)) (pad "13" smd roundrect (at -0.635 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 77 "/Control/TDI") (pinfunction "~{DTR}") (pintype "output") (tstamp 2d3cee61-1c8a-4515-850b-0083301c6046)) + (net 77 "/Control/TDI") (pinfunction "~{DTR}") (pintype "output") (tstamp a6e387be-27ed-4c56-8012-27d9570d0c14)) (pad "14" smd roundrect (at -1.905 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 74 "/Control/TMS") (pinfunction "~{RTS}") (pintype "output") (tstamp 74a12d04-b6e8-45c4-92ee-38308a5d23eb)) + (net 74 "/Control/TMS") (pinfunction "~{RTS}") (pintype "output") (tstamp 5cf7dcf8-4f27-46ac-8002-84ba40516e46)) (pad "15" smd roundrect (at -3.175 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "R232") (pintype "input") (tstamp cf4e50b1-3ddd-4f8e-a59e-f5a2bc842392)) + (net 1 "GND") (pinfunction "R232") (pintype "input") (tstamp 16f331e4-407e-4531-861b-3295c0eb154c)) (pad "16" smd roundrect (at -4.445 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp b85d8657-629c-4fba-b8a4-a1391156500a)) + (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 438fffbb-6150-4e88-9a85-04b90646e9aa)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5683,40 +5674,40 @@ (attr smd) (fp_text reference "C23" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 343c1167-6a66-4bf1-ba46-6d71f0d4e7ef) + (tstamp 578f1ac4-9731-4bea-9e5f-3a0325a2975e) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp e6d12fb5-0605-4714-bb02-3878e1848464) + (tstamp 009ce1a7-a5db-45ad-8163-91943d090eec) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6fcead29-c239-41ae-b682-48cf533583ce) + (tstamp f8734607-3095-4027-ba8a-c88b468cbeb7) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2105ab11-7a15-49c4-ac1f-b15e21e7cd3c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93970d49-ac05-4fb8-8277-ce8ed0a2a701)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aedfda8a-f2af-45f6-ab3d-20b1d672766b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d07d8831-7c3d-4abc-a730-f43e761f1451)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3f23003-0128-45c8-9680-aa6f15ea921c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4fa5f3fd-7004-48fd-bcaa-9e3ffd2bf4e9)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0004f634-7c15-40fb-9ab0-796937071884)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9b3a19af-9963-4305-84ce-c7a4a3b3d7bd)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00466bb7-a327-47b4-a5b2-c77e47e64a2b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 617965fa-3d10-464a-ac6e-74a3b7eb5b90)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15242dd6-3fd4-4d30-bf5b-18bb0f667443)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e483b33-1eb5-4567-9af5-83b25374cdf5)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 38969326-8258-45a9-a121-89baed21c23a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0e9d5d3-5309-468f-848f-ad2953a6f598)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e9ede0ab-1c96-423b-a929-d1fc3c5c035a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ea19ce8-8052-4ac4-b139-7167ac18bec6)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3eacb9c4-d490-4633-b790-f7eb1f318f13)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2d6338ef-786f-4706-9ccf-3546a637bae2)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ce0c2ae-c5c0-49bf-a640-6af930d6be95)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a89f1a86-2d37-4b57-a425-fb0767a885cb)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 4b7be8b7-8572-4163-abb1-1f205fb7aeb1)) + (net 3 "+3V3") (pintype "passive") (tstamp 8e38f788-4f6b-4321-b533-f09bfada3968)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 94cdca5a-8ed9-49c8-be19-004252a2df06)) + (net 1 "GND") (pintype "passive") (tstamp 1a5bd07b-0a23-42c3-8cbc-f4ccfc09efc7)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5728,7 +5719,7 @@ (tstamp 00000000-0000-0000-0000-000061f6ee37) (at 195.25 109.65 180) (tags "resistor") - (property "LCSC Part" "") + (property "LCSC Part" "C21190") (property "Sheetfile" "Prog.kicad_sch") (property "Sheetname" "Prog") (property "ki_description" "Resistor, small symbol") @@ -5739,40 +5730,40 @@ (attr smd) (fp_text reference "R11" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 98c95855-a548-4e2c-9918-ae41b52b8503) + (tstamp 06b24a65-4787-466c-94ad-35f25b1c5824) ) (fp_text value "1k" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 0ab4aea4-0ae9-4885-b58f-55593e0fdffc) + (tstamp aad43767-7fbd-4c23-86f3-3639bf0622ae) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8432f295-9e5a-442d-aa21-0d493f580823) + (tstamp 3c3d6d86-1ac9-4d7e-9f36-9a43a7759475) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 354628bb-63ea-4c23-814b-e666a62231b6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f82e43e4-37dc-4dd4-833c-71a7e47edb22)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca6213ac-c852-4f0b-bc30-1a6215a7b438)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a9676c6-e944-49d8-b9fc-a52aed097c87)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c012b13c-1858-443e-8c2d-2a2b7e92262e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 406520af-43a0-4f1c-a188-e5dd5197b08a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 419b74a4-92e5-4dcf-a877-b4371a07fbe6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 37a9a719-1a38-49c6-b7c1-136b490673cb)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 335caac1-81be-4a90-a52d-91c127082aa5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8f52950-5bae-4aa2-9d19-b4b482c192c1)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d71c91c-49dc-4af2-a086-a351f0b4390a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1db883db-c365-45ff-bce7-f742deca5de0)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c0e8d71-94ed-4175-a1ca-d1991f351083)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58097988-8d58-412e-8473-6b120f71a97d)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cdba676e-b795-4a65-9592-7ddf71d532b4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d3c163e-d519-449d-bc96-9802d950d6cc)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4547e87-0bc9-449c-bf43-9c20d7c5258f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2457ddbe-5d5f-4232-a829-414005a31935)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 13a77868-d303-427d-bbc6-15171fd1211c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 741a1432-be30-4ce4-a77b-a92c00d3b383)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 243 "Net-(Q1-B)") (pintype "passive") (tstamp c8e2f970-1726-48e4-96ec-40367f1b82e3)) + (net 240 "Net-(Q1-B)") (pintype "passive") (tstamp a7929eff-6328-40f0-9cfe-4fb3b3fb77dc)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "USB5V") (pintype "passive") (tstamp afd45a44-0742-40e7-8649-af5e3bae842a)) + (net 7 "USB5V") (pintype "passive") (tstamp 448a2986-39f6-4649-96a9-b9b8937be98a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5795,40 +5786,40 @@ (attr smd) (fp_text reference "C11" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b8c10a53-001c-422a-a0ed-7a84510cecb1) + (tstamp 4b9e2ff3-98aa-4d34-8ee0-18928db1d172) ) (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 584187f4-8ff9-4274-92e8-4b00efa55c34) + (tstamp 18d0c34a-83d8-474f-a610-96ee2c31dd04) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 70c3c7ba-d07a-43f0-81d7-3c54c5c0874e) + (tstamp 825e8846-e753-4c54-b078-782146756ef5) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e1a773c-c0eb-41c3-9e7d-ca4ec5de980b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64ed6ad8-a255-4013-ae5d-560c4e42ca1e)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a4c7e76-d061-4778-af37-66e1de9622d0)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d95dc412-d290-45d2-b3ab-e0260f93d604)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 88f9c82c-0124-44d0-8084-d2276cd548dd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d93ecbfb-82ae-4c4f-9bef-d386597e4fbd)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 73aa9633-43c1-4df7-a37c-12e67f3a4507)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3932b33b-b24d-4e7b-8182-a569aa4c52ba)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92bc59b3-79a9-457c-8183-0b4c75ba7b17)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fba2e19e-0675-4511-9e71-de8cc733505a)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13e27c47-44c3-4555-81b8-41f1726f6f8d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f903dcd-d572-4965-9048-f9f8d34a2ef6)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74ce2449-acde-466d-8897-8922b0e36d70)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce2b289c-f31b-4c8f-81cb-7b58ff7410ef)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 517e2247-b93d-45c0-83d5-4ffb18f0544a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e848f9fc-9200-4aa6-9848-8232bda31151)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 54454ba2-e45e-413b-b75c-79e360296d70)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e9bf1cea-7ab8-42f1-bdcc-03bf9f1a1c63)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a3fc4c00-3bc5-4ef7-96cd-5b501dc22813)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4002c34f-52db-4ebd-aec8-2fdb46533dc5)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 51368227-e077-4845-bbfb-da9ed1415e06)) + (net 3 "+3V3") (pintype "passive") (tstamp 7008d197-2d59-4e43-a0f9-ca287699fe83)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 7ed518bf-1c55-4817-946b-8d6c72695e7c)) + (net 1 "GND") (pintype "passive") (tstamp 93372c31-a269-4792-af84-0153f104bcb7)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5851,40 +5842,40 @@ (attr smd) (fp_text reference "R22" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f97ad492-8eca-470b-8f69-bc9cf3a31d75) + (tstamp 7b5bfa35-4183-4e0a-863d-90db47574529) ) - (fp_text value "0" (at 0 0.25) (layer "F.Fab") + (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d89e874b-a3ed-4204-98cf-d5c54fd80e65) + (tstamp f3ebdccf-d3cb-4465-8279-fdf7ae1a3925) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 52580f2f-7a7f-4c4d-b1e6-36a066013797) + (tstamp 1ad834a2-c1d7-4e2a-94c3-277cc25a219e) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d3e1932-182b-4b1b-8d60-8f2509f3a187)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d702523-227d-47b4-9f7c-5ae819fc26f3)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3def80b1-e928-41b7-883e-a3309f9c1fb6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a203075-79ac-4dab-b03f-8c4a4eba03b0)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5c57424e-5935-4f90-bf7c-211ce354ee70)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d6e2672-56de-49a4-9e36-4e36ecf8c51b)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 346a8641-a930-4b1e-9bb3-6dfefa40d540)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6bf78f5-da43-4f91-8514-1e8891238988)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce550351-5df8-4c51-b5b7-04a214cf7598)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f860894d-d56d-49d6-a3aa-86476d8b0150)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42c23fb6-1558-41ea-9d7d-38872b4c6c8a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be0657a5-29e6-447d-b00d-14a383e10ebf)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a1970dd7-868c-459d-b3d8-f9cdefa92688)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f47e1ab8-80e4-4c6c-981d-fa77e09caa8e)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29248d18-ace2-45ab-ba6b-a925b3b24db9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23e40109-7625-4dd5-bb1c-a683b4283661)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 085d3cac-b7ef-42b8-8faf-c287b1c5b168)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de0342d7-de70-4a90-a7e3-3e9aa23bcc85)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 489859da-7252-4dfc-8a97-0fbf513ab4d0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 148d3779-cae9-434c-93fe-a2faeb24f5bf)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 228 "/Buf/GA22") (pintype "passive") (tstamp 93d00ffa-f620-41eb-a67e-039f7f8b4ef7)) + (net 228 "/Buf/GA22") (pintype "passive") (tstamp fe6916d6-1361-4599-a202-e14ff4ebd388)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 211 "/Buf/Aout22") (pintype "passive") (tstamp 679c64b3-bc95-4089-ac4f-a1804b20e4fa)) + (net 211 "/Buf/Aout22") (pintype "passive") (tstamp 12b22b3f-711c-4915-a48f-b7fbf28c4306)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5896,6 +5887,7 @@ (tstamp 081ca820-30dc-4077-89f6-415e64748391) (at 194.9 64.15) (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C455045") (property "Sheetfile" "ClkBuf.kicad_sch") (property "Sheetname" "ClkBuf") (path "/fe631861-deed-4e97-a528-5baf968a7cc8/1ac086f9-0fd4-4cd2-9413-c0a2e6cd9192") @@ -5904,44 +5896,44 @@ (attr smd) (fp_text reference "U27" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp dc6df8e1-58ae-49f8-aafe-910eceddb893) + (tstamp 4aff680f-64a4-4696-b9e2-87433e700719) ) - (fp_text value "74LVC1G07GW" (at 0 -0.35 180) (layer "F.Fab") + (fp_text value "74LVC1G34GW" (at 0 -0.35 180) (layer "F.Fab") (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 9ebc3c28-df16-4931-81c8-c84cd0d361be) + (tstamp 8ff1ac11-cc48-430d-9894-16671f5e839f) ) (fp_line (start -1.16 -0.73) (end -1.16 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac67f1a7-fdf8-45f0-8bab-39f3b2a96e68)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6559f6da-a077-4c5b-a1d1-19e19b6cb831)) (fp_line (start 1.16 0.68) (end 1.16 -0.73) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 483fb0b6-10d3-4545-b709-728d11ce2f11)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dcf99a11-378e-429a-a3f5-2c66d102a6bc)) (fp_line (start -1.3 -1.6) (end 1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dd6ace4-f363-48fc-ae1e-a5e8f96b3fb6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d168c8e-39d7-435f-aa28-b0225ae204c2)) (fp_line (start -1.3 1.6) (end -1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 692df791-8468-4062-a162-2fc2314a62c0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ca65a17-6b27-4924-9ee4-f9e716b286da)) (fp_line (start 1.3 -1.6) (end 1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ea04a07-7e4c-4b51-946b-8aec55716ab0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d3c97a1c-6919-449e-9ad3-c5fa67ba4f5d)) (fp_line (start 1.3 1.6) (end -1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b035ab8f-380e-43c4-ab5d-cd3a27863f53)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82823fdd-6da9-49c3-a0aa-f930c461f9b8)) (fp_line (start -1.1 -0.67) (end -1.1 0.18) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9c891a4e-97bb-455f-b044-0e92f8411eff)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f364f588-4c34-48bd-888d-a4c9294cf7c3)) (fp_line (start -1.1 -0.67) (end 1.1 -0.67) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0aa8b072-1f55-452b-811e-1bff3a413aef)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16a56520-c5cb-4961-82e4-38b4f51b7d60)) (fp_line (start -1.1 0.18) (end -0.6 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9fb8233-ff9b-4a25-a4be-4056673f6b84)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc6e54af-360d-4011-9d06-452caf89ba01)) (fp_line (start -0.6 0.68) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0209c089-87a4-4f80-ba06-1e7f39be6c0a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b48f8c21-cb12-49bf-93b5-196eda17d64d)) (fp_line (start 1.1 -0.67) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22669279-8c39-4f07-b708-5e2225b12274)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88444aea-118a-4233-9e47-a983003b3a54)) (pad "1" smd roundrect (at -0.65 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 232 "unconnected-(U27-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp a8468c23-63fd-4586-a6ac-8bf081449375)) + (net 1 "GND") (pinfunction "A") (pintype "input") (tstamp 1551128f-b88f-40a9-8f0e-8c14edb1cddb)) (pad "2" smd roundrect (at 0 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 233 "/ClkBuf/C8M") (pinfunction "A") (pintype "input") (tstamp 4f723c74-2e29-44db-a547-c0091b8e5006)) + (net 231 "/ClkBuf/C8M") (pinfunction "B") (pintype "input") (tstamp 34102071-b85f-4cde-a66d-fe9b509ec56e)) (pad "3" smd roundrect (at 0.65 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 041b9de5-7c2d-4145-9cbf-8a030714aed0)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 755e1c08-005d-4948-84c9-444f57635aaf)) (pad "4" smd roundrect (at 0.65 -0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 239 "Net-(U27-Y)") (pinfunction "Y") (pintype "output") (tstamp 218803a2-7e65-4acb-9de4-d80fb5d06773)) + (net 236 "Net-(U27-Y)") (pinfunction "Y") (pintype "output") (tstamp c3149071-029e-4beb-a156-25f4d529d784)) (pad "5" smd roundrect (at -0.65 -0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 8e517ea6-83ae-4b55-b44a-0622c1371e88)) + (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp a5aee19e-3214-4dcc-82bf-8ceebd544317)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -5964,40 +5956,40 @@ (attr smd) (fp_text reference "C48" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7ba555c7-c6e1-4057-a359-12210d8788b4) + (tstamp 02090d79-71d0-4818-8cf6-e9090801f94e) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 19c06798-4a25-49e7-ac9c-d8215be394ee) + (tstamp 05c534dc-676b-4e5f-a00a-b25af3875c57) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e7175002-ae00-444b-9f98-68e6b3385eed) + (tstamp d88c471a-8005-44e7-8310-c2d816d246fc) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a8c8bc0-9f07-4af4-9d68-116775acbecf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 414dd277-559d-441a-8987-e6b739403ea6)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 902f0bb5-6fef-4a47-b9e9-fa505b9849d3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ef86a5c-4d9d-4595-b6d9-13e3e2c86aca)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5319682-9b18-4f32-9eb2-097aea7486d1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9a6d730a-bc5a-4b33-8568-39cd41dfac15)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bce2ff7b-0a26-4c3e-9267-481992c59cde)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5626ef78-d80b-4e7c-b5a8-fc58e11ba551)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f8ab1cc-1c25-42f8-9034-a171c4f36353)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce882e5f-664e-47e7-88c2-1f973c60544f)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c16d70c-b591-495c-a9c1-4d48cc38898d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 70632cbb-2770-4221-b19d-eafc49bf9107)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4183dc39-d87b-46f1-a1ba-c5dcd7d518a2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 06d5d017-e1f7-4500-ac60-156ddcb18a60)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e35e844-9072-4900-a034-3569ebdaf3ce)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 990830b5-795d-4735-a96c-5c1189fb236c)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3053e2ce-642a-45cd-87e8-cad7a69051b2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17a70622-f318-4ab8-b415-058ec8cf77ff)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de966664-9cf3-4209-be88-016ce34db737)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cbd4e04c-aa2f-4a16-8478-a7dd4a4a6d46)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 34516853-4fe8-48d8-b2ee-bba72c1cc910)) + (net 3 "+3V3") (pintype "passive") (tstamp b2d223a7-6014-4451-95b0-5cf2f0235a68)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp a2232ffd-844c-4fa5-b620-c84be71df84e)) + (net 1 "GND") (pintype "passive") (tstamp eeb6ac2b-1a9c-4b7d-a4c4-36b31e015450)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -6020,45 +6012,40 @@ (attr smd) (fp_text reference "R23" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 90d9a333-06d9-4dee-9c43-5d359c805f46) + (tstamp 7d7ac02a-1fba-4830-9b8c-40499f4f1062) ) (fp_text value "47" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 5cfda841-ac4c-4399-bff2-0e2bc0f60083) + (tstamp c5c27744-a732-4617-a454-3cbbe4842734) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp cd9abc18-0edd-4850-bc71-c0e48beb7bc7) + (tstamp 4b132965-cbf8-4393-85bd-5691454a21be) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9beb15f8-0778-41b2-9358-0744a4c329a6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0e06f2a-fbbf-4ac8-b9f0-3e94ae35a801)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fc8f039-ae45-4049-805b-52ec8cc9cc6a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0143c8f5-8e19-4e51-bd43-30cfa7b928f9)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0d52f86-59ad-485d-971a-734f10923ae7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3bdb3364-c4a3-4aa0-9599-1ab4e5b073a6)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1fc035e-d3a0-4674-9de8-4a8cb332cf4b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7cea0eb1-c581-45d7-b4e7-7b8be1cce301)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f920553-e03b-40f1-a01c-5040f80ae1d5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c41900f5-e70d-4828-bb1d-e339045a006a)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 281e1087-62d7-4051-a132-72767c6858c1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f60feb04-bdc2-4135-8e81-53e40112d311)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d724884-450a-420f-aa62-1132839b3210)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef026871-e41d-4722-bab0-f817fdad4a12)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3ab51ab2-7a9d-4dab-9140-d58f42930611)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40a276de-088a-4c11-a7df-475088ec5db7)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2772d159-4928-40db-a627-7089e941a92e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ccd92eda-50cf-4735-a079-4a58a85e5bb2)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f23c82dd-d971-49bc-8b99-c7c10a12a8fc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ea09bc8-c877-4126-93e0-2a4292be552f)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "/Prog/TCKrc") (pintype "passive") (tstamp 647016bb-1891-49f2-be89-b596ad2a999c)) + (net 147 "/Prog/TCKrc") (pintype "passive") (tstamp 0fa9abc5-d147-4602-bc1a-dd4fff24f495)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/Control/TCK") (pintype "passive") (tstamp fc3d7a9e-49a8-490c-8778-d3298feebcaa)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) + (net 75 "/Control/TCK") (pintype "passive") (tstamp a3cf0385-b101-423c-bad7-98dedcc7a422)) ) (footprint "stdpads:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") @@ -6070,42 +6057,42 @@ (property "Sheetname" "Clk.sch") (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") (property "ki_keywords" "connector") - (path "/00000000-0000-0000-0000-000061350d21/fcedaae2-d11c-444f-b015-f8191fedf7b4") + (path "/00000000-0000-0000-0000-000061350d21/85b79bbd-e87c-4cdb-b3be-0f19bab36f14") (attr through_hole) (fp_text reference "J5" (at 0 1.27 270) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) - (tstamp 8c7a56ac-8fb1-4d1c-ab22-3ac8cb2ead80) + (tstamp 8a52f6a4-c04a-45ae-845e-fd13ff9af375) ) - (fp_text value "CLKIN" (at 0 4.87 180) (layer "F.SilkS") + (fp_text value "CLKIN" (at 0 4.87 180) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) - (tstamp b48d294a-de5b-4f95-ba9a-211fd113a6c1) + (tstamp b4da1439-e4a9-4474-a444-460b7a2fabe8) ) (fp_line (start -1.3335 -1.3335) (end -0.635 -1.3335) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a924e5df-c563-49e9-b688-6e738b6071b7)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f966dffd-0105-48e3-b6f9-332153f60871)) (fp_line (start -1.3335 -0.635) (end -1.3335 -1.3335) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 344fd61b-4080-4950-ad92-921a228ad601)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 450178a3-57d4-48e9-a9a6-36bc1c7820d4)) (fp_line (start -1.8 -1.8) (end -1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ff731c4-677d-471c-a8f1-74e69f891dbc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ec176e9-227d-42ad-bcad-4d24a2d2f831)) (fp_line (start -1.8 4.35) (end 1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a6242bc-8634-4d9d-9a3f-d1b89363173c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d7603f9-a4d4-4d01-99b4-f883211bef65)) (fp_line (start 1.8 -1.8) (end -1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6645f8ea-71c8-40fb-8b59-0b7837b3332c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 549d4dd3-2c3c-47e7-8800-9f4b88fde4ee)) (fp_line (start 1.8 4.35) (end 1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db822a1d-df2b-4c5b-95f6-2904c9593df6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4c7223d4-9440-41d7-884f-495b371eac42)) (fp_line (start -1.27 -0.635) (end -0.635 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a91d3360-bea4-4acd-8d04-d165adb33332)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2328fa58-cb53-4ad2-9ccc-0498ffa44412)) (fp_line (start -1.27 3.81) (end -1.27 -0.635) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d37cd354-5b73-4b70-914d-975faf6ea585)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de32cd4f-3a7d-45ea-a1fa-7c8f2a11792d)) (fp_line (start -0.635 -1.27) (end 1.27 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 830a362a-4708-4c8e-8c71-d117aa5e757b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c46404e-5974-444a-92f6-720d5220ba0d)) (fp_line (start 1.27 -1.27) (end 1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 635a3ee4-8bdf-4425-822b-760641bb1bfa)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2063299-04de-43a5-aebd-fecaf3012cf2)) (fp_line (start 1.27 3.81) (end -1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 817a8c26-bc00-41cb-bac6-4e646472290e)) - (pad "1" thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 244 "Net-(J5-Pin_1)") (pinfunction "Pin_1") (pintype "passive") (tstamp 58f37e85-bf8a-4535-b2ce-5339217d8044)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81a3b96a-e92a-490b-82f6-839e041cf501)) + (pad "1" thru_hole roundrect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.1470588235) + (net 241 "Net-(J5-Pin_1)") (pinfunction "Pin_1") (pintype "passive") (tstamp a14b0688-dac8-4294-b020-a6e058b0ea07)) (pad "2" thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 4cf611f3-da99-45f0-a781-624f7543f9db)) + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 6f8a2743-0354-4b7d-b951-402620d517c3)) (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9329,7 +9316,7 @@ (at 183.25 39.8 180) (descr "Micro USB B receptable with flange, bottom-mount, SMD, right-angle (http://www.molex.com/pdm_docs/sd/473460001_sd.pdf)") (tags "Micro B USB SMD") - (property "LCSC Part" "C404969") + (property "LCSC Part" "C132564") (property "Sheetfile" "Prog.kicad_sch") (property "Sheetname" "Prog") (property "ki_description" "USB Micro Type B connector") @@ -9340,56 +9327,56 @@ (attr smd) (fp_text reference "J3" (at 0 0.55 270) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp eb5bedef-43a4-4cd0-b556-c10628f3d1a1) + (tstamp efb48b95-6e56-4eb1-a0ff-7debdc2ac559) ) (fp_text value "microUSB" (at 0 3.852) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 9552bb9f-3f16-43d5-87f4-afb18a18b29c) + (tstamp 06bd3c29-2175-4362-a82b-f22e38ab7bf6) ) (fp_text user "PCB Edge" (at 0 2.02) (layer "Dwgs.User") (effects (font (size 0.4 0.4) (thickness 0.04))) - (tstamp 738bde2e-bf51-44ad-853b-8abab847ebfa) + (tstamp 56e84bb6-d6c6-499e-adb1-930f70d68573) ) (fp_line (start -5 -3.35) (end 5 -3.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fdd3ec6-df0d-48d6-a172-47f87a46859e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0a81bc4-3028-4cf2-8335-f22e81507d0c)) (fp_line (start -5 3.25) (end -5 -3.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7663a976-3678-4da1-b5c6-aa68488ea6a4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 003ebd73-d9cd-42cb-a266-fed8f7469860)) (fp_line (start 5 -3.35) (end 5 3.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ab37b49-a4ab-4715-b611-5dab719cac92)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ffa07e1-e144-407f-bb33-820da33d3653)) (fp_line (start 5 3.25) (end -5 3.25) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 600635e7-dc4b-4b5b-8d10-13995105e07a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca305dd7-a351-4c72-8354-bd7f6422c780)) (fp_line (start -3.95 -2.8) (end 3.95 -2.8) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a939e264-7f57-418b-bf09-e60bff85cc0a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 316f2499-1f19-4640-b9d9-e04a51d4e83d)) (fp_line (start -3.95 2.75) (end -3.95 -2.8) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2315984-8b74-4917-8720-bf9acbdc0a9c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8cae0e70-b951-4f5e-85de-05c78c426f4e)) (fp_line (start -3.25 2) (end 3.25 2) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91b82a6c-db68-466b-a2fc-3f6f2e46ab73)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b8e441b-00a6-49cb-b193-df178d67fb12)) (fp_line (start 3.95 -2.8) (end 3.95 2.75) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e9e7d16-ae2a-409d-a041-f3e1a1a9c5a4)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5e016e8-bfdc-4a3e-bc8a-8a7c808f8797)) (fp_line (start 3.95 2.75) (end -3.95 2.75) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71bcaeef-c42c-433a-a00d-9fcc323bf5f0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3f3287ea-5faf-4eb8-af31-635ae18dfedb)) (pad "1" smd roundrect (at -1.3 -2.125 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp f259cb4e-ec37-4eb1-b2f9-14280fb163c2)) + (net 7 "USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp 17e65a05-6287-4ab2-9079-de273deb17e3)) (pad "2" smd roundrect (at -0.65 -2.125 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "Net-(J3-D-)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp fa92bf99-b0be-4e31-a713-acc5cc881013)) + (net 86 "Net-(J3-D-)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp 6c9a136d-7393-4a56-a598-6a747783effa)) (pad "3" smd roundrect (at 0 -2.125 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "Net-(J3-D+)") (pinfunction "D+") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp 20e22bc7-71a2-4570-aabc-f3f0cc583280)) + (net 87 "Net-(J3-D+)") (pinfunction "D+") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp 45a357ac-c35d-4fca-841c-cae1bb6855a2)) (pad "4" smd roundrect (at 0.65 -2.125 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 241 "unconnected-(J3-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp ebbcd44b-8e78-411e-a79e-5236aaf377a6)) + (net 238 "unconnected-(J3-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp b41b733c-8c9f-4e79-9343-f1add5e38ea9)) (pad "5" smd roundrect (at 1.3 -2.125 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 03e9eafc-5c2f-4b15-900d-5764521998ef)) + (net 1 "GND") (pinfunction "GND") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp da696046-be76-409f-ac90-310c62794d0a)) (pad "6" smd roundrect (at -3.8 0.55 180) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 09f55839-8f5d-4eb1-a3fe-b535f0417b5c)) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp b25ab8ad-852f-4a08-964d-ec85196432d1)) (pad "6" smd roundrect (at -3.1 -2 180) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 9899283b-15a5-4c36-bf22-b24b14165b8d)) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 5af4e2b8-a72f-4448-8cd0-cf1cb0722e18)) (pad "6" smd roundrect (at -1.2 0.55 180) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 567ebd23-6258-46fe-8d89-9fdee09e5f4a)) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 47d6553a-497f-46d1-91c4-f542dc967211)) (pad "6" smd roundrect (at 1.2 0.55 180) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp e2d272d0-0f6a-4a0b-b9e4-d0f65c884e84)) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 8a665ebf-0690-4040-8a40-a4098b2dd238)) (pad "6" smd roundrect (at 3.1 -2 180) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp a3422bfc-f4f6-46b6-a494-41a94c13eba4)) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp b5b8abdc-46f7-4e75-8a55-f506da32e333)) (pad "6" smd roundrect (at 3.8 0.55 180) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp dbeb4d5a-a077-4a29-99be-86c39bcd7db5)) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 36787e8e-7ecc-415d-9b5b-0d454646583b)) (model "${KICAD6_3DMODEL_DIR}/Connector_USB.3dshapes/USB_Micro-B_Molex_47346-0001.wrl" (offset (xyz 0 0.95 0)) (scale (xyz 1 1 1)) @@ -9412,40 +9399,40 @@ (attr smd) (fp_text reference "R18" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a0214dd6-f31a-413d-bc07-e38cb01520d9) + (tstamp 2a726fd8-3f84-4379-9697-0ddbe1071704) ) (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp c155748d-6cde-4c64-827c-2d811766c1c4) + (tstamp e858e6ee-d56b-481a-9c35-a07b7e90d564) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9b389ade-f35a-4c59-953c-6e53b0544ee1) + (tstamp f1b28cbe-8ec8-49a9-814e-240a4731e6ab) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f479962-f038-4bc1-b5ad-839b219c7611)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30dc215a-fdf9-49bf-8886-de01df4c0253)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8978d69-0b7e-4482-8f36-a2dbe1ecf8fa)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 085ce0e8-692a-4d61-aa86-a6df2c405755)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e3df063-b7a5-4453-8f22-837281b465e0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e8416a1-b558-407b-a47f-865cdd64394c)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 81ba08ea-44ab-46c6-a548-cccf2a0c3ce0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2673ef26-b5ae-423f-9be3-5236e27b49e5)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e38ed35-c6a8-4c92-ad66-c7a89c242961)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd9595ce-c329-43ae-80bf-7665cab8feb6)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8aad97b7-adc2-45b3-80a0-95ff9eb3d9ac)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 592f722e-527e-4a3a-ba5d-9f5c6ca9c43f)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e994db7-4153-4bf4-ae0b-4a043052ddbe)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4a54564-72ed-40f5-a4e3-8ae0139a4194)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 564744a4-cc35-4210-8de9-a4228edbd574)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5f0b08b-a181-4418-87c6-8191ffd53105)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2442eec1-204f-4d23-b706-e742dea876c3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 629c713a-391a-4727-9ec1-4dce0647c34a)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88048c3e-20ad-4122-bdeb-c727186d2d50)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 356cb6a2-832e-47b9-bced-cd7ae3007350)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 231 "/ClkBuf/BE") (pintype "passive") (tstamp 8bf0ffbc-f11c-417d-abd4-2b0ac47c1272)) + (net 230 "/ClkBuf/BE") (pintype "passive") (tstamp 46defd76-74d2-4623-bcbf-d06592b471a7)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 240 "Net-(U26-Y)") (pintype "passive") (tstamp 63f5bb8f-11f5-4d7a-92a4-13563d07eb05)) + (net 237 "Net-(U26-Y)") (pintype "passive") (tstamp fc576a8e-f352-41c6-8c64-e34e76b09260)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9468,40 +9455,40 @@ (attr smd) (fp_text reference "R20" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4c3226fc-fb18-4ca8-b82a-8e6107cb895b) + (tstamp 34d0294f-4489-4990-b5e4-a11fe13c97f5) ) (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 5d07b647-ec0e-4007-9273-dc6c0ed507f0) + (tstamp e14acec8-4f8d-4cce-9b10-6e57d6e2266a) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e43b831e-e5b6-4bea-a8b8-2f8080222a70) + (tstamp 40fb59d5-60d6-43ad-85ae-117645262043) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 584c8690-537a-4f86-9c35-06068332eddb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c201c45d-8c3c-4d79-9e0a-2e97b7145bd2)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15571d65-ad12-4789-ac24-43cfdfb52b76)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7030693-c8e2-4433-9c27-11f3dca6ffaa)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 648a38c0-d3ca-4a87-8552-5d7609d3bf70)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42dc3bd1-8359-43fb-8cbf-df445e2542f2)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58477471-2e02-4a12-81e5-77875ef46b85)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5cccf5b9-d718-4f64-95d9-4cadf7455ced)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 91baabb7-a235-4969-892a-49c53237bba0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ddd802d6-7d12-4bd4-b00a-2cbd556dfdb0)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7838752-84e5-43fb-bc99-5d0640ff0abc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b27789d2-f245-4d80-bb86-7f6e3658ec5a)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed1b2249-24e8-4248-9f5b-36ea4c241291)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4311ff9c-c349-4cf6-9a9f-f22c862ba58f)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b16694b8-c6c2-4cc2-8e43-55f59a82b369)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 68d248f6-51b1-4939-bbe1-d4e13e3626d3)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 06c1af65-da5f-4234-9015-f22c9a5ac0ab)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65ad2b85-c044-4f12-974d-346e95176aff)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1179852b-e074-42bc-82ce-dfdcdb355f3f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7009db99-490f-40f0-8461-ea16a05f8e04)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 209 "/Prog/UTCK") (pintype "passive") (tstamp c22879c3-efb5-4510-8022-2166c49a64e6)) + (net 209 "/Prog/UTCK") (pintype "passive") (tstamp 669a3727-d442-4d20-b2cf-d3b8d744b01f)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/Control/TCK") (pintype "passive") (tstamp eebcf80c-1d0e-4139-b270-395021bf75eb)) + (net 75 "/Control/TCK") (pintype "passive") (tstamp 78858786-34cf-4d05-a05a-68b9ccef0538)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9524,40 +9511,40 @@ (attr smd) (fp_text reference "R5" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d8f5dda6-e6e1-4ac5-9637-9e143b83f285) + (tstamp bf7a510f-9042-4004-a4c9-ec92d14f4b61) ) (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 0ee7fe48-b99f-4050-9a56-a446842666e5) + (tstamp ece4c015-9609-4c2a-b63b-2e02b9660a9a) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 587d5524-2e46-4c80-a616-b7e2dbe8d03e) + (tstamp 2240ae93-6823-4b95-89d6-bea7a842ef66) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f798cd86-83f0-488a-9e70-f97aaf8dfebc)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a53a216-4261-40a4-8fae-d4a14d764ee6)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05f6def4-5a11-46e1-bf0b-b631c0b83396)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 88ce0e8a-b339-4a7e-a491-fddf51c7c36a)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0c4f2ef6-1d3c-4d30-9bd7-ebc72405312a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 80a9cbad-7499-4cf6-8121-2bd8e6d12a2f)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 31051eac-fc2e-4619-84df-c562872b9a2f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad472fbb-7cf3-4e67-83c4-ea152cf684ab)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e325cd07-baac-4eab-a9f9-dc8ba62a8b14)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e4d3037-fddb-4b5c-bca5-e3eaa5b49fc4)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51ed84e1-487a-4404-8121-93144009e37e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cdb086f4-15b7-4a48-8c8f-f3a4e70be688)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25434a09-44b3-4bb9-956c-042f6ddda44b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39f41338-98bb-4c01-9fec-9d7cd6e74cf7)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 659eecfe-5104-4e30-a0f5-4ef06cd07b21)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6bf2de50-1734-4cef-b43e-be831d7a4823)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3038db0b-57d0-49dc-b90b-a68f12f383f3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db80390d-925b-475b-941d-7f25f75cdd62)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fead6b42-86f7-4230-9701-17650540f2bf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ebc305a9-b00b-4902-9dc3-ff4fda1d0f7d)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "Net-(U6-CK)") (pintype "passive") (tstamp b047c8d2-76ad-437b-9e12-29974f602610)) + (net 145 "Net-(U6-CK)") (pintype "passive") (tstamp 566a02b8-66e0-4238-afc7-76b68821d02c)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 244 "Net-(J5-Pin_1)") (pintype "passive") (tstamp 9b6a9cc6-f75a-44d8-97da-f0dba73694bf)) + (net 241 "Net-(J5-Pin_1)") (pintype "passive") (tstamp 1c364dca-fa8f-47b7-8243-4d12b3154500)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9580,40 +9567,40 @@ (attr smd) (fp_text reference "C21" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 18d1a7a3-6f3b-4c62-bc2b-2bc8893c7af5) + (tstamp 645c0b96-7b36-46ad-966c-f880dc32880e) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 892c5c24-4bac-4a6b-93d0-6d92ec230952) + (tstamp fe7df0bc-6417-42be-a7fb-b59ea08ac053) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bd2a660b-c685-444a-9566-559eba9e6d3b) + (tstamp 86d3865a-810a-406d-9692-e5a6faac1857) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56b7f2e7-64ca-46c6-8ce4-f6a5bad49d9d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e0fa8b1-5c76-4f7c-8244-53fc40709923)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a7ec13d-a47b-4aa6-a04b-951a6e0fb77a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35c44e51-9ccb-476f-a839-82613a61e235)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4f58a58-3819-4244-aba5-58bda8dbb0a4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 74be693c-76eb-4592-871d-b5eeaabd1e7a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66ef276f-c830-4955-8298-816217b9db97)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8ddcbdc-f40e-4772-9c1f-22696c822da7)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 282a2d34-662a-4eb1-b93c-f81c9e784041)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1dcf668-5a12-4afa-8c1c-5af367f42780)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3345d9b1-86c8-4bce-881f-0f3d37bec2f8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff677fe0-73ac-4011-992b-eb72da85693a)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40dd04e9-5ba7-4e3a-a192-9e779b1650ee)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb1b170c-1260-46f9-8f0c-330d7f4eb957)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f23eb3ef-b704-4c58-bc11-7b9ec9a9aaec)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a26ba50b-aecb-4891-9a3b-fce50cdc1910)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86258e95-c140-4b79-b7df-7db2d97fb3c9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0096619-582d-46ce-a771-f8c033492236)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5ab9a331-3fb2-4f2a-8cb7-ad34e150bd29)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cbc15684-95f9-4663-a1df-721d29a801f0)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp c0903a58-0a0e-465c-be29-b3d5223fb221)) + (net 3 "+3V3") (pintype "passive") (tstamp 354bee4e-2377-4685-b0a9-28ff696b94b4)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp b94be609-bc36-4c77-8cbc-3d2611ed3c94)) + (net 1 "GND") (pintype "passive") (tstamp 38885a0f-b61f-4f80-8230-b931b736f755)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -9636,40 +9623,40 @@ (attr smd) (fp_text reference "R4" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 30aa61a1-e987-4766-87d8-925ba02a0920) + (tstamp bccdb4de-06b8-469d-8661-b4308b4ed757) ) (fp_text value "DNP" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp c69d8680-2e75-49de-a4ea-cb49c4811051) + (tstamp 071b499b-1ba8-4325-849f-ff90256830a2) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1afa445b-8f02-468c-8797-a567ef529c22) + (tstamp e5b15aae-0782-46d9-b2b6-4f048aa20bcc) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8cfd8cc4-bfff-4595-b755-2d7b83a13b77)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8462f26e-38dc-46b0-bc53-609292ce96d4)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8eee5137-cd28-4c02-ac3a-99885c20afbb)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7157f3e-f974-4bc1-a773-9a343bd035fd)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a11d56d-bfb4-4d25-bbf2-aa483b268180)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c141c2d4-00e5-4fb3-afe8-2efe320fd870)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6166c8d9-4cda-4fdf-922a-e178b2077f79)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0474cf33-e4b6-4c15-923c-57561e56c756)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a5331b59-5a1e-4ad8-9f02-563e5696aef7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6cc3bfd-d585-4525-994c-4192c6c6c20d)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 357f5759-d405-4bd2-b143-3495eae7a121)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0f8f095-b4cb-4d7e-8475-5732e1a63c00)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce6b54d3-ba18-452f-aff1-caf9370e297a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b317a7c2-87ab-4b93-be71-3c04ace772ec)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 307aff7d-8d14-4421-b6b7-8a7aabaf125c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1afd66cf-2cc7-4f30-8540-27fa28383e22)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp acd438c4-a11c-4b3c-b9cc-f613ef5a41d8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 05f75900-5fd4-419c-b061-5c1668045115)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 75f12a27-f427-4b62-87e9-1f9d4125b073)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 999ff2e1-f97d-433d-9568-68067c1126a4)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "/Buf/AccA23") (pintype "passive") (tstamp 32124037-3ae1-4417-922d-6f1ccdf4014e)) + (net 139 "/Buf/AccA23") (pintype "passive") (tstamp 1c8b2890-e6f1-4e1d-8451-2f5d537e233b)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "/Buf/Aout23") (pintype "passive") (tstamp bcd81f00-46f5-4f61-8ce3-4978bafaf402)) + (net 85 "/Buf/Aout23") (pintype "passive") (tstamp dc84e9f6-d654-425f-8190-1ba4edfae4f8)) ) (footprint "LOGO" (layer "F.Cu") @@ -12935,40 +12922,40 @@ (attr smd) (fp_text reference "C50" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e1466eaa-b1a1-403d-9e15-112b305ce40d) + (tstamp fc04586d-6c74-43d6-a656-f887575ccec0) ) (fp_text value "10u" (at 0 0.35) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1896a29b-fdbc-460f-b532-33bf17c440af) + (tstamp 354c2eef-5b30-4d2f-9e3f-b880bb9cb8d0) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 399a0ba7-1eae-43ac-8067-f9152008e7fd) + (tstamp 64ef2185-a98c-4bed-bc43-2c8d8143dd7c) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f5b9b88e-597b-4e56-aad1-0482bf026e5e)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6b54ebb4-17d3-4090-9b1c-fd31214d1e9d)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9e756f18-2f24-4e4d-944f-0b9380e2bb40)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 13102ef2-ba49-4b04-a3b6-aec78695c730)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 881e3bc6-ace0-4af7-9533-0df0202ac564)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92567298-7d1d-4f0a-bead-967ec51b6ba5)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 26302bb6-1aaa-48e9-9b58-fe3e92fa3446)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e1173ba5-7c35-4a0d-ad8a-c30ce48902c3)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3c2a40a-b300-4fa5-bd01-d2ba43791029)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ae02ea9-20ba-44ec-847b-2a36ebb6b993)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21775a7f-e2e4-4752-a265-75e66ebdccf0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5943984a-ffae-4444-8fe8-e3ee2d268adf)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5f14cc84-7e51-4a51-a682-84070ae5375a)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bbd4fea4-caef-44ea-9015-8135743837ed)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f4cbdcd3-22c8-447d-990b-351457d229b7)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dc6c3a9d-e63d-41ab-a593-6e7de6e98e47)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d8e79eb9-c01b-4344-9ab9-da340a7ff429)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 389eaee7-9a14-4297-8805-86a7ec2bd8fa)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 06ae2ab7-0f2f-4efe-9b6d-8824c7cfc558)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a8d80f7-6ec6-44bd-8530-ce5f5d68ac1b)) (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp d802120d-20a3-48ce-bd94-b48db2c60b84)) + (net 2 "+5V") (pintype "passive") (tstamp 3efaef03-eadb-481a-b07d-4953f4ef4016)) (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 763bf34f-a348-4d2a-9bc8-1e0a96162094)) + (net 1 "GND") (pintype "passive") (tstamp 6ada73eb-6481-4fb3-bcd4-4d4049bd586a)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -12991,40 +12978,40 @@ (attr smd) (fp_text reference "R2" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 53575f3a-20c4-4dd7-8d68-00c8ebee69d0) + (tstamp 08ded4fb-e095-4a0a-9635-5a536494785d) ) (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 10e3be11-98d9-4908-a2b2-15b8a0b41f56) + (tstamp f2c22c6d-d754-4285-82f6-a6765c8c6038) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bb091cf2-8f60-4a5f-aa2b-9b72c725b4c6) + (tstamp fd6e31e7-c79c-45dd-b886-78bb6f051906) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83bd531d-2ba4-48e0-8a35-190e3a0fabe1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b08096a2-09fb-42fe-86c4-9dbe48355dd5)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4dd6597f-9a0c-4daa-b7a8-6d860be8cecf)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 33f25fd3-8b22-48e3-9beb-4773f1c0325f)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ad34f12-180d-4f93-9ab9-9e922948f8cc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cda8228b-d8c8-4680-a029-30ec4bdfb2bc)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7552840f-9c24-470a-9d40-ab939542810f)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4341c238-a618-4e56-ac2b-131683ce9f8b)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 89daa322-d26f-4849-8862-748628f08842)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 630f2666-e0d8-49e4-a7fb-b08360020371)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0f33f9d-af8b-4422-a07c-4c8958c76348)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ced02717-ac4e-4202-a9a7-9276445c5e14)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea2f657a-89c7-4bd9-91f9-b19934ff67d6)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71d14847-8518-4da9-937b-6e870c3465df)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d04b5616-4ab1-4244-b5cf-5cb67d1fb9e2)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9515efac-9ecc-47a5-98d8-cb28f38ac4a1)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8377bc18-035c-41fb-a6aa-8bde8839468f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 19d2e643-b155-4fea-a80c-19b70f370dda)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2520565-2180-4a68-925d-6ca4d12ae73e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9164a45f-fb25-446e-9bb4-ff69faea1a24)) (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "/Clk.sch/FCLK") (pintype "passive") (tstamp 783177b6-44c3-430a-8b6a-440c7dd75a4a)) + (net 142 "/Clk.sch/FCLK") (pintype "passive") (tstamp 84c9a892-91ae-4386-8ea7-fda857df7e0e)) (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 141 "Net-(U7-Q)") (pintype "passive") (tstamp 46fc9953-2021-445d-80fe-cf3bd5e4b108)) + (net 141 "Net-(U7-Q)") (pintype "passive") (tstamp 93b88bc6-a6d9-4ac3-a649-adbfc9abc5e9)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13046,46 +13033,47 @@ (attr smd) (fp_text reference "R12" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 543f064c-145e-4471-b624-d11ca040274c) + (tstamp 1b234415-4b83-484b-83e4-b71e9d16777c) ) (fp_text value "DNP" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4d26b827-c0d9-4932-a570-9ff92d0a6b93) + (tstamp 8d72a2dc-a0f6-41d5-9f65-cc9fbfd6b514) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b2050078-2649-4615-85fb-094beb6ff0fb) + (tstamp c65f29c3-479a-4559-9d9f-8308acc0761b) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aeda1e2a-c49a-4348-b80e-5c7f5e13f5e6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d4062a64-e752-4933-946f-e2bc30804a09)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f342a3ea-20ff-4bf6-ad4b-be37885bb890)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65393997-7f8b-4973-9c89-75f86a41e3f6)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 183a47c4-b544-4a11-8ea9-c868ef0ef319)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21d4e216-002e-409f-a15a-24666df5205e)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4094fcd1-bf1e-49ac-8042-533a62a93d34)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ddd70cd9-92d8-4267-809b-bda4952952ae)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 941d175f-6ffd-48fd-9689-76e554f52071)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1797fd37-d6d4-4d5d-aeff-223f7d4d76d1)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d7cb61b-77dc-4cca-b399-1389dcf93325)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e33db20-344b-455b-a955-a6e14a4672fe)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a467e2f0-d7a8-42a2-9715-1fb8ab9498b6)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c8601949-542a-42bb-a28f-2afbc7ec581e)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed292944-7eba-49c9-b0bf-d2589f1c4f14)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 780b6a3b-47ee-4de2-a562-962e2e5d8dba)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c380250-0d5d-4524-a1e4-cf5f49c9ab77)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb494e59-200d-4f2b-801f-55fc46f0001d)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e517517-2326-47a8-b37d-e4fa39ec3a63)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bdf2f462-acf7-4887-9432-1ecc19ef4642)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 141 "Net-(U7-Q)") (pintype "passive") (tstamp 7abfd6d7-df3c-4e6b-95cb-febf5e8713ed)) + (net 141 "Net-(U7-Q)") (pintype "passive") (tstamp c5f679f0-8232-4eee-a1c0-f2f3f9e51831)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/Clk.sch/MCLK") (pintype "passive") (tstamp b0eaa168-ed26-4072-816e-dc377f25b5f7)) + (net 88 "/Clk.sch/MCLK") (pintype "passive") (tstamp 2c30638d-1019-4ca2-b7a4-8e5ab5fd751a)) ) (footprint "stdpads:SOT-353" (layer "F.Cu") (tstamp 5c1edd64-6d84-4feb-b428-f61173c51fc9) (at 194.9 57.9) (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C455045") (property "Sheetfile" "ClkBuf.kicad_sch") (property "Sheetname" "ClkBuf") (path "/fe631861-deed-4e97-a528-5baf968a7cc8/92d63a38-8fa6-4814-9313-4bd8cadaf786") @@ -13094,44 +13082,44 @@ (attr smd) (fp_text reference "U28" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 51f9461c-fc3d-4c69-977d-77751c5108b0) + (tstamp d22e173a-614d-4606-917f-24a198eec2c7) ) - (fp_text value "74LVC1G07GW" (at 0 -0.35 180) (layer "F.Fab") + (fp_text value "74LVC1G34GW" (at 0 -0.35 180) (layer "F.Fab") (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 487aad74-cb46-4a52-91f1-14983ea31b6c) + (tstamp 04abd9a4-c804-4d96-990c-f58fee0b7022) ) (fp_line (start -1.16 -0.73) (end -1.16 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2be51372-201a-4a58-b517-645b9517a1a5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2f048618-a4c8-4099-ae92-f97ba9aafc61)) (fp_line (start 1.16 0.68) (end 1.16 -0.73) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c8707f5-68bc-412b-8534-20c4c33cb457)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25b85767-57d6-4d9f-917a-ce0ec203bdee)) (fp_line (start -1.3 -1.6) (end 1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15f47a08-f5f5-4581-87eb-7533ccd659d3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f062bc8d-a8ec-498b-825d-18cd53a14fd6)) (fp_line (start -1.3 1.6) (end -1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7a6c8a0-b9e8-41cb-8bd0-1e6e79862c36)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4045ae4-4939-4644-b3e9-298e6b371cae)) (fp_line (start 1.3 -1.6) (end 1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d725856c-a460-4ae2-a31c-1b8eac096e34)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78835520-01ce-4d40-ae5d-a80fc29c49b3)) (fp_line (start 1.3 1.6) (end -1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34aacd6c-cdd0-47b8-a070-fd2304d96c60)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cea79a3f-119b-470e-96d8-33d4583a97c2)) (fp_line (start -1.1 -0.67) (end -1.1 0.18) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80e4db13-eb4c-4971-bc9d-482f0b51a8f7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c908a20-b177-41dd-9065-85a868999b0f)) (fp_line (start -1.1 -0.67) (end 1.1 -0.67) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1d6b302-39a8-4a13-82f3-9e24ff09b92d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c607a48-a481-46ec-bb49-0cceefa09400)) (fp_line (start -1.1 0.18) (end -0.6 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e3e1b9b-31cf-49c6-aab1-760ce1a21009)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0686b9b5-ef40-47ff-a6fd-1ef4e892aee5)) (fp_line (start -0.6 0.68) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8dc63e82-9327-448a-bc5f-1677b318e4ef)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fc7d1c85-28bc-42fb-bb1c-599b80b09106)) (fp_line (start 1.1 -0.67) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ee8cef2-7ca2-4ca4-b043-ab163640a184)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7e1514b-b192-43e0-8e1a-d58dd27fceef)) (pad "1" smd roundrect (at -0.65 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 235 "unconnected-(U28-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 4f2d66ee-f515-4479-861c-06fbacc1ced7)) + (net 1 "GND") (pinfunction "A") (pintype "input") (tstamp 029f6151-ced6-491e-accb-93ccce8dc321)) (pad "2" smd roundrect (at 0 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 236 "/ClkBuf/C16M") (pinfunction "A") (pintype "input") (tstamp 025c3526-8d84-4018-84df-f1107b4a57bb)) + (net 233 "/ClkBuf/C16M") (pinfunction "B") (pintype "input") (tstamp 90be315d-2f49-4f39-90e6-91b2c8855fae)) (pad "3" smd roundrect (at 0.65 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 841451a9-bce3-4ba5-8c65-bd81c19a04af)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 23515728-e7cd-4187-ac92-3bd7b4c48409)) (pad "4" smd roundrect (at 0.65 -0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 238 "Net-(U28-Y)") (pinfunction "Y") (pintype "output") (tstamp 438683d7-8dc2-4393-96e0-c2e5d484c1d9)) + (net 235 "Net-(U28-Y)") (pinfunction "Y") (pintype "output") (tstamp 77ae028e-32b9-44a4-8736-ab07f3de4ea3)) (pad "5" smd roundrect (at -0.65 -0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp e775ed03-b42a-4d2f-8de4-78c3a4792f9b)) + (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp d5c0c9b1-87a8-451e-9bf8-9b51e550bb7f)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13143,7 +13131,7 @@ (tstamp 5ea41c4b-4a5b-4478-a5d5-149c4865a529) (at 194.85 115.15 180) (tags "resistor") - (property "LCSC Part" "") + (property "LCSC Part" "C23182") (property "Sheetfile" "Prog.kicad_sch") (property "Sheetname" "Prog") (property "ki_description" "Resistor, small symbol") @@ -13154,40 +13142,40 @@ (attr smd) (fp_text reference "R19" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 170db083-adfe-4056-813c-113e239df33f) + (tstamp 3d4c6d8f-50bd-43b9-b54f-8172e38470e5) ) (fp_text value "47" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4d262be8-b303-49d4-978b-0f796a507dd1) + (tstamp 88edeb59-8129-40d6-ac69-0f081e59fa6a) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 728e6a02-a70d-4c5a-b08e-17a00e2e8c14) + (tstamp 6432f301-92d2-4a14-846e-5d22a0282351) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6bc825c-921c-4067-99aa-e2f06cf561c6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a68a5442-42fc-42e9-a0a5-733cd141844d)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 533d5b54-a48b-4f7e-bf4d-f326427437c9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4fe6d01a-a91d-4931-9c0a-69a901a1a62e)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9be20370-f7c8-4b17-88a5-766fdd893f37)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ebb498de-6a55-479d-a9f4-7cb11813d618)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66674f32-c6d2-4e4f-81f6-807b9522d1a5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29185738-bb08-487a-b498-36a24151ebce)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d9dd544a-95ce-45d0-a57f-4249d791eb5b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d0e7678a-3bd9-4cb9-916a-137395e94a0f)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5664d69d-9f77-4238-8823-8e45f0ab92da)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 38b449ff-990b-4e03-9309-da93012b6e66)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ab6045e8-8fb1-4c0e-9b65-9f1bc09ce40c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 363d553f-c9f5-4e8b-86f9-c5cb8d6ae8a6)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0209fd3-d441-4b55-82b6-dad1528af747)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ad8b6e3d-cc74-4f83-83b7-0b3e8fb80de2)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4376cbf4-0d68-4864-9391-1c399dddc140)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f45458d-c1df-416f-83c1-376a503d359d)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ecd3dde-9060-40bd-9d0c-a2140eeda4dc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5fcee682-8ba2-4253-a29c-18fa778f6b74)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "/Control/~{RESET}") (pintype "passive") (tstamp 564137e0-5ab1-4cd0-aaac-e583fb12a082)) + (net 24 "/Control/~{RESET}") (pintype "passive") (tstamp 4602e89a-af6e-4bc2-b255-29e4b30f2ca3)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 242 "Net-(Q1-C)") (pintype "passive") (tstamp abcc0990-5e6a-487c-a206-4b30a46c6a48)) + (net 239 "Net-(Q1-C)") (pintype "passive") (tstamp 35ad31e8-4cde-4011-b2d0-a29b49ea63aa)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13197,7 +13185,7 @@ (footprint "stdpads:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") (tstamp 5fbf9e85-1948-4b29-900f-3eadc4c575d7) - (at 179.5 61.9 90) + (at 174.498 90.805) (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") (tags "Through hole pin header THT 1x02 2.54mm single row") (property "Sheetfile" "Clk.kicad_sch") @@ -13206,40 +13194,40 @@ (property "ki_keywords" "connector") (path "/00000000-0000-0000-0000-000061350d21/f1f5ef96-c7c3-4c7a-89e3-e67b510a4fe7") (attr through_hole) - (fp_text reference "J6" (at 0 1.27 180) (layer "F.Fab") + (fp_text reference "J6" (at 0 1.27 90) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) - (tstamp 27ea825a-2719-471f-a820-d5275ddb74b3) + (tstamp ccf6e0b5-8124-4f51-a71d-94a1a58cc561) ) - (fp_text value "CLKDIS" (at -2.4255 1.2845 180) (layer "F.SilkS") + (fp_text value "CLKDIS" (at 0 -2.3495) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) - (tstamp 5dd52f3d-b3a7-4f8a-a409-015663671406) + (tstamp 8351ba84-fc2f-4485-92a1-59e091531063) ) (fp_line (start -1.3335 -1.3335) (end -0.635 -1.3335) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3796e2d-7244-4368-a4c5-8b50f3ae5e60)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 99123c10-935b-4f32-80c1-11c8e8662748)) (fp_line (start -1.3335 -0.635) (end -1.3335 -1.3335) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8454664-177e-4be5-b9d5-8590fcd9f31f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a5b310e5-d389-4710-b996-5803a47d44dc)) (fp_line (start -1.8 -1.8) (end -1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0cced2c-1063-498e-9d4e-9691e9f2ebe5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fecf4b43-1144-4bb6-b28c-b954027f720a)) (fp_line (start -1.8 4.35) (end 1.8 4.35) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f32357c8-8c2b-4d9f-8579-90f5514afe12)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e42d438-396b-4883-9f47-81b9d545c327)) (fp_line (start 1.8 -1.8) (end -1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 073bc29a-74c9-4506-aa5d-5f7d9f81b87c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84a0853d-9b0a-4cd6-b309-064d48f9a980)) (fp_line (start 1.8 4.35) (end 1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45310d13-1116-4008-ae56-79df8c5c707e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e73ee4ba-ca5b-41f5-863c-4067552ddc55)) (fp_line (start -1.27 -0.635) (end -0.635 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1a777ea-5c3c-43dd-ac0e-229e0071d6e7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 626bb9b6-4a5a-4b1c-b398-3bb30995934c)) (fp_line (start -1.27 3.81) (end -1.27 -0.635) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd09ad17-f3a7-4b07-99f4-d92ea9893abe)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 60ffb66b-4844-483d-ba78-1141fc35d858)) (fp_line (start -0.635 -1.27) (end 1.27 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 267ab809-4eb5-4575-9222-0868c1933409)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61fd4782-91c9-4ddc-8f7a-dc0d6910fc7c)) (fp_line (start 1.27 -1.27) (end 1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f31aff06-e078-4a14-8ea3-ca3d6e415889)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa600016-5b72-4174-8c7e-ecafa9c9f7c4)) (fp_line (start 1.27 3.81) (end -1.27 3.81) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 14e6439c-7d84-4e3f-9c38-60c8163b94c4)) - (pad "1" thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 245 "Net-(J6-Pin_1)") (pinfunction "Pin_1") (pintype "passive") (tstamp 6d18c8cf-63b3-4824-9149-315785d28fea)) - (pad "2" thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 4da00a7d-b852-4c65-af4c-230e63b62d5a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5deb8da-ab42-4ab9-ad38-62f60e179f17)) + (pad "1" thru_hole roundrect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.1470588235) + (net 243 "/Clk.sch/CLKEN") (pinfunction "Pin_1") (pintype "passive") (tstamp f6763b66-3670-4a95-93a2-335ac62b0f90)) + (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp b9b93696-46d1-46d0-8307-de1b4ed2b0c2)) (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13262,40 +13250,40 @@ (attr smd) (fp_text reference "R6" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e3a87a7d-c631-439c-b7e7-a347cb513438) + (tstamp 670b5d5a-3447-4015-9069-82e9b05ea5a1) ) (fp_text value "DNP" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp cb789027-cf4d-4a47-ab7f-db4983d97213) + (tstamp 661903d5-15e9-43f1-a13f-95a673532c68) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d84782f6-7ddc-4791-945f-85d74e869646) + (tstamp a7d41c84-f6d4-4b7b-935e-cbf0f11da975) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cb97ade7-5b80-4d77-8b7d-4d9aedcc1cb4)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5af8d1c1-34e5-4443-bd39-ba31610d99fa)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e5dab2b-67f8-4da9-989f-3bee85ce545f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1cd6046b-8e3c-442f-b6cf-ce7acfcd3e92)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4dc22021-143e-4c70-83e8-1cefcd0320fe)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96dff63a-0eca-4120-af92-21ae5aa06a4b)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5bad2e04-08ec-40a5-9a69-2d091561f856)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36117a6f-87e7-48b4-a56f-0fb164450133)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 667a53b4-5e7a-42c9-b6ac-acaa579b5052)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdb1b402-83e0-4732-b09d-6b833ca96352)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 605b7e96-75b9-4d6e-9a8b-4bd460278386)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a14e4edd-7341-497d-8c6d-fa702e80b50e)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90598448-ac16-4f33-9c42-4b7ce0909c76)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f5920cd-1d70-444c-8778-ab956de770bd)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 94b563e1-26ea-468f-b3e8-b660bbe82517)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8d04850-904f-4158-8ecb-bb67dfba8a20)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8a7b7772-7f6e-486c-979d-72c9e9adf3ee)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0bedf7bc-170e-49f9-8495-99cfe9fd250f)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 595e1fc4-1db8-49e3-ae19-aa3233c6cd7b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a2739c33-c218-4add-ba08-d95c9e8f1161)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "/Buf/AccA22") (pintype "passive") (tstamp 7e3184ef-8cc0-4115-83bb-deddab69b325)) + (net 138 "/Buf/AccA22") (pintype "passive") (tstamp 9e6f8565-3dc0-4136-81cb-0b1630d2bbd0)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 211 "/Buf/Aout22") (pintype "passive") (tstamp 80e509ac-415f-416d-ba05-987d549a1075)) + (net 211 "/Buf/Aout22") (pintype "passive") (tstamp 8a753aa0-ca91-4b7c-83d6-80aedd94b878)) ) (footprint "stdpads:C_0603" (layer "F.Cu") @@ -13313,40 +13301,40 @@ (attr smd) (fp_text reference "C47" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f0473e96-4000-4269-a932-2af0bd2e841a) + (tstamp cc52a85d-5e00-4a13-8fb3-47225c57d45f) ) (fp_text value "22p" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 17e77e5a-4aab-4f3f-be54-ad532a3522a6) + (tstamp 04d421a2-3dc3-422a-8233-e6d683e2448b) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b399a944-e1d4-4d4c-b95e-27f68e0f2b63) + (tstamp 5631db8b-e7b4-4bdf-97c9-e145ed058b33) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1ae1019e-2adb-4907-8651-954fc1f87360)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1dbce5eb-178f-4712-818b-7bbbc4049bef)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cae734a8-1d6d-4b4e-aed2-75931b6a4213)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de772562-fd01-4a09-abd8-7bfe5c4b552e)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b508639-b95a-4ff1-983e-486205c5618c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ec7644e-248f-4f72-a198-3d88d6fc0f19)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a275c39-d46a-4ed4-825a-6817bad686fa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96810e91-ceb0-4d26-87e9-1f6508ca22ad)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17f9dae9-cccd-41a5-b609-aa9f6c84ec29)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53409a10-b555-4af0-8361-3559e9119c97)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 718665d4-2102-478b-93f1-d28a7fcfd2a6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de665d9c-6aca-4934-b31e-5af01480355e)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69120144-8b88-498a-a500-7edb0e48d82a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02529718-efe4-4f66-aa45-c4d40edc7059)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 028c9887-b2e6-4376-a6b8-96dc2664176d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fdfc4345-6376-4e01-8270-6bcd57b5fb1e)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfe02f8a-c0b6-4f27-956e-2196e08fbb37)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2a2c2ed-8a60-4878-af5a-e35e88f7e63d)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b944d96-07e5-496b-813d-107bbb62a0be)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a98e8166-c88f-4669-877b-bf4b57d9353b)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "Net-(U12-XI)") (pintype "passive") (tstamp 9bb5d0dc-d1d7-4eab-b9ed-9a125ea52d08)) + (net 9 "Net-(U12-XI)") (pintype "passive") (tstamp 395d74a7-59ff-4e13-b8dc-81a26598b580)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 58f7fbdc-7018-481a-9bd3-6704c82f8726)) + (net 1 "GND") (pintype "passive") (tstamp b7c6be05-027a-407a-9dd4-aa66b759cfbf)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13369,40 +13357,40 @@ (attr smd) (fp_text reference "C25" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7409b48c-6196-4f3c-b0fd-9ddedcfd7808) + (tstamp 966f9db7-c109-4798-9bc1-c58464daf601) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 6fd42ed9-94ac-4350-8bb7-9488b10a07a6) + (tstamp a0ea3d22-5023-4daf-8106-e1686b7b7c03) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7ec662bc-96ca-4f54-9fd3-bd7fb8d0984e) + (tstamp 04e78bb6-daf1-420c-a2f3-a0819c014975) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2482531e-19af-4b3b-b051-f78c18a42595)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d2d07716-4593-431f-8e3f-985951839327)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b8d99fe-47c8-4503-8e3f-d1d06731106d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91ffd331-fde8-4fe3-ba65-a6e3959ae5f8)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8abd430a-4ee0-47fb-b8cf-14b24b83246c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bae9e79a-58fe-4352-a9db-db934248bf11)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef19469e-f734-438c-a784-9e5bb64cf1c8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b15cf5b0-f458-4057-8d03-95c925f531f4)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b1e8df1-f5ab-4d77-aebc-8a4426c8b5cc)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17315574-a54e-44cc-8805-5d6ff16b27a3)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 86914999-bd9f-4811-b4b2-f873f1968af8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0a6c27e-9383-49f7-aa3d-62800449321b)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4726036-b1c1-40f4-86ef-25f10b827412)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 46fd3bd4-202a-499c-b61f-fd0bde0b1906)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08e26f53-a4af-4dd3-9721-12c3e68ef8d5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da9f05c8-dd83-4cda-bc2e-468cbf126637)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45a084f0-df96-4111-a2e0-37ba674ce442)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a0802de4-9bd9-4fa5-98e5-abb5a0fff5d4)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da1c3763-4584-4d23-b74f-a441dcfd6695)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea26362c-42a0-4482-ba8b-06a1e10283d4)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "USB5V") (pintype "passive") (tstamp a1a8235d-5d93-4de0-86f2-3e8c2808dbff)) + (net 7 "USB5V") (pintype "passive") (tstamp cc44e1fc-1923-4911-a42f-0ca0615650d2)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp e382160f-f308-43e1-bbc4-db2eeda669f8)) + (net 1 "GND") (pintype "passive") (tstamp 05e24153-d25b-4c3b-957c-6195e9263175)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13425,40 +13413,40 @@ (attr smd) (fp_text reference "R17" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp f27097a3-db30-4f1a-a753-a71df2f64623) + (tstamp e0cc99fc-c1eb-494e-b04a-ed51fc950785) ) (fp_text value "47" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp c516b0a6-35eb-4165-916c-112f35c959a0) + (tstamp dfeff18a-d4b4-48c7-9972-57935ec17afd) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp dac80d9e-529b-42a4-a31e-b82760d8f59a) + (tstamp 2bacc663-247c-4e46-8241-a4b4f0e7f6f7) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d56bd63-11d9-432d-a9e0-1711c1a0fb88)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f1cd17b-e222-437b-9a7a-c2ac238908fb)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0762c00-dc7c-4db5-9bef-381dc6d6b3e8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c812a11d-6b02-42ec-a30e-92b112811abb)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c6add7e7-457d-4722-afb2-0fd81e7ac293)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb368486-e0af-46f9-8239-3382cf482073)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5ca87e8-2522-4485-95f8-631cc3a00308)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b749668-f8d7-45f9-976f-68e9653f530a)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8fff032-3dc0-4e2c-9703-b7c09fa2440e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c758ab8-1e94-4efa-bfe8-8c2e5085bd5b)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 302f3204-c2ef-4d08-985a-24955900fb25)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 288a96df-bd34-4f50-b851-0aae33ac75dd)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 37390e89-544a-4316-8424-4d9465d3805d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be89453f-e3bf-4489-b82b-815580ff38e2)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 30807aab-46de-4886-a5ae-7778e35a8ce9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4f69271-68d8-476b-b38d-91c33c477f36)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3176086e-200f-4959-bd8c-3180bf233cbc)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe8ed43b-d25f-4b86-8621-9cf95dab07e2)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c1ecb9b-0a51-4024-aa7a-36c4c70998bf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73e40187-6093-4a49-a797-c56a50a14539)) (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 234 "/ClkBuf/BC8M") (pintype "passive") (tstamp a64beb77-f3d3-428b-9278-3bce8b46b6c9)) + (net 232 "/ClkBuf/BC8M") (pintype "passive") (tstamp 7755addd-b8da-44c0-9547-b43e90583995)) (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 239 "Net-(U27-Y)") (pintype "passive") (tstamp 6563fc24-7d52-4a1c-aee5-e1dff97ea979)) + (net 236 "Net-(U27-Y)") (pintype "passive") (tstamp b194b5d0-c4d4-4ca8-b85c-42b277f4e36e)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13481,40 +13469,40 @@ (attr smd) (fp_text reference "R1" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp de81d61d-0080-41e1-9d83-341ebc7c95ed) + (tstamp 1d1e81dc-48a5-4016-91c9-2fa05d246153) ) (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp a74ea72b-450f-4ee2-be70-e7c140df5251) + (tstamp 9f866bd9-057f-4ac5-a6f0-ba724258c375) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e5911813-7963-4dc2-b8d1-79e60d957732) + (tstamp 326efe4c-57e8-46ae-80a7-0c2727e85e1a) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 629d03e7-e2ac-4ba7-8c53-5788db00bcf9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 93c6c675-8581-4479-a993-cb3fc2e4cb98)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bff748d2-102e-4437-b999-f620c5a096ef)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e705fe00-850a-4ad0-8e4e-293700ae76f6)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f410fd2b-70d4-4749-b403-1ba1cc43bac1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 950bfe8a-1440-4bf0-ab5e-459c9de414e7)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 677fa5cc-3cb6-4548-9818-410d8d36fdd4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0a86eb30-507f-477a-bcae-4619e9b11378)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a49bc1f2-2123-4f34-a950-5d80b8031f33)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52e85a49-74da-4988-97d6-0a4b130c5b3d)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf4bb9c6-8fa1-4c82-90f7-4325112437a5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f5a35a2f-88aa-47c6-8810-04ce04b377f2)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27b7b396-96dd-4873-9483-d579a84dbb0b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4161c5b8-2840-428d-a878-b627fc0715d0)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 785943a8-b74b-46c2-9d1f-31ca338ba5f0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 099eae90-71ed-40cf-aeef-4680a7a9e047)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5158db73-7389-4286-93a9-ccaccb3a5ec5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23cec0e4-5943-4a62-9a5d-54c9d8ea57b6)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08686b7e-1fd8-44e1-8ce6-c9faed3ea575)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4ec60ad6-1a38-4178-8c79-0743e6014585)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/Clk.sch/MCLK") (pintype "passive") (tstamp 922a8f45-6410-450b-a469-307dc0549e8b)) + (net 88 "/Clk.sch/MCLK") (pintype "passive") (tstamp d1cb65b0-ec90-4698-9d83-48e3b3ef0231)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "Net-(U6-Q)") (pintype "passive") (tstamp 992f0e14-6c2b-4b32-b8a6-142ed00e0d36)) + (net 106 "Net-(U6-Q)") (pintype "passive") (tstamp a9d3851b-f4a0-40f8-9774-f8b79195debb)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13537,40 +13525,40 @@ (attr smd) (fp_text reference "C46" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4adf11b4-0806-4f34-b017-0bf401b8fc1d) + (tstamp 6f034621-89e5-493d-a892-027833b86c7b) ) (fp_text value "22p" (at 0 0.25 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 96eb0850-d31e-4a69-a191-4738df2d8eb0) + (tstamp 0d52ed5c-a870-4523-80f5-d7703d57e68c) ) (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b6691061-8c6b-4d41-a713-d451ae3de1ee) + (tstamp f5f2003c-40f3-403d-9239-560374558fd7) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 854e2ef5-f0e3-4120-961e-8375db32d87a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 98b87789-4fcd-4910-8ad1-b47d6528cbdc)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d43197a-6bc9-4840-a0cc-3f7b432aeb8a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dbd884e6-696e-4d6b-be76-e49b20b6fca9)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b9c650a-6189-42d6-aa2f-05b92fa028e5)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85d200f6-ca13-49b4-8fd4-4b816525d97a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8d419f3-032d-4866-b083-9a8b1fd9becf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9dc1dafc-32ba-41c7-933e-d3b4b67ceeeb)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ccce194-8d7e-49d5-8a54-d6b17b0dabe7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9910b1cb-b7e0-4cc4-9676-333b6184a776)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b35e141d-2682-4ec2-8f68-ccbd035b674b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7b08721a-4e16-4801-aaf4-a4338bc3f3dd)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c119e063-5a97-46c4-aedb-11785d31858f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4e76e2a-0de3-4209-9b93-774d233cfec6)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39e876a2-f17e-4112-b345-08c90e95864b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 68f29fa7-f700-46ff-b326-0f7baf2e937a)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ffa3ee61-5999-4804-8123-3f5d31d2dcc7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7d806ab-2e9e-48eb-84c3-14b233f381ec)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81d4d4f0-0f14-4d00-8eac-ab77b456ee86)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e001d82e-6841-4f60-8988-16c189739016)) (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 8 "Net-(U12-XO)") (pintype "passive") (tstamp 2b7d19d0-8f88-4261-80fe-d623719c0241)) + (net 8 "Net-(U12-XO)") (pintype "passive") (tstamp 126bc648-0562-4396-899d-adfae1cd896c)) (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 25e28afa-21bd-4096-8d7b-3d85c4cd997a)) + (net 1 "GND") (pintype "passive") (tstamp 0592d68e-1189-4666-b9bc-3c65d955844b)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13593,40 +13581,40 @@ (attr smd) (fp_text reference "R3" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3ac0c853-c774-4899-a305-3ba068da9e7b) + (tstamp 254e1799-f00d-4c84-8c7d-bada2440f8f9) ) (fp_text value "47" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 3fc08002-9f88-448f-8679-7b6a84480f4a) + (tstamp 235d1845-335a-484d-9208-0a54ee3ed9f7) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0258aaef-6fc7-4e12-9835-b7d4729257db) + (tstamp f5de508d-b0f6-4bb6-b569-fb66ee580acc) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aef5c524-2a3d-47c1-9010-3303ec9c369c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3faffb14-b55f-4999-8d8b-b31efb0c4752)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp adfd4b7d-8da9-4092-9b6b-3e8602f3bfc9)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2066ced7-dbaa-4136-949c-540079f59de8)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 745d9fa3-de38-4b40-9760-92eee634126a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b2d8a08-f625-4056-8625-da7c8faf2bc8)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 79e6ad74-8227-45e2-aed9-45501e89a0ed)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 38d840f3-757a-4371-b3ac-41821d35874d)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 26698a3c-3ca8-4adc-82fe-e7da488c36c0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4e47708-e533-442a-a941-3b1fa6d7d8ac)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c01669b4-9c44-418b-8e76-345a0a116e2a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82efdd90-84ee-4065-bd58-e24653a740a0)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83f8b3a0-283e-4bde-b010-4816e9b32fe1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df11b46a-3c67-4c04-ba5b-ec03c114a0d6)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78794ff1-1705-45c3-9314-82f9c545b0ae)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a94f60df-6c1d-4ca8-aeae-d8df213ab4fc)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c83b22ed-af78-4bf7-b8b0-e255062e9d9c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91050ba5-d5f1-4ac9-85e5-38848dab47e8)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2a0154c4-c862-40d7-b34e-4f52addd5bc5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b870c4a4-48b2-4888-9f45-9ede775f9621)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "Net-(U6-CK)") (pintype "passive") (tstamp 774c7645-94d4-4b1e-b2fa-d45b413c8d8d)) + (net 145 "Net-(U6-CK)") (pintype "passive") (tstamp f20b3934-899d-45b5-a368-19c95de26c55)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 144 "Net-(U5-Output)") (pintype "passive") (tstamp dd3a90b0-79f1-4fe6-a266-03a47af0df62)) + (net 144 "Net-(U5-Output)") (pintype "passive") (tstamp 9655018d-20b1-429a-8f84-cb50c0492795)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13649,40 +13637,40 @@ (attr smd) (fp_text reference "C5" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 738ee1c9-2704-40b5-8022-e8cce98f62d2) + (tstamp fef2e560-a23b-4bd5-86c2-36baf6a52e75) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 5c61bccc-a68d-4d97-b506-67a04488c704) + (tstamp 1d2b3176-32a6-40a0-bbe7-1d8d53b41cab) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7e12b6b2-9e49-4165-b9f7-430079ddf8fa) + (tstamp ed3ad5cb-7c2b-4785-951b-f14cac82bce7) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19ad7783-a832-43e8-8c42-d693df16faca)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d81fdb72-f261-491c-bad6-970d74e982c1)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2336d90-13e5-4037-8db2-0d874889fd91)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0358055d-0591-4bf5-9649-5dd068f54235)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 804cb29e-f5f8-4085-a9e0-003c5ea9dbe3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d696926-54b0-460d-b90a-c139a15bb2cc)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f246a68a-578f-45fd-8673-0b7635975373)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 638c6a02-5f9c-4087-bb05-6a58a1d392a0)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 969c3653-ae74-4671-989f-e08a20e79386)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d95a07d1-8d87-438d-9aaa-a9e094d21f99)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c6b6c223-cf72-4401-98a4-568d20b7c65a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e6ffc5d-3f06-4d8f-bcb2-c54760e3e38d)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 54ae481a-b92d-42b7-8e5f-2cdb519f7860)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84e3618a-0b51-4faf-ac6c-47fafc868724)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7fbb09db-9fbd-41db-9c9e-9950c278face)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b105e892-745e-4352-b12a-02764302fd85)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85cca839-8854-4fca-a4be-688ef3d24d41)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d311f4fd-f46e-4539-b040-1aca3c99db52)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85101f77-b409-4224-9a13-ade5a0ebc4ea)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c2ad110-1c2d-4397-a200-829b84338a72)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp babf3f63-d4f5-4639-b035-30dc906a8897)) + (net 3 "+3V3") (pintype "passive") (tstamp 8a5eeb33-fca4-49b4-906a-610c37f9eaef)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 6e90db23-aca0-4f45-a60b-ca16b0a48740)) + (net 1 "GND") (pintype "passive") (tstamp 1e0d6ec4-07c8-4a9b-99b3-73dd9c03fa94)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13705,40 +13693,40 @@ (attr smd) (fp_text reference "C54" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 61c416ec-6578-41c4-a031-eda70dfe6439) + (tstamp df693c23-fd71-405d-a1ae-87dc6d9505e0) ) (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4c322eef-6f29-4928-9d0a-68d51e68b520) + (tstamp e03758b0-ac22-4b8d-a86a-042ca9682b65) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6b8eaac9-a829-4a2f-b2c7-8a69f8eb374c) + (tstamp 2f551287-9cbb-4e18-8c91-36e5e363e95c) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c8724797-3626-4b1c-b5c6-3182adc64df1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c837af0f-e001-494f-ae62-f6dc357389f6)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 53ec06dc-ece8-4954-a519-8251ad251c9c)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0fe9b1c-2997-43b4-b0a1-bcb4ef1b87c7)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 214d5390-91de-45c0-9236-7a0aa1113ff9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8c120178-8cea-4eca-a49d-f5ec8c3e19af)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09730c5f-73f4-41eb-8151-e342836a0f17)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3f40162-5f3c-40f5-be56-6b5a92439075)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3215e16c-a11d-4f62-937e-e9106abb4b16)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30a9f621-5cfa-41c8-a031-6c0644d312de)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ce7841f-106c-4028-9ad0-99694731d5fe)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e1228c7-bfab-4510-ba6e-839340d38cad)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35a09a3e-178e-4688-afae-0b0c00fdc278)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5f9bde8-e39d-42f8-8de8-b385c08ed235)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d113db21-a0ef-40cf-bf3c-4fcc42dc2c15)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2776aae3-112b-4dc2-8df6-cee22d1a22cc)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5fc1d0e-1100-4cc0-ad46-cfcebbe43739)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b92489fc-0f6f-4584-a177-49a9bac05738)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e5a60766-efc9-4aa3-9ec8-45dd51e79450)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4e8344b-cd71-4998-9e92-97b844210c19)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp b8c7b8bf-334a-48af-8ea9-6d9368888b21)) + (net 3 "+3V3") (pintype "passive") (tstamp 5b2f8338-f430-403f-a5e4-f88ec45a1c6c)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 5b8b3263-2d6d-47d4-aefd-d96b56ef10be)) + (net 1 "GND") (pintype "passive") (tstamp 1e55243c-58e7-4ace-82db-9b1eb4d8beae)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13761,40 +13749,40 @@ (attr smd) (fp_text reference "C53" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp aab37ebe-369f-47a1-98f1-40f85bcfd3b0) + (tstamp ab702b9f-e5ce-46f1-9721-29d5d5b4dbc1) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 3a5eb7f1-a678-4bce-b3d8-ed9f29e1c300) + (tstamp a46e3320-b284-4bf5-bc67-fb9e8053cda6) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8667ceff-51b4-409d-9db8-d87c13374e6e) + (tstamp 0735927c-b623-472d-943f-97056e26ed4d) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5bc494df-b62d-4460-b4bf-60cc3089bc25)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb36de66-3b6a-4717-8bd5-d830b9627283)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 568221c2-ce59-4572-af61-9efa6ad23f15)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c5a87a70-cd73-47b9-a366-12e4af16747b)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b664018e-0748-42ff-a9f8-6660e8f12c6a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afac63e3-10d7-4b48-bc66-ba6810b85c70)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c756f972-56aa-4e6b-ab63-ee8f83ecab0d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64fe3559-e0d6-4992-9941-bca1b69b741f)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21643f89-c2ce-4d95-87f5-869cb427e874)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfee7e94-6ebc-4e36-b386-d48c7da57d3a)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b660dd5-142f-485d-b37c-7bb641af21f7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 14772c14-8cf2-4486-ac34-1189ec859d61)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 036f1002-8285-485a-b2c5-11cf91a352f5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3833bb1d-2f79-474f-8e43-c828435cbcaa)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d3df231-a8f3-4ddb-b13d-2957bf14769c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7378fc62-d2c7-4804-82a1-8509cc8be437)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77033708-62a4-4848-a067-8e77c8f7b40e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f90ac563-31a4-4664-8466-e621c67204ee)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b452b3fb-3578-4bde-9ea6-be65119809c8)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a1b45c4-ab67-4493-840c-db39e8162a87)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp f3498ca5-48f8-4408-98a1-3262ca9a6689)) + (net 3 "+3V3") (pintype "passive") (tstamp 9358174e-2e45-4e0a-b29e-6f7ee5754573)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 76cd8fcc-d60a-40e2-8749-e710cdd1b601)) + (net 1 "GND") (pintype "passive") (tstamp d39998b3-7c7b-4960-a329-ed88601b3967)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13817,40 +13805,40 @@ (attr smd) (fp_text reference "C51" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1a031b82-8735-4963-bdaa-326a4c1476fe) + (tstamp 576c20b3-ceb2-4777-a157-532c02542808) ) (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8beaeb55-a60a-4927-a7d1-79fa7f09bc49) + (tstamp 5402b029-dc7f-41ca-a404-df3d6e6ec3f6) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a3f8a875-42bf-4922-a2c0-5c03d25ef710) + (tstamp 2bfd8d76-1393-4f60-901a-24cad170e688) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6ee9b266-c06d-483c-9e50-b2f13d78077c)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 017793a4-6d0f-4ef5-a358-62c18f013f7b)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ec4c7be3-825f-456e-b20c-a994d04bec76)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d18efe03-5460-4765-afa8-35be939226c1)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75a291a2-e06e-4234-8c8c-f663f5a7b208)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee93ce0d-1d91-4992-8e54-c5c68aef0c3f)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df75573b-192c-42c6-b277-626cae2353a4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a21e3a5-4d04-4a14-9ddf-c2c71cd7748c)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2dd94ca6-daf7-44b6-9b23-ea6655c7a88c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8627035a-80c2-4048-af00-02288e801c75)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 502f61da-3c70-47e4-aa2e-88929ae8958b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04e61204-a5a2-4f04-a3aa-c4508b252278)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp edf5389d-4cac-4e2d-af4c-b72e21f8dbb9)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 617c5165-7514-4eed-8374-c152081196de)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5fa54a5a-8a6c-4a56-94c1-6d3ebcc610ef)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5da34cb3-5a5d-4f86-b60b-aca55914183f)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d0b97938-9d13-4607-b534-4cb934321ad8)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dfa58dfb-2278-4953-ab52-9a4eee608f78)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ea9b55da-88ee-4b1f-8fcc-6a0ad5295e39)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0f8d04f0-c3e8-40f6-bae1-4292cea29b61)) (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp ebace3b7-32e4-4ca7-8cbe-910426c4e55d)) + (net 2 "+5V") (pintype "passive") (tstamp ee24950a-a3a2-4ef6-8882-b9da46500e25)) (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 7d854702-ddf2-407f-a1c7-754e879093a1)) + (net 1 "GND") (pintype "passive") (tstamp 8768b6df-71d6-4cab-9108-baadc011fdfe)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13863,6 +13851,7 @@ (at 189.7494 46.35 180) (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") (tags "SMD SMT crystal") + (property "LCSC Part" "C9002") (property "Sheetfile" "Prog.kicad_sch") (property "Sheetname" "Prog") (property "ki_description" "Four pin crystal, GND on pins 2 and 4, small symbol") @@ -13873,42 +13862,42 @@ (attr smd) (fp_text reference "Y1" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp cb4a7e26-ad7b-4a3b-a022-18d29e67d916) + (tstamp aed1bc9a-2c0b-4410-9885-81deec70606d) ) (fp_text value "12M" (at 0 0.75 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp b2575447-df2a-46ff-b7e6-feede045e7e6) + (tstamp e5b858b6-8f9e-409d-8a52-fc83b163b27e) ) (fp_line (start -2.15 1.1) (end -2.15 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 92468e92-7cfe-4d02-b02a-3de6019ebcf9)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 5fcfef2a-fbce-4638-bd3f-2810fbf934ff)) (fp_line (start -2.15 1.8) (end -1.45 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 75f68263-741d-45e1-ab32-cdf24c794789)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e1168842-0b52-4952-95c4-9ac124b188a4)) (fp_line (start -2.1 -1.7) (end -2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 38985fa4-998e-4249-a4a6-7daaa7b7e1ac)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94f0e90e-2823-4768-ab55-cdfbcca970e4)) (fp_line (start -2.1 1.7) (end 2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21c9a960-ff0c-4fc9-b49d-1d5619ac5bac)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fe917c4-6a23-42da-af43-84e97e46898c)) (fp_line (start 2.1 -1.7) (end -2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d4293cd-758e-44f3-9abc-1edec38ed06d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1973924a-213d-4999-b6ea-c847f0c7eb01)) (fp_line (start 2.1 1.7) (end 2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 111a44c6-fb37-4e4f-94bb-70afee0dc670)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 222e2ab5-1808-4904-8302-96148f6f58e0)) (fp_line (start -1.6 -1.25) (end -1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0811d041-d03f-4e69-ad13-93e0c31da64b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5d0dde2-7e2c-4886-8aab-45229681159a)) (fp_line (start -1.6 0.25) (end -0.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6290d6fc-95b8-471b-b283-2d7bce0a035f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 848cd7bf-83b6-4f0c-a38f-fe6a23564d28)) (fp_line (start -1.6 1.25) (end 1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 33b4bdf1-0ece-410e-a7e3-ba8e18e51a40)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 153abd46-d9b0-4538-8aa8-191cf95c8370)) (fp_line (start 1.6 -1.25) (end -1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a776995c-5a8d-4ea8-b9b8-603b2b1e89b0)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 07b564f8-cd9a-45fd-a361-120105b08685)) (fp_line (start 1.6 1.25) (end 1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c89cb81a-5fdb-4249-b863-9d7246f08a5f)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0eab750-a789-4931-913e-f2ec4126320e)) (pad "1" smd roundrect (at -1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 8 "Net-(U12-XO)") (pinfunction "1") (pintype "passive") (tstamp 530711d0-abc3-4327-881b-6c8c5d97cfa0)) + (net 8 "Net-(U12-XO)") (pinfunction "1") (pintype "passive") (tstamp f57091c5-39de-494b-afb5-473fa3bd55f4)) (pad "2" smd roundrect (at 1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp 585e235f-0e9b-478c-9a87-f08e4a7a481f)) + (net 1 "GND") (pinfunction "2") (pintype "passive") (tstamp 28ceaf2b-2ba8-4c85-83f1-0778fd3af48f)) (pad "3" smd roundrect (at 1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "Net-(U12-XI)") (pinfunction "3") (pintype "passive") (tstamp c5da11d2-227b-463a-987f-0f6b7a78bdc5)) + (net 9 "Net-(U12-XI)") (pinfunction "3") (pintype "passive") (tstamp 4af4246f-92eb-457d-8bae-1837267afa9b)) (pad "4" smd roundrect (at -1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "4") (pintype "passive") (tstamp 54998fd3-a46a-4f7c-8487-e29a0a9acd40)) + (net 1 "GND") (pinfunction "4") (pintype "passive") (tstamp 7674f50c-bc6d-454c-90f1-a45ecf785364)) (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13931,40 +13920,40 @@ (attr smd) (fp_text reference "C52" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a7db5b76-010b-418d-b334-df7cd1d7a0ea) + (tstamp 6c374159-5cd9-45f6-8184-2de64a3e4b72) ) (fp_text value "22p" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp e3a1f917-ac30-4f5a-b0e2-c1104078d01d) + (tstamp 8ccdacb2-bc9b-4aee-8443-c9c1368cd477) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 02074497-b5cd-463b-86ac-6f896b33b821) + (tstamp 09afaa69-7e9a-4b8d-9703-56c51f1ff10a) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a19b5991-d3c1-4c01-aaf5-4f27be6060c3)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 823733c4-c75d-4557-a7ca-8c882e170e9b)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e298454-dbc1-49f0-8262-8a64543e483f)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 557630df-135e-401f-92d3-6ee7fcf02205)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1942e88a-5db3-4fde-a183-e7a91e88ad6a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f778bca7-4754-475a-84b6-76171fee5985)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2acb91d5-06c2-4d73-9724-8d09d25cb6f1)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e0cb118-dc75-4bbd-a80e-6f3b21053054)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d61a553f-3fd0-47bb-9b2e-b48aeb2458d9)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69adc420-cd87-4603-b193-7f6e59525220)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4d43b040-6818-417d-9557-7c0480b2c7ec)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ce70a9f-2028-4717-a23c-feff15301ac7)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1c77c88-7478-4fc6-a18a-ced1553ac956)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f9f9dd8a-3d9a-4a81-949b-0b28df90262b)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp acdda3ac-4ef3-493d-a84c-a8b84b54ecf1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a55e45d-7ab6-47b1-80c0-d042a9d1e817)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9018c8bd-3a0d-4ba5-aafe-220952b5899b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff1e83c1-1d2b-469d-8990-a390579b50c6)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef072d66-dd77-4d26-bd76-a07d70e81d01)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1a819393-1812-4a2f-b534-1a1194f011d7)) (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/Control/TCK") (pintype "passive") (tstamp 2dba8241-bcfb-4265-91f8-a718b43cc468)) + (net 75 "/Control/TCK") (pintype "passive") (tstamp 2458d126-8a11-4517-9334-b68455d1dc7a)) (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 26ba2b8e-be44-4777-8738-3c8dca283e77)) + (net 1 "GND") (pintype "passive") (tstamp aaed30df-2f14-486d-80c9-1728622fa779)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -13987,40 +13976,40 @@ (attr smd) (fp_text reference "R7" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 00f3ef0a-5dc6-4ea2-b369-2ded1fffc71a) + (tstamp c3ba9ca1-2beb-457f-bb1c-e8aba6947ad7) ) (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 15a73eca-cd3a-4c7f-82e5-5373b8a79832) + (tstamp 7eb89c09-077e-44d5-a006-5783c6ae227b) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 87f66e7e-9c74-4220-b299-d83f2d11b600) + (tstamp 02a18c48-a12c-4a2f-a9ec-881d4b340b2b) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da4fb21f-1925-4d7f-b3db-f16c71aa954d)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35f2aeea-30b0-4751-ae91-58c3d1598d4f)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7950a9c-693b-46b8-a5ab-9016212a61db)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fc8bee0-79c2-40de-b83f-f399bfd3f924)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c6558d0-6eed-4a14-add3-20c1422f4c11)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2fa6837b-2ef8-42f0-89df-9840a09e5437)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 652bc0d8-6d2b-461a-99ca-8d682afee16a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5becec61-d6f1-47d8-99ba-df226efdccfb)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e79d8f2-b647-424b-8d49-a3f10d605b7b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0993ac7-a509-47f0-92d8-6cabb6095300)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dc5beab-b7f3-4e3f-8028-d4d2f349a6aa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7cc97930-248a-4e7a-92e0-ee52a29ee0cc)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5d5d55c-ec96-4036-b73c-51a565632bd1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3ed5063d-22f4-48b4-9143-268d49ca50eb)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d389bd0a-1d0e-4948-a19e-f27930f8b3a3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 513f8512-3125-45d7-a2e4-e55dcb6376fb)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4fd8e255-6235-49e3-b69f-72a8cc4f2aca)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c207cb2e-0446-4b4b-a54a-1d4102af5b38)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6bdcc240-ae31-4ecc-b6ed-01f0bb19487e)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfc922e2-99b2-41a0-b7cd-1994c2a0661d)) (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 237 "/ClkBuf/BC16M") (pintype "passive") (tstamp 3c391dbe-3c94-4d66-9994-8e64cfc07762)) + (net 234 "/ClkBuf/BC16M") (pintype "passive") (tstamp 781c2c1b-4029-464c-89e4-4221b8e77f8e)) (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 238 "Net-(U28-Y)") (pintype "passive") (tstamp e4071b33-cc28-442f-9e7d-669b1209a164)) + (net 235 "Net-(U28-Y)") (pintype "passive") (tstamp 5d893b5d-7cac-4543-857b-b497c76125be)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14032,6 +14021,7 @@ (tstamp c33fdb71-86e2-453a-a0eb-62ec92431d2e) (at 125.65 93.2 -90) (tags "VSSOP-8") + (property "LCSC Part" "C503431") (property "Sheetfile" "Clk.kicad_sch") (property "Sheetname" "Clk.sch") (path "/00000000-0000-0000-0000-000061350d21/07a39b5e-0f9c-41e7-8640-7943ff59152a") @@ -14040,50 +14030,50 @@ (attr smd) (fp_text reference "U7" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6b9c657f-ae64-4218-b2de-fad302346f5a) + (tstamp 5b7034ca-f75d-48ab-9d4e-8edb20f71671) ) (fp_text value "74LVC1G74DC" (at 0 0.85 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp c519f658-8574-4f4d-9b51-c6ae989f7fc7) + (tstamp c116a184-97e8-47dd-8492-5a780b03824e) ) (fp_line (start 1.1 -1.2) (end -1.8 -1.2) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40797d2e-b63c-4f0f-bde0-b911325aa6b8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 75600dd3-f5cb-411c-9d7a-2dd62a2f83b8)) (fp_line (start 1.1 1.2) (end -1.1 1.2) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 001b89af-786a-4766-a4b8-bddb701ce3c8)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7794a245-2b9a-4d93-be05-5969d51de443)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9455a121-efa7-49a1-a299-329437b81d42)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b841c0df-805b-43f4-b2a8-ecb16711f47b)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02ab6044-7960-4b18-b7e5-e628d6b79f56)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5fc1a316-d73b-4c5f-b910-2c88ca4b7577)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b262d0c9-eee5-415d-b48f-462c4a14ceba)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6be8fc66-fb9c-4f30-86b8-b58fd2e51ae5)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3cae1140-f544-4a79-ae96-84c417750d95)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36a3bf3e-1da3-4414-97f5-0721b05893e2)) (fp_line (start -1.15 1) (end -1.15 -0.45) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b24153d5-7ed0-4b7b-be13-d887b49fd57a)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1620978-035f-4bbe-81dc-075d45f0b7b4)) (fp_line (start -0.6 -1) (end -1.15 -0.45) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2d1444e-ef33-4306-ac64-6185a5187bc5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a9d0ac7c-88bd-4c5a-8f40-1c9138daca48)) (fp_line (start -0.6 -1) (end 1.15 -1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea5a68f2-c2ea-4675-891d-67283e223aa3)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e14872a-4d8c-4c56-81c1-58e06548c6d4)) (fp_line (start 1.15 -1) (end 1.15 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40771f3b-6ec1-48ab-92e3-833aa2bfa141)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a613a89-fcd7-44e1-8b20-e18554f25b87)) (fp_line (start 1.15 1) (end -1.15 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfd05613-dccf-4c27-bcd4-85253622ccf9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcb22d7b-2897-4292-8f91-6b4e7df19229)) (pad "1" smd roundrect (at -1.35 -0.8 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "Net-(U6-CK)") (pinfunction "CK") (pintype "input") (tstamp e921a57f-bd3a-4c37-bc21-df0cfca7c9c6)) + (net 145 "Net-(U6-CK)") (pinfunction "CK") (pintype "input") (tstamp cb142141-cf27-4a8c-88a6-4898208ba338)) (pad "2" smd roundrect (at -1.35 -0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 182 "Net-(U6-D)") (pinfunction "D") (pintype "input") (tstamp 95e4cb0f-2509-444f-96ec-50c7919eb2e3)) + (net 182 "Net-(U6-D)") (pinfunction "D") (pintype "input") (tstamp d9a512bd-ed2e-4607-8e9e-ced893241087)) (pad "3" smd roundrect (at -1.35 0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 182 "Net-(U6-D)") (pinfunction "~{Q}") (pintype "output") (tstamp bd2e19db-4be2-4196-b796-6ca0b9938e47)) + (net 182 "Net-(U6-D)") (pinfunction "~{Q}") (pintype "output") (tstamp 323f04cc-74b0-4cf5-81db-bb18e44dd71d)) (pad "4" smd roundrect (at -1.35 0.8 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ebf351fb-2c16-4ebe-9b1d-f3245f417f23)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 043d1c54-7e67-4c44-bece-10be5805571e)) (pad "5" smd roundrect (at 1.35 0.8 180) (size 0.4 1) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 141 "Net-(U7-Q)") (pinfunction "Q") (pintype "output") (tstamp ad21ab01-7ee0-4701-a346-274233333cc5)) + (net 141 "Net-(U7-Q)") (pinfunction "Q") (pintype "output") (tstamp 5f4411c3-5c2b-4ce8-8b26-472cb230772c)) (pad "6" smd roundrect (at 1.35 0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "~{R}") (pintype "input") (tstamp be22c858-d152-4c3e-be91-a7a7ee84a10a)) + (net 3 "+3V3") (pinfunction "~{R}") (pintype "input") (tstamp 18f9f505-a30d-4e8d-b11f-0023d63b385d)) (pad "7" smd roundrect (at 1.35 -0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "~{S}") (pintype "input") (tstamp c0bc8908-52e4-467f-bd75-7eaa69af0e39)) + (net 3 "+3V3") (pinfunction "~{S}") (pintype "input") (tstamp b1581694-23a8-488d-807f-c7ddec0d1dc7)) (pad "8" smd roundrect (at 1.35 -0.8 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 597a9be7-2d49-468d-b7e5-fdf629ac0199)) + (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 4a7f0951-4a74-4546-9e99-0871141cf3f5)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/VSSOP-8_2.3x2mm_P0.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14095,7 +14085,7 @@ (tstamp c364c567-9ef6-4a3f-8b31-3ec79e48b4c2) (at 196.45 107.95 180) (tags "resistor") - (property "LCSC Part" "") + (property "LCSC Part" "C21190") (property "Sheetfile" "Prog.kicad_sch") (property "Sheetname" "Prog") (property "ki_description" "Resistor, small symbol") @@ -14106,40 +14096,40 @@ (attr smd) (fp_text reference "R10" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 89d0a093-0f3b-49f9-8f2d-e03ae2202d1d) + (tstamp b5a699d9-5786-48d6-9f79-b3032b3f3289) ) (fp_text value "1k" (at 0 0.25 180) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp bd0e3d3a-8d33-4e10-8600-1f51efe4bd70) + (tstamp 2d7c0f5f-e7c7-4b2a-9687-e4aececc7fd5) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 14ed5311-609b-4d74-a9e1-6378876e5c38) + (tstamp fd3660a7-9fd3-4ce6-8be7-2f88209ab605) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a09f998-bc7e-42b5-90b9-4ed1d7326f21)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6791d70e-6466-4757-9a05-1ff80e13c18d)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd17c26b-6b75-414a-bc57-a13e14555ffe)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ead2ad68-05ec-4c94-b1e2-0c1d1e5d8fba)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3509e0f3-83b7-4fd7-8f16-dc345ea808be)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8aea8e09-70d3-405e-ac92-481f87e4ca7a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8670f70-c067-476b-9904-c9f9acd84a55)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a04e124d-d6cf-46cf-999b-58a715a9508b)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2fdecaa-8dd0-4179-af5b-ec5aa12bc507)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 714c194a-a67e-4ba5-817a-ee2bd98c2b64)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 61b91d66-7452-4e58-846a-bfdc677afd3a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0cc489c-7588-4577-ab43-976039c5acb3)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b3c54e4f-dc5a-4956-8ba8-85fbc5d0550c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6b14ea36-85d5-431e-9435-9f4af17a1d03)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f1d6cd4-7a09-42ff-a472-23f1ce25a584)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f4217da-14c4-4c07-8831-c037ca5d945d)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91da9c0f-b653-4088-964b-00ebf3473908)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90e7585d-6593-42c0-b6c7-d7733cb9f3ae)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6631f9ab-61a0-4d07-b50d-f02f09178db7)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e15e1fe-5517-46ab-bfd0-a256495e1e61)) (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp a6ecd8b2-d4a7-436b-a822-d72e9660cfef)) + (net 1 "GND") (pintype "passive") (tstamp ed4f274b-5b0d-4471-807d-12064c4f17ea)) (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 243 "Net-(Q1-B)") (pintype "passive") (tstamp 2dc33ede-2822-498a-aad3-2f4ced3d5815)) + (net 240 "Net-(Q1-B)") (pintype "passive") (tstamp 9690f1c3-4b1b-43c9-a9de-c90ce476b522)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14162,40 +14152,40 @@ (attr smd) (fp_text reference "C6" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7007522f-5360-4e34-b4bf-b9f4a06632eb) + (tstamp 80c6bc15-6137-47a2-872b-7794f3bbd83f) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 7c51b0c9-c9ba-4c0c-9e4c-3be3777e0cbf) + (tstamp c7bb3dad-3abb-44ad-a4e0-165b53dda3f4) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a9937b31-af47-49f2-a1b0-2398ea5f2775) + (tstamp d129be17-9176-4b35-96c7-5910c57c3ede) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a3fcd4f-fb1d-4cb6-94dd-0c5ba421dc56)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b05c32f-ea46-4c6f-81a2-d8597a0dbde5)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25567465-c571-4186-865c-72ffe5aa30c6)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6568e1f0-6e16-4d05-8f60-204168a6138a)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b426dbc-0a99-406a-ba39-f493accd113c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ab15964-9efd-4390-a5d4-11c6b4f09dd5)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc25c458-e701-4ebf-b197-95928fa1c991)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4af5e8a-eda4-4945-b164-446ac799d539)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53243138-703c-47a3-a53c-e58a9a8df1f6)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c9c3993-62b0-4577-9055-10dca7da6a55)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63982b59-7fcc-44cf-8655-0f329019ba78)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dec64fc1-7b9b-4af0-ae1d-b0d7e7ac9866)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9f0fcf35-d548-41a1-8045-8201301b02fb)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77bbce8e-44e5-4bc4-8661-0be366cd9fbf)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5b4da917-6b79-4e94-b102-0e0b5dd5768b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9f2cada8-a30b-4c99-a81b-128d6692d795)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa569415-0dba-4c55-88c7-3afe901b9a06)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f0cffd9-8a98-45cd-8d12-2b2e96eb70a6)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df9da05b-6e8d-4fe3-93c3-28fbc69bae79)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69e01d01-752e-4117-9d24-40ce7ae2873e)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 58f557e6-39cc-4166-a263-d646da30716e)) + (net 3 "+3V3") (pintype "passive") (tstamp 94c4ee3d-aed6-458f-863d-8f499354d5f4)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp dae92723-7a62-45f7-bb99-ed816c40d46f)) + (net 1 "GND") (pintype "passive") (tstamp 2fe24f24-b3ef-4040-862f-ebc5a103c768)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14208,7 +14198,7 @@ (at 126.95 87.55 180) (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") (tags "SMD SMT crystal") - (property "LCSC Part" "C32526") + (property "LCSC Part" "C717684") (property "Sheetfile" "Clk.kicad_sch") (property "Sheetname" "Clk.sch") (path "/00000000-0000-0000-0000-000061350d21/00000000-0000-0000-0000-000061bf03a4") @@ -14217,42 +14207,42 @@ (attr smd) (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 3ce414a5-c575-4b7f-921f-a26a5907f0d6) + (tstamp c25b9820-ce7b-4bb9-b35b-6d8cb1872d9f) ) (fp_text value "50M" (at 0 0.75 180) (layer "F.Fab") (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp b66256f5-7e87-4d86-a998-b50d51642b15) + (tstamp 951506b4-e33d-4a05-8b1c-25e357266f5d) ) (fp_line (start -2.15 1.1) (end -2.15 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6e4a335e-052b-459e-ac65-481c5edc36b4)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9e8c86ec-f587-4802-a59d-3937544cc22f)) (fp_line (start -2.15 1.8) (end -1.45 1.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0d6b56d0-036c-48ec-a8be-eb5eb7e8d0b3)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bcde6f2e-ca93-4c5c-9e56-f86e2c2302c7)) (fp_line (start -2.1 -1.7) (end -2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b81b361-6ceb-41c4-85b7-f5e9d56b5c78)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e99b67e-609e-49d3-be9b-8b9e61994bcf)) (fp_line (start -2.1 1.7) (end 2.1 1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6479037d-7c61-4737-99f2-da1746d0001e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 61fee597-0e5d-427c-82d2-f04ef03a7514)) (fp_line (start 2.1 -1.7) (end -2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e70ed458-e996-4c7c-a630-d7a92201c01b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cba1df3e-9a5c-4786-86cd-c7a8110da9bd)) (fp_line (start 2.1 1.7) (end 2.1 -1.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 187b9f9a-00cf-4fee-9b02-7dd2b9282aad)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09846f80-e1c7-467b-a9e5-11f930e6db89)) (fp_line (start -1.6 -1.25) (end -1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 227c6c98-edfc-4cbc-bad0-2a714c5e8c11)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d588615-5526-4976-a78c-afb7e38d9db1)) (fp_line (start -1.6 0.25) (end -0.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3dedd51a-0436-4bb5-81d4-b21ff085854b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ab7dcaf9-1278-48f7-a54b-9b4ed033a582)) (fp_line (start -1.6 1.25) (end 1.6 1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7643363c-88f8-439b-8529-94103d9b21f5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 221cd914-56ac-465b-9fc8-5396233e25d6)) (fp_line (start 1.6 -1.25) (end -1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8e35b65c-4fe9-417f-9115-70c4193f3e79)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae85f0f5-4b3c-4fd8-8db9-9142801fdc19)) (fp_line (start 1.6 1.25) (end 1.6 -1.25) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ac7fdf6-b87d-4c5d-a900-10959dc17222)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be467724-b735-4dfb-bc9c-c77f8d3652dc)) (pad "1" smd roundrect (at -1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 245 "Net-(J6-Pin_1)") (pinfunction "EN") (pintype "input") (tstamp 3698bd74-b149-466e-a901-a716f9223378)) + (net 243 "/Clk.sch/CLKEN") (pinfunction "EN") (pintype "input") (tstamp 87178777-c2fb-4dac-b48e-62641a8b1d10)) (pad "2" smd roundrect (at 1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a90d3e14-e7ef-4e4f-8dce-f8c7efaf767c)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a033233f-5a80-4f81-9965-5bf5085f2da5)) (pad "3" smd roundrect (at 1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 144 "Net-(U5-Output)") (pinfunction "Output") (pintype "output") (tstamp 712fe330-642b-418d-b974-0864fae615f6)) + (net 144 "Net-(U5-Output)") (pinfunction "Output") (pintype "output") (tstamp bff627a8-ec1a-4e6d-b730-6b5a6f79774a)) (pad "4" smd roundrect (at -1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp 978f5b79-d2d5-4016-9a7f-2d556f4deb9d)) + (net 3 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp f358c844-1789-4bfd-8ffd-2cdeac6bf245)) (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14275,40 +14265,40 @@ (attr smd) (fp_text reference "C45" (at 0 0 180) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 351191b6-0366-4b1c-b7bd-82ae4f3be9f0) + (tstamp fef5d0b3-2c0a-4e7d-9280-800045586587) ) (fp_text value "10u" (at 0 0.35) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ae5de047-0f76-41d1-a22b-518891776f93) + (tstamp d4a07728-e385-489c-8b06-bd08c21aa20d) ) (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d084fb4d-0009-42db-b58f-1370d88590e8) + (tstamp 6bae532f-4681-4e3a-b6d2-df8ee6c6c6b3) ) (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ca5f6702-aefd-47ef-9522-0d99fcc60781)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c5a9307b-b7f6-4188-a740-6ce11b9a54b0)) (fp_line (start -0.4064 0.8) (end 0.4064 0.8) - (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ac1e1c2f-6fa8-4c91-8a4c-8a68b1dd12c8)) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 315abffb-e431-45b5-a649-021e8e5a29ad)) (fp_line (start -1.7 -1) (end 1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82098d50-3e0e-49e9-b4d1-dce8ad6bfb00)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82966987-a01d-40bd-80f9-f04079ffeb24)) (fp_line (start -1.7 1) (end -1.7 -1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7fbc1b8f-9c8d-4d59-9f36-af401d81a32a)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92ac6ff7-dd26-4637-ad8c-6a5f8e730e4f)) (fp_line (start 1.7 -1) (end 1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5976b0d7-9284-44cc-8ee4-b62971e7b0dd)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a6f14a28-9e04-43cb-b388-e11321a486ff)) (fp_line (start 1.7 1) (end -1.7 1) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f24710e-7008-4f5b-8444-97fb866e055e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20f11700-5d3a-446c-b017-c036e0df19a3)) (fp_line (start -1 -0.625) (end 1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 692afaa2-6a2c-4486-a353-e7cd84a2b9a5)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6b6b566a-7fd8-40ae-a61c-b2e59d30ae32)) (fp_line (start -1 0.625) (end -1 -0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d4965ff0-6aed-4c9d-b44c-c93ebda40997)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fcd408ef-a248-420d-9931-e408584a9eec)) (fp_line (start 1 -0.625) (end 1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 601c74f0-0ce1-418c-9a61-c1ff025e8692)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe7d3efc-e2e8-42d4-9892-2bfd87367805)) (fp_line (start 1 0.625) (end -1 0.625) - (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fadd9173-8a77-41b9-b63d-d736cb31f2bf)) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 78ee2a95-37dd-4550-b765-740a5463c0f3)) (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "+5V") (pintype "passive") (tstamp 3be46636-aaca-4371-b5f6-6f471a306bbd)) + (net 2 "+5V") (pintype "passive") (tstamp 678d574a-c711-4c5f-9098-85dc4359a064)) (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp 2a533801-869b-41cb-9864-27f36a80d458)) + (net 1 "GND") (pintype "passive") (tstamp fa6ff83c-f070-49b6-a931-dc373fca5017)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14331,40 +14321,40 @@ (attr smd) (fp_text reference "R21" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0f8a2c77-d7c6-47da-8dff-a68ba77b0b82) + (tstamp 5d2045f6-c6da-408f-b353-8d318abe3a39) ) - (fp_text value "0" (at 0 0.25) (layer "F.Fab") + (fp_text value "47" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4a7bbfd6-4c21-4359-9195-88d9270aef42) + (tstamp ef3bb6fa-3cb7-4583-8aad-21e45e2ac899) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3af47f95-376c-426e-92c5-73fdd73c91a0) + (tstamp 521cbfe0-cae6-4a8b-8944-504b973211c5) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9eedd0e8-d61e-46ea-9aa2-ea521d3b992a)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4986df2-4a4e-4955-abe6-fa47e4c3d043)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78197242-2d79-4dba-91ff-b4e628e80ae5)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20955797-9d1c-422e-b028-c0930e14f39a)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fac365b7-b492-4957-847a-b6d2386e18e0)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58041e1a-5e20-4dcc-a72a-98247518a4f6)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 700aeed4-8689-4104-b1c2-eb835d2bffc3)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ca4df97-f8d7-4eea-b1e7-10a808e22526)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16d46be0-f9a4-4565-a65c-471695c41756)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 349133b9-8d2c-47f6-b2b6-7c753e7053df)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1fa94042-8ad6-437e-9f90-882d4f9abc3e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 026e3c2c-4e87-4104-95a9-afb755a53866)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3a1f1391-25c0-4cc9-93b6-67c3c2d54880)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb6b613a-f404-4c31-b90a-9a9558e618a4)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 989852e2-ee58-452c-84e7-79e68091032c)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3a0aa002-d5db-45ee-be3f-c70c2db47675)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a6c727b-3656-4c67-b853-15fb687191a1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65c2a4a0-0741-4004-8e2e-685027b5d2b7)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc03e7c8-fef3-4f11-8c33-a119597f2716)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f990358-a93e-478b-9915-71bc3d92a36b)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 227 "/Buf/GA23") (pintype "passive") (tstamp a461e2d2-a2d2-4ad8-981a-90ea54602333)) + (net 227 "/Buf/GA23") (pintype "passive") (tstamp 17596a53-077f-454d-9e37-eb329d462962)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "/Buf/Aout23") (pintype "passive") (tstamp cdbc65e2-5b1c-4ade-998a-1d29c02c8564)) + (net 85 "/Buf/Aout23") (pintype "passive") (tstamp e28a59e3-9ad6-4537-9439-f38b522efe0a)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14385,65 +14375,65 @@ (attr through_hole) (fp_text reference "J4" (at 1.27 6.35 90) (layer "F.SilkS") hide (effects (font (size 0.8182 0.8128) (thickness 0.2032) bold)) - (tstamp 49aa678f-f254-4e48-a1ec-c772f554a9b4) + (tstamp 22328c26-93a6-420b-abda-589e246246af) ) (fp_text value "DBG" (at 1.27 15.03) (layer "F.Fab") hide (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) - (tstamp 3db23eb2-ba4c-482d-a828-6f03b1c920d5) + (tstamp a02d3d13-2fad-4d5d-825e-46abf29e15dc) ) (fp_line (start -1.3335 -1.3335) (end -1.3335 -0.635) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 61fb2eeb-02f1-407a-9fb0-2ef80f0ce4e1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b4952a2-0322-4f5a-a698-d37b0c4427a1)) (fp_line (start -1.3335 -1.3335) (end -0.635 -1.3335) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c24b691-2d5d-4c21-a497-48cbe7378f13)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0f7b358e-d5f1-47e6-8e6b-cffda6a5f112)) (fp_line (start -1.8 -1.8) (end -1.8 14.49) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4d31ba95-d7db-466a-87bd-44be8f8e3f70)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0bd1d34-1f6e-46e5-81e3-ae99506dda4b)) (fp_line (start -1.8 14.49) (end 4.35 14.49) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b125b5fd-1605-4f05-9762-7d5d7ab6b266)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51b106fa-bdba-412a-9570-92957ecbf610)) (fp_line (start 4.35 -1.8) (end -1.8 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfbe0418-a859-4830-8501-e503be1fc044)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 124f240e-93b7-48ec-b26a-917bbe7066c1)) (fp_line (start 4.35 14.49) (end 4.35 -1.8) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 07d28575-d66c-4984-b3da-46a38b900112)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6c67909-de5d-4446-bd64-3d4024562016)) (fp_line (start -1.27 0) (end 0 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 54cf0c0b-c731-4bd2-a209-149464a70c4b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9531231b-b2aa-4c0a-bec7-d811e1d7e211)) (fp_line (start -1.27 13.97) (end -1.27 0) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcdf838a-d95a-4ec5-9d68-de50383f76c1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39f49536-a83e-4579-a827-ceae0b2c65ff)) (fp_line (start 0 -1.27) (end 3.81 -1.27) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 13c3bf20-952b-4ebc-b44c-0c647ac42945)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e124a987-d1bd-4d17-b755-4660a87dbeca)) (fp_line (start 3.81 -1.27) (end 3.81 13.97) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e9499835-f815-4867-91fb-75ba9f976287)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6b2240b8-8dbf-430f-be22-143065c0df5a)) (fp_line (start 3.81 13.97) (end -1.27 13.97) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0bb4af6-f60e-4118-8cec-1aff7cb24784)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 201b6049-145c-429c-8dbe-881633c13b93)) (pad "1" thru_hole roundrect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.1470588235) - (net 2 "+5V") (pinfunction "Pin_1") (pintype "passive") (tstamp c0743c17-0e90-4a45-ac23-1dd6dd6f9a4e)) + (net 2 "+5V") (pinfunction "Pin_1") (pintype "passive") (tstamp 3c89b3eb-b920-4d51-8938-77501dbc4a0d)) (pad "2" thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 150 "/Control/DBG0") (pinfunction "Pin_2") (pintype "passive") (tstamp 9fc365bb-1edc-47d2-b209-807159ec8894)) + (net 150 "/Control/DBG0") (pinfunction "Pin_2") (pintype "passive") (tstamp adfab965-0d2a-4a20-bcf4-668e5af542c5)) (pad "3" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "Pin_3") (pintype "passive") (tstamp 5a84e53d-bb93-4280-b22f-2e9ce607d293)) + (net 1 "GND") (pinfunction "Pin_3") (pintype "passive") (tstamp b9982d1c-8b59-4075-8a23-3dc76367abed)) (pad "4" thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 170 "/Control/DBG1") (pinfunction "Pin_4") (pintype "passive") (tstamp 71a08f0a-5b9f-4cf3-bbdf-ba681299d5ca)) + (net 170 "/Control/DBG1") (pinfunction "Pin_4") (pintype "passive") (tstamp c5f7a260-7946-488a-bc20-9690830c3f58)) (pad "5" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "Pin_5") (pintype "passive") (tstamp b9f7d98a-6cc0-4f2b-8e1a-dd90208e0a2c)) + (net 1 "GND") (pinfunction "Pin_5") (pintype "passive") (tstamp a0e05798-9b3f-4a19-90ea-ca747dc7cc35)) (pad "6" thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 149 "/Control/DBG2") (pinfunction "Pin_6") (pintype "passive") (tstamp fe30ba5f-68c3-43d7-8761-701f5cc0df84)) + (net 149 "/Control/DBG2") (pinfunction "Pin_6") (pintype "passive") (tstamp 1d0da363-fddf-4480-b7c9-5d681e6c1253)) (pad "7" thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp cb05dc7b-9256-4f0e-b7b8-70e5476ab6c7)) + (net 1 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp 08971f5b-3952-46d7-862a-a6b14e5140a7)) (pad "8" thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 148 "/Control/DBG3") (pinfunction "Pin_8") (pintype "passive") (tstamp 34749c20-5e53-44c0-87c0-a32202f4b903)) + (net 148 "/Control/DBG3") (pinfunction "Pin_8") (pintype "passive") (tstamp 08ae7a6b-c0fd-497a-8bea-5e498970b8ed)) (pad "9" thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "Pin_9") (pintype "passive") (tstamp 22e1affd-04da-4d86-a79a-27ab7ac17473)) + (net 1 "GND") (pinfunction "Pin_9") (pintype "passive") (tstamp 489a4cef-26f0-4468-b47c-62a470556c9c)) (pad "10" thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 171 "/Control/DBG4") (pinfunction "Pin_10") (pintype "passive") (tstamp 395ba99b-96c7-46ab-bcf5-b1f96b39e04f)) + (net 171 "/Control/DBG4") (pinfunction "Pin_10") (pintype "passive") (tstamp 0fd40613-75be-41fe-aea2-68e680d96afe)) (pad "11" thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 1 "GND") (pinfunction "Pin_11") (pintype "passive") (tstamp 231a9dc3-25bb-47ff-9208-ef7b938d6511)) + (net 1 "GND") (pinfunction "Pin_11") (pintype "passive") (tstamp 95860006-b9d3-41d0-ac79-0971186198ff)) (pad "12" thru_hole oval (at 2.54 12.7) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") - (net 143 "/Control/DBG5") (pinfunction "Pin_12") (pintype "passive") (tstamp 16ae483e-bc15-4702-9a9a-9d03e757c2fd)) + (net 143 "/Control/DBG5") (pinfunction "Pin_12") (pintype "passive") (tstamp 231a1690-05b4-486c-ac16-e1632a7d2dd3)) ) (footprint "stdpads:R_0603" (layer "F.Cu") (tstamp d7a38512-beee-40c8-8572-5e01a3442c2b) - (at 180.3 59.4) + (at 168.2 48.65) (tags "resistor") - (property "LCSC Part" "") + (property "LCSC Part" "C21190") (property "Sheetfile" "Clk.kicad_sch") (property "Sheetname" "Clk.sch") (property "ki_description" "Resistor, small symbol") @@ -14454,40 +14444,40 @@ (attr smd) (fp_text reference "R8" (at 0 0) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp dbd3cd14-57e6-4d7e-8ab3-5a7229a0d28c) + (tstamp b5216b77-8351-4d41-90ba-bf06ab6398a6) ) (fp_text value "1k" (at 0 0.25) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 99c5f463-a8db-4615-8d9f-8663e1218acf) + (tstamp 651a9abe-297b-4752-8fb7-6daf4fc65de9) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 74937b1f-ea51-48a7-8d38-85524e2c8bf2) + (tstamp 10305171-48a2-498e-830e-401b2772bfca) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84ae1a9e-0540-41b4-bb72-708ff8f7d416)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51b8beaa-803d-416c-8355-32aa58c181cb)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e2fd698-fac0-463b-b085-1fd50a89b9af)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6943f5b6-13b0-4fd4-9315-a6c2230d31c1)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 743fd9ed-ab9d-4db3-adc7-d4639a775b97)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35ec768c-7098-4efe-9fdb-686dd9659603)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4ad7461-7db4-4998-a8fc-1144ba44c56d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 082f46b4-d094-4ce2-849f-5b2d5793395a)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 57c13666-8e28-48ef-9bf5-b2c7330068c4)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53400c33-9a88-48ad-8e0d-60863bdcd77c)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4af6ecb2-1d91-44f9-a552-ff968ad3bcbf)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 48485a2b-3971-4e95-84b7-247bacba86db)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d4dbd30-3b1d-42a8-8ad6-d12acb03f9c9)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35c2791b-84ce-42e0-bd31-764d2e405156)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35215399-7d62-41e2-9ca8-3af54370273d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 799a94eb-3193-4a02-80f8-4b1011094341)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5de5cd48-52de-47ec-9804-b8b6084a90ae)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 233cc359-d6eb-49b0-8edc-9c0df022df3a)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d2d15dd-8de4-4059-b545-afb46857afde)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf606b5f-0e67-455d-b7c0-dccf7e845f83)) (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 245 "Net-(J6-Pin_1)") (pintype "passive") (tstamp de372d4c-a5f4-47d7-a1d5-c843a5e0b419)) + (net 243 "/Clk.sch/CLKEN") (pintype "passive") (tstamp 38e6c804-51ff-4722-86bc-f05f41bebd79)) (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 69eff09a-a77e-4dd7-bf73-68e877928676)) + (net 3 "+3V3") (pintype "passive") (tstamp b1045404-20e5-4689-8f53-c194b09d3e60)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14499,6 +14489,7 @@ (tstamp daf297ac-77a7-4683-8032-4a30955ed731) (at 130.2 93.2 -90) (tags "VSSOP-8") + (property "LCSC Part" "C503431") (property "Sheetfile" "Clk.kicad_sch") (property "Sheetname" "Clk.sch") (path "/00000000-0000-0000-0000-000061350d21/b5cd0ab1-9609-4fb0-b487-80dce43acd0c") @@ -14507,50 +14498,50 @@ (attr smd) (fp_text reference "U6" (at 0 0 -90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 17c05eca-fee2-4ee2-be6d-8f79cc32e3d4) + (tstamp fa9a51af-0139-4dc2-95f4-e7b522327930) ) (fp_text value "74LVC1G74DC" (at 0 0.85 -90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 26f1148b-3508-4dc7-9eb1-7322b8b81c38) + (tstamp 8f6272ed-656c-4c83-afc5-1686822d021e) ) (fp_line (start 1.1 -1.2) (end -1.8 -1.2) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ba4eeef-4116-4430-9c50-00cd7ccb7711)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 029cbc2d-c4c9-4537-8fd3-d515c6b7d6db)) (fp_line (start 1.1 1.2) (end -1.1 1.2) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acb66e35-8a15-4574-8b71-6483192d72ea)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc015a15-6652-47b3-a856-77143aed6b77)) (fp_line (start -2.15 -1.3) (end 2.15 -1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e749ee18-793f-4051-aa82-eeb2363e18fa)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6c85226e-20d5-46a4-a874-dafba466d0fd)) (fp_line (start -2.15 1.3) (end -2.15 -1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5be4a4d-c344-4187-b1af-d4e9c3868724)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c59f0ac-c925-434b-9768-3ef64bdac793)) (fp_line (start 2.15 -1.3) (end 2.15 1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01f9a015-9fa2-4856-8183-3d0c1987f898)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2732f7fe-a8e3-4888-8b32-b0c8a1140aca)) (fp_line (start 2.15 1.3) (end -2.15 1.3) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42c3d81a-e5e7-4b44-bdf2-c4aa9210256b)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ffc2c4f2-9ac0-4a96-8361-70738b67f373)) (fp_line (start -1.15 1) (end -1.15 -0.45) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 378d46b8-f526-4844-9d04-5da4acb71011)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c36824f-10ba-4a30-8f54-98bde042bfa6)) (fp_line (start -0.6 -1) (end -1.15 -0.45) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 692cff8e-1b47-4f8e-a58d-cb94c03e2465)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c40f6da1-4895-4ad4-8434-55ead99ac642)) (fp_line (start -0.6 -1) (end 1.15 -1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 948826e5-8258-473f-8bd3-baa4072e60bf)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c5df3b1-2ee9-4785-bba8-09cb580730e5)) (fp_line (start 1.15 -1) (end 1.15 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47e9ab82-a1bf-4742-8768-2c19fcadc4cd)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 631e0a1e-78d3-4a31-9e17-c71896ccfd8a)) (fp_line (start 1.15 1) (end -1.15 1) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ac3922a-8943-4854-9b09-0962dc2eed0b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4073369-45b5-4af9-aaaa-1d2d10a597d7)) (pad "1" smd roundrect (at -1.35 -0.8 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "Net-(U6-CK)") (pinfunction "CK") (pintype "input") (tstamp c2417ae3-98ac-4f2e-b89b-6fd8e6f8f9e3)) + (net 145 "Net-(U6-CK)") (pinfunction "CK") (pintype "input") (tstamp 75d9acc3-25f4-42b2-b541-fa50c87eff90)) (pad "2" smd roundrect (at -1.35 -0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 182 "Net-(U6-D)") (pinfunction "D") (pintype "input") (tstamp f6744bbf-65ca-4516-9bb4-72983bddc91c)) + (net 182 "Net-(U6-D)") (pinfunction "D") (pintype "input") (tstamp e38db7b9-cebf-4a79-ae55-c992cec531e6)) (pad "3" smd roundrect (at -1.35 0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 246 "unconnected-(U6-~{Q}-Pad3)") (pinfunction "~{Q}") (pintype "output+no_connect") (tstamp 5f54c6f3-e07f-42f2-a2b3-996349f64a14)) + (net 242 "unconnected-(U6-~{Q}-Pad3)") (pinfunction "~{Q}") (pintype "output+no_connect") (tstamp 5ffa332e-1f6d-4f80-a6ce-e46e2f00e9ab)) (pad "4" smd roundrect (at -1.35 0.8 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ac111286-f39b-4e95-b0fa-2c8e029673a0)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 07def5bb-d423-42ff-8d41-0a2aaccb8b3c)) (pad "5" smd roundrect (at 1.35 0.8 180) (size 0.4 1) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "Net-(U6-Q)") (pinfunction "Q") (pintype "output") (tstamp a4969145-6a64-4fca-8ac3-162faf5067ed)) + (net 106 "Net-(U6-Q)") (pinfunction "Q") (pintype "output") (tstamp e6d12a3a-bdb5-4c40-8a45-010274ce1f03)) (pad "6" smd roundrect (at 1.35 0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 226 "/Clk.sch/MCKE") (pinfunction "~{R}") (pintype "input") (tstamp 967060d3-ef6a-4f8d-a965-6a0413928b1b)) + (net 226 "/Clk.sch/MCKE") (pinfunction "~{R}") (pintype "input") (tstamp 0d6dc8bb-5db1-4594-9a54-7570bd9023ec)) (pad "7" smd roundrect (at 1.35 -0.25 270) (size 1 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "~{S}") (pintype "input") (tstamp ce5ef79a-b959-4719-892c-55a84c507d7a)) + (net 3 "+3V3") (pinfunction "~{S}") (pintype "input") (tstamp 3278be12-be93-4ebc-be56-ce360d7afbbb)) (pad "8" smd roundrect (at 1.35 -0.8 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp dc1b9278-bab0-4e54-a7a0-4872db5bf75e)) + (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 6389f49b-93ae-4752-8b0a-9c1451d82741)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/VSSOP-8_2.3x2mm_P0.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14573,40 +14564,40 @@ (attr smd) (fp_text reference "C49" (at 0 0 90) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 25f00739-661b-4403-9541-9b632a06c116) + (tstamp 5ff98545-da26-42c0-9cac-6fa7bcb8644a) ) (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d26aaec4-54be-41c0-8a5d-8bb5d41e120c) + (tstamp cb7d3d42-3145-404a-ae08-17d90a6015f5) ) (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp d4455096-d5fc-454d-861f-8c6ee5b1ce8e) + (tstamp c9aacc95-d115-403f-8682-7b7426413c61) ) (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06038e08-a5ba-415a-a2d6-6fd8e680b2ff)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1278be4d-937d-4b52-9063-627c670925af)) (fp_line (start -0.162779 0.51) (end 0.162779 0.51) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 466d8bd0-11b9-4b1f-9913-de92fefb968b)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a236f1f-d3a2-4eb7-b379-5e6d2718c4af)) (fp_line (start -1.4 -0.7) (end 1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68deed87-82a1-41fd-8649-a27c2c7a5585)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d23bb59d-fb4e-4dcf-b728-781fd562287a)) (fp_line (start -1.4 0.7) (end -1.4 -0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06120b1f-5aee-44ee-aa15-3888d12b3d4c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f98417dd-8389-411d-9855-c563404c84dc)) (fp_line (start 1.4 -0.7) (end 1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a3fde47-97fc-4d89-b82c-ce2c028dd54c)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3739ec55-f744-4de2-9842-984d13ed79f5)) (fp_line (start 1.4 0.7) (end -1.4 0.7) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5721e2f5-6864-4678-9126-56627284c41e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e3dfdab-3a85-4544-8629-ae0e5a272799)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66ddce1d-578c-4f9f-8b2a-5f7633b02473)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b438924-2ebd-418b-a55a-7d9a251453d3)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d237b8d-0f2b-4b16-8b3c-df8295380161)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8744c7e4-047f-48e7-ac44-8e9667967b2c)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c135dcc2-b2f8-4d9a-be5a-d771df2a7dd5)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 144cd346-6ab5-427f-9e7e-d708f9177e57)) (fp_line (start 0.8 0.4) (end -0.8 0.4) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2ac5364e-91f4-4b2c-bfad-0ece7f2eec27)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 96573ad1-e452-4f65-bcb4-65a8f86c8ae9)) (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 59c85147-24fc-4b17-8543-d703bd547acd)) + (net 3 "+3V3") (pintype "passive") (tstamp ad317981-dfc6-486e-9246-f05fc56d9da3)) (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pintype "passive") (tstamp ef1432bf-a31e-4187-891b-b77fdee0e5ce)) + (net 1 "GND") (pintype "passive") (tstamp fc68ee56-9920-4565-b9f5-003510e3c1b6)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14618,6 +14609,7 @@ (tstamp f2cb4488-d41f-428e-abcf-0bb3fc0517cf) (at 189.55 125.5 90) (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C455045") (property "Sheetfile" "ClkBuf.kicad_sch") (property "Sheetname" "ClkBuf") (path "/fe631861-deed-4e97-a528-5baf968a7cc8/8e283ed3-2e43-4805-98c9-249330b515d6") @@ -14626,44 +14618,44 @@ (attr smd) (fp_text reference "U26" (at 0 0 270) (layer "F.Fab") (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0ebc6877-88d2-459b-be8a-1d68fb69fae9) + (tstamp 7e91dc2c-66ef-4ad0-b128-fc5e3963c97a) ) - (fp_text value "74LVC1G07GW" (at 0 -0.35 270) (layer "F.Fab") + (fp_text value "74LVC1G34GW" (at 0 -0.35 270) (layer "F.Fab") (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 13ad07d6-6bd9-40f8-bfb7-08f56d53e6e7) + (tstamp 3094d3bc-e0e1-4c4a-80ff-6c1d15996fcc) ) (fp_line (start -1.16 -0.73) (end -1.16 1.3) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f18bb694-6c72-4322-aec7-7ee31b82f8d1)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1112b280-5928-4463-92b8-218bc16d1882)) (fp_line (start 1.16 0.68) (end 1.16 -0.73) - (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 370a257c-b4ab-4045-a002-0e8064a65609)) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16e78d84-9e76-4d02-84df-83cde95689b7)) (fp_line (start -1.3 -1.6) (end 1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f708919-7bad-474d-ab1a-c47975235ac8)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 90f05128-6e55-4e3e-b007-ecb956cf4bfd)) (fp_line (start -1.3 1.6) (end -1.3 -1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 711a06d2-ff7c-4034-be17-0c984a4f4ad7)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ad0278a-ab59-40c6-b7f7-0f260603bb8d)) (fp_line (start 1.3 -1.6) (end 1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d41112db-c7ac-42e3-a4ba-42b4efb77f0d)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ce51458-2c86-42bb-b57d-32c3aa8f0737)) (fp_line (start 1.3 1.6) (end -1.3 1.6) - (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 279ce224-4290-4493-9cac-fb2416403c9e)) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9bca8a53-aac6-4535-aea2-a6001aace8be)) (fp_line (start -1.1 -0.67) (end -1.1 0.18) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce37ccaa-20c9-4f8f-806e-447a80a5fc3d)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 31da67cb-72cc-40ca-b40b-07cfc2bb19d7)) (fp_line (start -1.1 -0.67) (end 1.1 -0.67) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp add9c3c0-d407-4838-84d0-c297472ce5fe)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aeb81f27-aad1-4eb9-a1bd-2a923f05618a)) (fp_line (start -1.1 0.18) (end -0.6 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61bb5982-9bdb-42af-8276-a0b45eb72ca1)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7f77014c-4f02-48aa-8915-83cc8338e72c)) (fp_line (start -0.6 0.68) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2d5fcc60-0109-4e92-99c4-ed649f63e524)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aad0c447-6854-492d-bae1-706db1fce1cb)) (fp_line (start 1.1 -0.67) (end 1.1 0.68) - (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4736af77-effb-4891-9a7c-a0284a43077b)) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ba6b0f10-f920-4f1e-b07e-150965f89160)) (pad "1" smd roundrect (at -0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 229 "unconnected-(U26-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp c8d7d9b1-d00f-4601-9f29-513cfbd6cb84)) + (net 1 "GND") (pinfunction "A") (pintype "input") (tstamp 63d9ff1f-46ad-4c78-b91b-0f9d6814f940)) (pad "2" smd roundrect (at 0 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 230 "/ClkBuf/E") (pinfunction "A") (pintype "input") (tstamp 3c7584b2-3446-48f5-9ea3-4ef0ae1de547)) + (net 229 "/ClkBuf/E") (pinfunction "B") (pintype "input") (tstamp 69b8a662-5380-4569-975a-5df3b098d231)) (pad "3" smd roundrect (at 0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2f000f27-fe70-48fc-a3f8-4f73e949c0cf)) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp addd6b97-fa99-4cff-b8cb-c821a4d8969a)) (pad "4" smd roundrect (at 0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 240 "Net-(U26-Y)") (pinfunction "Y") (pintype "output") (tstamp cfc9b6f4-4e16-45a5-bff2-0cb4363268a5)) + (net 237 "Net-(U26-Y)") (pinfunction "Y") (pintype "output") (tstamp 5191e363-bd99-4ecd-ac06-c3797e015a1e)) (pad "5" smd roundrect (at -0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 4570f1ae-1919-459b-82fb-802ded2f119f)) + (net 3 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 409e0c3e-9dfb-48fc-94bd-5ef196814d33)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -14671,6 +14663,58 @@ ) ) + (footprint "stdpads:PinHeader_1x02_P2.54mm_Vertical" (layer "F.Cu") + (tstamp fb72323f-a09b-4d85-8aa6-2ef13d7604f9) + (at 170.1165 90.805) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (property "Sheetfile" "Clk.kicad_sch") + (property "Sheetname" "Clk.sch") + (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-000061350d21/e0f35a4d-57ee-4e42-b380-84d6e41a5cf1") + (attr through_hole) + (fp_text reference "J7" (at 0 1.27 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) + (tstamp 3a74c80f-25f7-429d-97a0-9edc33a48f77) + ) + (fp_text value "PWR" (at 0 -2.3495) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) + (tstamp 39f1234e-9825-4448-8a91-cd19bbb6ce93) + ) + (fp_line (start -1.3335 -1.3335) (end -0.635 -1.3335) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7aefbfc2-8ebc-4fe4-b702-d88b88242ec8)) + (fp_line (start -1.3335 -0.635) (end -1.3335 -1.3335) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ff3d539-265f-4a0b-b6f3-b41bdd50e2d7)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7ffbbef-8252-487f-9be2-2b5d51fdf09b)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 803ee71d-aa7b-429e-93e7-cf002b5179ed)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22725595-d7ab-4bf9-947a-4a5e837ed02a)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd8f114c-04b4-4ed0-9c81-caa8bfedc711)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8f92be9-b294-4d5f-b0d5-2ffa43f0c260)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50b06f77-9901-45c7-a054-64826742db33)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a514939e-f7d3-4192-8d4d-901e754c86b7)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 05927039-7d81-4dbb-a6da-7b86176f1ec0)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9fa46949-f23f-4725-a6da-d4f80531f1c5)) + (pad "1" thru_hole roundrect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.1470588235) + (net 2 "+5V") (pinfunction "Pin_1") (pintype "passive") (tstamp 7a118d37-6b6c-4699-adde-71fee16bf04b)) + (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 39c0df6b-35db-4ecc-8f5e-22be9b9a62f4)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (gr_arc (start 105.283 129.54) (mid 103.846159 128.944841) (end 103.251 127.508) (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-000061aa30c1)) (gr_arc (start 192.405 129.54) (mid 193.841841 130.135159) (end 194.437 131.572) @@ -14698,9 +14742,18 @@ (gr_text "ZK, GF" (at 111.633 39.878) (layer "B.SilkS") (tstamp 0fd1d22e-7dd8-446d-8c82-d344832123f2) (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) + (gr_text "PWR" (at 170.1165 88.4555) (layer "F.SilkS") (tstamp 03df7172-3f5a-4c11-9b53-dafaf126fb4f) + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) + ) + (gr_text "CLKDIS" (at 174.498 88.4555) (layer "F.SilkS") (tstamp 36513cfe-ec50-46ff-b253-567f92b5d529) + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) + ) (gr_text "JLCJLCJLC" (at 148.59 99.441) (layer "F.SilkS") (tstamp 372d2e9b-9ec7-4f29-9415-1ef425072f4d) (effects (font (size 0.8128 0.8128) (thickness 0.2032))) ) + (gr_text "CLKIN" (at 133.75 84.675) (layer "F.SilkS") (tstamp 7fbd5a6b-5107-4aa3-a58d-7c5b7fee224d) + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) + ) (segment (start 197.1675 43.766) (end 198.1345 43.766) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1893)) (segment (start 198.1345 43.766) (end 198.374 44.0055) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1898)) @@ -14904,11 +14957,11 @@ (segment (start 110.3 108.1) (end 110.45 108.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa21d1)) (segment (start 110.45 108.25) (end 110.45 108.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa21d2)) (segment (start 125.25 116.7625) (end 125.25 115.65) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa21d3)) - (segment (start 174.25 92) (end 174.25 92.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7f4)) - (segment (start 174.25 92) (end 175.2 92) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7fa)) - (segment (start 175.2 92) (end 175.4 91.8) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7fd)) - (segment (start 174.25 92) (end 173.3 92) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a803)) - (segment (start 173.3 92) (end 173.1 91.8) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a806)) + (segment (start 177.65 92) (end 177.65 92.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7f4)) + (segment (start 177.65 92) (end 178.6 92) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7fa)) + (segment (start 178.6 92) (end 178.8 91.8) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7fd)) + (segment (start 177.65 92) (end 176.7 92) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a803)) + (segment (start 176.7 92) (end 176.5 91.8) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a806)) (segment (start 185.228 54.8005) (end 186.563 54.8005) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e5a637)) (segment (start 185.228 54.8005) (end 183.896 54.8005) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e5a664)) (segment (start 190.4 124.85) (end 191.3 124.85) (width 0.4) (layer "F.Cu") (net 1) (tstamp 029738d5-8a59-425b-bc52-0b6cd990afd7)) @@ -14919,6 +14972,8 @@ (segment (start 125.35 119.65) (end 125.5 119.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 09fe178f-bfb5-4139-9310-827cb6a7872f)) (segment (start 118.3 76.8) (end 118.3 78.1) (width 1) (layer "F.Cu") (net 1) (tstamp 0bcb48b4-7d07-4de2-ab31-9ee1bfc9cd6a)) (segment (start 127.9 93.05) (end 129.1 93.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0d787ecf-0d52-4b24-bae3-73eb67dbf475)) + (segment (start 194.25 58.75) (end 194.25 59.5) (width 0.15) (layer "F.Cu") (net 1) (tstamp 0fae59ba-6a24-48d4-81fd-b480f573cd3b)) + (segment (start 187.6185 56.4515) (end 188.468 56.4515) (width 0.5) (layer "F.Cu") (net 1) (tstamp 10fc624a-2eb2-4900-8f9a-e5eeecb5cc9b)) (segment (start 114.25 79.4) (end 114.25 78.1) (width 1) (layer "F.Cu") (net 1) (tstamp 12508571-b690-4076-9463-5e2586555b29)) (segment (start 181.95 41.925) (end 181.95 43) (width 0.4) (layer "F.Cu") (net 1) (tstamp 13f411a3-328c-40ae-8faa-816b30d4872a)) (segment (start 186.05 43.9) (end 186.9 43.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp 141323ad-e023-43dc-8ec0-657d021a6e11)) @@ -14938,6 +14993,7 @@ (segment (start 118.3 79.4) (end 118.3 80.7) (width 1) (layer "F.Cu") (net 1) (tstamp 2464ebaa-20d1-409e-83b6-a3be0cb5e2c7)) (segment (start 188.6488 45.4994) (end 187.55 45.4994) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2475a88d-666a-4bde-baeb-53c2a4154aaa)) (segment (start 118.3 79.4) (end 119.45 79.4) (width 1) (layer "F.Cu") (net 1) (tstamp 2b7aa422-1e21-4f33-a02c-35a9d5649c64)) + (segment (start 190.4 126.15) (end 191.3 126.15) (width 0.4) (layer "F.Cu") (net 1) (tstamp 2c351c17-2992-4e65-b01b-939dae154c6f)) (segment (start 195.55 58.75) (end 195.55 59.65) (width 0.4) (layer "F.Cu") (net 1) (tstamp 2e23b0d3-e423-47ed-af2b-610a0f17b739)) (segment (start 121.85 59.2) (end 122.55 59.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 306ab86b-fe51-4cc4-b4d0-913617d0e9a1)) (segment (start 149.45 67.85) (end 149.45 66.9) (width 0.6) (layer "F.Cu") (net 1) (tstamp 30be86c2-d396-4ca0-a460-522bf5af5c27)) @@ -14949,6 +15005,7 @@ (segment (start 190.8494 47.2) (end 190.8494 48.1988) (width 0.5) (layer "F.Cu") (net 1) (tstamp 394b2214-e080-4901-811f-c580f0b77d4e)) (segment (start 186.35 41.8) (end 187.85 41.8) (width 0.6) (layer "F.Cu") (net 1) (tstamp 394efb88-a4ad-400c-bb5a-c5331f858498)) (segment (start 187.05 39.25) (end 188.4 39.25) (width 0.6) (layer "F.Cu") (net 1) (tstamp 3bcd3e5b-f1ed-45ad-9d9d-bca3b9021f8d)) + (segment (start 194.25 59.5) (end 195.4 60.65) (width 0.15) (layer "F.Cu") (net 1) (tstamp 3c6d23af-06ae-404d-884c-62fd5e9ddbad)) (segment (start 186.9 124.65) (end 186.2 124.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3d4ae216-ed63-41a2-a9f6-791435117bbf)) (segment (start 125.85 86.7) (end 124.75 86.7) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3eb6453f-a751-404e-8ea5-a0234ea80557)) (segment (start 194.3 111.4) (end 193.5 111.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 42f4679b-2c4d-49cf-8f9e-afb5127a3112)) @@ -15026,6 +15083,7 @@ (segment (start 188.6494 45.5) (end 188.6488 45.4994) (width 0.5) (layer "F.Cu") (net 1) (tstamp b35e8a3c-0f5e-411f-a89e-f0c27e31befb)) (segment (start 129.1 93.05) (end 129.4 92.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp b424c18d-9a3f-4b20-baa7-c0cdb84740c2)) (segment (start 179.07 53.5305) (end 178.816 53.7845) (width 0.6) (layer "F.Cu") (net 1) (tstamp baa51238-e879-465c-bfd4-c8bcf5ac3bca)) + (segment (start 195.4 60.65) (end 195.6 60.65) (width 0.15) (layer "F.Cu") (net 1) (tstamp bc6c39d2-77b1-4383-937e-75c2113b3ee4)) (segment (start 151.335 47.625) (end 151.335 46.658) (width 0.6) (layer "F.Cu") (net 1) (tstamp bd549411-8b56-48c1-ac92-a15ad419fb24)) (segment (start 190.8494 48.1988) (end 190.85 48.1994) (width 0.5) (layer "F.Cu") (net 1) (tstamp bddb2528-fd09-42aa-88d5-b3c23bf02067)) (segment (start 193.5494 46.9994) (end 193.75 47.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp bdded596-f086-4e14-9b83-6f7d03ecfaea)) @@ -15044,6 +15102,7 @@ (segment (start 176.65 114) (end 178.7 114) (width 0.45) (layer "F.Cu") (net 1) (tstamp d04b7f59-9018-4549-a2d3-b2dc91a99e13)) (segment (start 181.05 94.35) (end 180.25 94.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp d0dde7a4-8182-4d48-9f61-35dd8131b654)) (segment (start 149.45 66.9) (end 149.25 66.7) (width 0.6) (layer "F.Cu") (net 1) (tstamp d2e8707f-a1b0-4c8d-a415-1b8709583ef6)) + (segment (start 194.25 65) (end 194.25 65.9) (width 0.4) (layer "F.Cu") (net 1) (tstamp d352e135-1681-494b-8f74-e85a8f54055e)) (segment (start 188.6494 45.5) (end 188.6494 44.45) (width 0.6) (layer "F.Cu") (net 1) (tstamp d691af06-6486-4995-b28f-4f67ee17bc03)) (segment (start 131.572 99.871) (end 131.572 100.8) (width 0.6) (layer "F.Cu") (net 1) (tstamp d746a59f-9c69-47c6-8e31-580a0ddd0ebc)) (segment (start 132.45 93.05) (end 133.15 93.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp d8e5be68-a6f5-4f0f-979b-9d3ba78c3ddc)) @@ -15182,7 +15241,7 @@ (via (at 162.56 69.4055) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae1)) (via (at 163.83 69.4055) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae2)) (via (at 165.1 69.4055) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae3)) - (via (at 165.1 67.5005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae4)) + (via (at 165.1 67.6275) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae4)) (via (at 135.382 100.711) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1aee)) (via (at 161.798 96.901) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1af1)) (via (at 161.798 98.171) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1af3)) @@ -15333,7 +15392,7 @@ (via (at 150.495 76.454) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa200c)) (via (at 123.952 77.597) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa200f)) (via (at 132.207 64.389) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa2011)) - (via (at 143.256 70.6755) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa2012)) + (via (at 142.5575 70.6755) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa2012)) (via (at 129.8 114.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa2015)) (via (at 126.15 116.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa2016)) (via (at 149.352 56.515) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa21c9)) @@ -15348,9 +15407,9 @@ (via (at 197.75 95.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf2e7)) (via (at 198.6 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf395)) (via (at 193.5 111.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061b242d9)) - (via (at 174.25 92.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7f7)) - (via (at 175.4 91.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a800)) - (via (at 173.1 91.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a809)) + (via (at 177.65 92.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a7f7)) + (via (at 178.8 91.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a800)) + (via (at 176.5 91.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4a809)) (via (at 132.715 83.058) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4dc75)) (via (at 133.985 83.058) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4dc8f)) (via (at 135.255 83.058) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e4dc91)) @@ -15407,7 +15466,6 @@ (via (at 135.128 49.149) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 158a8a42-cf01-4e23-a243-6fdc5fd5d726)) (via (at 195.75 86.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 164402b0-7025-4427-b272-a0cb3925939a)) (via (at 121.793 38.608) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 169aca35-52f8-4a89-a4d9-6c82e6956078)) - (via (at 167.513 48.768) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 179f5995-58f0-4363-9265-7f9bdcd4a90d)) (via (at 110.1725 120.777) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 191dde06-e920-46f4-a688-e813e02e6344)) (via (at 195.6 60.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1922ebff-c1b3-4a6f-ad46-8818d68f1507)) (via (at 196.15 58.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 19a1cc47-55fa-46fd-861d-df28f90a9b35)) @@ -15457,7 +15515,6 @@ (via (at 162.56 58.6105) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 31b9be9d-27e5-4ed4-aaa0-e3733de0cfcf)) (via (at 192.659 128.778) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3208713a-75af-44a8-9144-cfc6b2e4d0aa)) (via (at 157.353 48.768) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 32238c12-2110-4df1-bd08-d0ee48bcc5ff)) - (via (at 170.6245 92.583) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 329d18d8-7b91-4d23-8f54-c8514bd17e29)) (via (at 194.05 84.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 32b70236-89ff-45e2-85c9-a9978be8067c)) (via (at 127.4445 78.105) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 331a0914-7e60-4905-a41b-69e33ca25070)) (via (at 104.013 86.868) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 33e0e859-4743-43d1-a450-28fb471d9ddb)) @@ -15465,7 +15522,7 @@ (via (at 208.7245 61.468) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 34655f88-aecc-42ed-a8fd-1eb60025a9c4)) (via (at 169.037 70.104) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 35cb977a-e897-426d-824c-a79837c7ba9e)) (via (at 207.7085 69.088) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 369133c8-2e11-4525-81ed-17afdaa060ec)) - (via (at 175.4505 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 374222f9-3080-4b06-ba01-5d3fb41f4640)) + (via (at 173.4185 85.598) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 374222f9-3080-4b06-ba01-5d3fb41f4640)) (via (at 122.7 59.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 37423ebb-da61-4b53-82b9-56249cacb701)) (via (at 121 59.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 37831b48-f4c4-4a4e-96f6-6caf87351379)) (via (at 149.25 66.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 37b442f6-6429-4051-8d6f-6d094b1da78b)) @@ -15564,6 +15621,7 @@ (via (at 124.5 80.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 61feeb38-e42d-41f6-83e1-e2fb291e92f4)) (via (at 112.8 54.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 627fe034-b8ef-4aa6-bcef-25686ce4f366)) (via (at 211.582 122.428) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 62b2b23e-ed3d-4ccf-83dd-0734dc3d53db)) + (via (at 194.25 65.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 63d5589a-c5a3-44cc-913c-2a534a9a88c5)) (via (at 162.433 38.608) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6475fbd2-f783-4055-9ac4-c0f20149f79b)) (via (at 201.93 113.51) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 64c6921d-109b-476e-a3bb-39a2ec3295c8)) (via (at 207.7085 79.248) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 64f1be2e-85d6-442c-b3b1-bd1b0b1fe921)) @@ -15574,6 +15632,7 @@ (via (at 165.15 121.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 65cd9b55-96fd-47d9-b716-2049c0054e30)) (via (at 209.7405 94.488) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 676d1cad-2502-4cb1-be5f-7dc3120332aa)) (via (at 167.513 38.608) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 679b0a20-e54f-4cf2-ba5c-12d2c24f6909)) + (via (at 191.3 126.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6830878a-95bb-4219-b79d-a64ab66ca2ad)) (via (at 179.25 85.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6840351e-8704-4ccd-bc4f-c93abf90403b)) (via (at 196.55 55.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 689eee15-adbb-419d-b001-9f7b83fa37a4)) (via (at 177.75 95.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 692b187e-09f7-4aa5-9d0d-5c12270fc1b1)) @@ -15663,7 +15722,7 @@ (via (at 211.582 132.08) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 894ec360-ea1c-4b78-a7a9-9101619132cc)) (via (at 159.893 124.2695) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8a2a8557-7c09-400b-a869-adacc0b80204)) (via (at 124.46 70.485) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8a964d64-cc7e-4cd2-b333-7a0583c494ce)) - (via (at 133.2865 97.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8b373af9-575f-4a36-a4e9-b5014bb1a386)) + (via (at 133.3 97.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8b373af9-575f-4a36-a4e9-b5014bb1a386)) (via (at 174.35 84.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8b876028-17ae-482c-bf29-cc3b2b832d72)) (via (at 127.9 101.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8bd260c3-e8be-4c8b-8654-74a1b5f3f3a8)) (via (at 130.6 113.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8bd43456-7d1f-4fc7-a010-be8d9e0fc93e)) @@ -15709,6 +15768,7 @@ (via (at 201.93 57.63) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9efbc219-fcca-4f68-9d72-3ca8ead32499)) (via (at 201.93 104.93) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9f39be67-35f4-4aa8-91b2-be9f780cecda)) (via (at 106.553 128.778) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9f9b2464-9684-48bf-8bf2-249c27954da3)) + (via (at 143.891 70.5485) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9fc403e0-463a-4279-b090-f41d676288d0)) (via (at 180.25 112.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9ff0e702-9b99-4bee-86b7-2364e5e3a6d1)) (via (at 201.93 107.47) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a1ac4557-1828-452b-8dea-5937da7e2d4c)) (via (at 209.7405 99.568) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a1e3d33a-27e5-4b92-9272-6c79acfd02ee)) @@ -15724,7 +15784,6 @@ (via (at 195.55 65.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a5553719-523a-4732-a771-c6144688ca05)) (via (at 107.25 106.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a58ed9c1-27a2-4958-810f-112a3013f526)) (via (at 107.8865 61.087) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a5caf9f6-e03e-450e-bccc-1a8c713e9865)) - (via (at 170.307 88.2015) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a6c5dec8-4ad2-4a56-a82f-7606a7697b2d)) (via (at 201.93 77.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a70cf45a-c1a4-4901-8bf9-604e7175bd7b)) (via (at 177.673 43.688) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a8a970f3-b002-4ca6-a372-84d19e7f1a6d)) (via (at 152.273 126.746) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a9857e75-1ce0-4b23-9664-4d211e1df266)) @@ -15752,6 +15811,7 @@ (via (at 177.5 53.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b35f6747-cc23-420b-9ddd-1d5006be5c8c)) (via (at 189.6 99.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b3780847-212b-456a-9805-a92650fb4bff)) (via (at 111.633 128.778) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b39bbb79-cd63-4f81-bd93-9bfdc0ecc2fb)) + (via (at 198.882 112.8395) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b4054d3d-baf5-4f75-9cda-0881fc75f5fd)) (via (at 182.15 89.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b44c82f7-df70-4336-8b29-283293dda6cb)) (via (at 199.05 95.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b4cedee3-cd58-4f01-be52-9db02baa9376)) (via (at 124.75 86.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b5245331-0dff-441a-87a0-d62df3d503b9)) @@ -15764,7 +15824,7 @@ (via (at 201.93 62.71) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b79a7d29-3b2c-4086-9073-2afffc3fa765)) (via (at 119.3 122.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b7a0ad22-0061-40ca-99df-cecef47fabfd)) (via (at 178.7 114) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b81d5a76-8363-4553-b895-233349b68368)) - (via (at 194.1195 67.2465) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b87874c0-94cc-4c07-ba3e-122eba035d95)) + (via (at 194.1195 67.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b87874c0-94cc-4c07-ba3e-122eba035d95)) (via (at 191.7 49.0994) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b92c52df-9d73-42da-aed0-5fc015263762)) (via (at 104.013 107.188) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b956653e-fe0e-4e3b-9cb6-4b7baa28edb6)) (via (at 155.067 70.6755) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ba923e1d-10cc-488e-be02-3d1767d90c29)) @@ -15901,6 +15961,7 @@ (via (at 176.1 84.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f5fda1dd-33cf-439a-8c44-e26541740057)) (via (at 104.013 81.788) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f60cdee9-40a3-4a05-9520-1f3b6041d6e3)) (via (at 147.193 38.608) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f665b190-2c64-4c70-b81a-6a41478df826)) + (via (at 188.468 56.4515) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f6c581df-d890-46d1-a7ff-3b8fa048156a)) (via (at 137.16 53.086) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f76b4a81-f773-4685-958e-c235f7ceb862)) (via (at 163.7665 90.551) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f7c6f412-3344-467e-a280-ea7adbec00d8)) (via (at 104.013 97.028) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f9089e89-3fbd-45ce-a9a9-3c79efd9bae5)) @@ -15911,6 +15972,7 @@ (via (at 170.053 41.148) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp faad0103-d909-478d-85c8-39f25d0360e3)) (via (at 179.15 65.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp faea049d-0473-4e76-95d4-3ae4abef1a67)) (via (at 109.474 120.0785) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp fb494a4e-a8cd-4208-a371-530f87875e7f)) + (via (at 199.326499 90.2335) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp fb4d6972-cd6b-47c7-8d34-966dd3cfeded)) (via (at 125.2855 52.959) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp fbbd794d-dbc8-42a7-9bf3-56f373f9f560)) (via (at 145.669 46.482) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp fc00896f-953b-4907-98e5-16e0c8a23ee0)) (via (at 209.042 139.192) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp fca95f27-8f32-4f67-b5cc-f0e6bbec6bd6)) @@ -16052,11 +16114,11 @@ (segment (start 182.55 102.75) (end 182.4 102.6) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c5)) (segment (start 191.05 102.75) (end 190.9 102.6) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c6)) (segment (start 191.05 103.45) (end 191.05 102.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c8)) - (segment (start 174.25 90.3) (end 174.25 89.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7dc)) - (segment (start 174.25 90.3) (end 173.3 90.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7e2)) - (segment (start 173.3 90.3) (end 173.1 90.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7e5)) - (segment (start 174.25 90.3) (end 175.2 90.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7eb)) - (segment (start 175.2 90.3) (end 175.4 90.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7f1)) + (segment (start 177.65 90.3) (end 177.65 89.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7dc)) + (segment (start 177.65 90.3) (end 176.7 90.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7e2)) + (segment (start 176.7 90.3) (end 176.5 90.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7e5)) + (segment (start 177.65 90.3) (end 178.6 90.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7eb)) + (segment (start 178.6 90.3) (end 178.8 90.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7f1)) (segment (start 153.035 46.6725) (end 152.8445 46.482) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00d1dad3-02ae-4043-9032-13189192d699)) (segment (start 147.75 66.9) (end 147.95 66.7) (width 0.6) (layer "F.Cu") (net 2) (tstamp 093a26be-9c53-497d-a599-5d1c96a15f76)) (segment (start 112.65 82.55) (end 112.65 80.7) (width 1) (layer "F.Cu") (net 2) (tstamp 2078302a-287d-4ac8-8c94-ddc95cae41c7)) @@ -16180,9 +16242,9 @@ (via (at 190.9 111.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c0)) (via (at 182.4 102.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c3)) (via (at 190.9 102.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c7)) - (via (at 174.25 89.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7df)) - (via (at 173.1 90.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7e8)) - (via (at 175.4 90.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7ee)) + (via (at 177.65 89.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7df)) + (via (at 176.5 90.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7e8)) + (via (at 178.8 90.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061e4a7ee)) (via (at 144.145 48.768) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1356adf5-28a8-4139-84d4-71fd80003f0f)) (via (at 112.55 75.5) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 2) (tstamp 13b47d9a-10fb-4950-a510-566d16840b43)) (via (at 144.3355 46.482) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5529a2f7-77b0-47e9-b1f4-89277a6ddf14)) @@ -16243,6 +16305,7 @@ (segment (start 183.5785 52.0065) (end 183.8325 52.2605) (width 0.6) (layer "F.Cu") (net 3) (tstamp 027ffd9d-ee5c-42f1-8b54-47f28c802094)) (segment (start 194.25 60.8) (end 194.4 60.65) (width 0.5) (layer "F.Cu") (net 3) (tstamp 048aaa89-9202-4236-9728-018b9a5274c6)) (segment (start 181.6735 54.625) (end 181.498 54.8005) (width 0.5) (layer "F.Cu") (net 3) (tstamp 160e1a79-ae00-405c-b0ca-12e7ad49cc8e)) + (segment (start 169 48.65) (end 169.8 48.65) (width 0.3) (layer "F.Cu") (net 3) (tstamp 175e06a1-53e1-4ae3-8110-c311ed320e8c)) (segment (start 116.6 79.4) (end 116.6 78.1) (width 1) (layer "F.Cu") (net 3) (tstamp 178b25cd-5cc4-42eb-9d7f-8dfe14945ddf)) (segment (start 181.6735 48.4505) (end 181.9275 48.7045) (width 0.6) (layer "F.Cu") (net 3) (tstamp 1c1427b1-5cfa-49ee-9c3b-e3ace424d9e5)) (segment (start 121.85 57.7) (end 121.85 56.9) (width 0.6) (layer "F.Cu") (net 3) (tstamp 1c5ea616-a39d-40ac-92a4-ccfd992fdc70)) @@ -16300,7 +16363,6 @@ (segment (start 117.602 50.8) (end 117.602 47.09374) (width 0.3) (layer "F.Cu") (net 3) (tstamp 99b837c8-1181-4058-9ed7-45c3ca87a665)) (segment (start 116.6 76.8) (end 116.6 78.1) (width 1) (layer "F.Cu") (net 3) (tstamp 9b6b06b2-451e-4f75-a0ea-6717313065ca)) (segment (start 122.55 57.7) (end 122.7 57.85) (width 0.6) (layer "F.Cu") (net 3) (tstamp 9c13bc04-6c55-4fe1-abf9-4cf52a065eec)) - (segment (start 181.1 59.4) (end 182.3995 59.4) (width 0.5) (layer "F.Cu") (net 3) (tstamp 9c3239a1-3ee0-4c42-94eb-cb653b9465ce)) (segment (start 130.45 93.9) (end 130.7 93.65) (width 0.15) (layer "F.Cu") (net 3) (tstamp a2c2c5fd-dfd2-457b-a3f0-d5dcf0b4c454)) (segment (start 181.9275 49.4665) (end 181.9275 48.7045) (width 0.6) (layer "F.Cu") (net 3) (tstamp a2fff77e-88d7-4b08-bd65-9c12bc440df0)) (segment (start 130 88.4) (end 130.7 88.4) (width 0.5) (layer "F.Cu") (net 3) (tstamp a5e08649-0d16-4feb-8804-f8c65c450a00)) @@ -16355,6 +16417,7 @@ (via (at 194.1 54.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp 29e07fae-6ef5-4c39-b576-dfc290e4250d)) (via (at 118.45 61.5) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 3) (tstamp 2a865cde-0764-4ec5-892e-e1862ec798c0)) (via (at 120 102.95) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 3) (tstamp 39ef92b3-fdc4-4fe7-a68f-9a37be18a5be)) + (via (at 169.8 48.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp 3b41eefb-42c9-4b8d-b927-b6f7e61112a8)) (via (at 131.1 95.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp 48a8cb9e-80f3-4f34-bf91-0423d9e28796)) (via (at 131 93.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp 4c2d9657-54b6-4ed3-8225-e6f2e242b780)) (via (at 116.45 71.5) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 3) (tstamp 4caaa4f1-49a6-46b3-892d-2bfbbc466b15)) @@ -16414,7 +16477,6 @@ (via (at 120.45 65.5) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 3) (tstamp f33947be-0847-4727-8604-1ed4f60ce600)) (via (at 112.4 67.5) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 3) (tstamp f4c5e2a1-9f84-4496-9355-fba861a8d1ad)) (via (at 119.2 90.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp f707ef80-9c8d-47a6-9fd7-c3cdf16dd6c9)) - (via (at 182.3995 59.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp f9c17896-add5-4a20-9d75-4dae59a94ff5)) (via (at 187.75 126.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp fb6c7f51-e20c-420b-97e0-ab9b8dc87e41)) (via (at 118.45 67.5) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 3) (tstamp ff482c79-b7f3-44e9-a998-f1460da4df21)) (via (at 118.45 65.5) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 3) (tstamp ffb57d20-4563-46dd-92a1-0f8ca0bae37e)) @@ -16435,23 +16497,21 @@ (segment (start 121 57.85) (end 120 57.85) (width 0.6) (layer "B.Cu") (net 3) (tstamp 0c801c46-9932-4a4b-a93b-e70e3064de4b)) (segment (start 183.8325 50.9905) (end 184.531 50.9905) (width 0.6) (layer "B.Cu") (net 3) (tstamp 0e26724c-e33e-4283-8a4c-ea5ddcb6e51b)) (segment (start 131.6 94.75) (end 131.95 95.1) (width 0.6) (layer "B.Cu") (net 3) (tstamp 0e75668e-f569-41f8-b209-f5c819e150ba)) - (segment (start 179.451 56.4515) (end 182.3995 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp 0ea02cce-38ac-4f7d-9652-9b26406f848d)) - (segment (start 177.15 54.65) (end 181.9 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp 110249f9-800c-4475-af40-2a576ea70053)) (segment (start 179.451 56.4515) (end 179.88 56.8805) (width 0.6) (layer "B.Cu") (net 3) (tstamp 12146fcb-18f2-44aa-9bee-b9ed38dc1b8b)) - (segment (start 131.75 45.25) (end 121.85 55.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp 127a54dc-a3ad-4188-9162-0818ce75c7ad)) (segment (start 181.05 56.7575) (end 180.5305 57.277) (width 0.6) (layer "B.Cu") (net 3) (tstamp 142bc3d6-005b-47a0-89ba-603695eb1984)) + (segment (start 180.467 57.3405) (end 180.467 57.4675) (width 0.5) (layer "B.Cu") (net 3) (tstamp 14ad2d5f-c1ec-4c95-af75-76a1849a25c1)) (segment (start 121.475 55.75) (end 120 57.225) (width 0.6) (layer "B.Cu") (net 3) (tstamp 15a13cbd-4c31-4cc9-990c-10a8baddf06d)) (segment (start 120 58.9) (end 120 61.05) (width 0.6) (layer "B.Cu") (net 3) (tstamp 1652be44-b0da-42e8-b6f0-69b17795c0bb)) (segment (start 131 95) (end 131 95.45) (width 0.6) (layer "B.Cu") (net 3) (tstamp 167604a4-4a10-4299-b8e7-8342e4113de3)) (segment (start 131 89.45) (end 131 88.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp 17f84f55-5002-444c-a7ac-d07e39966822)) + (segment (start 166.408 45.25) (end 166.408 45.258) (width 0.6) (layer "B.Cu") (net 3) (tstamp 18714b2b-226e-4214-b1bd-4045d3874bc4)) + (segment (start 176.5185 54.5465) (end 180.0225 58.0505) (width 0.6) (layer "B.Cu") (net 3) (tstamp 1c1ed4bd-b1f5-4121-85e1-9f4703d63be1)) (segment (start 121.494 55.744) (end 121.5 55.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 1e184e1c-156f-4538-a780-9f4bd8dae2b7)) (segment (start 128.575 88.3) (end 130.8 88.3) (width 0.6) (layer "B.Cu") (net 3) (tstamp 1e671836-8db9-469c-b3d9-4d444fd97285)) (segment (start 128.4 89.4) (end 128.05 89.05) (width 0.8) (layer "B.Cu") (net 3) (tstamp 1ee467cd-6f49-4945-bad1-db8848d1bb22)) (segment (start 121.85 56) (end 121.85 55.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp 1fd73fbf-868d-4204-8d8e-b72670c484e0)) (segment (start 131 90.2) (end 130 89.2) (width 0.6) (layer "B.Cu") (net 3) (tstamp 200839ba-dc78-4179-8757-d40b57ca68f4)) - (segment (start 181.05 58.0505) (end 182.3995 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp 20f2b837-ec50-45af-93cb-fded3082e195)) (segment (start 179.88 56.8805) (end 180.34 57.3405) (width 0.6) (layer "B.Cu") (net 3) (tstamp 216228e3-3c8a-440b-a39a-fcacbeb02be7)) - (segment (start 180.558 59.4) (end 181.3 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp 22496b41-bbf1-472c-87d3-6df42b9767ef)) (segment (start 120.45 88.95) (end 120.45 96.95) (width 1) (layer "B.Cu") (net 3) (tstamp 22746f1e-d91f-472b-8fb6-037e31efb570)) (segment (start 126.975 94.225) (end 127.3 94.55) (width 0.6) (layer "B.Cu") (net 3) (tstamp 22b38e19-d992-4139-aaae-893e78e6b992)) (segment (start 178.8795 55.88) (end 179.451 56.4515) (width 0.6) (layer "B.Cu") (net 3) (tstamp 22f01623-d00f-4542-8117-6ea6fb04da32)) @@ -16471,6 +16531,7 @@ (segment (start 148.634 128.016) (end 150.65 126) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3af42c9c-f034-4b6c-aa22-97b7bbb6f97b)) (segment (start 178.2155 54.5465) (end 178.8795 55.2105) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3b277910-7ee0-4a46-9a13-749f0bf9463b)) (segment (start 186.05 126) (end 187.6 126) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3b2c1d00-6c47-446f-843a-939f841cfd50)) + (segment (start 180.7845 58.0505) (end 180.0225 58.0505) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3c12c834-151d-4d88-8339-54f3b1d5874a)) (segment (start 129.55 95) (end 126.85 95) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3dbdf269-45ac-4d2d-817d-b2e730e72b51)) (segment (start 177.15 54.5465) (end 176.45 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3f505d4e-d988-47af-8a84-3e5b0cd19132)) (segment (start 130.85 88.8) (end 130.85 88.25) (width 0.6) (layer "B.Cu") (net 3) (tstamp 400ed26e-fa64-432e-b204-69dc1d7ac2cd)) @@ -16483,12 +16544,10 @@ (segment (start 113.8 108) (end 115.4 109.6) (width 0.6) (layer "B.Cu") (net 3) (tstamp 468aa9b7-f6d2-412d-ad82-cfb82a0a3b9b)) (segment (start 120.45 88.95) (end 121.55 88.95) (width 1) (layer "B.Cu") (net 3) (tstamp 468e5e2b-1e87-433e-89ee-a49c39480152)) (segment (start 127.15 94.4) (end 126.975 94.225) (width 0.6) (layer "B.Cu") (net 3) (tstamp 46d876ab-0f31-408f-a94d-366fd87af1ee)) - (segment (start 181.3 59.4) (end 181.9 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp 49bc8e61-1024-4fb4-a0dd-6b9a299312e5)) (segment (start 119.2 98.2) (end 119.2 101.15) (width 1) (layer "B.Cu") (net 3) (tstamp 4c553e78-a0ac-4179-a062-f80127d95015)) (segment (start 119.15 105.65) (end 115.05 105.65) (width 0.6) (layer "B.Cu") (net 3) (tstamp 4c851d26-faa8-47a1-9bc0-5f8bb2bbe714)) (segment (start 120 57) (end 120 57.225) (width 0.6) (layer "B.Cu") (net 3) (tstamp 4d5d1710-9d2b-4926-aa8e-fe790235a14b)) (segment (start 179.451 56.4515) (end 177.55 54.5505) (width 0.6) (layer "B.Cu") (net 3) (tstamp 4ff91e64-6e0e-424d-a3ce-56385094e42c)) - (segment (start 175.7045 54.5465) (end 166.408 45.25) (width 0.6) (layer "B.Cu") (net 3) (tstamp 50504b57-6da7-4bc1-9b25-5d9d53bb965f)) (segment (start 116.416 128.016) (end 148.634 128.016) (width 0.6) (layer "B.Cu") (net 3) (tstamp 5156c466-a8a7-4168-9195-126f5d96f61d)) (segment (start 186.8 126.75) (end 188.35 126.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 516fbe4d-41c2-48e8-9b1e-465eb2b7b895)) (segment (start 120 61.05) (end 120.45 61.5) (width 0.6) (layer "B.Cu") (net 3) (tstamp 5187f948-8fc7-42c6-9ca0-8113293fb329)) @@ -16498,17 +16557,17 @@ (segment (start 176.45 54.5465) (end 175.7045 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp 56e9b9a9-169f-4517-b13a-33ad6bf7e6b6)) (segment (start 120.45 86.95) (end 121.55 86.95) (width 1) (layer "B.Cu") (net 3) (tstamp 57018a77-915d-45cc-8ef2-7e876d5d0c5c)) (segment (start 128.95 94.4) (end 129.3 94.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 581fe756-2858-49bf-b95f-e8ea94295121)) - (segment (start 176.45 54.55) (end 176.45 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp 58f58d47-09e6-4593-a5bf-e3cadd85b49a)) (segment (start 193.65 55.2) (end 193.65 56.1) (width 0.7) (layer "B.Cu") (net 3) (tstamp 59c91221-3500-41a4-8940-06b71c74c17f)) (segment (start 120.006 55.744) (end 121.494 55.744) (width 0.6) (layer "B.Cu") (net 3) (tstamp 5e634b2a-e191-4dbb-b768-1582059c653e)) (segment (start 183.8325 51.689) (end 184.531 50.9905) (width 0.6) (layer "B.Cu") (net 3) (tstamp 5e9d5a32-78ba-4688-a201-6ae0b0903604)) (segment (start 194.4 62.2) (end 194.4 60.65) (width 0.7) (layer "B.Cu") (net 3) (tstamp 5f57df1c-5a2a-4ac9-a317-ca763e2b8e3a)) (segment (start 128.4 89.4) (end 130.25 89.4) (width 0.8) (layer "B.Cu") (net 3) (tstamp 60416769-1b99-45cb-a219-29b66bb80911)) - (segment (start 166.408 45.25) (end 131.75 45.25) (width 0.6) (layer "B.Cu") (net 3) (tstamp 62f586cd-94c8-49a5-9e3a-3ee0fac19e19)) + (segment (start 175.6965 54.5465) (end 169.8 48.65) (width 0.6) (layer "B.Cu") (net 3) (tstamp 606fa5dd-7991-4d1f-aebd-7f51d47c54ff)) (segment (start 194.25 62.35) (end 194.4 62.2) (width 0.7) (layer "B.Cu") (net 3) (tstamp 63624abd-e1f7-4830-8732-15d5d8b44f02)) (segment (start 194.4 57.45) (end 194.4 54.65) (width 0.7) (layer "B.Cu") (net 3) (tstamp 639a6384-8640-49f9-af97-39f0d97e8c8d)) (segment (start 126.4 94.55) (end 126.4 95.45) (width 0.6) (layer "B.Cu") (net 3) (tstamp 63b94731-9764-401e-b74a-be2aa6dedf95)) (segment (start 115.4 112.9) (end 114.7 113.6) (width 0.6) (layer "B.Cu") (net 3) (tstamp 64541fbc-1218-498b-b433-4fbc7d62c5c9)) + (segment (start 180.467 57.4675) (end 181.05 58.0505) (width 0.5) (layer "B.Cu") (net 3) (tstamp 673b144d-a51f-4343-9885-34fabf623e9c)) (segment (start 188.35 126.75) (end 187.75 126.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp 685e9cce-1915-4a33-a61e-ccbd536c2b84)) (segment (start 113.8 106.9) (end 113.8 108) (width 0.6) (layer "B.Cu") (net 3) (tstamp 6af2875e-15bf-43d6-bcfb-3298c95de6cb)) (segment (start 126.4 95.45) (end 131.1 95.45) (width 0.6) (layer "B.Cu") (net 3) (tstamp 6b25f0e6-58fb-44a1-9667-62c766fdeed7)) @@ -16562,19 +16621,23 @@ (segment (start 126.85 95) (end 127.3 94.55) (width 0.6) (layer "B.Cu") (net 3) (tstamp 9f8a2043-133c-4988-81fa-352a147dadce)) (segment (start 184.785 51.562) (end 185.3565 50.9905) (width 0.6) (layer "B.Cu") (net 3) (tstamp a0fcdefe-c39b-4a0a-8b3c-67ec3a401566)) (segment (start 183.8325 50.9905) (end 183.8325 51.689) (width 0.6) (layer "B.Cu") (net 3) (tstamp a1cef83c-d61d-4414-bb24-ed230b79bd0c)) + (segment (start 166.408 45.258) (end 169.8 48.65) (width 0.6) (layer "B.Cu") (net 3) (tstamp a24accde-3f5a-4e09-ac51-822006bbe2a7)) (segment (start 121.85 56.9) (end 121.85 56) (width 0.6) (layer "B.Cu") (net 3) (tstamp a45a5ebb-2450-4fed-9a06-e68aabf9ef53)) + (segment (start 175.7045 54.5465) (end 175.6965 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp a7e51047-221e-49c5-93f1-7b79839cd5ca)) (segment (start 185.3565 50.9905) (end 186.6265 50.9905) (width 0.6) (layer "B.Cu") (net 3) (tstamp a858a23f-3eef-41e8-ba14-abee966533e4)) (segment (start 194.4 54.65) (end 194.1 54.35) (width 0.7) (layer "B.Cu") (net 3) (tstamp a8e65a6f-2504-4b7a-b6a2-1fc16e32ccdf)) (segment (start 122.7 57.75) (end 121.85 56.9) (width 0.6) (layer "B.Cu") (net 3) (tstamp a9dbed59-79e3-4bf6-a495-817d83436626)) (segment (start 120 58.85) (end 120 58.9) (width 0.6) (layer "B.Cu") (net 3) (tstamp aa9a804f-cc07-42fa-9f7c-708beb60927a)) - (segment (start 181.9 59.4) (end 182.3995 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp ae7043b6-5908-48a5-b4de-6a339ea8c42f)) + (segment (start 166.408 45.25) (end 131.75 45.25) (width 0.6) (layer "B.Cu") (net 3) (tstamp ad4782d0-4d8d-4913-8034-3e6c6e4e0051)) (segment (start 130 89.2) (end 130.25 89.45) (width 0.5) (layer "B.Cu") (net 3) (tstamp afea051e-faf0-4e70-b859-6e53a436efe6)) (segment (start 178.8795 55.2105) (end 178.8795 55.88) (width 0.6) (layer "B.Cu") (net 3) (tstamp b14514e5-a2cc-4297-8e85-ef4411e5fcce)) (segment (start 128.575 88.85) (end 128.575 89.225) (width 0.6) (layer "B.Cu") (net 3) (tstamp b2243647-f6f3-4f18-9d00-c6168580402c)) (segment (start 121 57.85) (end 121 57.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp b3815233-9274-4083-ada1-48503967f5e0)) (segment (start 129.3 94.75) (end 130.35 94.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp b407857c-f8de-4f4f-99d0-b42bfc75dd94)) (segment (start 131.6 94.85) (end 131.6 94.55) (width 0.6) (layer "B.Cu") (net 3) (tstamp b4622096-862a-43a4-bbab-29a529ab2ad7)) + (segment (start 131.75 45.25) (end 121.85 55.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp b52c897d-029e-4fdb-8d20-b96a83fff4d1)) (segment (start 181.4195 54.8005) (end 181.6735 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp b96b82bf-6f28-4578-964c-c9f508e4dc7e)) + (segment (start 175.7045 54.5465) (end 176.5185 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp b9e93464-bc60-4b1b-9a83-a7f1b06d5c18)) (segment (start 177.15 54.5465) (end 177.15 54.65) (width 0.6) (layer "B.Cu") (net 3) (tstamp b9ec2f63-0b38-4ac3-adb9-61f1da5dbe43)) (segment (start 178.15 54.5465) (end 181.6735 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp bd756178-f297-49c7-8aa0-c5941c560bef)) (segment (start 131 93.65) (end 131.6 94.25) (width 0.6) (layer "B.Cu") (net 3) (tstamp bed426c2-4452-4c91-a7b2-2454cd8de282)) @@ -16589,7 +16652,6 @@ (segment (start 183.8325 52.5145) (end 184.785 51.562) (width 0.6) (layer "B.Cu") (net 3) (tstamp c9d71d20-f6f8-4f03-85f3-3c60b156406b)) (segment (start 120.006 55.744) (end 121.15 56.888) (width 0.6) (layer "B.Cu") (net 3) (tstamp cb287a8f-0038-4cce-948f-480972b8a8df)) (segment (start 131 94.1) (end 130.35 94.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp cbe63126-0bd9-4ec6-89ef-7380d88442fe)) - (segment (start 175.7045 54.5465) (end 180.558 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp cc9bf1cf-1a66-4f81-80ab-d67db11b200e)) (segment (start 131.6 94.25) (end 131.6 94.55) (width 0.6) (layer "B.Cu") (net 3) (tstamp d0109dc5-fc20-497d-8154-632ccab93747)) (segment (start 130.25 89.45) (end 131 89.45) (width 0.5) (layer "B.Cu") (net 3) (tstamp d0162db0-5713-42b5-a5dc-67f3375f1d07)) (segment (start 121.85 55.15) (end 120 57) (width 0.6) (layer "B.Cu") (net 3) (tstamp d3627071-8f0f-43dd-8da7-ac8bc916fdfd)) @@ -16602,20 +16664,21 @@ (segment (start 177.55 54.5505) (end 177.55 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp d8751eb3-172c-4ec0-8c69-7b4a2654e740)) (segment (start 120 57.85) (end 120.1 57.85) (width 0.6) (layer "B.Cu") (net 3) (tstamp d8fb7515-a3a0-4839-b5cc-8d4deaec7258)) (segment (start 179.9 54.8005) (end 180.45 54.8005) (width 0.6) (layer "B.Cu") (net 3) (tstamp d9ddec06-0d24-457d-93d0-67a4e826f6e6)) + (segment (start 179.2005 58.0505) (end 175.6965 54.5465) (width 0.6) (layer "B.Cu") (net 3) (tstamp da6a5a63-2456-4e1f-8969-a6497c1dfb9c)) (segment (start 116.332 52.07) (end 120.006 55.744) (width 0.6) (layer "B.Cu") (net 3) (tstamp dda6217e-5745-4113-8ef1-c5267d45a494)) (segment (start 129.3 94.75) (end 129.55 95) (width 0.6) (layer "B.Cu") (net 3) (tstamp dee87dd3-7374-497f-9203-3cd83525bf1c)) (segment (start 121.85 56.9) (end 121.85 57.5) (width 0.6) (layer "B.Cu") (net 3) (tstamp dfd1ce47-d7d2-42fe-b767-4b813859c67f)) (segment (start 120.1 57.85) (end 121.85 56.1) (width 0.6) (layer "B.Cu") (net 3) (tstamp e512fde5-9646-45ad-90fe-fe619e4a8f19)) + (segment (start 180.7845 58.0505) (end 180.5505 58.0505) (width 0.6) (layer "B.Cu") (net 3) (tstamp e5529597-6b09-428b-bf8e-7e2649229542)) (segment (start 120 57) (end 120 55.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp e7d67019-be54-4401-9e20-57b7a6f3173c)) (segment (start 186.45725 126.40725) (end 187.43075 126.40725) (width 0.6) (layer "B.Cu") (net 3) (tstamp e9327fd1-fcee-40c9-9791-60f265cdf5de)) - (segment (start 182.4 59.4) (end 182.3995 59.4) (width 0.6) (layer "B.Cu") (net 3) (tstamp ea1c096f-6d7f-46ce-a9b4-5079d2e87348)) (segment (start 131 90.2) (end 131 89.45) (width 0.6) (layer "B.Cu") (net 3) (tstamp eb0dfded-d35c-4e2e-8a03-2f07c92bd481)) - (segment (start 181.3 59.4) (end 176.45 54.55) (width 0.6) (layer "B.Cu") (net 3) (tstamp eb68cf3d-156d-4ab6-92d7-a7f8b11da4a2)) (segment (start 120 102.95) (end 120 104.8) (width 0.6) (layer "B.Cu") (net 3) (tstamp ebe81ecb-1f49-4fde-9abb-e849d861df1c)) (segment (start 181.05 56.3605) (end 180.9 56.5105) (width 0.6) (layer "B.Cu") (net 3) (tstamp ebfd0a61-b1a3-498b-9467-9b9036cdf2dc)) (segment (start 187.6 126) (end 187.75 126.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp ecb388e8-05de-4be3-a097-15e43d80a76f)) (segment (start 188.7 126.75) (end 187.95 126) (width 0.6) (layer "B.Cu") (net 3) (tstamp eef77f49-44ef-4542-8503-dc8af35e0d13)) (segment (start 179.4 54.8005) (end 179.4 56.4005) (width 0.6) (layer "B.Cu") (net 3) (tstamp f012714a-3306-4540-b9f9-a553f9617527)) + (segment (start 180.5505 58.0505) (end 177.15 54.65) (width 0.6) (layer "B.Cu") (net 3) (tstamp f21d788b-0362-46bc-be68-23c31cf72a7c)) (segment (start 126.4 93.65) (end 126.4 94.55) (width 0.6) (layer "B.Cu") (net 3) (tstamp f25261c7-3f4f-46c6-8d59-d06339ef951e)) (segment (start 183.8325 51.689) (end 183.8325 52.5145) (width 0.6) (layer "B.Cu") (net 3) (tstamp f2567039-8dc8-4eac-adfd-1b4dd30ade63)) (segment (start 122.7 57.85) (end 122.7 57.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp f393b805-2c65-4155-8c17-c91613297d88)) @@ -16623,6 +16686,8 @@ (segment (start 131 94.1) (end 131 95) (width 0.6) (layer "B.Cu") (net 3) (tstamp f562efb5-b545-4ba4-80b5-09479e9f7418)) (segment (start 130.6 88.85) (end 130.7 88.95) (width 0.6) (layer "B.Cu") (net 3) (tstamp f6813f89-59ef-4a57-91d2-6896b629f440)) (segment (start 129.15 88.4) (end 128.75 88) (width 1) (layer "B.Cu") (net 3) (tstamp f732e77f-8b13-4413-9297-6457855ec606)) + (segment (start 181.05 58.0505) (end 180.7845 58.0505) (width 0.6) (layer "B.Cu") (net 3) (tstamp fae57f24-2890-425b-9918-632a20e49f3d)) + (segment (start 180.0225 58.0505) (end 179.2005 58.0505) (width 0.6) (layer "B.Cu") (net 3) (tstamp faf46481-3746-4dcd-872d-e9bcf1036734)) (segment (start 131 95.45) (end 132.35 95.45) (width 0.6) (layer "B.Cu") (net 3) (tstamp fb3c20e2-201f-45b2-b9bc-fd90fef069f8)) (segment (start 186.05 126) (end 186.45725 126.40725) (width 0.6) (layer "B.Cu") (net 3) (tstamp fc56764c-8b40-43c9-a1e2-a99009e00624)) (segment (start 120 104.8) (end 120.225 104.575) (width 0.6) (layer "B.Cu") (net 3) (tstamp fc621b22-e39c-479c-a950-5c448f92972d)) @@ -16643,14 +16708,14 @@ (segment (start 197.993 47.3075) (end 197.1675 46.482) (width 0.8) (layer "F.Cu") (net 5) (tstamp d1ffe198-ee35-466b-98f9-40acf01240ef)) (segment (start 205.74 50.8) (end 205.74 49.657) (width 0.8) (layer "F.Cu") (net 5) (tstamp e79b484a-f18d-4192-9d4e-009b2294f5ab)) (segment (start 197.1675 50.8) (end 200.66 50.8) (width 1) (layer "F.Cu") (net 6) (tstamp b478f0c3-30e9-4f8a-bb72-00146ef15d0d)) + (segment (start 193.1 55.051) (end 193.1 65.55) (width 0.3) (layer "F.Cu") (net 7) (tstamp 3b31b316-3c3b-4130-ab3b-3eaaf8e3c01d)) (segment (start 184.55 43.9) (end 184.55 43) (width 0.5) (layer "F.Cu") (net 7) (tstamp 4fedc08b-8c21-49e4-89d8-19791160e934)) (segment (start 194.45 109.65) (end 193.7 109.65) (width 0.5) (layer "F.Cu") (net 7) (tstamp 74a1e5e3-5627-4332-896b-73fda039289f)) - (segment (start 194.7 67) (end 194.7 91.7) (width 0.3) (layer "F.Cu") (net 7) (tstamp 83675e82-945f-4ac1-b2e2-d25375da9779)) (segment (start 184.55 43.9) (end 184.55 44.8) (width 0.5) (layer "F.Cu") (net 7) (tstamp 837c73d9-20f2-4376-99e1-573851563b10)) - (segment (start 193.1 55.051) (end 193.1 65.4) (width 0.3) (layer "F.Cu") (net 7) (tstamp 9363f58b-14a7-4942-a152-dde3c0c49944)) - (segment (start 189.9465 51.8975) (end 193.1 55.051) (width 0.3) (layer "F.Cu") (net 7) (tstamp a5c22482-8a08-4c18-b123-cfa357620b6e)) + (segment (start 194.7 67.15) (end 194.7 91.7) (width 0.3) (layer "F.Cu") (net 7) (tstamp a0ec35ea-bc61-4076-9518-84d1e2a40326)) (segment (start 184.55 41.925) (end 184.55 43) (width 0.4) (layer "F.Cu") (net 7) (tstamp a64b8348-71eb-4a52-b144-d2088a9268eb)) - (segment (start 193.1 65.4) (end 194.7 67) (width 0.3) (layer "F.Cu") (net 7) (tstamp bafaee7d-7acd-479a-b2d5-29379c74cafc)) + (segment (start 193.1 65.55) (end 194.7 67.15) (width 0.3) (layer "F.Cu") (net 7) (tstamp bed822a9-d757-4e35-8af1-337bfa9028a1)) + (segment (start 189.9465 51.8975) (end 193.1 55.051) (width 0.3) (layer "F.Cu") (net 7) (tstamp e64351b4-0bf9-4df5-b38a-ea0a01c5abed)) (via (at 193.7 109.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 7) (tstamp 44cc7fdb-d36d-4cca-aff2-890145610ce2)) (via (at 194.7 91.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 7) (tstamp 59147bef-e7d3-42c9-9796-6326ea61071b)) (via (at 184.55 44.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 7) (tstamp 9059bdb5-c24c-4c9c-b263-2baf4545a29d)) @@ -16703,38 +16768,42 @@ (segment (start 192.65 117.95) (end 197.01 117.95) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa2464)) (segment (start 199.39 115.57) (end 204.47 115.57) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa2465)) (segment (start 191.95 117.25) (end 192.65 117.95) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa2466)) - (segment (start 199.136 113.284) (end 199.136 115.364) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2467)) - (segment (start 199.39 113.03) (end 199.136 113.284) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2468)) - (segment (start 193 117.65) (end 191.95 116.6) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2469)) - (segment (start 204.47 113.03) (end 199.39 113.03) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa246a)) - (segment (start 191.95 116.6) (end 191.05 116.6) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa246b)) - (segment (start 196.85 117.65) (end 193 117.65) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa246c)) - (segment (start 199.136 115.364) (end 196.85 117.65) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa246d)) - (segment (start 205.74 111.76) (end 204.47 113.03) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa246e)) + (segment (start 204.47 113.03) (end 205.74 111.76) (width 0.15) (layer "F.Cu") (net 14) (tstamp 0ab81b49-e888-4737-ad73-fdbb1a7ef80e)) + (segment (start 196.85 117.65) (end 198.55 115.95) (width 0.15) (layer "F.Cu") (net 14) (tstamp 2de5b22e-b9ae-40a2-9deb-008585e52722)) + (segment (start 198.55 113.87) (end 199.39 113.03) (width 0.15) (layer "F.Cu") (net 14) (tstamp 3fa012e6-121c-4ef0-b2b0-15be6c83c542)) + (segment (start 191.95 116.6) (end 193 117.65) (width 0.15) (layer "F.Cu") (net 14) (tstamp 7196d50f-4df4-44bd-98bd-afb9aec9a34c)) + (segment (start 191.05 116.6) (end 191.95 116.6) (width 0.15) (layer "F.Cu") (net 14) (tstamp 899ae1ae-4faf-4915-9bb1-95e1857ba2a5)) + (segment (start 198.55 115.95) (end 198.55 113.87) (width 0.15) (layer "F.Cu") (net 14) (tstamp e818c571-7c69-44bf-932b-c10fb7699428)) + (segment (start 193 117.65) (end 196.85 117.65) (width 0.15) (layer "F.Cu") (net 14) (tstamp ec3a855e-7b2b-49fe-8d54-d828069027fe)) + (segment (start 199.39 113.03) (end 204.47 113.03) (width 0.15) (layer "F.Cu") (net 14) (tstamp fc46331e-5890-48c4-8fb1-3bce0896628e)) (segment (start 204.47 110.49) (end 205.74 109.22) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa246f)) (segment (start 199.39 110.49) (end 204.47 110.49) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2470)) - (segment (start 198.8185 111.0615) (end 199.39 110.49) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2471)) - (segment (start 191.05 115.95) (end 191.95 115.95) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2472)) - (segment (start 198.8185 115.2315) (end 198.8185 111.0615) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2473)) - (segment (start 196.7 117.35) (end 198.8185 115.2315) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2474)) - (segment (start 193.35 117.35) (end 196.7 117.35) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2475)) - (segment (start 191.95 115.95) (end 193.35 117.35) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2476)) - (segment (start 191.95 115.3) (end 191.05 115.3) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2477)) - (segment (start 193.7 117.05) (end 191.95 115.3) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2478)) - (segment (start 196.55 117.05) (end 193.7 117.05) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2479)) - (segment (start 198.501 115.099) (end 196.55 117.05) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa247a)) - (segment (start 198.501 108.799) (end 198.501 115.099) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa247b)) - (segment (start 199.35 107.95) (end 198.501 108.799) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa247c)) - (segment (start 204.47 107.95) (end 199.35 107.95) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa247d)) - (segment (start 205.74 106.68) (end 204.47 107.95) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa247e)) - (segment (start 204.47 105.41) (end 205.74 104.14) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa247f)) - (segment (start 199.39 105.41) (end 204.47 105.41) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2480)) - (segment (start 198.1835 106.6165) (end 199.39 105.41) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2481)) - (segment (start 191.95 114.65) (end 194.05 116.75) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2482)) - (segment (start 198.1835 114.9665) (end 198.1835 106.6165) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2483)) - (segment (start 196.4 116.75) (end 198.1835 114.9665) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2484)) - (segment (start 194.05 116.75) (end 196.4 116.75) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2485)) - (segment (start 191.05 114.65) (end 191.95 114.65) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2486)) + (segment (start 193.35 117.35) (end 196.7 117.35) (width 0.15) (layer "F.Cu") (net 15) (tstamp 1d6a3dfb-f31c-4653-83ba-b2d8421dc292)) + (segment (start 191.95 115.95) (end 193.35 117.35) (width 0.15) (layer "F.Cu") (net 15) (tstamp 4c8610af-2a26-479a-98e4-daa218bdb139)) + (segment (start 196.7 117.35) (end 198.25 115.8) (width 0.15) (layer "F.Cu") (net 15) (tstamp 823588d3-b128-4f2b-b26a-49822dae7207)) + (segment (start 198.25 115.8) (end 198.25 111.63) (width 0.15) (layer "F.Cu") (net 15) (tstamp a9dc2e12-6d0a-4a9d-9664-c25b4113ecb2)) + (segment (start 198.25 111.63) (end 199.39 110.49) (width 0.15) (layer "F.Cu") (net 15) (tstamp d7fd145d-3e20-42ae-a903-1105aa99e544)) + (segment (start 191.05 115.95) (end 191.95 115.95) (width 0.15) (layer "F.Cu") (net 15) (tstamp e2c9edcd-d210-4fe2-9ff6-92e0f24cc415)) + (segment (start 193.7 117.05) (end 191.95 115.3) (width 0.15) (layer "F.Cu") (net 16) (tstamp 0504511c-2e52-4334-aeaa-93d66ea22fa6)) + (segment (start 205.74 106.68) (end 204.47 107.95) (width 0.15) (layer "F.Cu") (net 16) (tstamp 14b95ffb-e852-415c-a7f3-af565af325c0)) + (segment (start 199.35 107.95) (end 198.501 108.799) (width 0.15) (layer "F.Cu") (net 16) (tstamp 15594b29-9d16-4776-861c-50fb986e7c99)) + (segment (start 196.55 117.05) (end 193.7 117.05) (width 0.15) (layer "F.Cu") (net 16) (tstamp 1e9c9823-43f6-43cb-a63e-80ec969029bb)) + (segment (start 191.95 115.3) (end 191.05 115.3) (width 0.15) (layer "F.Cu") (net 16) (tstamp 6035482e-b8a7-4122-a1aa-fa12ba1443e6)) + (segment (start 204.47 107.95) (end 199.35 107.95) (width 0.15) (layer "F.Cu") (net 16) (tstamp 6a991371-ab10-4fa8-8976-433bfdfb3e64)) + (segment (start 197.95 115.65) (end 196.55 117.05) (width 0.15) (layer "F.Cu") (net 16) (tstamp 78d4de3a-62fb-491d-aedd-56854e3ebc20)) + (segment (start 197.95 110.35) (end 197.95 115.65) (width 0.15) (layer "F.Cu") (net 16) (tstamp 8345a4a6-9c78-4ce3-ba5f-88dc1c5d8dab)) + (segment (start 198.501 109.799) (end 197.95 110.35) (width 0.15) (layer "F.Cu") (net 16) (tstamp a080f242-bcc1-452a-935b-4376f42631b7)) + (segment (start 198.501 108.799) (end 198.501 109.799) (width 0.15) (layer "F.Cu") (net 16) (tstamp c53bde23-7496-490b-90e6-9937b51b03cf)) + (segment (start 191.95 114.65) (end 194.05 116.75) (width 0.15) (layer "F.Cu") (net 17) (tstamp 0d12dae9-fa53-46f4-b1ea-b291ecdd7354)) + (segment (start 199.39 105.41) (end 204.47 105.41) (width 0.15) (layer "F.Cu") (net 17) (tstamp 17d25ecf-b313-4351-ba56-b2c94dbc0fc7)) + (segment (start 198.1835 106.6165) (end 199.39 105.41) (width 0.15) (layer "F.Cu") (net 17) (tstamp 55ff27cf-ece4-4bed-8185-93288481721e)) + (segment (start 194.05 116.75) (end 196.4 116.75) (width 0.15) (layer "F.Cu") (net 17) (tstamp 8b4522eb-40c2-46ce-bc26-49b8596b3ae1)) + (segment (start 191.05 114.65) (end 191.95 114.65) (width 0.15) (layer "F.Cu") (net 17) (tstamp 8cc75c69-1da6-4d4d-8f6c-bd986510b49a)) + (segment (start 204.47 105.41) (end 205.74 104.14) (width 0.15) (layer "F.Cu") (net 17) (tstamp be95499f-0f13-4341-9084-813b573fbbfb)) + (segment (start 198.1835 109.6665) (end 198.1835 106.6165) (width 0.15) (layer "F.Cu") (net 17) (tstamp c375a758-cca8-49fb-8f86-1b9f33354b4a)) + (segment (start 197.65 115.5) (end 197.65 110.2) (width 0.15) (layer "F.Cu") (net 17) (tstamp e0f67db4-5e6d-49f9-a765-45add3ab3e43)) + (segment (start 196.4 116.75) (end 197.65 115.5) (width 0.15) (layer "F.Cu") (net 17) (tstamp f2b8100f-44a6-4c0f-b722-de17041419d3)) + (segment (start 197.65 110.2) (end 198.1835 109.6665) (width 0.15) (layer "F.Cu") (net 17) (tstamp f96907d3-a648-43b2-9442-4231093e06bb)) (segment (start 199.18 102.87) (end 204.47 102.87) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa248f)) (segment (start 204.47 102.87) (end 205.74 101.6) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2490)) (segment (start 191.95 110.1) (end 199.18 102.87) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2491)) @@ -17117,10 +17186,11 @@ (segment (start 190.15 81.55) (end 188.25 83.45) (width 0.15) (layer "F.Cu") (net 59) (tstamp 00000000-0000-0000-0000-000061aa18c6)) (segment (start 191.05 81.5) (end 192.95 81.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 00000000-0000-0000-0000-000061aa18c8)) (via (at 192.95 81.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 59) (tstamp 00000000-0000-0000-0000-000061aa18d3)) - (segment (start 192.95 81.5) (end 193 81.5) (width 0.15) (layer "B.Cu") (net 59) (tstamp 00000000-0000-0000-0000-000061aa18ce)) - (segment (start 193 81.5) (end 198 86.5) (width 0.15) (layer "B.Cu") (net 59) (tstamp 00000000-0000-0000-0000-000061aa18d0)) - (segment (start 198 86.5) (end 198 88.78) (width 0.15) (layer "B.Cu") (net 59) (tstamp 00000000-0000-0000-0000-000061aa18d1)) - (segment (start 198 88.78) (end 200.66 91.44) (width 0.15) (layer "B.Cu") (net 59) (tstamp 00000000-0000-0000-0000-000061aa18d2)) + (segment (start 193 81.5) (end 198 86.5) (width 0.15) (layer "B.Cu") (net 59) (tstamp 44028790-b1c1-43df-81da-a06ce67e48f8)) + (segment (start 198 90.8755) (end 198.5645 91.44) (width 0.15) (layer "B.Cu") (net 59) (tstamp 4df3a791-fffb-4cf8-9aa6-f37e1c0b167e)) + (segment (start 198 86.5) (end 198 90.8755) (width 0.15) (layer "B.Cu") (net 59) (tstamp 6fb66996-94e3-4917-8387-3e959abcd0d6)) + (segment (start 198.5645 91.44) (end 200.66 91.44) (width 0.15) (layer "B.Cu") (net 59) (tstamp 80290050-9eb2-4a29-a9d8-7e25f221b000)) + (segment (start 192.95 81.5) (end 193 81.5) (width 0.15) (layer "B.Cu") (net 59) (tstamp cf97f952-3a4a-4c76-b15d-450d24a180f1)) (segment (start 191.05 80.85) (end 192.15 80.85) (width 0.15) (layer "F.Cu") (net 60) (tstamp 00000000-0000-0000-0000-000061aa18b3)) (segment (start 187.95 83.1) (end 190.15 80.9) (width 0.15) (layer "F.Cu") (net 60) (tstamp 00000000-0000-0000-0000-000061aa18b4)) (segment (start 187.95 88.15) (end 187.95 83.1) (width 0.15) (layer "F.Cu") (net 60) (tstamp 00000000-0000-0000-0000-000061aa18b5)) @@ -17413,11 +17483,9 @@ (segment (start 135.07925 112.2045) (end 139.5095 112.2045) (width 0.15) (layer "B.Cu") (net 90) (tstamp edf34607-441b-4a23-a3f0-2f1d473b1db6)) (segment (start 184.05 86.7) (end 185.15 86.7) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1bc9)) (segment (start 120.25 116.7625) (end 120.25 115.65) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1bca)) - (segment (start 183.55 59.8) (end 183.95 59.4) (width 0.15) (layer "F.Cu") (net 91) (tstamp 347aaab9-7c38-4f2d-82e0-bb395299ea93)) - (segment (start 183.95 59.4) (end 185.15 59.4) (width 0.15) (layer "F.Cu") (net 91) (tstamp 556dcd7d-62aa-41c7-bad4-9f46921d1659)) - (segment (start 183.55 63.2) (end 183.55 59.8) (width 0.15) (layer "F.Cu") (net 91) (tstamp b6d00553-f4b2-4cae-832f-9a1ff6c46c13)) - (segment (start 177.8 63.75) (end 183 63.75) (width 0.15) (layer "F.Cu") (net 91) (tstamp f7392fc3-33db-424f-b487-2527e169e011)) - (segment (start 183 63.75) (end 183.55 63.2) (width 0.15) (layer "F.Cu") (net 91) (tstamp f94282a9-b10a-4d18-908a-ced85129ead0)) + (segment (start 179.6 63.75) (end 183.95 59.4) (width 0.15) (layer "F.Cu") (net 91) (tstamp 1318ac0b-7a18-4c22-8aba-fd4ceaf72dfb)) + (segment (start 177.8 63.75) (end 179.6 63.75) (width 0.15) (layer "F.Cu") (net 91) (tstamp 7b074ac6-913d-4b63-bee3-026057f513fa)) + (segment (start 183.95 59.4) (end 185.15 59.4) (width 0.15) (layer "F.Cu") (net 91) (tstamp cac9df6c-a678-4c60-96f7-066eb0c8a8c0)) (via (at 184.05 86.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1bc8)) (via (at 120.25 115.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1bcb)) (via (at 177.8 63.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 706cd3dc-6344-41f5-8e4b-4b2ed2ed2873)) @@ -18330,15 +18398,15 @@ (segment (start 119.8245 77.5245) (end 119.8245 73.3755) (width 0.15) (layer "F.Cu") (net 121) (tstamp f6dc3de1-8489-4b46-9123-5a0a087dd815)) (via (at 161.925 59.436) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 121) (tstamp 00000000-0000-0000-0000-000061aa1d73)) (via (at 136.652 59.436) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 121) (tstamp 00000000-0000-0000-0000-000061aa1d77)) - (segment (start 137.795 57.8485) (end 137.795 56.7055) (width 0.15) (layer "B.Cu") (net 121) (tstamp 243be0f9-9dd8-4d43-a34e-0746d6525c73)) - (segment (start 137.795 56.7055) (end 143.1925 51.308) (width 0.15) (layer "B.Cu") (net 121) (tstamp 287ac47b-db32-4a94-8cdc-fc4964081b5e)) - (segment (start 158.242 51.308) (end 164.211 57.277) (width 0.15) (layer "B.Cu") (net 121) (tstamp 2ad00b42-9555-4c5b-99df-e36d4e59d274)) - (segment (start 136.652 59.436) (end 136.652 58.9915) (width 0.15) (layer "B.Cu") (net 121) (tstamp 4386aa39-bb1f-46b5-9dd6-efabb8746d63)) - (segment (start 164.211 58.293) (end 163.068 59.436) (width 0.15) (layer "B.Cu") (net 121) (tstamp 680c9338-b49d-4168-adf1-7ca0efc08cc2)) - (segment (start 164.211 57.277) (end 164.211 58.293) (width 0.15) (layer "B.Cu") (net 121) (tstamp 81fe1463-89d2-4343-ba25-2acdf78bd5d6)) - (segment (start 163.068 59.436) (end 161.925 59.436) (width 0.15) (layer "B.Cu") (net 121) (tstamp ab978915-8c30-40ee-9c70-59f4e5a53c03)) - (segment (start 143.1925 51.308) (end 158.242 51.308) (width 0.15) (layer "B.Cu") (net 121) (tstamp b189398d-80b1-44ab-9f2d-2bb02a73b131)) - (segment (start 136.652 58.9915) (end 137.795 57.8485) (width 0.15) (layer "B.Cu") (net 121) (tstamp ee87a575-4c85-467a-9e60-819334432063)) + (segment (start 143.1925 51.308) (end 158.242 51.308) (width 0.15) (layer "B.Cu") (net 121) (tstamp 1cce53eb-70a0-40c7-850d-849ab31f9f2f)) + (segment (start 164.084 57.15) (end 164.084 58.42) (width 0.15) (layer "B.Cu") (net 121) (tstamp 55eb9d40-1c12-4bf1-b94a-a904ae90e8eb)) + (segment (start 136.652 59.436) (end 136.652 58.9915) (width 0.15) (layer "B.Cu") (net 121) (tstamp 6d7dfe32-1254-4a23-b6d5-6e907c694c59)) + (segment (start 136.652 58.9915) (end 137.795 57.8485) (width 0.15) (layer "B.Cu") (net 121) (tstamp 72519ee3-8237-4af1-bda1-76d7a47fa313)) + (segment (start 158.242 51.308) (end 164.084 57.15) (width 0.15) (layer "B.Cu") (net 121) (tstamp 77492aa0-d865-4e7b-99d8-8eb8aa29ec73)) + (segment (start 137.795 57.8485) (end 137.795 56.7055) (width 0.15) (layer "B.Cu") (net 121) (tstamp 7d3eb3af-a5e2-4977-a71f-660550c97408)) + (segment (start 163.068 59.436) (end 161.925 59.436) (width 0.15) (layer "B.Cu") (net 121) (tstamp 8ff76466-971a-4d0a-9ac4-e2a17af8bcf5)) + (segment (start 164.084 58.42) (end 163.068 59.436) (width 0.15) (layer "B.Cu") (net 121) (tstamp bf397d59-f8fc-4dbc-a2ae-6ac16a38f737)) + (segment (start 137.795 56.7055) (end 143.1925 51.308) (width 0.15) (layer "B.Cu") (net 121) (tstamp d85267e9-9637-4200-ae82-8aaad5c7f65b)) (segment (start 137.795 61.341) (end 137.795 59.436) (width 0.15) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000061aa1e1c)) (segment (start 122.6 93.2) (end 122.6 79.3) (width 0.15) (layer "F.Cu") (net 122) (tstamp 199fd331-6555-47a0-8eb2-dffe4510bce4)) (segment (start 120.523 77.223) (end 120.523 73.727) (width 0.15) (layer "F.Cu") (net 122) (tstamp 3c8140c4-2f9d-4dc1-8a84-e44810a142e3)) @@ -18412,54 +18480,50 @@ (segment (start 121.75 101.4375) (end 121.75 100.3) (width 0.15) (layer "F.Cu") (net 125) (tstamp 00000000-0000-0000-0000-000061aa1db9)) (via (at 140.97 90.17) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 125) (tstamp 00000000-0000-0000-0000-000061aa1db6)) (via (at 121.75 100.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 125) (tstamp 00000000-0000-0000-0000-000061aa1db8)) - (segment (start 140.97 90.17) (end 139.8 89) (width 0.15) (layer "B.Cu") (net 125) (tstamp 0803bebc-33e3-4e97-8422-0c5a19409e68)) - (segment (start 130.8 99.1) (end 130.05 99.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp 0b248a86-34d9-4792-bdff-33161c404e4b)) - (segment (start 123.553002 98.8) (end 122.053002 100.3) (width 0.15) (layer "B.Cu") (net 125) (tstamp 0c4b08a3-e944-4ac2-b2e4-c5cef83b8ae6)) - (segment (start 123.553002 98.796998) (end 123.553002 98.8) (width 0.15) (layer "B.Cu") (net 125) (tstamp 151905ba-de8e-4b85-9e3e-8c6042d92ae3)) - (segment (start 122.053002 100.3) (end 121.75 100.3) (width 0.15) (layer "B.Cu") (net 125) (tstamp 207bc58d-a869-49dc-9b5d-112e5c2f28ac)) - (segment (start 124.25 98.1) (end 123.553002 98.796998) (width 0.15) (layer "B.Cu") (net 125) (tstamp 2cba9b3b-60bc-40e6-a461-c50ebcb94394)) - (segment (start 134.45 95.85) (end 133.65 96.65) (width 0.15) (layer "B.Cu") (net 125) (tstamp 32a38ee1-b061-423a-9125-451a5e1cb104)) - (segment (start 129.05 98.1) (end 124.25 98.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp 3a5e2148-35d3-4a9f-a5fc-eac9d12c3a93)) - (segment (start 130.05 99.1) (end 129.05 98.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp 502c488b-528f-4f77-8adc-b937d03b15f7)) - (segment (start 134.45 92.0545) (end 134.45 95.85) (width 0.15) (layer "B.Cu") (net 125) (tstamp 6d5bb89d-6025-4e5a-bfc2-8e129fcda98c)) - (segment (start 137.5045 89) (end 134.45 92.0545) (width 0.15) (layer "B.Cu") (net 125) (tstamp a4b6bee7-05b5-402c-9979-cf56ff5ce3a7)) - (segment (start 139.8 89) (end 137.5045 89) (width 0.15) (layer "B.Cu") (net 125) (tstamp bfa68a58-3df8-4066-84ec-e1a4736208f2)) - (segment (start 133.25 96.65) (end 130.8 99.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp dd987fb3-9baa-4c37-920e-3bf66fc2a865)) - (segment (start 133.65 96.65) (end 133.25 96.65) (width 0.15) (layer "B.Cu") (net 125) (tstamp e692e6eb-2bae-46c8-ba56-becb58dbade2)) + (segment (start 129.05 98.1) (end 124.25 98.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp 03c4f690-3e26-4498-aa77-7287c67eef16)) + (segment (start 122.053002 100.3) (end 121.75 100.3) (width 0.15) (layer "B.Cu") (net 125) (tstamp 0b1be0a6-b148-4cfe-8301-8aa4e53f0411)) + (segment (start 134.45 95.45) (end 130.8 99.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp 25ba0d7f-ccc8-43ec-83d8-bbbaee3376c1)) + (segment (start 123.553002 98.796998) (end 123.553002 98.8) (width 0.15) (layer "B.Cu") (net 125) (tstamp 2813bccf-072f-4b93-abfd-9ee48cceb38d)) + (segment (start 123.553002 98.8) (end 122.053002 100.3) (width 0.15) (layer "B.Cu") (net 125) (tstamp 3acf1fee-c349-463b-a3b0-f0df0db601ce)) + (segment (start 130.05 99.1) (end 129.05 98.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp 44e7f7fc-b0d5-4e96-a115-6a1f18199941)) + (segment (start 124.25 98.1) (end 123.553002 98.796998) (width 0.15) (layer "B.Cu") (net 125) (tstamp 468876d3-8bb0-4731-b9bb-c8b3b428dda3)) + (segment (start 139.8 89) (end 137.5045 89) (width 0.15) (layer "B.Cu") (net 125) (tstamp 7b93bc2c-72b6-4459-b756-571452ba14bc)) + (segment (start 130.8 99.1) (end 130.05 99.1) (width 0.15) (layer "B.Cu") (net 125) (tstamp 7c211ed3-988a-487f-b2bc-fb39f629e15f)) + (segment (start 140.97 90.17) (end 139.8 89) (width 0.15) (layer "B.Cu") (net 125) (tstamp 8b9fd712-ae36-487e-ae1b-339783addf9f)) + (segment (start 137.5045 89) (end 134.45 92.0545) (width 0.15) (layer "B.Cu") (net 125) (tstamp 8ce01a06-4a53-409d-b4de-095ce1e915d7)) + (segment (start 134.45 92.0545) (end 134.45 95.45) (width 0.15) (layer "B.Cu") (net 125) (tstamp a080fa01-bb2e-4481-ad90-348ad1ea8d28)) (segment (start 122.25 101.4375) (end 122.25 99.4) (width 0.15) (layer "F.Cu") (net 126) (tstamp 00000000-0000-0000-0000-000061aa1ddd)) (segment (start 142.24 91.5785) (end 142.24 90.17) (width 0.15) (layer "F.Cu") (net 126) (tstamp 00000000-0000-0000-0000-000061aa1ddf)) (via (at 122.25 99.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 126) (tstamp 00000000-0000-0000-0000-000061aa1ddc)) (via (at 142.24 90.17) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 126) (tstamp 00000000-0000-0000-0000-000061aa1dde)) - (segment (start 133.1 96.35) (end 130.65 98.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp 067bfecf-6d30-4de2-b1cc-b3ed6bb9aa57)) - (segment (start 130.65 98.8) (end 130.225 98.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp 0c45888d-259a-4ebb-a5ed-dae7e2ed6b97)) - (segment (start 142.24 90.17) (end 140.77 88.7) (width 0.15) (layer "B.Cu") (net 126) (tstamp 1235c406-a46c-4c3c-a073-0114b8128fcb)) - (segment (start 124.1 97.8) (end 122.5 99.4) (width 0.15) (layer "B.Cu") (net 126) (tstamp 29104c01-5f47-41f7-8c43-ae02248192b9)) - (segment (start 137.36 88.7) (end 134.15 91.91) (width 0.15) (layer "B.Cu") (net 126) (tstamp 3ec10baa-1589-4551-b26c-720ece69750f)) - (segment (start 134.15 95.7) (end 133.5 96.35) (width 0.15) (layer "B.Cu") (net 126) (tstamp 67a8ccad-8be6-4dca-be97-eb1b5d9da4c0)) - (segment (start 140.77 88.7) (end 137.36 88.7) (width 0.15) (layer "B.Cu") (net 126) (tstamp 6a704f22-60b0-4be4-ab30-ae1597fd616a)) - (segment (start 134.15 91.91) (end 134.15 95.7) (width 0.15) (layer "B.Cu") (net 126) (tstamp 8b03f042-486f-4de8-9141-bccd53d0dcbe)) - (segment (start 129.225 97.8) (end 124.1 97.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp bdfd16be-88cf-423f-8df3-da4f39702f47)) - (segment (start 133.5 96.35) (end 133.1 96.35) (width 0.15) (layer "B.Cu") (net 126) (tstamp cf3b73f2-c9fe-4e10-bb0c-0cca13744b32)) - (segment (start 130.225 98.8) (end 129.225 97.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp d8c95bfc-5b91-4ac4-8c52-a7c176e30496)) - (segment (start 122.5 99.4) (end 122.25 99.4) (width 0.15) (layer "B.Cu") (net 126) (tstamp f67261e0-116a-4331-8142-d992b25fceff)) + (segment (start 134.15 91.91) (end 134.15 95.3) (width 0.15) (layer "B.Cu") (net 126) (tstamp 0085cb59-9092-4586-bbed-a49b9e61fce2)) + (segment (start 130.225 98.8) (end 129.225 97.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp 0245ef25-f277-410f-b762-b496bfb7f4aa)) + (segment (start 142.24 90.17) (end 140.77 88.7) (width 0.15) (layer "B.Cu") (net 126) (tstamp 220ed609-5f9d-4b0d-abba-534a327a9a2e)) + (segment (start 140.77 88.7) (end 137.36 88.7) (width 0.15) (layer "B.Cu") (net 126) (tstamp 3ad016fa-aa1a-48a0-b42e-5afc0a8d5bee)) + (segment (start 124.1 97.8) (end 122.5 99.4) (width 0.15) (layer "B.Cu") (net 126) (tstamp 7ebb6cc2-2e2c-4f1a-951e-c5635e95763d)) + (segment (start 137.36 88.7) (end 134.15 91.91) (width 0.15) (layer "B.Cu") (net 126) (tstamp 80a3c453-292a-4c62-9216-0af02376f317)) + (segment (start 134.15 95.3) (end 130.65 98.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp 98c57adf-0e6d-4931-8e21-6f05ca4c89e3)) + (segment (start 122.5 99.4) (end 122.25 99.4) (width 0.15) (layer "B.Cu") (net 126) (tstamp b6fcb8c4-b8f1-46a3-bef6-e2e0cfef0c0e)) + (segment (start 129.225 97.8) (end 124.1 97.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp b92ae00e-4c74-45f5-a0da-3616dd12df77)) + (segment (start 130.65 98.8) (end 130.225 98.8) (width 0.15) (layer "B.Cu") (net 126) (tstamp f8ba77fc-a044-4c82-b738-e2794fa4be65)) (segment (start 123.25 101.4375) (end 123.25 103.45) (width 0.15) (layer "F.Cu") (net 127) (tstamp 00000000-0000-0000-0000-000061aa1dc9)) (segment (start 139.7 91.5785) (end 139.7 90.17) (width 0.15) (layer "F.Cu") (net 127) (tstamp 00000000-0000-0000-0000-000061aa1dcb)) (via (at 123.25 103.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp 00000000-0000-0000-0000-000061aa1dc8)) (via (at 139.7 90.17) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp 00000000-0000-0000-0000-000061aa1dca)) - (segment (start 134.75 96) (end 134.75 92.199) (width 0.15) (layer "B.Cu") (net 127) (tstamp 00693bf6-e68a-493a-aebe-8d51563c6d49)) - (segment (start 122.25 102.75) (end 122.25 102.3495) (width 0.15) (layer "B.Cu") (net 127) (tstamp 032cc737-4ccd-436e-b8db-f8dbabb1d346)) - (segment (start 133.8 96.95) (end 134.75 96) (width 0.15) (layer "B.Cu") (net 127) (tstamp 03af4d6d-4849-4830-b88e-943932ffc611)) - (segment (start 127.254 100.2665) (end 132.7785 100.2665) (width 0.15) (layer "B.Cu") (net 127) (tstamp 10f8fedb-e660-4581-ba4d-d44b61214c25)) - (segment (start 124.7995 99.8) (end 126.7875 99.8) (width 0.15) (layer "B.Cu") (net 127) (tstamp 4996a727-c386-4a22-a72f-6f459f5301fd)) - (segment (start 122.25 102.3495) (end 124.7995 99.8) (width 0.15) (layer "B.Cu") (net 127) (tstamp 576f3b2f-bff0-41e5-8ff1-0f7db997021c)) - (segment (start 123.25 103.45) (end 122.95 103.45) (width 0.15) (layer "B.Cu") (net 127) (tstamp 730c30c6-5256-41b6-9840-794555e97da4)) - (segment (start 122.95 103.45) (end 122.25 102.75) (width 0.15) (layer "B.Cu") (net 127) (tstamp 73c21816-993d-422a-bbdf-b0248044d1f6)) - (segment (start 132.7785 100.2665) (end 133.8 99.245) (width 0.15) (layer "B.Cu") (net 127) (tstamp 76aac19b-bdb4-470e-bac4-5340878a100a)) - (segment (start 138.83 89.3) (end 139.7 90.17) (width 0.15) (layer "B.Cu") (net 127) (tstamp 7ede569f-076f-4171-982d-06c3a8991756)) - (segment (start 137.649 89.3) (end 138.83 89.3) (width 0.15) (layer "B.Cu") (net 127) (tstamp 8f3d2232-4eeb-4ee6-a432-127c80377e37)) - (segment (start 134.75 92.199) (end 137.649 89.3) (width 0.15) (layer "B.Cu") (net 127) (tstamp a12a669b-da4d-4023-a062-38772962c20e)) - (segment (start 126.7875 99.8) (end 127.254 100.2665) (width 0.15) (layer "B.Cu") (net 127) (tstamp bcd1df7d-2944-4387-814f-e01eb6b4c076)) - (segment (start 133.8 99.245) (end 133.8 96.95) (width 0.15) (layer "B.Cu") (net 127) (tstamp ce0a99fc-2947-4a4d-ba75-657015694721)) + (segment (start 122.25 102.75) (end 122.25 102.3495) (width 0.15) (layer "B.Cu") (net 127) (tstamp 124c97d2-f980-4d69-b379-06448c8c3cf7)) + (segment (start 123.25 103.45) (end 122.95 103.45) (width 0.15) (layer "B.Cu") (net 127) (tstamp 24092658-9fab-4378-9ca3-c33172060f07)) + (segment (start 137.649 89.3) (end 138.83 89.3) (width 0.15) (layer "B.Cu") (net 127) (tstamp 270d5947-0168-4750-8882-773ccac2b47d)) + (segment (start 138.83 89.3) (end 139.7 90.17) (width 0.15) (layer "B.Cu") (net 127) (tstamp 468a28f9-7013-4dd2-9e21-fc8de9807bbd)) + (segment (start 122.25 102.3495) (end 124.7995 99.8) (width 0.15) (layer "B.Cu") (net 127) (tstamp 4fc8f9a2-0856-430c-88e8-0f257c7aee30)) + (segment (start 126.7875 99.8) (end 127.254 100.2665) (width 0.15) (layer "B.Cu") (net 127) (tstamp 543c1408-6604-4abe-8e5e-2dd9c213d910)) + (segment (start 134.75 95.6) (end 134.75 92.199) (width 0.15) (layer "B.Cu") (net 127) (tstamp 660b2070-b06e-4675-ac4a-6600ae4edefb)) + (segment (start 134.75 92.199) (end 137.649 89.3) (width 0.15) (layer "B.Cu") (net 127) (tstamp 7080d8cf-3170-40f7-bbb9-dd2fd53b918b)) + (segment (start 132.7785 100.2665) (end 133.8 99.245) (width 0.15) (layer "B.Cu") (net 127) (tstamp a5d4cc5a-ec4e-41f3-81f7-8e521702d0fa)) + (segment (start 133.8 99.245) (end 133.8 96.55) (width 0.15) (layer "B.Cu") (net 127) (tstamp b8ca2b65-ccd1-45fa-aa92-9b2a25cd0d00)) + (segment (start 133.8 96.55) (end 134.75 95.6) (width 0.15) (layer "B.Cu") (net 127) (tstamp d6a8d0ef-00b1-4361-baba-7a78e0248f78)) + (segment (start 122.95 103.45) (end 122.25 102.75) (width 0.15) (layer "B.Cu") (net 127) (tstamp d94b9211-1a6d-4d01-9695-fa1aa98d522e)) + (segment (start 127.254 100.2665) (end 132.7785 100.2665) (width 0.15) (layer "B.Cu") (net 127) (tstamp ed58fe70-54d0-4d13-be8c-f634cfb32d7b)) + (segment (start 124.7995 99.8) (end 126.7875 99.8) (width 0.15) (layer "B.Cu") (net 127) (tstamp fbb77944-562e-4b17-bc3c-63d284f8b16c)) (segment (start 135.89 70.6375) (end 135.89 71.755) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000061aa2317)) (segment (start 126.9125 110.1) (end 125.8 110.1) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000061aa2319)) (segment (start 156.21 71.755) (end 156.21 70.6375) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000061aa263a)) @@ -18913,21 +18977,25 @@ (segment (start 192.95 87.35) (end 191.05 87.35) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23d5)) (segment (start 176.65 78.25) (end 177.75 78.25) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23d7)) (segment (start 140.97 70.6375) (end 140.97 65.786) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23d8)) - (segment (start 142.5195 70.6375) (end 140.97 70.6375) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23d9)) - (segment (start 144.653 72.771) (end 142.5195 70.6375) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23da)) - (segment (start 144.653 74.295) (end 144.653 72.771) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23db)) - (segment (start 152.45 82.092) (end 144.653 74.295) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23dc)) - (segment (start 152.45 91.6275) (end 152.45 82.092) (width 0.15) (layer "F.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23dd)) (segment (start 142.875 52.925) (end 143.7935 52.0065) (width 0.15) (layer "F.Cu") (net 152) (tstamp 02b97511-47b0-4446-a830-d40766c12a80)) + (segment (start 144.653 72.771) (end 144.653 74.295) (width 0.15) (layer "F.Cu") (net 152) (tstamp 072e58db-157a-44d9-8edf-ed8cda8ee12c)) (segment (start 178.25 77.75) (end 177.75 78.25) (width 0.15) (layer "F.Cu") (net 152) (tstamp 0cf73f8e-759a-4194-8e1a-fbd7403ca914)) (segment (start 174.1 64.65) (end 179.15 69.7) (width 0.15) (layer "F.Cu") (net 152) (tstamp 11d8c8ed-6381-426a-82e5-f912b7f0214c)) (segment (start 143.7935 52.0065) (end 171.3865 52.0065) (width 0.15) (layer "F.Cu") (net 152) (tstamp 4d89863c-db07-4e87-b261-ed6f9dafca40)) + (segment (start 140.97 70.6375) (end 141.7065 70.6375) (width 0.15) (layer "F.Cu") (net 152) (tstamp 544ac21c-bae3-4658-a82a-72bb6edb05ad)) + (segment (start 143.3195 70.5485) (end 143.3195 71.4375) (width 0.15) (layer "F.Cu") (net 152) (tstamp 5d9b1eb5-ba00-4b66-9279-f755ae62933a)) + (segment (start 142.1765 70.1675) (end 142.9385 70.1675) (width 0.15) (layer "F.Cu") (net 152) (tstamp 77201b0e-82c0-4559-8cf5-148423cc7b7c)) + (segment (start 143.3195 71.4375) (end 144.653 72.771) (width 0.15) (layer "F.Cu") (net 152) (tstamp 9e833df6-44bd-4cc3-87e2-ce29cc2fdc82)) (segment (start 142.875 54.991) (end 142.875 52.925) (width 0.15) (layer "F.Cu") (net 152) (tstamp 9f88ac7d-be43-4770-9cce-6317d6ed2014)) + (segment (start 144.653 74.295) (end 152.45 82.092) (width 0.15) (layer "F.Cu") (net 152) (tstamp a0254a00-5aa7-4442-8e18-738cdd082db1)) + (segment (start 141.7065 70.6375) (end 142.1765 70.1675) (width 0.15) (layer "F.Cu") (net 152) (tstamp a146f6f8-3a8d-4f44-bedc-031a99165777)) (segment (start 174.1 54.72) (end 174.1 64.65) (width 0.15) (layer "F.Cu") (net 152) (tstamp abde48ea-c753-4e71-9760-2fb61ba5d114)) (segment (start 179.15 69.7) (end 179.15 73.8) (width 0.15) (layer "F.Cu") (net 152) (tstamp b3ec1bf8-9747-4832-8276-76f6d65bca05)) (segment (start 179.15 73.8) (end 178.25 74.7) (width 0.15) (layer "F.Cu") (net 152) (tstamp bd218a66-e9b7-4c45-b929-e778e962eaba)) (segment (start 178.25 74.7) (end 178.25 77.75) (width 0.15) (layer "F.Cu") (net 152) (tstamp bdce3016-1375-4ab1-bbb7-196fc02b0ff7)) + (segment (start 142.9385 70.1675) (end 143.3195 70.5485) (width 0.15) (layer "F.Cu") (net 152) (tstamp cdcab162-64c9-42cc-a6fa-21dbaa5fec8a)) (segment (start 171.3865 52.0065) (end 174.1 54.72) (width 0.15) (layer "F.Cu") (net 152) (tstamp daf5540b-67b4-4ff0-9aab-27a2a9d7b0aa)) + (segment (start 152.45 82.092) (end 152.45 91.6275) (width 0.15) (layer "F.Cu") (net 152) (tstamp e9511589-7f88-4a2b-89ad-edbec5720b52)) (via (at 192.95 87.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23d4)) (via (at 177.75 78.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23d6)) (segment (start 185.05 78.4) (end 177.9 78.4) (width 0.15) (layer "B.Cu") (net 152) (tstamp 00000000-0000-0000-0000-000061aa23de)) @@ -19718,98 +19786,115 @@ (segment (start 115.9 108.1) (end 116.1 107.9) (width 0.15) (layer "B.Cu") (net 228) (tstamp c057c127-cee7-4a46-9604-9e316664c447)) (segment (start 121.35 107.9) (end 124.65 104.6) (width 0.15) (layer "B.Cu") (net 228) (tstamp c9f57718-2eea-41a0-8ebd-9d6e25cc50a0)) (segment (start 124.65 104.6) (end 125.15 104.6) (width 0.15) (layer "B.Cu") (net 228) (tstamp fc432af2-56d0-44ae-b397-6af111623855)) - (segment (start 194.08 125.5) (end 190.4 125.5) (width 0.15) (layer "F.Cu") (net 230) (tstamp 0156628b-42e3-46e8-b274-3ce0ca57cfb8)) - (segment (start 207.2005 130.7465) (end 206.883 131.064) (width 0.15) (layer "F.Cu") (net 230) (tstamp 0aad4922-08d2-4c43-a104-0980de7aebd3)) - (segment (start 205.74 63.5) (end 207.2005 64.9605) (width 0.15) (layer "F.Cu") (net 230) (tstamp 3ecd6e86-62c2-48fc-aaef-9c16ebbc3927)) - (segment (start 206.883 131.064) (end 199.644 131.064) (width 0.15) (layer "F.Cu") (net 230) (tstamp 8514869a-754b-4d53-9783-7a9a81e2848b)) - (segment (start 199.644 131.064) (end 194.08 125.5) (width 0.15) (layer "F.Cu") (net 230) (tstamp da418f48-919c-49f6-b443-5d1c93943a24)) - (segment (start 207.2005 64.9605) (end 207.2005 130.7465) (width 0.15) (layer "F.Cu") (net 230) (tstamp f83a02ff-dabc-4281-b018-9075dfd2d11b)) - (segment (start 187.1 123.2) (end 186 123.2) (width 0.15) (layer "F.Cu") (net 231) (tstamp 18f68f8d-c749-415c-ba63-c655157c3bbc)) - (segment (start 128.55 102.65) (end 128.1 103.1) (width 0.15) (layer "F.Cu") (net 231) (tstamp 2a03fd5f-da6b-46fc-9544-c8ab55b343b2)) - (segment (start 185.65 123.55) (end 143.235 123.55) (width 0.15) (layer "F.Cu") (net 231) (tstamp 680145a6-1e82-40cb-9871-81fc2c0c38ec)) - (segment (start 128.1 103.1) (end 126.9125 103.1) (width 0.15) (layer "F.Cu") (net 231) (tstamp 6b959ebd-c24a-4dfb-85bd-46c59c1cc5a2)) - (segment (start 131.572 103.172) (end 131.05 102.65) (width 0.15) (layer "F.Cu") (net 231) (tstamp 70126a46-ce93-433b-92e0-b96497fa9541)) - (segment (start 131.05 102.65) (end 128.55 102.65) (width 0.15) (layer "F.Cu") (net 231) (tstamp 7bff0c19-d709-4b68-97ae-1cb216869dbc)) - (segment (start 186 123.2) (end 185.65 123.55) (width 0.15) (layer "F.Cu") (net 231) (tstamp 82ff1065-7f3b-4f28-b7f1-a10dc8b3e7f7)) - (segment (start 131.572 111.887) (end 131.572 103.172) (width 0.15) (layer "F.Cu") (net 231) (tstamp 84151bdb-3897-4993-b8d2-ebc6f95cd20b)) - (segment (start 143.235 123.55) (end 131.572 111.887) (width 0.15) (layer "F.Cu") (net 231) (tstamp e36842cc-e723-49b8-95b9-7ef2b669e648)) - (segment (start 203.708 64.77) (end 198.9455 64.77) (width 0.15) (layer "F.Cu") (net 233) (tstamp 343bc314-7435-42c8-a0c4-fe35d5a13770)) - (segment (start 205.74 60.96) (end 204.47 62.23) (width 0.15) (layer "F.Cu") (net 233) (tstamp 5da1cb5f-3ff9-4b2e-b7b1-cd7fca514164)) - (segment (start 197.3155 66.4) (end 195.35 66.4) (width 0.15) (layer "F.Cu") (net 233) (tstamp 621a4f8a-7555-46c0-9746-cc8b83256373)) - (segment (start 204.47 64.008) (end 203.708 64.77) (width 0.15) (layer "F.Cu") (net 233) (tstamp 7bc51ab9-510e-494c-ad3e-1d8a45719c3b)) - (segment (start 204.47 62.23) (end 204.47 64.008) (width 0.15) (layer "F.Cu") (net 233) (tstamp a480030a-ea1c-4422-b4ff-a2282308b23a)) - (segment (start 194.9 65.95) (end 194.9 65) (width 0.15) (layer "F.Cu") (net 233) (tstamp b2e03dab-ef37-4b99-94d3-cf83e5b3e8b4)) - (segment (start 198.9455 64.77) (end 197.3155 66.4) (width 0.15) (layer "F.Cu") (net 233) (tstamp b76ebbc4-55ff-431c-a77c-dd6e6e5d6c73)) - (segment (start 195.35 66.4) (end 194.9 65.95) (width 0.15) (layer "F.Cu") (net 233) (tstamp d2fbec5a-5a36-449c-8211-53c94889ff7c)) - (segment (start 203.835 62.23) (end 199.263 62.23) (width 0.15) (layer "F.Cu") (net 234) (tstamp 007a1d20-7bc1-4974-8d97-534e3c91523f)) - (segment (start 205.1685 59.69) (end 204.47 60.3885) (width 0.15) (layer "F.Cu") (net 234) (tstamp 0d39b34e-c0b2-4d2c-b82a-37ee6930b8d5)) - (segment (start 198.953 131.953) (end 207.0735 131.953) (width 0.15) (layer "F.Cu") (net 234) (tstamp 0df3b025-327c-4bd4-8cf0-21904fd2eadc)) - (segment (start 129.25 104.1) (end 130.81 105.66) (width 0.15) (layer "F.Cu") (net 234) (tstamp 1411b3ba-8d81-44e9-9123-cfb7451ace38)) - (segment (start 188.2425 127.6) (end 189.138 127.6) (width 0.15) (layer "F.Cu") (net 234) (tstamp 2951f020-1eba-4e40-afe9-1ed3b1b1140b)) - (segment (start 189.484 127.254) (end 194.254 127.254) (width 0.15) (layer "F.Cu") (net 234) (tstamp 335c4816-b850-42ce-9587-8d2c8ce9cbd7)) - (segment (start 194.254 127.254) (end 198.953 131.953) (width 0.15) (layer "F.Cu") (net 234) (tstamp 3d71e7bb-0835-40b0-ab92-6721df3f6b76)) - (segment (start 199.263 62.23) (end 198.733 61.7) (width 0.15) (layer "F.Cu") (net 234) (tstamp 4b85e033-a334-4e8e-a0e8-41d330311d5a)) - (segment (start 189.138 127.6) (end 189.484 127.254) (width 0.15) (layer "F.Cu") (net 234) (tstamp 63dfcca7-1c3d-42ec-be74-42bfca1b58fa)) - (segment (start 208.2165 61.5315) (end 206.375 59.69) (width 0.15) (layer "F.Cu") (net 234) (tstamp 708805db-6476-4679-8574-33d22b75fd5f)) - (segment (start 130.81 112.649) (end 145.411 127.25) (width 0.15) (layer "F.Cu") (net 234) (tstamp 784703ea-41f2-40a0-b263-ccf938e12440)) - (segment (start 126.9125 104.1) (end 129.25 104.1) (width 0.15) (layer "F.Cu") (net 234) (tstamp 787f4db5-d115-4102-9497-98f383967cc2)) - (segment (start 145.411 127.25) (end 187.8925 127.25) (width 0.15) (layer "F.Cu") (net 234) (tstamp 855eaf34-06ea-4106-ae65-5df0a951603f)) - (segment (start 130.81 105.66) (end 130.81 112.649) (width 0.15) (layer "F.Cu") (net 234) (tstamp a2bf9984-021f-4ced-9a87-9f7a263f9357)) - (segment (start 198.733 61.7) (end 197.2 61.7) (width 0.15) (layer "F.Cu") (net 234) (tstamp a950b2fe-24ad-48f6-92d8-34b75663f168)) - (segment (start 207.0735 131.953) (end 208.2165 130.81) (width 0.15) (layer "F.Cu") (net 234) (tstamp aeda20d3-0f5d-46cb-86b2-5bd3cc7c70ab)) - (segment (start 208.2165 130.81) (end 208.2165 61.5315) (width 0.15) (layer "F.Cu") (net 234) (tstamp b6f1ff5a-702a-48d2-b091-be9985e94bc5)) - (segment (start 187.8925 127.25) (end 188.2425 127.6) (width 0.15) (layer "F.Cu") (net 234) (tstamp b87e0371-d3d5-498a-9797-cfa3308cf3ff)) - (segment (start 206.375 59.69) (end 205.1685 59.69) (width 0.15) (layer "F.Cu") (net 234) (tstamp d314a106-25ec-4b29-a98e-ea001953dff1)) - (segment (start 204.47 60.3885) (end 204.47 61.595) (width 0.15) (layer "F.Cu") (net 234) (tstamp db9c7b15-fdfb-448f-ba9b-0d2065842ed4)) - (segment (start 204.47 61.595) (end 203.835 62.23) (width 0.15) (layer "F.Cu") (net 234) (tstamp e2c20b53-8703-432f-8233-296cf089a3a2)) - (segment (start 196.21 59.69) (end 195.75 60.15) (width 0.15) (layer "F.Cu") (net 236) (tstamp 01ed8625-60ab-4587-a1ed-16e5858dbdd7)) - (segment (start 194.9 59.7) (end 194.9 58.75) (width 0.15) (layer "F.Cu") (net 236) (tstamp 0dfc37d1-ce00-4819-8807-80114891a65a)) - (segment (start 195.75 60.15) (end 195.35 60.15) (width 0.15) (layer "F.Cu") (net 236) (tstamp 1bce780c-1287-40c7-84cc-40c4a1f655e7)) - (segment (start 204.47 59.69) (end 196.21 59.69) (width 0.15) (layer "F.Cu") (net 236) (tstamp 2c95d5cc-4738-4226-b6e2-4268d72f4561)) - (segment (start 195.35 60.15) (end 194.9 59.7) (width 0.15) (layer "F.Cu") (net 236) (tstamp 90a0c784-86fb-4ada-9771-4c3baf84f3c9)) - (segment (start 205.74 58.42) (end 204.47 59.69) (width 0.15) (layer "F.Cu") (net 236) (tstamp 9268e998-459b-4f10-9022-3ecf5d25decf)) - (segment (start 193.77 128.27) (end 144.907 128.27) (width 0.15) (layer "F.Cu") (net 237) (tstamp 1d7c6756-e549-4385-841c-a530785661f1)) - (segment (start 206.5655 57.15) (end 209.2325 59.817) (width 0.15) (layer "F.Cu") (net 237) (tstamp 2926ce33-66c2-4e8b-89b4-bbd3e02c5841)) - (segment (start 198.3345 58.65) (end 199.8345 57.15) (width 0.15) (layer "F.Cu") (net 237) (tstamp 2c1900f9-b7de-41fc-a1e1-d37beeae4722)) - (segment (start 197.2 58.65) (end 198.3345 58.65) (width 0.15) (layer "F.Cu") (net 237) (tstamp 39adca63-1240-4283-ac49-75f87ddfd437)) - (segment (start 130.048 106.398) (end 128.25 104.6) (width 0.15) (layer "F.Cu") (net 237) (tstamp 4c03691e-a6b6-4089-82a0-75194a86b4ec)) - (segment (start 207.5815 132.842) (end 198.342 132.842) (width 0.15) (layer "F.Cu") (net 237) (tstamp 59185629-c435-44a6-85d7-80c0efa9e984)) - (segment (start 130.048 113.411) (end 130.048 106.398) (width 0.15) (layer "F.Cu") (net 237) (tstamp 6c8d85be-aab8-412c-bd5d-ff275b44b892)) - (segment (start 209.2325 59.817) (end 209.2325 131.191) (width 0.15) (layer "F.Cu") (net 237) (tstamp 6e05d7be-d210-4d3f-8c6c-6ee37433359d)) - (segment (start 209.2325 131.191) (end 207.5815 132.842) (width 0.15) (layer "F.Cu") (net 237) (tstamp 85a23da9-bbb7-4086-8434-3207b83e4bfb)) - (segment (start 199.8345 57.15) (end 206.5655 57.15) (width 0.15) (layer "F.Cu") (net 237) (tstamp cc3f172f-c179-46e2-b2de-0e3d7a9bcb80)) - (segment (start 144.907 128.27) (end 130.048 113.411) (width 0.15) (layer "F.Cu") (net 237) (tstamp d87df9cc-0e31-4518-ab41-679af43667ce)) - (segment (start 198.342 132.842) (end 193.77 128.27) (width 0.15) (layer "F.Cu") (net 237) (tstamp e785c7d5-0ae7-4bde-aba7-83f58714cb32)) - (segment (start 128.25 104.6) (end 126.9125 104.6) (width 0.15) (layer "F.Cu") (net 237) (tstamp ed1fa1a2-b637-43d5-812a-506bd4679a72)) - (segment (start 195.55 57.05) (end 197.2 57.05) (width 0.15) (layer "F.Cu") (net 238) (tstamp eaa8797e-60e4-4614-abe2-d09280a0367b)) - (segment (start 197.2 63.3) (end 195.55 63.3) (width 0.15) (layer "F.Cu") (net 239) (tstamp 11d6792a-5ca8-42c6-bfff-7bd49b91cd6e)) - (segment (start 188.7 124.85) (end 188.7 123.2) (width 0.15) (layer "F.Cu") (net 240) (tstamp 3bea487f-2fe2-4a4b-b038-c63cf44060f5)) - (segment (start 194.05 114.1) (end 194.4 113.75) (width 0.15) (layer "F.Cu") (net 242) (tstamp 394b236f-76b8-4493-9fb9-1cca1a88ce76)) - (segment (start 195 113.75) (end 195.25 113.5) (width 0.15) (layer "F.Cu") (net 242) (tstamp 49c3a7cc-ce33-47e5-9aac-6fd3d4c6e2e9)) - (segment (start 194.05 115.15) (end 194.05 114.1) (width 0.15) (layer "F.Cu") (net 242) (tstamp 919e2f65-a35f-4581-b185-b21a8ed4ee54)) - (segment (start 194.4 113.75) (end 195 113.75) (width 0.15) (layer "F.Cu") (net 242) (tstamp f01d289d-a253-4a53-8aae-c5fa5510de79)) - (segment (start 195.65 108.6) (end 196.05 109) (width 0.15) (layer "F.Cu") (net 243) (tstamp 31702f29-893a-49d4-aaf5-4f57b97bcfc1)) - (segment (start 196.2 110.5) (end 196.05 110.35) (width 0.3) (layer "F.Cu") (net 243) (tstamp 334177da-7e9d-4a3a-b6f9-71f45a6002f4)) - (segment (start 196.05 110.35) (end 196.05 109.65) (width 0.3) (layer "F.Cu") (net 243) (tstamp 34a31ee2-b695-4aa4-a753-0d773ce3a26c)) - (segment (start 196.05 109) (end 196.05 109.65) (width 0.15) (layer "F.Cu") (net 243) (tstamp 5dbf9810-3c7c-4194-a473-5a1fab62faea)) - (segment (start 195.65 107.95) (end 195.65 108.6) (width 0.15) (layer "F.Cu") (net 243) (tstamp a9c0b02b-6d3d-4550-b3b5-0b061bc2933a)) - (segment (start 196.2 111.4) (end 196.2 110.5) (width 0.3) (layer "F.Cu") (net 243) (tstamp fc6c3225-4cbb-4d78-98f3-520dea2d7825)) - (segment (start 131.35 89.85) (end 133.45 89.85) (width 0.15) (layer "F.Cu") (net 244) (tstamp 0712efba-f520-4aa9-876b-1de4ca9628b6)) - (segment (start 133.45 89.85) (end 133.75 89.55) (width 0.15) (layer "F.Cu") (net 244) (tstamp 8aba9d4d-d2d6-4606-bf06-00e8c7f7e7a3)) - (segment (start 179.5 59.4) (end 179.5 61.9) (width 0.5) (layer "F.Cu") (net 245) (tstamp 52c9fba2-c710-48c8-bbdb-47f4e3093882)) - (segment (start 128.05 86.7) (end 128.05 85.7) (width 0.15) (layer "F.Cu") (net 245) (tstamp d4c10676-1073-4592-a597-e386131a1e99)) - (via (at 128.05 85.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 245) (tstamp 78c53798-2af7-4576-a06f-87069464277c)) - (segment (start 125.8 58.8) (end 125.8 53.2) (width 0.15) (layer "B.Cu") (net 245) (tstamp 02560610-a4f5-4ed0-be69-4a58bb00a8aa)) - (segment (start 121.55 76.75) (end 121.55 74.1) (width 0.15) (layer "B.Cu") (net 245) (tstamp 4a21a3f4-23ba-4fea-95b2-cf51159b2ad9)) - (segment (start 179.5 59.75) (end 179.5 61.9) (width 0.15) (layer "B.Cu") (net 245) (tstamp 4baa0641-71bf-41d0-bd20-92b589fef168)) - (segment (start 121.55 74.1) (end 128.35 67.3) (width 0.15) (layer "B.Cu") (net 245) (tstamp 514e4b11-d0cc-49e1-bf13-2a137d67a599)) - (segment (start 125.8 53.2) (end 131.375 47.625) (width 0.15) (layer "B.Cu") (net 245) (tstamp 5bbc6e9d-8c6a-4948-93ac-72ea53aecc6c)) - (segment (start 128.05 85.7) (end 128.05 83.25) (width 0.15) (layer "B.Cu") (net 245) (tstamp 5d28fde8-271c-48e5-aac8-ccbce7421350)) - (segment (start 131.375 47.625) (end 167.375 47.625) (width 0.15) (layer "B.Cu") (net 245) (tstamp 8d8b2043-fd10-433a-b119-22921d9f9455)) - (segment (start 128.35 61.35) (end 125.8 58.8) (width 0.15) (layer "B.Cu") (net 245) (tstamp ab79227c-37fb-43b2-b803-4c8212dfcb87)) - (segment (start 167.375 47.625) (end 179.5 59.75) (width 0.15) (layer "B.Cu") (net 245) (tstamp e4b79da4-bc56-4eef-b513-eae5e3dc02a7)) - (segment (start 128.35 67.3) (end 128.35 61.35) (width 0.15) (layer "B.Cu") (net 245) (tstamp f09e0ab8-1328-497f-9849-b78fe95fabbb)) - (segment (start 128.05 83.25) (end 121.55 76.75) (width 0.15) (layer "B.Cu") (net 245) (tstamp fb43e1af-835c-4f3c-96a0-c29dc6bfa98d)) + (segment (start 194.08 125.5) (end 190.4 125.5) (width 0.15) (layer "F.Cu") (net 229) (tstamp 0156628b-42e3-46e8-b274-3ce0ca57cfb8)) + (segment (start 207.2005 130.7465) (end 206.883 131.064) (width 0.15) (layer "F.Cu") (net 229) (tstamp 0aad4922-08d2-4c43-a104-0980de7aebd3)) + (segment (start 205.74 63.5) (end 207.2005 64.9605) (width 0.15) (layer "F.Cu") (net 229) (tstamp 3ecd6e86-62c2-48fc-aaef-9c16ebbc3927)) + (segment (start 206.883 131.064) (end 199.644 131.064) (width 0.15) (layer "F.Cu") (net 229) (tstamp 8514869a-754b-4d53-9783-7a9a81e2848b)) + (segment (start 199.644 131.064) (end 194.08 125.5) (width 0.15) (layer "F.Cu") (net 229) (tstamp da418f48-919c-49f6-b443-5d1c93943a24)) + (segment (start 207.2005 64.9605) (end 207.2005 130.7465) (width 0.15) (layer "F.Cu") (net 229) (tstamp f83a02ff-dabc-4281-b018-9075dfd2d11b)) + (segment (start 187.1 123.2) (end 186 123.2) (width 0.15) (layer "F.Cu") (net 230) (tstamp 18f68f8d-c749-415c-ba63-c655157c3bbc)) + (segment (start 128.55 102.65) (end 128.1 103.1) (width 0.15) (layer "F.Cu") (net 230) (tstamp 2a03fd5f-da6b-46fc-9544-c8ab55b343b2)) + (segment (start 185.65 123.55) (end 143.235 123.55) (width 0.15) (layer "F.Cu") (net 230) (tstamp 680145a6-1e82-40cb-9871-81fc2c0c38ec)) + (segment (start 128.1 103.1) (end 126.9125 103.1) (width 0.15) (layer "F.Cu") (net 230) (tstamp 6b959ebd-c24a-4dfb-85bd-46c59c1cc5a2)) + (segment (start 131.572 103.172) (end 131.05 102.65) (width 0.15) (layer "F.Cu") (net 230) (tstamp 70126a46-ce93-433b-92e0-b96497fa9541)) + (segment (start 131.05 102.65) (end 128.55 102.65) (width 0.15) (layer "F.Cu") (net 230) (tstamp 7bff0c19-d709-4b68-97ae-1cb216869dbc)) + (segment (start 186 123.2) (end 185.65 123.55) (width 0.15) (layer "F.Cu") (net 230) (tstamp 82ff1065-7f3b-4f28-b7f1-a10dc8b3e7f7)) + (segment (start 131.572 111.887) (end 131.572 103.172) (width 0.15) (layer "F.Cu") (net 230) (tstamp 84151bdb-3897-4993-b8d2-ebc6f95cd20b)) + (segment (start 143.235 123.55) (end 131.572 111.887) (width 0.15) (layer "F.Cu") (net 230) (tstamp e36842cc-e723-49b8-95b9-7ef2b669e648)) + (segment (start 203.708 64.77) (end 198.9455 64.77) (width 0.15) (layer "F.Cu") (net 231) (tstamp 343bc314-7435-42c8-a0c4-fe35d5a13770)) + (segment (start 205.74 60.96) (end 204.47 62.23) (width 0.15) (layer "F.Cu") (net 231) (tstamp 5da1cb5f-3ff9-4b2e-b7b1-cd7fca514164)) + (segment (start 197.3155 66.4) (end 195.35 66.4) (width 0.15) (layer "F.Cu") (net 231) (tstamp 621a4f8a-7555-46c0-9746-cc8b83256373)) + (segment (start 204.47 64.008) (end 203.708 64.77) (width 0.15) (layer "F.Cu") (net 231) (tstamp 7bc51ab9-510e-494c-ad3e-1d8a45719c3b)) + (segment (start 204.47 62.23) (end 204.47 64.008) (width 0.15) (layer "F.Cu") (net 231) (tstamp a480030a-ea1c-4422-b4ff-a2282308b23a)) + (segment (start 194.9 65.95) (end 194.9 65) (width 0.15) (layer "F.Cu") (net 231) (tstamp b2e03dab-ef37-4b99-94d3-cf83e5b3e8b4)) + (segment (start 198.9455 64.77) (end 197.3155 66.4) (width 0.15) (layer "F.Cu") (net 231) (tstamp b76ebbc4-55ff-431c-a77c-dd6e6e5d6c73)) + (segment (start 195.35 66.4) (end 194.9 65.95) (width 0.15) (layer "F.Cu") (net 231) (tstamp d2fbec5a-5a36-449c-8211-53c94889ff7c)) + (segment (start 203.835 62.23) (end 199.263 62.23) (width 0.15) (layer "F.Cu") (net 232) (tstamp 007a1d20-7bc1-4974-8d97-534e3c91523f)) + (segment (start 205.1685 59.69) (end 204.47 60.3885) (width 0.15) (layer "F.Cu") (net 232) (tstamp 0d39b34e-c0b2-4d2c-b82a-37ee6930b8d5)) + (segment (start 198.953 131.953) (end 207.0735 131.953) (width 0.15) (layer "F.Cu") (net 232) (tstamp 0df3b025-327c-4bd4-8cf0-21904fd2eadc)) + (segment (start 129.25 104.1) (end 130.81 105.66) (width 0.15) (layer "F.Cu") (net 232) (tstamp 1411b3ba-8d81-44e9-9123-cfb7451ace38)) + (segment (start 188.2425 127.6) (end 189.138 127.6) (width 0.15) (layer "F.Cu") (net 232) (tstamp 2951f020-1eba-4e40-afe9-1ed3b1b1140b)) + (segment (start 189.484 127.254) (end 194.254 127.254) (width 0.15) (layer "F.Cu") (net 232) (tstamp 335c4816-b850-42ce-9587-8d2c8ce9cbd7)) + (segment (start 194.254 127.254) (end 198.953 131.953) (width 0.15) (layer "F.Cu") (net 232) (tstamp 3d71e7bb-0835-40b0-ab92-6721df3f6b76)) + (segment (start 199.263 62.23) (end 198.733 61.7) (width 0.15) (layer "F.Cu") (net 232) (tstamp 4b85e033-a334-4e8e-a0e8-41d330311d5a)) + (segment (start 189.138 127.6) (end 189.484 127.254) (width 0.15) (layer "F.Cu") (net 232) (tstamp 63dfcca7-1c3d-42ec-be74-42bfca1b58fa)) + (segment (start 208.2165 61.5315) (end 206.375 59.69) (width 0.15) (layer "F.Cu") (net 232) (tstamp 708805db-6476-4679-8574-33d22b75fd5f)) + (segment (start 130.81 112.649) (end 145.411 127.25) (width 0.15) (layer "F.Cu") (net 232) (tstamp 784703ea-41f2-40a0-b263-ccf938e12440)) + (segment (start 126.9125 104.1) (end 129.25 104.1) (width 0.15) (layer "F.Cu") (net 232) (tstamp 787f4db5-d115-4102-9497-98f383967cc2)) + (segment (start 145.411 127.25) (end 187.8925 127.25) (width 0.15) (layer "F.Cu") (net 232) (tstamp 855eaf34-06ea-4106-ae65-5df0a951603f)) + (segment (start 130.81 105.66) (end 130.81 112.649) (width 0.15) (layer "F.Cu") (net 232) (tstamp a2bf9984-021f-4ced-9a87-9f7a263f9357)) + (segment (start 198.733 61.7) (end 197.2 61.7) (width 0.15) (layer "F.Cu") (net 232) (tstamp a950b2fe-24ad-48f6-92d8-34b75663f168)) + (segment (start 207.0735 131.953) (end 208.2165 130.81) (width 0.15) (layer "F.Cu") (net 232) (tstamp aeda20d3-0f5d-46cb-86b2-5bd3cc7c70ab)) + (segment (start 208.2165 130.81) (end 208.2165 61.5315) (width 0.15) (layer "F.Cu") (net 232) (tstamp b6f1ff5a-702a-48d2-b091-be9985e94bc5)) + (segment (start 187.8925 127.25) (end 188.2425 127.6) (width 0.15) (layer "F.Cu") (net 232) (tstamp b87e0371-d3d5-498a-9797-cfa3308cf3ff)) + (segment (start 206.375 59.69) (end 205.1685 59.69) (width 0.15) (layer "F.Cu") (net 232) (tstamp d314a106-25ec-4b29-a98e-ea001953dff1)) + (segment (start 204.47 60.3885) (end 204.47 61.595) (width 0.15) (layer "F.Cu") (net 232) (tstamp db9c7b15-fdfb-448f-ba9b-0d2065842ed4)) + (segment (start 204.47 61.595) (end 203.835 62.23) (width 0.15) (layer "F.Cu") (net 232) (tstamp e2c20b53-8703-432f-8233-296cf089a3a2)) + (segment (start 196.21 59.69) (end 195.75 60.15) (width 0.15) (layer "F.Cu") (net 233) (tstamp 01ed8625-60ab-4587-a1ed-16e5858dbdd7)) + (segment (start 194.9 59.7) (end 194.9 58.75) (width 0.15) (layer "F.Cu") (net 233) (tstamp 0dfc37d1-ce00-4819-8807-80114891a65a)) + (segment (start 195.75 60.15) (end 195.35 60.15) (width 0.15) (layer "F.Cu") (net 233) (tstamp 1bce780c-1287-40c7-84cc-40c4a1f655e7)) + (segment (start 204.47 59.69) (end 196.21 59.69) (width 0.15) (layer "F.Cu") (net 233) (tstamp 2c95d5cc-4738-4226-b6e2-4268d72f4561)) + (segment (start 195.35 60.15) (end 194.9 59.7) (width 0.15) (layer "F.Cu") (net 233) (tstamp 90a0c784-86fb-4ada-9771-4c3baf84f3c9)) + (segment (start 205.74 58.42) (end 204.47 59.69) (width 0.15) (layer "F.Cu") (net 233) (tstamp 9268e998-459b-4f10-9022-3ecf5d25decf)) + (segment (start 193.77 128.27) (end 144.907 128.27) (width 0.15) (layer "F.Cu") (net 234) (tstamp 1d7c6756-e549-4385-841c-a530785661f1)) + (segment (start 206.5655 57.15) (end 209.2325 59.817) (width 0.15) (layer "F.Cu") (net 234) (tstamp 2926ce33-66c2-4e8b-89b4-bbd3e02c5841)) + (segment (start 198.3345 58.65) (end 199.8345 57.15) (width 0.15) (layer "F.Cu") (net 234) (tstamp 2c1900f9-b7de-41fc-a1e1-d37beeae4722)) + (segment (start 197.2 58.65) (end 198.3345 58.65) (width 0.15) (layer "F.Cu") (net 234) (tstamp 39adca63-1240-4283-ac49-75f87ddfd437)) + (segment (start 130.048 106.398) (end 128.25 104.6) (width 0.15) (layer "F.Cu") (net 234) (tstamp 4c03691e-a6b6-4089-82a0-75194a86b4ec)) + (segment (start 207.5815 132.842) (end 198.342 132.842) (width 0.15) (layer "F.Cu") (net 234) (tstamp 59185629-c435-44a6-85d7-80c0efa9e984)) + (segment (start 130.048 113.411) (end 130.048 106.398) (width 0.15) (layer "F.Cu") (net 234) (tstamp 6c8d85be-aab8-412c-bd5d-ff275b44b892)) + (segment (start 209.2325 59.817) (end 209.2325 131.191) (width 0.15) (layer "F.Cu") (net 234) (tstamp 6e05d7be-d210-4d3f-8c6c-6ee37433359d)) + (segment (start 209.2325 131.191) (end 207.5815 132.842) (width 0.15) (layer "F.Cu") (net 234) (tstamp 85a23da9-bbb7-4086-8434-3207b83e4bfb)) + (segment (start 199.8345 57.15) (end 206.5655 57.15) (width 0.15) (layer "F.Cu") (net 234) (tstamp cc3f172f-c179-46e2-b2de-0e3d7a9bcb80)) + (segment (start 144.907 128.27) (end 130.048 113.411) (width 0.15) (layer "F.Cu") (net 234) (tstamp d87df9cc-0e31-4518-ab41-679af43667ce)) + (segment (start 198.342 132.842) (end 193.77 128.27) (width 0.15) (layer "F.Cu") (net 234) (tstamp e785c7d5-0ae7-4bde-aba7-83f58714cb32)) + (segment (start 128.25 104.6) (end 126.9125 104.6) (width 0.15) (layer "F.Cu") (net 234) (tstamp ed1fa1a2-b637-43d5-812a-506bd4679a72)) + (segment (start 195.55 57.05) (end 197.2 57.05) (width 0.15) (layer "F.Cu") (net 235) (tstamp eaa8797e-60e4-4614-abe2-d09280a0367b)) + (segment (start 197.2 63.3) (end 195.55 63.3) (width 0.15) (layer "F.Cu") (net 236) (tstamp 11d6792a-5ca8-42c6-bfff-7bd49b91cd6e)) + (segment (start 188.7 124.85) (end 188.7 123.2) (width 0.15) (layer "F.Cu") (net 237) (tstamp 3bea487f-2fe2-4a4b-b038-c63cf44060f5)) + (segment (start 194.05 114.1) (end 194.4 113.75) (width 0.15) (layer "F.Cu") (net 239) (tstamp 394b236f-76b8-4493-9fb9-1cca1a88ce76)) + (segment (start 195 113.75) (end 195.25 113.5) (width 0.15) (layer "F.Cu") (net 239) (tstamp 49c3a7cc-ce33-47e5-9aac-6fd3d4c6e2e9)) + (segment (start 194.05 115.15) (end 194.05 114.1) (width 0.15) (layer "F.Cu") (net 239) (tstamp 919e2f65-a35f-4581-b185-b21a8ed4ee54)) + (segment (start 194.4 113.75) (end 195 113.75) (width 0.15) (layer "F.Cu") (net 239) (tstamp f01d289d-a253-4a53-8aae-c5fa5510de79)) + (segment (start 195.65 108.6) (end 196.05 109) (width 0.15) (layer "F.Cu") (net 240) (tstamp 31702f29-893a-49d4-aaf5-4f57b97bcfc1)) + (segment (start 196.2 110.5) (end 196.05 110.35) (width 0.3) (layer "F.Cu") (net 240) (tstamp 334177da-7e9d-4a3a-b6f9-71f45a6002f4)) + (segment (start 196.05 110.35) (end 196.05 109.65) (width 0.3) (layer "F.Cu") (net 240) (tstamp 34a31ee2-b695-4aa4-a753-0d773ce3a26c)) + (segment (start 196.05 109) (end 196.05 109.65) (width 0.15) (layer "F.Cu") (net 240) (tstamp 5dbf9810-3c7c-4194-a473-5a1fab62faea)) + (segment (start 195.65 107.95) (end 195.65 108.6) (width 0.15) (layer "F.Cu") (net 240) (tstamp a9c0b02b-6d3d-4550-b3b5-0b061bc2933a)) + (segment (start 196.2 111.4) (end 196.2 110.5) (width 0.3) (layer "F.Cu") (net 240) (tstamp fc6c3225-4cbb-4d78-98f3-520dea2d7825)) + (segment (start 131.35 89.85) (end 133.45 89.85) (width 0.15) (layer "F.Cu") (net 241) (tstamp 0712efba-f520-4aa9-876b-1de4ca9628b6)) + (segment (start 133.45 89.85) (end 133.75 89.55) (width 0.15) (layer "F.Cu") (net 241) (tstamp 8aba9d4d-d2d6-4606-bf06-00e8c7f7e7a3)) + (segment (start 167.4 48.65) (end 166.65 48.65) (width 0.15) (layer "F.Cu") (net 243) (tstamp 5ce80d6a-9e40-4a5e-a9f3-6de1c3e0f1ca)) + (segment (start 128.05 86.7) (end 128.05 85.7) (width 0.15) (layer "F.Cu") (net 243) (tstamp d4c10676-1073-4592-a597-e386131a1e99)) + (via (at 166.65 48.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 243) (tstamp 57213856-bf47-4cef-93e3-fdfe1afd87d1)) + (via (at 128.05 85.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 243) (tstamp 78c53798-2af7-4576-a06f-87069464277c)) + (segment (start 162.301 49.525) (end 162.525 49.525) (width 0.15) (layer "B.Cu") (net 243) (tstamp 08659c8d-22b2-4fc4-aa1e-98734910f937)) + (segment (start 164.846 59.004) (end 163.0045 60.8455) (width 0.15) (layer "B.Cu") (net 243) (tstamp 0eb2bd3f-5845-4117-ab1b-37fd3357c007)) + (segment (start 162.288 49.512) (end 162.413 49.637) (width 0.15) (layer "B.Cu") (net 243) (tstamp 2a37a27a-d11c-49f2-9c1e-fcded5f0fd74)) + (segment (start 128.35 67.3) (end 128.35 61.35) (width 0.15) (layer "B.Cu") (net 243) (tstamp 372d72e3-4de4-4157-b261-c936b8a69bba)) + (segment (start 128.05 85.7) (end 128.05 83.25) (width 0.15) (layer "B.Cu") (net 243) (tstamp 3bb55872-4b71-40d2-b33d-5ea84e48a4a0)) + (segment (start 162.513 49.737) (end 164.846 52.07) (width 0.15) (layer "B.Cu") (net 243) (tstamp 421639c3-1349-4962-bb5c-72d65b2339df)) + (segment (start 163.0045 64.8335) (end 173.6725 75.5015) (width 0.15) (layer "B.Cu") (net 243) (tstamp 47e3b190-6d86-4a05-b124-c8afd6a2644c)) + (segment (start 125.8 58.8) (end 125.8 53.2) (width 0.15) (layer "B.Cu") (net 243) (tstamp 4d722738-37ea-40d5-8407-ff5e9bb6c3eb)) + (segment (start 164.846 52.07) (end 164.846 59.004) (width 0.15) (layer "B.Cu") (net 243) (tstamp 51948881-cea4-47b7-b4f0-830753600606)) + (segment (start 174.498 85.6615) (end 174.498 90.805) (width 0.15) (layer "B.Cu") (net 243) (tstamp 58d07339-05f3-4830-a2ff-328ba5ce3046)) + (segment (start 162.513 49.537) (end 162.513 49.737) (width 0.15) (layer "B.Cu") (net 243) (tstamp 68219d73-2133-42b7-9202-27abd4bf9569)) + (segment (start 173.6725 84.836) (end 174.498 85.6615) (width 0.15) (layer "B.Cu") (net 243) (tstamp 68406c78-14c9-48ff-a0e9-0806fc4db8d7)) + (segment (start 128.35 61.35) (end 125.8 58.8) (width 0.15) (layer "B.Cu") (net 243) (tstamp 71eadf67-8877-47ba-b53d-0331171fb3a5)) + (segment (start 162.525 49.525) (end 162.513 49.537) (width 0.15) (layer "B.Cu") (net 243) (tstamp 77de9a36-d2a9-4cfe-a7d7-87bd16c327cb)) + (segment (start 121.55 74.1) (end 128.35 67.3) (width 0.15) (layer "B.Cu") (net 243) (tstamp 91f21843-67aa-4cd6-9939-d4b81f8c3dd5)) + (segment (start 173.6725 75.5015) (end 173.6725 84.836) (width 0.15) (layer "B.Cu") (net 243) (tstamp a3c7aa26-e83a-4e13-ae83-a93be4a87191)) + (segment (start 162.413 49.637) (end 162.513 49.737) (width 0.15) (layer "B.Cu") (net 243) (tstamp abca8ed5-9eb7-4491-ace1-5511fb45b37a)) + (segment (start 131.375 47.625) (end 160.401 47.625) (width 0.15) (layer "B.Cu") (net 243) (tstamp af1959ae-69d9-4c14-a6c2-51ef7ffaf663)) + (segment (start 163.0045 60.8455) (end 163.0045 64.8335) (width 0.15) (layer "B.Cu") (net 243) (tstamp afcf70ec-5929-4b71-ba7b-b77d9bb013d6)) + (segment (start 166.65 48.65) (end 163.4 48.65) (width 0.15) (layer "B.Cu") (net 243) (tstamp b09375b9-314a-4f6f-803d-a2ceb02f21f9)) + (segment (start 160.401 47.625) (end 162.288 49.512) (width 0.15) (layer "B.Cu") (net 243) (tstamp b96aa251-5ced-49f1-a172-904a8acc21e8)) + (segment (start 125.8 53.2) (end 131.375 47.625) (width 0.15) (layer "B.Cu") (net 243) (tstamp bb2691ab-ceae-4602-beea-296841bea110)) + (segment (start 121.55 76.75) (end 121.55 74.1) (width 0.15) (layer "B.Cu") (net 243) (tstamp be17ec7c-a0fe-4715-a3be-c58da4dc3b3b)) + (segment (start 128.05 83.25) (end 121.55 76.75) (width 0.15) (layer "B.Cu") (net 243) (tstamp cd8951c9-d99f-457f-bd02-518a19e16e7a)) + (segment (start 162.288 49.512) (end 162.301 49.525) (width 0.15) (layer "B.Cu") (net 243) (tstamp e292e1e7-a16e-4665-b9e1-4b03741f00ab)) + (segment (start 163.4 48.65) (end 162.525 49.525) (width 0.15) (layer "B.Cu") (net 243) (tstamp e3ff1112-7428-4269-bd57-2aa0bb492ea0)) + (segment (start 162.525 49.525) (end 162.413 49.637) (width 0.15) (layer "B.Cu") (net 243) (tstamp e82fc88f-c581-45ed-a5a7-c59376441c51)) (zone (net 3) (net_name "+3V3") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000061aa3070) (hatch edge 0.508) (priority 1) @@ -24572,9 +24657,28 @@ (xy 197.953277 106.628563) (xy 197.955478 106.636774) (xy 197.958 106.655928) - (xy 197.958 114.842443) - (xy 197.940687 114.890009) - (xy 197.936326 114.894769) + (xy 197.958 109.542442) + (xy 197.940687 109.590008) + (xy 197.936326 109.594768) + (xy 197.4961 110.034993) + (xy 197.493292 110.037658) + (xy 197.463492 110.064491) + (xy 197.463489 110.064495) + (xy 197.45358 110.086749) + (xy 197.448043 110.096946) + (xy 197.434774 110.117379) + (xy 197.433443 110.125783) + (xy 197.427959 110.144296) + (xy 197.424501 110.152063) + (xy 197.4245 110.152069) + (xy 197.4245 110.176425) + (xy 197.423589 110.188001) + (xy 197.419777 110.212063) + (xy 197.421978 110.220274) + (xy 197.4245 110.239428) + (xy 197.4245 115.375942) + (xy 197.407187 115.423508) + (xy 197.402826 115.428268) (xy 196.328269 116.502826) (xy 196.282393 116.524218) (xy 196.275943 116.5245) @@ -25028,7 +25132,7 @@ (xy 190.247742 115.633056) (xy 190.235711 115.666112) (xy 190.18976 115.734882) - (xy 190.185321 115.757197) + (xy 190.184611 115.76077) (xy 190.179002 115.788969) (xy 190.1745 115.8116) (xy 190.1745 116.088399) @@ -26841,6 +26945,76 @@ (xy 202.960918 113.2555) ) ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 200.466079 110.732813) + (xy 200.491389 110.77665) + (xy 200.482599 110.8265) + (xy 200.443822 110.859037) + (xy 200.433898 110.861883) + (xy 200.372431 110.874948) + (xy 200.372425 110.874949) + (xy 200.194705 110.954075) + (xy 200.194694 110.954081) + (xy 200.03731 111.068428) + (xy 199.90713 111.213007) + (xy 199.907128 111.21301) + (xy 199.809854 111.381494) + (xy 199.749739 111.566512) + (xy 199.749738 111.566516) + (xy 199.749738 111.566518) + (xy 199.729402 111.76) + (xy 199.749125 111.947654) + (xy 199.749739 111.953487) + (xy 199.809855 112.138505) + (xy 199.809854 112.138505) + (xy 199.902805 112.2995) + (xy 199.90713 112.306992) + (xy 200.013925 112.4256) + (xy 200.03731 112.451571) + (xy 200.194694 112.565918) + (xy 200.194705 112.565924) + (xy 200.372425 112.64505) + (xy 200.372427 112.64505) + (xy 200.372429 112.645051) + (xy 200.407468 112.652499) + (xy 200.433898 112.658117) + (xy 200.476826 112.684941) + (xy 200.492468 112.733082) + (xy 200.473506 112.780016) + (xy 200.428812 112.80378) + (xy 200.418513 112.8045) + (xy 199.397842 112.8045) + (xy 199.393969 112.804399) + (xy 199.353933 112.8023) + (xy 199.331193 112.811029) + (xy 199.320066 112.814325) + (xy 199.296233 112.819391) + (xy 199.296232 112.819392) + (xy 199.289347 112.824394) + (xy 199.272381 112.833606) + (xy 199.26444 112.836654) + (xy 199.247211 112.853883) + (xy 199.238389 112.861417) + (xy 199.231262 112.866596) + (xy 199.218675 112.875741) + (xy 199.214423 112.883106) + (xy 199.202666 112.898427) + (xy 198.601826 113.499268) + (xy 198.55595 113.52066) + (xy 198.507055 113.507559) + (xy 198.478022 113.466095) + (xy 198.4755 113.446942) + (xy 198.4755 111.754057) + (xy 198.492813 111.706491) + (xy 198.497174 111.701731) + (xy 199.461731 110.737174) + (xy 199.507607 110.715782) + (xy 199.514057 110.7155) + (xy 200.418513 110.7155) + ) + ) (filled_polygon (layer "F.Cu") (pts @@ -27267,7 +27441,7 @@ (xy 199.809854 109.598505) (xy 199.900357 109.75526) (xy 199.90713 109.766992) - (xy 199.978719 109.8465) + (xy 199.979108 109.846932) (xy 200.03731 109.911571) (xy 200.194694 110.025918) (xy 200.194705 110.025924) @@ -27281,26 +27455,45 @@ (xy 200.473506 110.240016) (xy 200.428812 110.26378) (xy 200.418513 110.2645) - (xy 199.397861 110.2645) - (xy 199.393988 110.264399) - (xy 199.353934 110.262299) - (xy 199.331184 110.271032) - (xy 199.320056 110.274328) + (xy 199.397842 110.2645) + (xy 199.393969 110.264399) + (xy 199.353933 110.2623) + (xy 199.331193 110.271029) + (xy 199.320066 110.274325) + (xy 199.296233 110.279391) (xy 199.296232 110.279392) - (xy 199.296229 110.279394) - (xy 199.289351 110.284391) - (xy 199.272385 110.293603) - (xy 199.264439 110.296653) - (xy 199.247209 110.313883) - (xy 199.238384 110.32142) - (xy 199.218677 110.335739) - (xy 199.214424 110.343105) - (xy 199.202667 110.358425) - (xy 198.852826 110.708267) - (xy 198.80695 110.729659) - (xy 198.758055 110.716558) - (xy 198.729022 110.675094) - (xy 198.7265 110.655941) + (xy 199.289347 110.284394) + (xy 199.272381 110.293606) + (xy 199.26444 110.296654) + (xy 199.247211 110.313883) + (xy 199.238389 110.321417) + (xy 199.230383 110.327235) + (xy 199.218675 110.335741) + (xy 199.214423 110.343106) + (xy 199.202666 110.358427) + (xy 198.301826 111.259268) + (xy 198.25595 111.28066) + (xy 198.207055 111.267559) + (xy 198.178021 111.226095) + (xy 198.1755 111.206942) + (xy 198.1755 110.474057) + (xy 198.192813 110.426491) + (xy 198.197163 110.421742) + (xy 198.654934 109.96397) + (xy 198.657705 109.961341) + (xy 198.687509 109.934507) + (xy 198.697423 109.912238) + (xy 198.70295 109.902058) + (xy 198.716226 109.881617) + (xy 198.717555 109.87322) + (xy 198.723042 109.854695) + (xy 198.7265 109.846932) + (xy 198.7265 109.822572) + (xy 198.727411 109.810995) + (xy 198.729013 109.800884) + (xy 198.731222 109.786934) + (xy 198.729021 109.778719) + (xy 198.7265 109.759567) (xy 198.7265 108.923057) (xy 198.743813 108.875491) (xy 198.748174 108.870731) @@ -27947,7 +28140,7 @@ (xy 201.103685 110.035545) (xy 201.125299 110.025922) (xy 201.1259 110.025486) - (xy 201.247671 109.937013) + (xy 201.251121 109.934507) (xy 201.282692 109.911569) (xy 201.41287 109.766992) (xy 201.510144 109.598508) @@ -30674,6 +30867,83 @@ (xy 202.958513 90.3955) ) ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 200.466079 87.872813) + (xy 200.491389 87.91665) + (xy 200.482599 87.9665) + (xy 200.443822 87.999037) + (xy 200.433898 88.001883) + (xy 200.372431 88.014948) + (xy 200.372425 88.014949) + (xy 200.194705 88.094075) + (xy 200.194694 88.094081) + (xy 200.036144 88.209275) + (xy 200.034445 88.209762) + (xy 200.029831 88.216734) + (xy 199.90713 88.353006) + (xy 199.907128 88.35301) + (xy 199.809854 88.521494) + (xy 199.749739 88.706512) + (xy 199.749738 88.706516) + (xy 199.749738 88.706518) + (xy 199.729402 88.9) + (xy 199.749725 89.093362) + (xy 199.749739 89.093487) + (xy 199.809855 89.278505) + (xy 199.809854 89.278505) + (xy 199.901559 89.437342) + (xy 199.90713 89.446992) + (xy 200.022839 89.5755) + (xy 200.03731 89.591571) + (xy 200.194694 89.705918) + (xy 200.194705 89.705924) + (xy 200.372425 89.78505) + (xy 200.372427 89.78505) + (xy 200.372429 89.785051) + (xy 200.403804 89.79172) + (xy 200.433898 89.798117) + (xy 200.476826 89.824941) + (xy 200.492468 89.873082) + (xy 200.473506 89.920016) + (xy 200.428812 89.94378) + (xy 200.418513 89.9445) + (xy 200.096342 89.9445) + (xy 200.092469 89.944399) + (xy 200.052433 89.9423) + (xy 200.029693 89.951029) + (xy 200.018566 89.954325) + (xy 199.994733 89.959391) + (xy 199.994732 89.959392) + (xy 199.987847 89.964394) + (xy 199.970881 89.973606) + (xy 199.96294 89.976654) + (xy 199.945711 89.993883) + (xy 199.936889 90.001417) + (xy 199.92531 90.00983) + (xy 199.917175 90.015741) + (xy 199.912923 90.023106) + (xy 199.901166 90.038427) + (xy 199.170326 90.769268) + (xy 199.12445 90.79066) + (xy 199.075555 90.777559) + (xy 199.046522 90.736095) + (xy 199.044 90.716942) + (xy 199.044 89.024057) + (xy 199.061313 88.976491) + (xy 199.065674 88.971731) + (xy 199.49379 88.543615) + (xy 199.922513 88.114891) + (xy 199.930518 88.111158) + (xy 199.931299 88.108028) + (xy 199.940317 88.097087) + (xy 200.160231 87.877174) + (xy 200.206108 87.855782) + (xy 200.212557 87.8555) + (xy 200.418513 87.8555) + ) + ) (filled_polygon (layer "F.Cu") (pts @@ -34063,6 +34333,86 @@ (xy 200.418513 67.5355) ) ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 142.862008 70.410313) + (xy 142.866768 70.414674) + (xy 143.072326 70.620231) + (xy 143.093718 70.666107) + (xy 143.094 70.672557) + (xy 143.094 71.429638) + (xy 143.093899 71.433511) + (xy 143.0918 71.473563) + (xy 143.100531 71.496314) + (xy 143.103826 71.507437) + (xy 143.108892 71.531266) + (xy 143.108894 71.53127) + (xy 143.113888 71.538143) + (xy 143.123105 71.555118) + (xy 143.126154 71.56306) + (xy 143.143388 71.580294) + (xy 143.150919 71.589112) + (xy 143.16524 71.608823) + (xy 143.172602 71.613073) + (xy 143.187926 71.624832) + (xy 143.376268 71.813174) + (xy 143.39766 71.85905) + (xy 143.384559 71.907945) + (xy 143.343095 71.936979) + (xy 143.323942 71.9395) + (xy 142.095136 71.9395) + (xy 142.070013 71.942414) + (xy 142.070007 71.942415) + (xy 141.967234 71.987794) + (xy 141.887794 72.067234) + (xy 141.878498 72.088288) + (xy 141.872521 72.101826) + (xy 141.864324 72.12039) + (xy 141.829273 72.156911) + (xy 141.796629 72.1645) + (xy 141.094057 72.1645) + (xy 141.046491 72.147187) + (xy 141.041731 72.142826) + (xy 140.582174 71.683269) + (xy 140.560782 71.637393) + (xy 140.5605 71.630943) + (xy 140.5605 71.552475) + (xy 140.577813 71.504909) + (xy 140.62165 71.479599) + (xy 140.664387 71.484779) + (xy 140.750009 71.522585) + (xy 140.775135 71.5255) + (xy 141.164864 71.525499) + (xy 141.189991 71.522585) + (xy 141.292765 71.477206) + (xy 141.372206 71.397765) + (xy 141.417585 71.294991) + (xy 141.4205 71.269865) + (xy 141.4205 70.937) + (xy 141.437813 70.889434) + (xy 141.48165 70.864124) + (xy 141.4945 70.863) + (xy 141.698639 70.863) + (xy 141.702512 70.863101) + (xy 141.742564 70.8652) + (xy 141.765316 70.856465) + (xy 141.776427 70.853174) + (xy 141.800268 70.848107) + (xy 141.807142 70.843112) + (xy 141.824121 70.833892) + (xy 141.83206 70.830846) + (xy 141.849293 70.813612) + (xy 141.858109 70.806082) + (xy 141.877823 70.79176) + (xy 141.882073 70.784397) + (xy 141.893829 70.769075) + (xy 142.248231 70.414674) + (xy 142.294108 70.393282) + (xy 142.300557 70.393) + (xy 142.814442 70.393) + ) + ) (filled_polygon (layer "F.Cu") (pts @@ -34544,7 +34894,7 @@ (xy 200.947571 66.925051) (xy 200.947572 66.92505) (xy 200.947574 66.92505) - (xy 201.084897 66.86391) + (xy 201.083902 66.864353) (xy 201.125299 66.845922) (xy 201.127246 66.844508) (xy 201.251725 66.754068) @@ -35194,7 +35544,7 @@ (xy 181.747742 115.633056) (xy 181.735711 115.666112) (xy 181.68976 115.734882) - (xy 181.685321 115.757197) + (xy 181.684611 115.76077) (xy 181.679002 115.788969) (xy 181.6745 115.8116) (xy 181.6745 116.088399) @@ -38431,7 +38781,7 @@ (xy 106.98709 125.526538) (xy 107.112117 125.678883) (xy 107.264462 125.80391) - (xy 107.303919 125.825) + (xy 107.319834 125.833507) (xy 107.438268 125.896812) (xy 107.43827 125.896812) (xy 107.438273 125.896814) @@ -38952,14 +39302,12 @@ (xy 209.4602 59.780936) (xy 209.451468 59.75819) (xy 209.44817 59.747054) - (xy 209.447584 59.744296) (xy 209.443107 59.723232) (xy 209.438107 59.71635) (xy 209.428891 59.699374) (xy 209.425846 59.691441) (xy 209.408618 59.674213) (xy 209.401076 59.665382) - (xy 209.400429 59.664491) (xy 209.38676 59.645677) (xy 209.379396 59.641425) (xy 209.364071 59.629666) @@ -39755,50 +40103,29 @@ (xy 195.266562 58.171896) (xy 195.217393 58.183927) (xy 195.184338 58.171896) - (xy 195.180599 58.169398) + (xy 195.160158 58.15574) (xy 195.09774 58.114034) (xy 195.024674 58.0995) (xy 194.775326 58.0995) - (xy 194.727683 58.108977) - (xy 194.702259 58.114034) - (xy 194.616112 58.171595) - (xy 194.566943 58.183626) - (xy 194.533888 58.171595) - (xy 194.44774 58.114034) - (xy 194.422317 58.108977) - (xy 194.374674 58.0995) - (xy 194.125326 58.0995) - (xy 194.077683 58.108977) - (xy 194.052259 58.114034) - (xy 193.969399 58.169398) - (xy 193.969398 58.169399) - (xy 193.914034 58.252259) - (xy 193.910987 58.267576) - (xy 193.8995 58.325326) - (xy 193.8995 59.174674) - (xy 193.910107 59.227997) - (xy 193.914034 59.24774) - (xy 193.969157 59.33024) - (xy 193.969399 59.330601) - (xy 194.05226 59.385966) - (xy 194.125326 59.4005) - (xy 194.125328 59.4005) - (xy 194.374672 59.4005) - (xy 194.374674 59.4005) - (xy 194.44774 59.385966) - (xy 194.530601 59.330601) - (xy 194.530601 59.330599) - (xy 194.533888 59.328404) - (xy 194.583056 59.316373) - (xy 194.616111 59.328404) - (xy 194.641611 59.345442) - (xy 194.671543 59.386263) + (xy 194.747445 58.105046) + (xy 194.702258 58.114034) + (xy 194.61566 58.171896) + (xy 194.566492 58.183927) + (xy 194.533437 58.171896) + (xy 194.447544 58.114504) + (xy 194.4 58.105046) + (xy 194.4 59.394951) + (xy 194.447545 59.385494) + (xy 194.533435 59.328104) + (xy 194.582603 59.316072) + (xy 194.615658 59.328102) + (xy 194.630733 59.338174) + (xy 194.64161 59.345441) + (xy 194.671543 59.386261) (xy 194.6745 59.406971) (xy 194.6745 59.692138) (xy 194.674399 59.696011) - (xy 194.673512 59.712946) - (xy 194.6723 59.736064) - (xy 194.674217 59.741059) + (xy 194.6723 59.736063) (xy 194.681031 59.758814) (xy 194.684326 59.769937) (xy 194.689392 59.793766) @@ -39959,7 +40286,7 @@ (xy 199.275064 62.460222) (xy 199.275064 62.460221) (xy 199.275065 62.460222) - (xy 199.282417 62.458252) + (xy 199.282082 62.458341) (xy 199.28328 62.458021) (xy 199.302432 62.4555) (xy 200.418513 62.4555) @@ -40062,44 +40389,25 @@ (xy 195.266562 64.421896) (xy 195.217393 64.433927) (xy 195.184338 64.421896) - (xy 195.180599 64.419398) (xy 195.09774 64.364034) + (xy 195.085785 64.361656) (xy 195.024674 64.3495) (xy 194.775326 64.3495) - (xy 194.726615 64.359189) - (xy 194.702259 64.364034) - (xy 194.616112 64.421595) - (xy 194.566943 64.433626) - (xy 194.533888 64.421595) - (xy 194.44774 64.364034) - (xy 194.435785 64.361656) - (xy 194.374674 64.3495) - (xy 194.125326 64.3495) - (xy 194.076615 64.359189) - (xy 194.052259 64.364034) - (xy 193.969399 64.419398) - (xy 193.969398 64.419399) - (xy 193.914034 64.502259) - (xy 193.914034 64.50226) - (xy 193.8995 64.575326) - (xy 193.8995 65.424674) - (xy 193.908515 65.469994) - (xy 193.914034 65.49774) - (xy 193.969157 65.58024) - (xy 193.969399 65.580601) - (xy 194.05226 65.635966) - (xy 194.125326 65.6505) - (xy 194.125328 65.6505) - (xy 194.374672 65.6505) - (xy 194.374674 65.6505) - (xy 194.44774 65.635966) - (xy 194.530601 65.580601) - (xy 194.530601 65.580599) - (xy 194.533888 65.578404) - (xy 194.583056 65.566373) - (xy 194.616111 65.578404) - (xy 194.641611 65.595442) - (xy 194.671543 65.636263) + (xy 194.747445 64.355046) + (xy 194.702258 64.364034) + (xy 194.61566 64.421896) + (xy 194.566492 64.433927) + (xy 194.533437 64.421896) + (xy 194.447544 64.364504) + (xy 194.4 64.355046) + (xy 194.4 65.644951) + (xy 194.447545 65.635494) + (xy 194.533435 65.578104) + (xy 194.582603 65.566072) + (xy 194.615658 65.578102) + (xy 194.625553 65.584713) + (xy 194.64161 65.595441) + (xy 194.671543 65.636261) (xy 194.6745 65.656971) (xy 194.6745 65.942138) (xy 194.674398 65.946011) @@ -40621,7 +40929,7 @@ (xy 188.039394 115.34377) (xy 188.044388 115.350643) (xy 188.053605 115.367618) - (xy 188.056652 115.375555) + (xy 188.055904 115.373606) (xy 188.056654 115.37556) (xy 188.073885 115.392791) (xy 188.081421 115.401615) @@ -42117,7 +42425,7 @@ (xy 206.183685 110.035545) (xy 206.205299 110.025922) (xy 206.2059 110.025486) - (xy 206.327671 109.937013) + (xy 206.331121 109.934507) (xy 206.362692 109.911569) (xy 206.49287 109.766992) (xy 206.590144 109.598508) @@ -43749,6 +44057,8 @@ (xy 204.829739 71.313487) (xy 204.889855 71.498505) (xy 204.889854 71.498505) + (xy 204.893888 71.505492) + (xy 204.91729 71.546026) (xy 204.917368 71.54616) (xy 204.926158 71.59601) (xy 204.905608 71.635486) @@ -43922,7 +44232,7 @@ (xy 206.027571 66.925051) (xy 206.027572 66.92505) (xy 206.027574 66.92505) - (xy 206.164897 66.86391) + (xy 206.163902 66.864353) (xy 206.205299 66.845922) (xy 206.207246 66.844508) (xy 206.331725 66.754068) @@ -43977,7 +44287,7 @@ (xy 203.487571 66.925051) (xy 203.487572 66.92505) (xy 203.487574 66.92505) - (xy 203.624897 66.86391) + (xy 203.623902 66.864353) (xy 203.665299 66.845922) (xy 203.667246 66.844508) (xy 203.791725 66.754068) @@ -44431,33 +44741,20 @@ (xy 189.7495 125.624674) (xy 189.763393 125.694516) (xy 189.764034 125.69774) - (xy 189.821595 125.783888) - (xy 189.833626 125.833057) - (xy 189.821595 125.866112) - (xy 189.764034 125.952259) - (xy 189.764034 125.95226) - (xy 189.7495 126.025326) - (xy 189.7495 126.274674) - (xy 189.764034 126.34774) - (xy 189.819399 126.430601) - (xy 189.90226 126.485966) - (xy 189.975326 126.5005) - (xy 189.975328 126.5005) - (xy 190.824672 126.5005) - (xy 190.824674 126.5005) - (xy 190.89774 126.485966) - (xy 190.980601 126.430601) - (xy 191.035966 126.34774) - (xy 191.0505 126.274674) - (xy 191.0505 126.025326) - (xy 191.035966 125.95226) - (xy 190.980601 125.869399) - (xy 190.980599 125.869398) - (xy 190.978404 125.866112) - (xy 190.966373 125.816944) - (xy 190.978405 125.783887) - (xy 190.995444 125.758387) - (xy 191.036266 125.728456) + (xy 189.821896 125.784338) + (xy 189.833927 125.833507) + (xy 189.821896 125.866562) + (xy 189.764504 125.952455) + (xy 189.755047 126) + (xy 191.044952 126) + (xy 191.035495 125.952455) + (xy 190.978103 125.866561) + (xy 190.966072 125.817392) + (xy 190.978105 125.784334) + (xy 190.980598 125.780602) + (xy 190.980601 125.780601) + (xy 190.995445 125.758384) + (xy 191.036267 125.728456) (xy 191.056972 125.7255) (xy 193.955942 125.7255) (xy 194.003508 125.742813) @@ -44531,7 +44828,7 @@ (xy 206.574391 64.015486) (xy 206.552999 63.96961) (xy 206.562632 63.926159) - (xy 206.567012 63.918574) + (xy 206.584398 63.888461) (xy 206.590144 63.878508) (xy 206.650262 63.693482) (xy 206.670598 63.5) @@ -44566,7 +44863,7 @@ (xy 204.6955 62.354057) (xy 204.712813 62.306491) (xy 204.717174 62.301731) - (xy 204.968905 62.05) + (xy 204.957307 62.061598) (xy 205.223712 61.795192) (xy 205.269587 61.773801) (xy 205.306133 61.779916) @@ -44767,11 +45064,32 @@ (xy 189.19774 126.485966) (xy 189.280601 126.430601) (xy 189.335966 126.34774) + (xy 189.345462 126.3) + (xy 189.755048 126.3) + (xy 189.764504 126.347544) + (xy 189.819759 126.430239) + (xy 189.81976 126.43024) + (xy 189.902454 126.485494) + (xy 189.975378 126.5) + (xy 190.25 126.5) + (xy 190.25 126.3) + (xy 190.55 126.3) + (xy 190.55 126.5) + (xy 190.824622 126.5) + (xy 190.897545 126.485494) + (xy 190.980239 126.43024) + (xy 190.98024 126.430239) + (xy 191.035495 126.347544) + (xy 191.044952 126.3) + (xy 190.55 126.3) + (xy 190.25 126.3) + (xy 189.755048 126.3) + (xy 189.345462 126.3) (xy 189.3505 126.274674) (xy 189.3505 126.025326) (xy 189.335966 125.95226) (xy 189.280601 125.869399) - (xy 189.22621 125.833057) + (xy 189.276355 125.866562) (xy 189.19774 125.814034) (xy 189.124674 125.7995) (xy 189.124672 125.7995) @@ -45218,7 +45536,7 @@ (xy 135.7895 111.065863) (xy 135.792414 111.090986) (xy 135.792415 111.090992) - (xy 135.813167 111.137991) + (xy 135.807652 111.1255) (xy 135.837794 111.193765) (xy 135.917235 111.273206) (xy 136.020009 111.318585) @@ -47598,6 +47916,9 @@ (xy 131.125301 93.264353) (xy 131 93.244508) (xy 130.874698 93.264353) + (xy 130.874696 93.264353) + (xy 130.874696 93.264354) + (xy 130.857199 93.273269) (xy 130.761656 93.321951) (xy 130.670792 93.412815) (xy 130.644978 93.429577) @@ -47728,6 +48049,9 @@ (xy 126.525301 93.264353) (xy 126.4 93.244508) (xy 126.274698 93.264353) + (xy 126.274696 93.264353) + (xy 126.274696 93.264354) + (xy 126.257199 93.273269) (xy 126.161656 93.321951) (xy 126.07195 93.411658) (xy 126.071948 93.41166) @@ -48360,41 +48684,53 @@ (xy 131.867813 90.101934) (xy 131.91165 90.076624) (xy 131.9245 90.0755) - (xy 132.6755 90.0755) - (xy 132.723066 90.092813) - (xy 132.748376 90.13665) - (xy 132.7495 90.1495) - (xy 132.7495 90.41482) - (xy 132.756598 90.450501) - (xy 132.758233 90.458722) - (xy 132.790219 90.506594) - (xy 132.791496 90.508504) - (xy 132.841278 90.541767) - (xy 132.88518 90.5505) - (xy 132.885181 90.5505) - (xy 134.614819 90.5505) - (xy 134.61482 90.5505) - (xy 134.658722 90.541767) - (xy 134.708504 90.508504) - (xy 134.741767 90.458722) - (xy 134.7505 90.41482) - (xy 134.7505 88.68518) - (xy 134.741767 88.641278) - (xy 134.708504 88.591496) - (xy 134.67999 88.572444) - (xy 134.658722 88.558233) - (xy 134.61482 88.5495) - (xy 132.88518 88.5495) - (xy 132.863229 88.553866) - (xy 132.841277 88.558233) - (xy 132.791496 88.591495) - (xy 132.791495 88.591496) - (xy 132.758233 88.641277) - (xy 132.7495 88.68518) - (xy 132.7495 89.5505) - (xy 132.732187 89.598066) - (xy 132.68835 89.623376) - (xy 132.6755 89.6245) + (xy 132.675501 90.0755) + (xy 132.723067 90.092813) + (xy 132.748377 90.13665) + (xy 132.749501 90.1495) + (xy 132.749501 90.181519) + (xy 132.764353 90.275304) + (xy 132.764354 90.275306) + (xy 132.805212 90.355492) + (xy 132.82195 90.388342) + (xy 132.911658 90.47805) + (xy 133.024696 90.535646) + (xy 133.118481 90.5505) + (xy 134.381518 90.550499) + (xy 134.381519 90.550499) + (xy 134.475304 90.535646) + (xy 134.475306 90.535645) + (xy 134.498967 90.523589) + (xy 134.588342 90.47805) + (xy 134.67805 90.388342) + (xy 134.735646 90.275304) + (xy 134.7505 90.181519) + (xy 134.750499 88.918482) + (xy 134.749845 88.914354) + (xy 134.735646 88.824695) + (xy 134.735645 88.824693) + (xy 134.695416 88.74574) + (xy 134.67805 88.711658) + (xy 134.588342 88.62195) + (xy 134.475304 88.564354) + (xy 134.475302 88.564353) + (xy 134.475301 88.564353) + (xy 134.381519 88.5495) + (xy 133.11848 88.5495) + (xy 133.024695 88.564353) + (xy 133.024693 88.564354) + (xy 132.911657 88.62195) + (xy 132.821951 88.711656) + (xy 132.82195 88.711658) + (xy 132.781708 88.790638) + (xy 132.764353 88.824698) + (xy 132.750152 88.914363) + (xy 132.7495 88.918481) + (xy 132.7495 89.264597) + (xy 132.749501 89.5505) + (xy 132.732188 89.598066) + (xy 132.688351 89.623376) + (xy 132.675501 89.6245) (xy 131.924499 89.6245) (xy 131.876933 89.607187) (xy 131.851623 89.56335) @@ -48640,16 +48976,13 @@ (xy 126.334699 89.147646) (xy 126.462882 89.102793) (xy 126.57215 89.02215) - (xy 126.652793 88.912882) - (xy 126.697646 88.784699) - (xy 126.7005 88.754266) - (xy 127.1995 88.754266) - (xy 127.202354 88.784699) - (xy 127.202354 88.784701) - (xy 127.202355 88.784704) - (xy 127.247206 88.912881) - (xy 127.247207 88.912883) - (xy 127.327846 89.022146) + (xy 126.603216 88.980057) + (xy 126.645391 88.952066) + (xy 126.662756 88.95) + (xy 127.237244 88.95) + (xy 127.28481 88.967313) + (xy 127.296784 88.980057) + (xy 127.327849 89.022149) (xy 127.327853 89.022153) (xy 127.437116 89.102792) (xy 127.437118 89.102793) @@ -48887,106 +49220,13 @@ (xy 128.852794 87.887119) (xy 128.852792 87.887116) (xy 128.772153 87.777853) - (xy 128.772146 87.777846) - (xy 128.662883 87.697207) - (xy 128.662881 87.697206) - (xy 128.534704 87.652355) - (xy 128.534705 87.652355) - (xy 128.5347 87.652354) - (xy 128.534699 87.652354) - (xy 128.504266 87.6495) - (xy 127.595734 87.6495) - (xy 127.565301 87.652354) - (xy 127.565299 87.652354) - (xy 127.565295 87.652355) - (xy 127.437118 87.697206) - (xy 127.437116 87.697207) - (xy 127.327853 87.777846) - (xy 127.327846 87.777853) - (xy 127.247207 87.887116) - (xy 127.247206 87.887118) - (xy 127.202355 88.015295) - (xy 127.202354 88.015299) - (xy 127.202354 88.015301) - (xy 127.1995 88.045734) - (xy 127.1995 88.754266) - (xy 126.7005 88.754266) - (xy 126.7005 88.045734) - (xy 126.697646 88.015301) - (xy 126.697522 88.014948) - (xy 126.652793 87.887118) - (xy 126.652792 87.887116) - (xy 126.572153 87.777853) - (xy 126.572146 87.777846) - (xy 126.462883 87.697207) - (xy 126.462881 87.697206) - (xy 126.334704 87.652355) - (xy 126.334705 87.652355) - (xy 126.3347 87.652354) - (xy 126.334699 87.652354) - (xy 126.304266 87.6495) - (xy 125.395734 87.6495) - (xy 125.365301 87.652354) - (xy 125.365299 87.652354) - (xy 125.365295 87.652355) - (xy 125.237118 87.697206) - (xy 125.237116 87.697207) - (xy 125.127853 87.777846) - (xy 125.127846 87.777853) - (xy 125.047207 87.887116) - (xy 125.047206 87.887118) - (xy 125.002355 88.015295) - (xy 125.002354 88.015299) - (xy 125.002354 88.015301) - (xy 124.9995 88.045734) - (xy 124.9995 88.754266) - (xy 124.2255 88.754266) - (xy 124.2255 86.85) - (xy 125.000001 86.85) - (xy 125.000001 87.054205) - (xy 125.00285 87.084602) - (xy 125.002852 87.084611) - (xy 125.047652 87.212643) - (xy 125.128207 87.321791) - (xy 125.128208 87.321792) - (xy 125.237356 87.402347) - (xy 125.237355 87.402347) - (xy 125.365385 87.447146) - (xy 125.365393 87.447148) - (xy 125.395802 87.449999) - (xy 125.7 87.449999) - (xy 125.7 86.85) - (xy 126 86.85) - (xy 126 87.449999) - (xy 126.304194 87.449999) - (xy 126.304205 87.449998) - (xy 126.334602 87.447149) - (xy 126.334611 87.447147) - (xy 126.462643 87.402347) - (xy 126.571791 87.321792) - (xy 126.571792 87.321791) - (xy 126.652347 87.212643) - (xy 126.697146 87.084614) - (xy 126.697148 87.084606) - (xy 126.699993 87.054266) - (xy 127.1995 87.054266) - (xy 127.202354 87.084699) - (xy 127.202354 87.084701) - (xy 127.202355 87.084704) - (xy 127.247206 87.212881) - (xy 127.247207 87.212883) - (xy 127.327846 87.322146) - (xy 127.327853 87.322153) - (xy 127.437116 87.402792) - (xy 127.437118 87.402793) - (xy 127.565295 87.447644) - (xy 127.565301 87.447646) - (xy 127.595734 87.4505) - (xy 127.595741 87.4505) - (xy 128.504258 87.4505) - (xy 128.504266 87.4505) - (xy 128.534699 87.447646) - (xy 128.662882 87.402793) + (xy 128.772149 87.777849) + (xy 128.730057 87.746783) + (xy 128.702066 87.704607) + (xy 128.7 87.687243) + (xy 128.7 87.412755) + (xy 128.717313 87.365189) + (xy 128.730054 87.353217) (xy 128.77215 87.32215) (xy 128.852793 87.212882) (xy 128.897646 87.084699) @@ -49166,34 +49406,13 @@ (xy 127.437118 85.997206) (xy 127.437116 85.997207) (xy 127.327853 86.077846) - (xy 127.327846 86.077853) - (xy 127.247207 86.187116) - (xy 127.247206 86.187118) - (xy 127.202355 86.315295) - (xy 127.202354 86.315299) - (xy 127.202354 86.315301) - (xy 127.1995 86.345734) - (xy 127.1995 87.054266) - (xy 126.699993 87.054266) - (xy 126.699999 87.054198) - (xy 126.7 87.054197) - (xy 126.7 86.85) - (xy 126 86.85) - (xy 125.7 86.85) - (xy 125.000001 86.85) - (xy 124.2255 86.85) - (xy 124.2255 86.55) - (xy 125 86.55) - (xy 125.7 86.55) - (xy 125.7 85.95) - (xy 126 85.95) - (xy 126 86.55) - (xy 126.699999 86.55) - (xy 126.699999 86.345806) - (xy 126.699998 86.345794) - (xy 126.697149 86.315397) - (xy 126.697147 86.315388) - (xy 126.652347 86.187356) + (xy 127.327849 86.07785) + (xy 127.296784 86.119943) + (xy 127.254609 86.147934) + (xy 127.237244 86.15) + (xy 126.662134 86.15) + (xy 126.614568 86.132687) + (xy 126.602594 86.119943) (xy 126.571792 86.078208) (xy 126.571791 86.078207) (xy 126.462643 85.997652) @@ -49202,6 +49421,14 @@ (xy 126.334606 85.952851) (xy 126.304198 85.95) (xy 126 85.95) + (xy 126 86.076) + (xy 125.982687 86.123566) + (xy 125.93885 86.148876) + (xy 125.926 86.15) + (xy 125.774 86.15) + (xy 125.726434 86.132687) + (xy 125.701124 86.08885) + (xy 125.7 86.076) (xy 125.7 85.95) (xy 125.395806 85.95) (xy 125.395793 85.950001) @@ -49215,7 +49442,36 @@ (xy 125.002851 86.315393) (xy 125 86.345801) (xy 125 86.55) - (xy 124.2255 86.55) + (xy 125.126 86.55) + (xy 125.173566 86.567313) + (xy 125.198876 86.61115) + (xy 125.2 86.624) + (xy 125.2 86.776) + (xy 125.182687 86.823566) + (xy 125.13885 86.848876) + (xy 125.126 86.85) + (xy 125.000001 86.85) + (xy 125.000001 87.054205) + (xy 125.00285 87.084602) + (xy 125.002852 87.084611) + (xy 125.047652 87.212643) + (xy 125.128207 87.321791) + (xy 125.169942 87.352592) + (xy 125.197934 87.394767) + (xy 125.2 87.412133) + (xy 125.2 87.687243) + (xy 125.182687 87.734809) + (xy 125.169943 87.746783) + (xy 125.12785 87.777849) + (xy 125.127846 87.777853) + (xy 125.047207 87.887116) + (xy 125.047206 87.887118) + (xy 125.002355 88.015295) + (xy 125.002354 88.015299) + (xy 125.002354 88.015301) + (xy 124.9995 88.045734) + (xy 124.9995 88.754266) + (xy 124.2255 88.754266) (xy 124.2255 82.394863) (xy 130.3595 82.394863) (xy 130.362414 82.419986) @@ -50283,7 +50539,7 @@ (xy 137.3445 62.759863) (xy 137.347414 62.784986) (xy 137.347415 62.784992) - (xy 137.379874 62.858504) + (xy 137.377997 62.854253) (xy 137.392794 62.887765) (xy 137.472235 62.967206) (xy 137.525389 62.990675) @@ -50469,7 +50725,7 @@ (xy 136.0745 62.759863) (xy 136.077414 62.784986) (xy 136.077415 62.784992) - (xy 136.109874 62.858504) + (xy 136.107997 62.854253) (xy 136.122794 62.887765) (xy 136.202235 62.967206) (xy 136.255389 62.990675) @@ -51105,7 +51361,7 @@ (xy 128.4545 62.759863) (xy 128.457414 62.784986) (xy 128.457415 62.784992) - (xy 128.489874 62.858504) + (xy 128.487997 62.854253) (xy 128.502794 62.887765) (xy 128.582235 62.967206) (xy 128.685009 63.012585) @@ -51188,7 +51444,7 @@ (xy 129.7245 62.759863) (xy 129.727414 62.784986) (xy 129.727415 62.784992) - (xy 129.759874 62.858504) + (xy 129.757997 62.854253) (xy 129.772794 62.887765) (xy 129.852235 62.967206) (xy 129.955009 63.012585) @@ -51269,7 +51525,7 @@ (xy 130.9945 62.759863) (xy 130.997414 62.784986) (xy 130.997415 62.784992) - (xy 131.029874 62.858504) + (xy 131.027997 62.854253) (xy 131.042794 62.887765) (xy 131.122235 62.967206) (xy 131.225009 63.012585) @@ -51352,7 +51608,7 @@ (xy 132.2645 62.759863) (xy 132.267414 62.784986) (xy 132.267415 62.784992) - (xy 132.299874 62.858504) + (xy 132.297997 62.854253) (xy 132.312794 62.887765) (xy 132.392235 62.967206) (xy 132.495009 63.012585) @@ -51435,7 +51691,7 @@ (xy 133.5345 62.759863) (xy 133.537414 62.784986) (xy 133.537415 62.784992) - (xy 133.569874 62.858504) + (xy 133.567997 62.854253) (xy 133.582794 62.887765) (xy 133.662235 62.967206) (xy 133.765009 63.012585) @@ -51518,7 +51774,7 @@ (xy 134.8045 62.759863) (xy 134.807414 62.784986) (xy 134.807415 62.784992) - (xy 134.839874 62.858504) + (xy 134.837997 62.854253) (xy 134.852794 62.887765) (xy 134.932235 62.967206) (xy 135.035009 63.012585) @@ -51896,7 +52152,7 @@ (xy 138.6145 62.759863) (xy 138.617414 62.784986) (xy 138.617415 62.784992) - (xy 138.649874 62.858504) + (xy 138.647997 62.854253) (xy 138.662794 62.887765) (xy 138.742235 62.967206) (xy 138.795389 62.990675) @@ -56576,433 +56832,649 @@ (xy 164.079353 95.442198) (xy 164.059508 95.5675) (xy 162.561404 95.5675) + (xy 164.633904 93.495) + (xy 169.126435 93.495) + (xy 169.130969 93.541035) + (xy 169.188151 93.729539) + (xy 169.281004 93.903252) + (xy 169.281013 93.903266) + (xy 169.405971 94.055526) + (xy 169.405973 94.055528) + (xy 169.558233 94.180486) + (xy 169.558247 94.180495) + (xy 169.73196 94.273348) + (xy 169.920464 94.33053) + (xy 169.9665 94.335064) + (xy 169.9665 93.82617) + (xy 169.974185 93.82968) + (xy 170.080737 93.845) + (xy 170.152263 93.845) + (xy 170.258815 93.82968) + (xy 170.2665 93.82617) + (xy 170.2665 94.335064) + (xy 170.312535 94.33053) + (xy 170.501039 94.273348) + (xy 170.674752 94.180495) + (xy 170.674766 94.180486) + (xy 170.827026 94.055528) + (xy 170.827028 94.055526) + (xy 170.951986 93.903266) + (xy 170.951995 93.903252) + (xy 171.044848 93.729539) + (xy 171.10203 93.541035) + (xy 171.106565 93.495) + (xy 173.507935 93.495) + (xy 173.512469 93.541035) + (xy 173.569651 93.729539) + (xy 173.662504 93.903252) + (xy 173.662513 93.903266) + (xy 173.787471 94.055526) + (xy 173.787473 94.055528) + (xy 173.939733 94.180486) + (xy 173.939747 94.180495) + (xy 174.11346 94.273348) + (xy 174.301964 94.33053) + (xy 174.348 94.335064) + (xy 174.348 93.82617) + (xy 174.355685 93.82968) + (xy 174.462237 93.845) + (xy 174.533763 93.845) + (xy 174.640315 93.82968) + (xy 174.648 93.82617) + (xy 174.648 94.335064) + (xy 174.694035 94.33053) + (xy 174.882539 94.273348) + (xy 175.056252 94.180495) + (xy 175.056266 94.180486) + (xy 175.208526 94.055528) + (xy 175.208528 94.055526) + (xy 175.333486 93.903266) + (xy 175.333495 93.903252) + (xy 175.426348 93.729539) + (xy 175.48353 93.541035) + (xy 175.488065 93.495) + (xy 174.975065 93.495) + (xy 174.998 93.416889) + (xy 174.998 93.273111) + (xy 174.975065 93.195) + (xy 175.488064 93.195) + (xy 175.48353 93.148964) + (xy 175.426348 92.96046) + (xy 175.333495 92.786747) + (xy 175.333486 92.786733) + (xy 175.208528 92.634473) + (xy 175.208526 92.634471) + (xy 175.056266 92.509513) + (xy 175.056252 92.509504) + (xy 174.882539 92.416651) + (xy 174.694037 92.359469) + (xy 174.648 92.354934) + (xy 174.648 92.863829) + (xy 174.640315 92.86032) + (xy 174.533763 92.845) + (xy 174.462237 92.845) + (xy 174.355685 92.86032) + (xy 174.348 92.863829) + (xy 174.348 92.354934) + (xy 174.301962 92.359469) + (xy 174.11346 92.416651) + (xy 173.939747 92.509504) + (xy 173.939733 92.509513) + (xy 173.787473 92.634471) + (xy 173.787471 92.634473) + (xy 173.662513 92.786733) + (xy 173.662504 92.786747) + (xy 173.569651 92.96046) + (xy 173.512469 93.148964) + (xy 173.507935 93.195) + (xy 174.020935 93.195) + (xy 173.998 93.273111) + (xy 173.998 93.416889) + (xy 174.020935 93.495) + (xy 173.507935 93.495) + (xy 171.106565 93.495) + (xy 170.593565 93.495) + (xy 170.6165 93.416889) + (xy 170.6165 93.273111) + (xy 170.593565 93.195) + (xy 171.106564 93.195) + (xy 171.10203 93.148964) + (xy 171.044848 92.96046) + (xy 170.951995 92.786747) + (xy 170.951986 92.786733) + (xy 170.827028 92.634473) + (xy 170.827026 92.634471) + (xy 170.674766 92.509513) + (xy 170.674752 92.509504) + (xy 170.501039 92.416651) + (xy 170.312537 92.359469) + (xy 170.2665 92.354934) + (xy 170.2665 92.863829) + (xy 170.258815 92.86032) + (xy 170.152263 92.845) + (xy 170.080737 92.845) + (xy 169.974185 92.86032) + (xy 169.9665 92.863829) + (xy 169.9665 92.354934) + (xy 169.920462 92.359469) + (xy 169.73196 92.416651) + (xy 169.558247 92.509504) + (xy 169.558233 92.509513) + (xy 169.405973 92.634471) + (xy 169.405971 92.634473) + (xy 169.281013 92.786733) + (xy 169.281004 92.786747) + (xy 169.188151 92.96046) + (xy 169.130969 93.148964) + (xy 169.126435 93.195) + (xy 169.639435 93.195) + (xy 169.6165 93.273111) + (xy 169.6165 93.416889) + (xy 169.639435 93.495) + (xy 169.126435 93.495) + (xy 164.633904 93.495) (xy 165.978904 92.15) - (xy 173.400001 92.15) - (xy 173.400001 92.294964) - (xy 173.415299 92.391559) - (xy 173.474622 92.507985) - (xy 173.567015 92.600378) - (xy 173.683441 92.6597) - (xy 173.683442 92.659701) - (xy 173.780033 92.674999) - (xy 174.1 92.674999) - (xy 174.1 92.15) - (xy 174.4 92.15) - (xy 174.4 92.674999) - (xy 174.719965 92.674999) - (xy 174.816559 92.6597) - (xy 174.932985 92.600377) - (xy 175.025378 92.507984) - (xy 175.025378 92.507983) - (xy 175.0847 92.391558) - (xy 175.084701 92.391557) - (xy 175.1 92.294966) - (xy 175.1 92.15) - (xy 174.4 92.15) - (xy 174.1 92.15) - (xy 173.400001 92.15) + (xy 176.800001 92.15) + (xy 176.800001 92.294964) + (xy 176.815299 92.391559) + (xy 176.874622 92.507985) + (xy 176.967015 92.600378) + (xy 177.083441 92.6597) + (xy 177.083442 92.659701) + (xy 177.180033 92.674999) + (xy 177.5 92.674999) + (xy 177.5 92.15) + (xy 177.8 92.15) + (xy 177.8 92.674999) + (xy 178.119965 92.674999) + (xy 178.216559 92.6597) + (xy 178.332985 92.600377) + (xy 178.425378 92.507984) + (xy 178.425378 92.507983) + (xy 178.4847 92.391558) + (xy 178.484701 92.391557) + (xy 178.5 92.294966) + (xy 178.5 92.15) + (xy 177.8 92.15) + (xy 177.5 92.15) + (xy 176.800001 92.15) (xy 165.978904 92.15) (xy 166.278904 91.85) - (xy 173.4 91.85) - (xy 174.1 91.85) - (xy 174.1 91.325) - (xy 174.4 91.325) - (xy 174.4 91.85) - (xy 175.099999 91.85) - (xy 175.099999 91.705035) - (xy 175.0847 91.60844) - (xy 175.025377 91.492014) - (xy 174.932984 91.399621) - (xy 174.816558 91.340299) - (xy 174.816557 91.340298) - (xy 174.719967 91.325) - (xy 174.4 91.325) - (xy 174.1 91.325) - (xy 173.780035 91.325) - (xy 173.68344 91.340299) - (xy 173.567014 91.399622) - (xy 173.474621 91.492015) - (xy 173.474621 91.492016) - (xy 173.415299 91.608441) - (xy 173.415298 91.608442) - (xy 173.4 91.705033) - (xy 173.4 91.85) + (xy 176.8 91.85) + (xy 177.5 91.85) + (xy 177.5 91.325) + (xy 177.8 91.325) + (xy 177.8 91.85) + (xy 178.499999 91.85) + (xy 178.499999 91.705035) + (xy 178.4847 91.60844) + (xy 178.425377 91.492014) + (xy 178.332984 91.399621) + (xy 178.216558 91.340299) + (xy 178.216557 91.340298) + (xy 178.119967 91.325) + (xy 177.8 91.325) + (xy 177.5 91.325) + (xy 177.180035 91.325) + (xy 177.08344 91.340299) + (xy 176.967014 91.399622) + (xy 176.874621 91.492015) + (xy 176.874621 91.492016) + (xy 176.815299 91.608441) + (xy 176.815298 91.608442) + (xy 176.8 91.705033) + (xy 176.8 91.85) (xy 166.278904 91.85) - (xy 167.628902 90.500002) - (xy 172.644867 90.500002) - (xy 172.647341 90.517214) - (xy 172.648042 90.530509) - (xy 172.647275 90.551003) - (xy 172.647276 90.551012) - (xy 172.656763 90.586419) - (xy 172.658531 90.595038) - (xy 172.663302 90.628223) - (xy 172.663302 90.628224) - (xy 172.663303 90.628226) - (xy 172.665974 90.634075) - (xy 172.672019 90.647313) - (xy 172.676183 90.658897) - (xy 172.681481 90.678668) - (xy 172.682424 90.682187) - (xy 172.70003 90.710207) - (xy 172.704676 90.718821) - (xy 172.717118 90.746063) - (xy 172.720631 90.750117) - (xy 172.73321 90.764635) - (xy 172.73994 90.773721) - (xy 172.741102 90.775571) - (xy 172.754675 90.797173) - (xy 172.754676 90.797174) - (xy 172.754677 90.797175) - (xy 172.775623 90.8152) - (xy 172.777026 90.816407) - (xy 172.784685 90.824041) - (xy 172.801944 90.843961) - (xy 172.80195 90.843966) - (xy 172.801951 90.843967) - (xy 172.825642 90.859192) - (xy 172.833899 90.865352) - (xy 172.857612 90.885758) - (xy 172.881352 90.896115) - (xy 172.891766 90.901687) - (xy 172.910931 90.914004) - (xy 172.929834 90.919554) - (xy 172.941383 90.922945) - (xy 172.950127 90.926121) - (xy 172.982084 90.940065) - (xy 173.004259 90.942563) - (xy 173.016823 90.945096) - (xy 173.025661 90.94769) - (xy 173.035228 90.9505) - (xy 173.070541 90.9505) - (xy 173.078826 90.950965) - (xy 173.117034 90.95527) - (xy 173.117034 90.955269) - (xy 173.117035 90.95527) - (xy 173.135428 90.95179) - (xy 173.149185 90.9505) - (xy 173.164771 90.9505) - (xy 173.164772 90.9505) - (xy 173.202098 90.939539) - (xy 173.209175 90.937835) - (xy 173.250472 90.930023) - (xy 173.257851 90.926123) - (xy 173.263838 90.922959) - (xy 173.277571 90.91738) - (xy 173.289066 90.914005) - (xy 173.289066 90.914004) - (xy 173.289069 90.914004) - (xy 173.324818 90.891028) - (xy 173.330192 90.887888) - (xy 173.370538 90.866566) - (xy 173.37868 90.858423) - (xy 173.390997 90.848498) - (xy 173.398049 90.843967) - (xy 173.398052 90.843962) - (xy 173.402049 90.840501) - (xy 173.402818 90.841388) - (xy 173.442153 90.819501) - (xy 173.491858 90.829078) - (xy 173.506175 90.840245) - (xy 173.566719 90.900789) - (xy 173.683285 90.960182) - (xy 173.779997 90.9755) - (xy 174.720002 90.975499) - (xy 174.816715 90.960182) - (xy 174.933281 90.900789) - (xy 174.993826 90.840243) - (xy 175.039699 90.818852) - (xy 175.088594 90.831952) - (xy 175.101036 90.843173) - (xy 175.101945 90.84396) - (xy 175.101951 90.843967) - (xy 175.14221 90.869839) - (xy 175.146098 90.872519) - (xy 175.187118 90.902793) - (xy 175.192862 90.904802) - (xy 175.208433 90.912398) - (xy 175.210931 90.914004) - (xy 175.26027 90.92849) - (xy 175.263852 90.929643) - (xy 175.3153 90.947646) - (xy 175.316296 90.947683) - (xy 175.317765 90.947738) - (xy 175.330936 90.949883) - (xy 175.335225 90.9505) - (xy 175.335228 90.9505) - (xy 175.39018 90.9505) - (xy 175.392948 90.950552) - (xy 175.451005 90.952725) - (xy 175.451006 90.952724) - (xy 175.45101 90.952725) - (xy 175.451013 90.952724) - (xy 175.45652 90.952104) - (xy 175.456525 90.952149) - (xy 175.459606 90.951743) - (xy 175.459536 90.951253) - (xy 175.464773 90.9505) - (xy 175.520899 90.93402) - (xy 175.522595 90.933544) - (xy 175.562097 90.922959) - (xy 175.582187 90.917576) - (xy 175.582191 90.917573) - (xy 175.583636 90.916943) - (xy 175.588985 90.914056) - (xy 175.589063 90.914005) - (xy 175.589069 90.914004) - (xy 175.641466 90.880329) - (xy 175.641826 90.880101) - (xy 175.697175 90.845324) - (xy 175.740992 90.794406) - (xy 175.782882 90.746063) - (xy 175.782883 90.74606) - (xy 175.784944 90.743682) - (xy 175.785753 90.742392) - (xy 175.785758 90.742388) - (xy 175.811153 90.684178) - (xy 175.811591 90.683195) - (xy 175.836697 90.628226) - (xy 175.836698 90.628214) - (xy 175.837704 90.624792) - (xy 175.83968 90.619353) - (xy 175.840064 90.617918) - (xy 175.840063 90.617918) - (xy 175.840065 90.617916) - (xy 175.846769 90.558398) - (xy 175.847047 90.556227) - (xy 175.855133 90.5) - (xy 175.855132 90.499997) - (xy 175.855133 90.499995) - (xy 175.855133 90.494703) - (xy 175.855368 90.494703) - (xy 175.855197 90.483611) - (xy 175.85527 90.482965) - (xy 175.844793 90.427594) - (xy 175.844267 90.424432) - (xy 175.836697 90.371774) - (xy 175.836697 90.371772) - (xy 175.83622 90.370728) - (xy 175.830821 90.353739) - (xy 175.830025 90.349532) - (xy 175.830024 90.34953) - (xy 175.830024 90.349529) - (xy 175.805375 90.302893) - (xy 175.803488 90.299058) - (xy 175.793893 90.278048) - (xy 175.782882 90.253937) - (xy 175.78288 90.253935) - (xy 175.78288 90.253934) - (xy 175.779756 90.250329) - (xy 175.770258 90.236449) - (xy 175.766566 90.229463) - (xy 175.731796 90.194693) - (xy 175.728202 90.190832) - (xy 175.698052 90.156036) - (xy 175.698044 90.156029) - (xy 175.69099 90.151496) - (xy 175.678674 90.141571) - (xy 175.53938 90.002277) - (xy 175.53385 89.996089) - (xy 175.532091 89.993883) - (xy 175.509879 89.96603) - (xy 175.509878 89.966029) - (xy 175.509877 89.966028) - (xy 175.464301 89.934955) - (xy 175.461869 89.933297) - (xy 175.459621 89.931702) - (xy 175.412882 89.897207) - (xy 175.407981 89.894617) - (xy 175.408002 89.894575) - (xy 175.402687 89.891892) - (xy 175.402668 89.891933) - (xy 175.397672 89.889527) - (xy 175.342138 89.872397) - (xy 175.33951 89.871533) - (xy 175.284699 89.852354) - (xy 175.284697 89.852353) - (xy 175.284695 89.852353) - (xy 175.279251 89.851323) - (xy 175.279259 89.851278) - (xy 175.273391 89.850281) - (xy 175.273385 89.850326) - (xy 175.267903 89.8495) - (xy 175.267902 89.8495) - (xy 175.209804 89.8495) - (xy 175.207036 89.849448) - (xy 175.148994 89.847275) - (xy 175.143481 89.847897) - (xy 175.143475 89.84785) - (xy 175.130948 89.8495) - (xy 175.100577 89.8495) - (xy 175.053011 89.832187) - (xy 175.034643 89.809095) - (xy 175.02579 89.79172) - (xy 174.933281 89.699211) - (xy 174.816715 89.639818) - (xy 174.762922 89.631297) - (xy 174.718651 89.606755) - (xy 174.700511 89.559498) - (xy 174.7005 89.558208) - (xy 174.7005 89.387516) - (xy 174.701253 89.376985) - (xy 174.701649 89.374232) - (xy 174.705133 89.35) - (xy 174.701095 89.32192) - (xy 174.700551 89.316929) - (xy 174.7005 89.316256) - (xy 174.7005 89.316238) - (xy 174.695703 89.284414) - (xy 174.686697 89.221774) - (xy 174.686695 89.22177) - (xy 174.686454 89.220092) - (xy 174.685837 89.217297) - (xy 174.685348 89.215714) - (xy 174.68481 89.214597) - (xy 174.665054 89.173573) - (xy 174.658209 89.159358) - (xy 174.657568 89.157992) - (xy 174.65709 89.156945) - (xy 174.632882 89.103937) - (xy 174.63288 89.103935) - (xy 174.63288 89.103934) - (xy 174.630021 89.099484) - (xy 174.630554 89.099141) - (xy 174.629699 89.09784) - (xy 174.629551 89.097942) - (xy 174.626428 89.093362) - (xy 174.626425 89.093359) - (xy 174.626425 89.093358) - (xy 174.586276 89.050088) - (xy 174.584653 89.048277) - (xy 174.548049 89.006033) - (xy 174.548048 89.006032) - (xy 174.544051 89.002569) - (xy 174.544119 89.002489) - (xy 174.535124 88.994958) - (xy 174.534055 88.993806) - (xy 174.534054 88.993805) - (xy 174.486065 88.966098) - (xy 174.483059 88.964266) - (xy 174.439066 88.935994) - (xy 174.439067 88.935994) - (xy 174.437089 88.935414) - (xy 174.420936 88.928497) - (xy 174.416445 88.925904) - (xy 174.416444 88.925903) - (xy 174.416441 88.925902) - (xy 174.416443 88.925902) - (xy 174.365889 88.914363) - (xy 174.361511 88.913222) - (xy 174.314773 88.8995) - (xy 174.314772 88.8995) - (xy 174.3091 88.8995) - (xy 174.292634 88.897645) - (xy 174.284047 88.895685) - (xy 174.284046 88.895685) - (xy 174.241598 88.898866) - (xy 174.235902 88.899293) - (xy 174.230372 88.8995) - (xy 174.185223 88.8995) - (xy 174.176313 88.902116) - (xy 174.161005 88.904905) - (xy 174.148622 88.905833) - (xy 174.107008 88.922165) - (xy 174.100824 88.924282) - (xy 174.060927 88.935997) - (xy 174.050082 88.942967) - (xy 174.037115 88.949596) - (xy 174.033246 88.951114) - (xy 174.022202 88.955449) - (xy 173.990056 88.981085) - (xy 173.983929 88.98548) - (xy 173.951951 89.006032) - (xy 173.941149 89.018498) - (xy 173.931368 89.027888) - (xy 173.916031 89.040119) - (xy 173.916031 89.04012) - (xy 173.894872 89.071152) - (xy 173.889659 89.07792) - (xy 173.867122 89.10393) - (xy 173.867115 89.103941) - (xy 173.858775 89.122202) - (xy 173.852607 89.133141) - (xy 173.839527 89.152326) - (xy 173.839527 89.152327) - (xy 173.829514 89.18479) - (xy 173.826116 89.193717) - (xy 173.813302 89.221776) - (xy 173.809931 89.245214) - (xy 173.807399 89.256484) - (xy 173.7995 89.282098) - (xy 173.7995 89.312482) - (xy 173.798747 89.323012) - (xy 173.796798 89.336567) - (xy 173.794867 89.35) - (xy 173.796365 89.36042) - (xy 173.798747 89.376985) - (xy 173.7995 89.387516) - (xy 173.7995 89.558209) - (xy 173.782187 89.605775) - (xy 173.73835 89.631085) - (xy 173.737077 89.631298) - (xy 173.683284 89.639818) - (xy 173.566718 89.699211) - (xy 173.474209 89.79172) - (xy 173.465357 89.809095) - (xy 173.428337 89.843617) - (xy 173.399423 89.8495) - (xy 173.329459 89.8495) - (xy 173.321174 89.849035) - (xy 173.282964 89.844729) - (xy 173.225865 89.855532) - (xy 173.22314 89.855995) - (xy 173.165716 89.864651) - (xy 173.160412 89.866287) - (xy 173.160398 89.866243) - (xy 173.15475 89.868101) - (xy 173.154765 89.868143) - (xy 173.149528 89.869976) - (xy 173.098138 89.897135) - (xy 173.095671 89.898381) - (xy 173.043354 89.923577) - (xy 173.038776 89.926698) - (xy 173.038751 89.926662) - (xy 173.033895 89.930108) - (xy 173.033921 89.930144) - (xy 173.02946 89.933435) - (xy 172.988379 89.974516) - (xy 172.986387 89.976434) - (xy 172.943806 90.015943) - (xy 172.940348 90.02028) - (xy 172.940312 90.020251) - (xy 172.932621 90.030274) - (xy 172.821322 90.141573) - (xy 172.809009 90.151496) - (xy 172.801952 90.156031) - (xy 172.801948 90.156035) - (xy 172.771794 90.190834) - (xy 172.768202 90.194693) - (xy 172.757573 90.205323) - (xy 172.75757 90.205328) - (xy 172.74971 90.215976) - (xy 172.746101 90.220485) - (xy 172.717121 90.253931) - (xy 172.717117 90.253938) - (xy 172.712884 90.263206) - (xy 172.705117 90.276399) - (xy 172.697205 90.287119) - (xy 172.68274 90.32846) - (xy 172.680207 90.334758) - (xy 172.663304 90.371772) - (xy 172.663302 90.371779) - (xy 172.661339 90.385427) - (xy 172.657942 90.399326) - (xy 172.652353 90.4153) - (xy 172.650849 90.455502) - (xy 172.650148 90.463263) - (xy 172.644867 90.499999) - (xy 172.644867 90.500002) - (xy 167.628902 90.500002) + (xy 166.692385 91.436519) + (xy 169.116 91.436519) + (xy 169.130853 91.530304) + (xy 169.130854 91.530306) + (xy 169.180183 91.627118) + (xy 169.18845 91.643342) + (xy 169.278158 91.73305) + (xy 169.391196 91.790646) + (xy 169.484981 91.8055) + (xy 170.748018 91.805499) + (xy 170.748019 91.805499) + (xy 170.841804 91.790646) + (xy 170.841806 91.790645) + (xy 170.869437 91.776566) + (xy 170.954842 91.73305) + (xy 171.04455 91.643342) + (xy 171.102146 91.530304) + (xy 171.117 91.436519) + (xy 173.4975 91.436519) + (xy 173.512353 91.530304) + (xy 173.512354 91.530306) + (xy 173.561683 91.627118) + (xy 173.56995 91.643342) + (xy 173.659658 91.73305) + (xy 173.772696 91.790646) + (xy 173.866481 91.8055) + (xy 175.129518 91.805499) + (xy 175.129519 91.805499) + (xy 175.223304 91.790646) + (xy 175.223306 91.790645) + (xy 175.250937 91.776566) + (xy 175.336342 91.73305) + (xy 175.42605 91.643342) + (xy 175.483646 91.530304) + (xy 175.4985 91.436519) + (xy 175.498499 90.500002) + (xy 176.044867 90.500002) + (xy 176.047341 90.517214) + (xy 176.048042 90.530509) + (xy 176.047275 90.551003) + (xy 176.047276 90.551012) + (xy 176.056763 90.586419) + (xy 176.058531 90.595038) + (xy 176.063302 90.628223) + (xy 176.063302 90.628224) + (xy 176.063303 90.628226) + (xy 176.065974 90.634075) + (xy 176.072019 90.647313) + (xy 176.076183 90.658897) + (xy 176.081481 90.678668) + (xy 176.082424 90.682187) + (xy 176.10003 90.710207) + (xy 176.104676 90.718821) + (xy 176.117118 90.746063) + (xy 176.120631 90.750117) + (xy 176.13321 90.764635) + (xy 176.13994 90.773721) + (xy 176.141102 90.775571) + (xy 176.154675 90.797173) + (xy 176.154676 90.797174) + (xy 176.154677 90.797175) + (xy 176.175623 90.8152) + (xy 176.177026 90.816407) + (xy 176.184685 90.824041) + (xy 176.201944 90.843961) + (xy 176.20195 90.843966) + (xy 176.201951 90.843967) + (xy 176.225642 90.859192) + (xy 176.233899 90.865352) + (xy 176.257612 90.885758) + (xy 176.281352 90.896115) + (xy 176.291766 90.901687) + (xy 176.310931 90.914004) + (xy 176.329834 90.919554) + (xy 176.341383 90.922945) + (xy 176.350127 90.926121) + (xy 176.382084 90.940065) + (xy 176.404259 90.942563) + (xy 176.416823 90.945096) + (xy 176.425661 90.94769) + (xy 176.435228 90.9505) + (xy 176.470541 90.9505) + (xy 176.478826 90.950965) + (xy 176.517034 90.95527) + (xy 176.517034 90.955269) + (xy 176.517035 90.95527) + (xy 176.535428 90.95179) + (xy 176.549185 90.9505) + (xy 176.564771 90.9505) + (xy 176.564772 90.9505) + (xy 176.602098 90.939539) + (xy 176.609175 90.937835) + (xy 176.650472 90.930023) + (xy 176.657851 90.926123) + (xy 176.663838 90.922959) + (xy 176.677571 90.91738) + (xy 176.689066 90.914005) + (xy 176.689066 90.914004) + (xy 176.689069 90.914004) + (xy 176.724818 90.891028) + (xy 176.730192 90.887888) + (xy 176.770538 90.866566) + (xy 176.77868 90.858423) + (xy 176.790997 90.848498) + (xy 176.798049 90.843967) + (xy 176.798052 90.843962) + (xy 176.802049 90.840501) + (xy 176.802818 90.841388) + (xy 176.842153 90.819501) + (xy 176.891858 90.829078) + (xy 176.906175 90.840245) + (xy 176.966719 90.900789) + (xy 177.083285 90.960182) + (xy 177.179997 90.9755) + (xy 178.120002 90.975499) + (xy 178.216715 90.960182) + (xy 178.333281 90.900789) + (xy 178.393826 90.840243) + (xy 178.439699 90.818852) + (xy 178.488594 90.831952) + (xy 178.501036 90.843173) + (xy 178.501945 90.84396) + (xy 178.501951 90.843967) + (xy 178.54221 90.869839) + (xy 178.546098 90.872519) + (xy 178.587118 90.902793) + (xy 178.592862 90.904802) + (xy 178.608433 90.912398) + (xy 178.610931 90.914004) + (xy 178.66027 90.92849) + (xy 178.663852 90.929643) + (xy 178.7153 90.947646) + (xy 178.716296 90.947683) + (xy 178.717765 90.947738) + (xy 178.730936 90.949883) + (xy 178.735225 90.9505) + (xy 178.735228 90.9505) + (xy 178.79018 90.9505) + (xy 178.792948 90.950552) + (xy 178.851005 90.952725) + (xy 178.851006 90.952724) + (xy 178.85101 90.952725) + (xy 178.851013 90.952724) + (xy 178.85652 90.952104) + (xy 178.856525 90.952149) + (xy 178.859606 90.951743) + (xy 178.859536 90.951253) + (xy 178.864773 90.9505) + (xy 178.920899 90.93402) + (xy 178.922595 90.933544) + (xy 178.962097 90.922959) + (xy 178.982187 90.917576) + (xy 178.982191 90.917573) + (xy 178.983636 90.916943) + (xy 178.988985 90.914056) + (xy 178.989063 90.914005) + (xy 178.989069 90.914004) + (xy 179.041466 90.880329) + (xy 179.041826 90.880101) + (xy 179.097175 90.845324) + (xy 179.140992 90.794406) + (xy 179.182882 90.746063) + (xy 179.182883 90.74606) + (xy 179.184944 90.743682) + (xy 179.185753 90.742392) + (xy 179.185758 90.742388) + (xy 179.211153 90.684178) + (xy 179.211591 90.683195) + (xy 179.236697 90.628226) + (xy 179.236698 90.628214) + (xy 179.237704 90.624792) + (xy 179.23968 90.619353) + (xy 179.240064 90.617918) + (xy 179.240063 90.617918) + (xy 179.240065 90.617916) + (xy 179.246769 90.558398) + (xy 179.247047 90.556227) + (xy 179.255133 90.5) + (xy 179.255132 90.499997) + (xy 179.255133 90.499995) + (xy 179.255133 90.494703) + (xy 179.255368 90.494703) + (xy 179.255197 90.483611) + (xy 179.25527 90.482965) + (xy 179.244793 90.427594) + (xy 179.244267 90.424432) + (xy 179.236697 90.371774) + (xy 179.236697 90.371772) + (xy 179.23622 90.370728) + (xy 179.230821 90.353739) + (xy 179.230025 90.349532) + (xy 179.230024 90.34953) + (xy 179.230024 90.349529) + (xy 179.205375 90.302893) + (xy 179.203488 90.299058) + (xy 179.193893 90.278048) + (xy 179.182882 90.253937) + (xy 179.18288 90.253935) + (xy 179.18288 90.253934) + (xy 179.179756 90.250329) + (xy 179.170258 90.236449) + (xy 179.166566 90.229463) + (xy 179.131796 90.194693) + (xy 179.128202 90.190832) + (xy 179.098052 90.156036) + (xy 179.098044 90.156029) + (xy 179.09099 90.151496) + (xy 179.078674 90.141571) + (xy 178.93938 90.002277) + (xy 178.93385 89.996089) + (xy 178.932091 89.993883) + (xy 178.909879 89.96603) + (xy 178.909878 89.966029) + (xy 178.909877 89.966028) + (xy 178.864301 89.934955) + (xy 178.861869 89.933297) + (xy 178.859621 89.931702) + (xy 178.812882 89.897207) + (xy 178.807981 89.894617) + (xy 178.808002 89.894575) + (xy 178.802687 89.891892) + (xy 178.802668 89.891933) + (xy 178.797672 89.889527) + (xy 178.742138 89.872397) + (xy 178.73951 89.871533) + (xy 178.684699 89.852354) + (xy 178.684697 89.852353) + (xy 178.684695 89.852353) + (xy 178.679251 89.851323) + (xy 178.679259 89.851278) + (xy 178.673391 89.850281) + (xy 178.673385 89.850326) + (xy 178.667903 89.8495) + (xy 178.667902 89.8495) + (xy 178.609804 89.8495) + (xy 178.607036 89.849448) + (xy 178.548994 89.847275) + (xy 178.543481 89.847897) + (xy 178.543475 89.84785) + (xy 178.530948 89.8495) + (xy 178.500577 89.8495) + (xy 178.453011 89.832187) + (xy 178.434643 89.809095) + (xy 178.42579 89.79172) + (xy 178.333281 89.699211) + (xy 178.216715 89.639818) + (xy 178.162922 89.631297) + (xy 178.118651 89.606755) + (xy 178.100511 89.559498) + (xy 178.1005 89.558208) + (xy 178.1005 89.387516) + (xy 178.101253 89.376985) + (xy 178.101649 89.374232) + (xy 178.105133 89.35) + (xy 178.101095 89.32192) + (xy 178.100551 89.316929) + (xy 178.1005 89.316256) + (xy 178.1005 89.316238) + (xy 178.095703 89.284414) + (xy 178.086697 89.221774) + (xy 178.086695 89.22177) + (xy 178.086454 89.220092) + (xy 178.085837 89.217297) + (xy 178.085348 89.215714) + (xy 178.08481 89.214597) + (xy 178.065054 89.173573) + (xy 178.058209 89.159358) + (xy 178.057568 89.157992) + (xy 178.05709 89.156945) + (xy 178.032882 89.103937) + (xy 178.03288 89.103935) + (xy 178.03288 89.103934) + (xy 178.030021 89.099484) + (xy 178.030554 89.099141) + (xy 178.029699 89.09784) + (xy 178.029551 89.097942) + (xy 178.026428 89.093362) + (xy 178.026425 89.093359) + (xy 178.026425 89.093358) + (xy 177.986276 89.050088) + (xy 177.984653 89.048277) + (xy 177.948049 89.006033) + (xy 177.948048 89.006032) + (xy 177.944051 89.002569) + (xy 177.944119 89.002489) + (xy 177.935124 88.994958) + (xy 177.934055 88.993806) + (xy 177.934054 88.993805) + (xy 177.886065 88.966098) + (xy 177.883059 88.964266) + (xy 177.839066 88.935994) + (xy 177.839067 88.935994) + (xy 177.837089 88.935414) + (xy 177.820936 88.928497) + (xy 177.816445 88.925904) + (xy 177.816444 88.925903) + (xy 177.816441 88.925902) + (xy 177.816443 88.925902) + (xy 177.765889 88.914363) + (xy 177.761511 88.913222) + (xy 177.714773 88.8995) + (xy 177.714772 88.8995) + (xy 177.7091 88.8995) + (xy 177.692634 88.897645) + (xy 177.684047 88.895685) + (xy 177.684046 88.895685) + (xy 177.641598 88.898866) + (xy 177.635902 88.899293) + (xy 177.630372 88.8995) + (xy 177.585223 88.8995) + (xy 177.576313 88.902116) + (xy 177.561005 88.904905) + (xy 177.548622 88.905833) + (xy 177.507008 88.922165) + (xy 177.500824 88.924282) + (xy 177.460927 88.935997) + (xy 177.450082 88.942967) + (xy 177.437115 88.949596) + (xy 177.436087 88.95) + (xy 177.422202 88.955449) + (xy 177.390056 88.981085) + (xy 177.383929 88.98548) + (xy 177.351951 89.006032) + (xy 177.341149 89.018498) + (xy 177.331368 89.027888) + (xy 177.316031 89.040119) + (xy 177.316031 89.04012) + (xy 177.294872 89.071152) + (xy 177.289659 89.07792) + (xy 177.267122 89.10393) + (xy 177.267115 89.103941) + (xy 177.258775 89.122202) + (xy 177.252607 89.133141) + (xy 177.239527 89.152326) + (xy 177.239527 89.152327) + (xy 177.229514 89.18479) + (xy 177.226116 89.193717) + (xy 177.213302 89.221776) + (xy 177.209931 89.245214) + (xy 177.207399 89.256484) + (xy 177.1995 89.282098) + (xy 177.1995 89.312482) + (xy 177.198747 89.323012) + (xy 177.196798 89.336567) + (xy 177.194867 89.35) + (xy 177.196365 89.36042) + (xy 177.198747 89.376985) + (xy 177.1995 89.387516) + (xy 177.1995 89.558209) + (xy 177.182187 89.605775) + (xy 177.13835 89.631085) + (xy 177.137077 89.631298) + (xy 177.083284 89.639818) + (xy 176.966718 89.699211) + (xy 176.874209 89.79172) + (xy 176.865357 89.809095) + (xy 176.828337 89.843617) + (xy 176.799423 89.8495) + (xy 176.729459 89.8495) + (xy 176.721174 89.849035) + (xy 176.682964 89.844729) + (xy 176.625865 89.855532) + (xy 176.62314 89.855995) + (xy 176.565716 89.864651) + (xy 176.560412 89.866287) + (xy 176.560398 89.866243) + (xy 176.55475 89.868101) + (xy 176.554765 89.868143) + (xy 176.549528 89.869976) + (xy 176.498138 89.897135) + (xy 176.495671 89.898381) + (xy 176.443354 89.923577) + (xy 176.438776 89.926698) + (xy 176.438751 89.926662) + (xy 176.433895 89.930108) + (xy 176.433921 89.930144) + (xy 176.42946 89.933435) + (xy 176.388379 89.974516) + (xy 176.386387 89.976434) + (xy 176.343806 90.015943) + (xy 176.340348 90.02028) + (xy 176.340312 90.020251) + (xy 176.332621 90.030274) + (xy 176.221322 90.141573) + (xy 176.209009 90.151496) + (xy 176.201952 90.156031) + (xy 176.201948 90.156035) + (xy 176.171794 90.190834) + (xy 176.168202 90.194693) + (xy 176.157573 90.205323) + (xy 176.15757 90.205328) + (xy 176.14971 90.215976) + (xy 176.146101 90.220485) + (xy 176.117121 90.253931) + (xy 176.117117 90.253938) + (xy 176.112884 90.263206) + (xy 176.105117 90.276399) + (xy 176.097205 90.287119) + (xy 176.08274 90.32846) + (xy 176.080207 90.334758) + (xy 176.063304 90.371772) + (xy 176.063302 90.371779) + (xy 176.061339 90.385427) + (xy 176.057942 90.399326) + (xy 176.052353 90.4153) + (xy 176.050849 90.455502) + (xy 176.050148 90.463263) + (xy 176.044867 90.499999) + (xy 176.044867 90.500002) + (xy 175.498499 90.500002) + (xy 175.498499 90.173482) + (xy 175.497174 90.165117) + (xy 175.483646 90.079695) + (xy 175.483645 90.079693) + (xy 175.445583 90.004993) + (xy 175.42605 89.966658) + (xy 175.336342 89.87695) + (xy 175.223304 89.819354) + (xy 175.223302 89.819353) + (xy 175.223301 89.819353) + (xy 175.129519 89.8045) + (xy 173.86648 89.8045) + (xy 173.772695 89.819353) + (xy 173.772693 89.819354) + (xy 173.659657 89.87695) + (xy 173.569951 89.966656) + (xy 173.512353 90.079698) + (xy 173.4975 90.17348) + (xy 173.4975 91.436519) + (xy 171.117 91.436519) + (xy 171.116999 90.173482) + (xy 171.115674 90.165117) + (xy 171.102146 90.079695) + (xy 171.102145 90.079693) + (xy 171.064083 90.004993) + (xy 171.04455 89.966658) + (xy 170.954842 89.87695) + (xy 170.841804 89.819354) + (xy 170.841802 89.819353) + (xy 170.841801 89.819353) + (xy 170.748019 89.8045) + (xy 169.48498 89.8045) + (xy 169.391195 89.819353) + (xy 169.391193 89.819354) + (xy 169.278157 89.87695) + (xy 169.188451 89.966656) + (xy 169.130853 90.079698) + (xy 169.116 90.17348) + (xy 169.116 91.436519) + (xy 166.692385 91.436519) (xy 173.762924 84.365979) (xy 173.765695 84.36335) (xy 173.795509 84.336507) @@ -57116,7 +57588,7 @@ (xy 169.364431 70.412) (xy 169.340073 70.412) (xy 169.328498 70.411089) - (xy 169.324974 70.410531) + (xy 169.323598 70.410313) (xy 169.304435 70.407277) (xy 169.304434 70.407277) (xy 169.29622 70.409479) @@ -57126,8 +57598,7 @@ (xy 166.821623 70.35085) (xy 166.820499 70.338) (xy 166.820499 70.005136) - (xy 166.817585 69.980013) - (xy 166.817585 69.980011) + (xy 166.817699 69.980992) (xy 166.817585 69.980009) (xy 166.772206 69.877235) (xy 166.692765 69.797794) @@ -57217,7 +57688,7 @@ (xy 169.120607 59.215232) (xy 169.115607 59.20835) (xy 169.106391 59.191374) - (xy 169.10563 59.189391) + (xy 169.10563 59.189392) (xy 169.103346 59.183441) (xy 169.086118 59.166213) (xy 169.078576 59.157382) @@ -58530,8 +59001,6 @@ (xy 153.0635 87.606425) (xy 153.062589 87.618001) (xy 153.058777 87.642063) - (xy 153.058778 87.642065) - (xy 153.06077 87.6495) (xy 153.060978 87.650274) (xy 153.0635 87.669428) (xy 153.0635 88.020456) @@ -59308,33 +59777,69 @@ (xy 144.80726 72.599677) (xy 144.799896 72.595425) (xy 144.784571 72.583666) - (xy 142.684506 70.483601) - (xy 142.68184 70.480791) - (xy 142.655008 70.450992) - (xy 142.655004 70.450989) - (xy 142.632752 70.441082) - (xy 142.622547 70.435541) - (xy 142.602119 70.422274) - (xy 142.602116 70.422273) - (xy 142.596005 70.421305) - (xy 142.593713 70.420942) - (xy 142.5752 70.415458) - (xy 142.567432 70.412) - (xy 142.567431 70.412) - (xy 142.543073 70.412) - (xy 142.531498 70.411089) - (xy 142.527974 70.410531) - (xy 142.507435 70.407277) - (xy 142.507434 70.407277) - (xy 142.49922 70.409479) - (xy 142.480068 70.412) + (xy 143.566674 71.365769) + (xy 143.545282 71.319893) + (xy 143.545 71.313443) + (xy 143.545 70.556348) + (xy 143.545101 70.552476) + (xy 143.547199 70.512436) + (xy 143.538469 70.489695) + (xy 143.535171 70.478559) + (xy 143.530107 70.454732) + (xy 143.530105 70.454729) + (xy 143.52511 70.447854) + (xy 143.515891 70.430875) + (xy 143.512845 70.42294) + (xy 143.495618 70.405713) + (xy 143.488076 70.396882) + (xy 143.47376 70.377177) + (xy 143.466396 70.372925) + (xy 143.451071 70.361166) + (xy 143.103506 70.013601) + (xy 143.10084 70.010791) + (xy 143.074008 69.980992) + (xy 143.074004 69.980989) + (xy 143.051752 69.971082) + (xy 143.041547 69.965541) + (xy 143.021119 69.952274) + (xy 143.021116 69.952273) + (xy 143.015005 69.951305) + (xy 143.012713 69.950942) + (xy 142.9942 69.945458) + (xy 142.986432 69.942) + (xy 142.986431 69.942) + (xy 142.962073 69.942) + (xy 142.950498 69.941089) + (xy 142.946974 69.940531) + (xy 142.926435 69.937277) + (xy 142.926434 69.937277) + (xy 142.91822 69.939479) + (xy 142.899068 69.942) + (xy 142.184349 69.942) + (xy 142.180477 69.941899) + (xy 142.140437 69.9398) + (xy 142.117693 69.94853) + (xy 142.106566 69.951825) + (xy 142.082734 69.956891) + (xy 142.082732 69.956892) + (xy 142.075847 69.961894) + (xy 142.058881 69.971106) + (xy 142.05094 69.974154) + (xy 142.033711 69.991383) + (xy 142.024889 69.998917) + (xy 142.016332 70.005135) + (xy 142.005175 70.013241) + (xy 142.000923 70.020606) + (xy 141.989166 70.035927) + (xy 141.634769 70.390326) + (xy 141.588893 70.411718) + (xy 141.582443 70.412) (xy 141.494499 70.412) (xy 141.446933 70.394687) (xy 141.421623 70.35085) (xy 141.420499 70.338) (xy 141.420499 70.005136) - (xy 141.417585 69.980013) - (xy 141.417585 69.980011) + (xy 141.417699 69.980992) (xy 141.417585 69.980009) (xy 141.372206 69.877235) (xy 141.292765 69.797794) @@ -59580,8 +60085,7 @@ (xy 148.332882 66.946063) (xy 148.337113 66.936796) (xy 148.344887 66.923593) - (xy 148.352792 66.912883) - (xy 148.352791 66.912883) + (xy 148.345498 66.922766) (xy 148.352793 66.912882) (xy 148.36726 66.871534) (xy 148.369795 66.865234) @@ -59879,7 +60383,7 @@ (xy 155.1245 62.759863) (xy 155.127414 62.784986) (xy 155.127415 62.784992) - (xy 155.159874 62.858504) + (xy 155.157997 62.854253) (xy 155.172794 62.887765) (xy 155.252235 62.967206) (xy 155.305389 62.990675) @@ -59906,7 +60410,7 @@ (xy 156.424685 65.55759) (xy 156.446077 65.603466) (xy 156.445448 65.621491) - (xy 156.439508 65.658999) + (xy 156.439507 65.658999) (xy 156.459353 65.784301) (xy 156.459353 65.784302) (xy 156.459354 65.784304) @@ -59944,7 +60448,7 @@ (xy 156.3945 62.759863) (xy 156.397414 62.784986) (xy 156.397415 62.784992) - (xy 156.429874 62.858504) + (xy 156.427997 62.854253) (xy 156.442794 62.887765) (xy 156.522235 62.967206) (xy 156.625009 63.012585) @@ -59959,7 +60463,7 @@ (xy 157.6645 62.759863) (xy 157.667414 62.784986) (xy 157.667415 62.784992) - (xy 157.699874 62.858504) + (xy 157.697997 62.854253) (xy 157.712794 62.887765) (xy 157.792235 62.967206) (xy 157.895009 63.012585) @@ -59974,7 +60478,7 @@ (xy 158.9345 62.759863) (xy 158.937414 62.784986) (xy 158.937415 62.784992) - (xy 158.969874 62.858504) + (xy 158.967997 62.854253) (xy 158.982794 62.887765) (xy 159.062235 62.967206) (xy 159.165009 63.012585) @@ -59989,7 +60493,7 @@ (xy 160.2045 62.759863) (xy 160.207414 62.784986) (xy 160.207415 62.784992) - (xy 160.239874 62.858504) + (xy 160.237997 62.854253) (xy 160.252794 62.887765) (xy 160.332235 62.967206) (xy 160.435009 63.012585) @@ -60004,7 +60508,7 @@ (xy 161.4745 62.759863) (xy 161.477414 62.784986) (xy 161.477415 62.784992) - (xy 161.509874 62.858504) + (xy 161.507997 62.854253) (xy 161.522794 62.887765) (xy 161.602235 62.967206) (xy 161.705009 63.012585) @@ -60019,7 +60523,7 @@ (xy 162.7445 62.759863) (xy 162.747414 62.784986) (xy 162.747415 62.784992) - (xy 162.779874 62.858504) + (xy 162.777997 62.854253) (xy 162.792794 62.887765) (xy 162.872235 62.967206) (xy 162.975009 63.012585) @@ -61888,7 +62392,7 @@ (xy 173.814353 69.925301) (xy 173.814353 69.925302) (xy 173.814354 69.925304) - (xy 173.866913 70.028456) + (xy 173.87195 70.038342) (xy 173.871951 70.038343) (xy 173.931782 70.098174) (xy 173.953174 70.14405) @@ -62385,37 +62889,20 @@ (xy 178.119218 63.997173) (xy 178.165093 63.975782) (xy 178.171543 63.9755) - (xy 182.992139 63.9755) - (xy 182.996012 63.975601) - (xy 183.036064 63.9777) - (xy 183.058816 63.968965) - (xy 183.069927 63.965674) - (xy 183.093768 63.960607) - (xy 183.100642 63.955612) - (xy 183.117621 63.946392) - (xy 183.12556 63.943346) - (xy 183.142793 63.926112) - (xy 183.151609 63.918582) - (xy 183.171323 63.90426) - (xy 183.175573 63.896897) - (xy 183.187329 63.881575) - (xy 183.703915 63.364988) - (xy 183.706687 63.362357) - (xy 183.736509 63.335507) - (xy 183.746421 63.313241) - (xy 183.751953 63.303052) - (xy 183.765225 63.282618) - (xy 183.766553 63.274225) - (xy 183.77204 63.255701) - (xy 183.7755 63.247932) - (xy 183.7755 63.223572) - (xy 183.776411 63.211995) - (xy 183.780222 63.187935) - (xy 183.778021 63.17972) - (xy 183.7755 63.160568) - (xy 183.7755 59.924057) - (xy 183.792813 59.876491) - (xy 183.797174 59.871731) + (xy 179.592139 63.9755) + (xy 179.596012 63.975601) + (xy 179.636064 63.9777) + (xy 179.658816 63.968965) + (xy 179.669927 63.965674) + (xy 179.693768 63.960607) + (xy 179.700642 63.955612) + (xy 179.717621 63.946392) + (xy 179.72556 63.943346) + (xy 179.742794 63.926111) + (xy 179.751616 63.918576) + (xy 179.771323 63.90426) + (xy 179.775573 63.896897) + (xy 179.787329 63.881575) (xy 184.021731 59.647174) (xy 184.067607 59.625782) (xy 184.074057 59.6255) @@ -62578,6 +63065,8 @@ (xy 184.57392 66.890226) (xy 184.511656 66.921951) (xy 184.421951 67.011656) + (xy 184.42195 67.011658) + (xy 184.366573 67.120342) (xy 184.364353 67.124698) (xy 184.344508 67.25) (xy 184.364353 67.375301) @@ -62682,13 +63171,14 @@ (xy 183.841609 66.942109) (xy 183.837247 66.9256) (xy 183.836697 66.921774) + (xy 183.827397 66.901411) (xy 183.815712 66.875824) (xy 183.814144 66.872127) (xy 183.794552 66.822206) (xy 183.792444 66.819563) (xy 183.782986 66.804166) (xy 183.782882 66.803937) - (xy 183.774313 66.794048) + (xy 183.776063 66.796067) (xy 183.747464 66.763061) (xy 183.745535 66.760741) (xy 183.73775 66.750979) @@ -62868,6 +63358,8 @@ (xy 181.747742 71.433056) (xy 181.735711 71.466112) (xy 181.68976 71.534882) + (xy 181.687543 71.546026) + (xy 181.675053 71.608822) (xy 181.6745 71.6116) (xy 181.6745 71.888399) (xy 181.68976 71.965117) @@ -63779,7 +64271,7 @@ (xy 186.976411 111.411995) (xy 186.97918 111.394516) (xy 186.980222 111.387934) - (xy 186.978551 111.381699) + (xy 186.979595 111.385595) (xy 186.978021 111.379719) (xy 186.9755 111.360567) (xy 186.9755 108.194543) @@ -66069,13 +66561,14 @@ (xy 192.341609 66.942109) (xy 192.337247 66.9256) (xy 192.336697 66.921774) + (xy 192.327397 66.901411) (xy 192.315712 66.875824) (xy 192.314144 66.872127) (xy 192.294552 66.822206) (xy 192.292444 66.819563) (xy 192.282986 66.804166) (xy 192.282882 66.803937) - (xy 192.274313 66.794048) + (xy 192.276063 66.796067) (xy 192.247464 66.763061) (xy 192.245535 66.760741) (xy 192.23775 66.750979) @@ -66579,7 +67072,7 @@ (xy 192.003383 59.7755) (xy 192.018567 59.777074) (xy 192.034268 59.780367) - (xy 192.065893 59.776425) + (xy 192.065828 59.776433) (xy 192.068758 59.776068) (xy 192.07791 59.7755) (xy 192.081111 59.7755) @@ -66833,44 +67326,25 @@ (xy 184.318673 59.141612) (xy 184.277852 59.171544) (xy 184.257144 59.1745) - (xy 183.957842 59.1745) - (xy 183.953969 59.174399) - (xy 183.913933 59.1723) - (xy 183.891193 59.181029) - (xy 183.880066 59.184325) - (xy 183.856233 59.189391) + (xy 183.957861 59.1745) + (xy 183.953988 59.174399) + (xy 183.913934 59.172299) + (xy 183.891184 59.181032) + (xy 183.880056 59.184328) (xy 183.856232 59.189392) - (xy 183.849347 59.194394) - (xy 183.832381 59.203606) - (xy 183.82444 59.206654) - (xy 183.807211 59.223883) - (xy 183.798389 59.231417) - (xy 183.795109 59.233801) + (xy 183.856229 59.189394) + (xy 183.849351 59.194391) + (xy 183.832385 59.203603) + (xy 183.824439 59.206653) + (xy 183.807206 59.223886) + (xy 183.798381 59.231423) + (xy 183.778676 59.24574) (xy 183.778675 59.245741) - (xy 183.774423 59.253106) - (xy 183.762666 59.268427) - (xy 183.3961 59.634993) - (xy 183.393292 59.637658) - (xy 183.363492 59.664491) - (xy 183.363489 59.664495) - (xy 183.35358 59.686749) - (xy 183.348043 59.696946) - (xy 183.334774 59.717379) - (xy 183.333443 59.725783) - (xy 183.327959 59.744296) - (xy 183.324501 59.752063) - (xy 183.3245 59.752069) - (xy 183.3245 59.776425) - (xy 183.323589 59.788001) - (xy 183.319777 59.812063) - (xy 183.321978 59.820274) - (xy 183.3245 59.839428) - (xy 183.3245 63.075943) - (xy 183.307187 63.123509) - (xy 183.302826 63.128269) - (xy 182.928269 63.502826) - (xy 182.882393 63.524218) - (xy 182.875943 63.5245) + (xy 183.774425 59.253103) + (xy 183.762667 59.268425) + (xy 179.528269 63.502826) + (xy 179.482393 63.524218) + (xy 179.475943 63.5245) (xy 178.171543 63.5245) (xy 178.123977 63.507187) (xy 178.119228 63.502836) @@ -66891,200 +67365,6 @@ (xy 176.447174 63.528269) (xy 176.425782 63.482393) (xy 176.4255 63.475943) - (xy 176.4255 62.76482) - (xy 178.4995 62.76482) - (xy 178.503513 62.784992) - (xy 178.508233 62.808722) - (xy 178.541065 62.85786) - (xy 178.541496 62.858504) - (xy 178.591278 62.891767) - (xy 178.63518 62.9005) - (xy 178.635181 62.9005) - (xy 180.364819 62.9005) - (xy 180.36482 62.9005) - (xy 180.408722 62.891767) - (xy 180.458504 62.858504) - (xy 180.491767 62.808722) - (xy 180.5005 62.76482) - (xy 180.5005 62.05) - (xy 181.049935 62.05) - (xy 181.054469 62.096035) - (xy 181.111651 62.284539) - (xy 181.204504 62.458252) - (xy 181.204513 62.458266) - (xy 181.329471 62.610526) - (xy 181.329473 62.610528) - (xy 181.481733 62.735486) - (xy 181.481747 62.735495) - (xy 181.65546 62.828348) - (xy 181.843964 62.88553) - (xy 181.89 62.890064) - (xy 181.89 62.38117) - (xy 181.897685 62.38468) - (xy 182.004237 62.4) - (xy 182.075763 62.4) - (xy 182.182315 62.38468) - (xy 182.19 62.38117) - (xy 182.19 62.890064) - (xy 182.236035 62.88553) - (xy 182.424539 62.828348) - (xy 182.598252 62.735495) - (xy 182.598266 62.735486) - (xy 182.750526 62.610528) - (xy 182.750528 62.610526) - (xy 182.875486 62.458266) - (xy 182.875495 62.458252) - (xy 182.968348 62.284539) - (xy 183.02553 62.096035) - (xy 183.030065 62.05) - (xy 182.517065 62.05) - (xy 182.54 61.971889) - (xy 182.54 61.828111) - (xy 182.517065 61.75) - (xy 183.030064 61.75) - (xy 183.02553 61.703964) - (xy 182.968348 61.51546) - (xy 182.875495 61.341747) - (xy 182.875486 61.341733) - (xy 182.750528 61.189473) - (xy 182.750526 61.189471) - (xy 182.598266 61.064513) - (xy 182.598252 61.064504) - (xy 182.424539 60.971651) - (xy 182.236037 60.914469) - (xy 182.19 60.909934) - (xy 182.19 61.418829) - (xy 182.182315 61.41532) - (xy 182.075763 61.4) - (xy 182.004237 61.4) - (xy 181.897685 61.41532) - (xy 181.89 61.418829) - (xy 181.89 60.909934) - (xy 181.843962 60.914469) - (xy 181.65546 60.971651) - (xy 181.481747 61.064504) - (xy 181.481733 61.064513) - (xy 181.329473 61.189471) - (xy 181.329471 61.189473) - (xy 181.204513 61.341733) - (xy 181.204504 61.341747) - (xy 181.111651 61.51546) - (xy 181.054469 61.703964) - (xy 181.049935 61.75) - (xy 181.562935 61.75) - (xy 181.54 61.828111) - (xy 181.54 61.971889) - (xy 181.562935 62.05) - (xy 181.049935 62.05) - (xy 180.5005 62.05) - (xy 180.5005 61.03518) - (xy 180.491767 60.991278) - (xy 180.458504 60.941496) - (xy 180.453785 60.938343) - (xy 180.408722 60.908233) - (xy 180.36482 60.8995) - (xy 180.364819 60.8995) - (xy 179.9745 60.8995) - (xy 179.926934 60.882187) - (xy 179.901624 60.83835) - (xy 179.9005 60.8255) - (xy 179.9005 59.965478) - (xy 179.917813 59.917912) - (xy 179.922174 59.913152) - (xy 179.9325 59.902826) - (xy 179.945747 59.889579) - (xy 179.994259 59.785545) - (xy 180.0005 59.738139) - (xy 180.0005 59.738137) - (xy 180.5995 59.738137) - (xy 180.60574 59.785543) - (xy 180.605741 59.785545) - (xy 180.654253 59.889579) - (xy 180.735421 59.970747) - (xy 180.839455 60.019259) - (xy 180.886861 60.0255) - (xy 181.313138 60.025499) - (xy 181.360545 60.019259) - (xy 181.464579 59.970747) - (xy 181.545747 59.889579) - (xy 181.563637 59.851214) - (xy 181.567362 59.843226) - (xy 181.603156 59.807433) - (xy 181.634429 59.8005) - (xy 182.362157 59.8005) - (xy 182.373732 59.80141) - (xy 182.3995 59.805492) - (xy 182.524804 59.785646) - (xy 182.637842 59.72805) - (xy 182.72755 59.638342) - (xy 182.785146 59.525304) - (xy 182.804992 59.4) - (xy 182.785146 59.274696) - (xy 182.72755 59.161658) - (xy 182.637842 59.07195) - (xy 182.524804 59.014354) - (xy 182.524802 59.014353) - (xy 182.524801 59.014353) - (xy 182.3995 58.994508) - (xy 182.379517 58.997673) - (xy 182.373733 58.998589) - (xy 182.362157 58.9995) - (xy 181.634429 58.9995) - (xy 181.586863 58.982187) - (xy 181.567362 58.956774) - (xy 181.554265 58.928689) - (xy 181.545747 58.910421) - (xy 181.464579 58.829253) - (xy 181.360545 58.780741) - (xy 181.360543 58.78074) - (xy 181.325619 58.776142) - (xy 181.313139 58.7745) - (xy 181.313137 58.7745) - (xy 180.886862 58.7745) - (xy 180.839456 58.78074) - (xy 180.839454 58.780741) - (xy 180.73542 58.829253) - (xy 180.654253 58.91042) - (xy 180.60574 59.014456) - (xy 180.5995 59.061862) - (xy 180.5995 59.738137) - (xy 180.0005 59.738137) - (xy 180.000499 59.061862) - (xy 179.994259 59.014455) - (xy 179.945747 58.910421) - (xy 179.864579 58.829253) - (xy 179.760545 58.780741) - (xy 179.760543 58.78074) - (xy 179.725619 58.776142) - (xy 179.713139 58.7745) - (xy 179.713137 58.7745) - (xy 179.286862 58.7745) - (xy 179.239456 58.78074) - (xy 179.239454 58.780741) - (xy 179.13542 58.829253) - (xy 179.054253 58.91042) - (xy 179.00574 59.014456) - (xy 178.9995 59.061862) - (xy 178.9995 59.738137) - (xy 179.00574 59.785543) - (xy 179.005741 59.785545) - (xy 179.054253 59.889579) - (xy 179.077826 59.913152) - (xy 179.099218 59.959028) - (xy 179.0995 59.965478) - (xy 179.0995 60.8255) - (xy 179.082187 60.873066) - (xy 179.03835 60.898376) - (xy 179.0255 60.8995) - (xy 178.63518 60.8995) - (xy 178.613229 60.903866) - (xy 178.591277 60.908233) - (xy 178.541496 60.941495) - (xy 178.541495 60.941496) - (xy 178.508233 60.991277) - (xy 178.4995 61.03518) - (xy 178.4995 62.76482) - (xy 176.4255 62.76482) (xy 176.4255 53.71636) (xy 176.425601 53.712487) (xy 176.425889 53.706998) @@ -68150,33 +68430,33 @@ (xy 192.777826 55.153797) (xy 192.799218 55.199673) (xy 192.7995 55.206123) - (xy 192.7995 65.338912) - (xy 192.797547 65.350889) - (xy 192.798174 65.350977) - (xy 192.797226 65.357766) - (xy 192.799421 65.405224) - (xy 192.7995 65.408642) - (xy 192.7995 65.427849) - (xy 192.79984 65.429665) - (xy 192.801021 65.439843) - (xy 192.802415 65.469994) - (xy 192.807554 65.481632) - (xy 192.8126 65.497923) - (xy 192.814938 65.510432) - (xy 192.814939 65.510433) - (xy 192.830826 65.536094) - (xy 192.835601 65.545153) - (xy 192.847794 65.572765) - (xy 192.847795 65.572766) - (xy 192.856793 65.581764) - (xy 192.86738 65.59513) - (xy 192.874081 65.605952) - (xy 192.874082 65.605953) - (xy 192.898165 65.62414) - (xy 192.905896 65.630867) - (xy 194.377826 67.102797) - (xy 194.399218 67.148673) - (xy 194.3995 67.155123) + (xy 192.7995 65.488912) + (xy 192.797547 65.500889) + (xy 192.798174 65.500977) + (xy 192.797226 65.507766) + (xy 192.799421 65.555224) + (xy 192.7995 65.558642) + (xy 192.7995 65.577849) + (xy 192.79984 65.579665) + (xy 192.801021 65.589843) + (xy 192.802415 65.619994) + (xy 192.807554 65.631632) + (xy 192.8126 65.647923) + (xy 192.814938 65.660432) + (xy 192.814939 65.660433) + (xy 192.830826 65.686094) + (xy 192.835601 65.695153) + (xy 192.847794 65.722765) + (xy 192.847795 65.722766) + (xy 192.856793 65.731764) + (xy 192.86738 65.74513) + (xy 192.874081 65.755952) + (xy 192.874082 65.755953) + (xy 192.898165 65.77414) + (xy 192.905896 65.780867) + (xy 194.377826 67.252797) + (xy 194.399218 67.298673) + (xy 194.3995 67.305123) (xy 194.3995 91.403456) (xy 194.382187 91.451022) (xy 194.377826 91.455782) @@ -68200,37 +68480,60 @@ (xy 195.022174 91.455782) (xy 195.000782 91.409906) (xy 195.0005 91.403456) - (xy 195.0005 67.06109) - (xy 195.002454 67.049116) - (xy 195.001826 67.049029) - (xy 195.002773 67.042238) - (xy 195.001193 67.008068) - (xy 195.000578 66.994774) - (xy 195.0005 66.991357) - (xy 195.0005 66.972159) - (xy 195.0005 66.972156) - (xy 195.000158 66.970332) - (xy 194.998977 66.960145) - (xy 194.998938 66.95931) - (xy 194.997585 66.930009) - (xy 194.992441 66.918361) - (xy 194.987398 66.902071) - (xy 194.987104 66.9005) - (xy 194.985061 66.889567) - (xy 194.969168 66.8639) - (xy 194.964395 66.854842) - (xy 194.963935 66.853801) - (xy 194.952206 66.827235) - (xy 194.943207 66.818236) - (xy 194.932615 66.804863) - (xy 194.93204 66.803935) - (xy 194.925919 66.794048) - (xy 194.925917 66.794046) - (xy 194.901837 66.775862) - (xy 194.894106 66.769135) - (xy 193.422174 65.297203) - (xy 193.400782 65.251327) - (xy 193.4005 65.244877) + (xy 195.0005 67.21109) + (xy 195.002454 67.199116) + (xy 195.001826 67.199029) + (xy 195.002773 67.192238) + (xy 195.000579 67.144775) + (xy 195.0005 67.141357) + (xy 195.0005 67.122159) + (xy 195.0005 67.122156) + (xy 195.000158 67.120332) + (xy 194.998977 67.110145) + (xy 194.998711 67.104394) + (xy 194.997585 67.080009) + (xy 194.992441 67.068361) + (xy 194.987398 67.052071) + (xy 194.986033 67.04477) + (xy 194.985061 67.039567) + (xy 194.969168 67.0139) + (xy 194.964395 67.004842) + (xy 194.96272 67.001049) + (xy 194.952206 66.977235) + (xy 194.943207 66.968236) + (xy 194.932615 66.954863) + (xy 194.930226 66.951005) + (xy 194.928751 66.948622) + (xy 194.92592 66.944049) + (xy 194.925917 66.944046) + (xy 194.901837 66.925862) + (xy 194.894106 66.919135) + (xy 193.422174 65.447203) + (xy 193.400782 65.401327) + (xy 193.4005 65.394877) + (xy 193.4005 65.15) + (xy 193.9 65.15) + (xy 193.9 65.424622) + (xy 193.914505 65.497545) + (xy 193.969759 65.580239) + (xy 193.96976 65.58024) + (xy 194.052455 65.635495) + (xy 194.1 65.644952) + (xy 194.1 65.15) + (xy 193.9 65.15) + (xy 193.4005 65.15) + (xy 193.4005 64.85) + (xy 193.9 64.85) + (xy 194.1 64.85) + (xy 194.1 64.355046) + (xy 194.099999 64.355046) + (xy 194.052455 64.364504) + (xy 193.96976 64.419759) + (xy 193.969759 64.41976) + (xy 193.914505 64.502454) + (xy 193.9 64.575377) + (xy 193.9 64.85) + (xy 193.4005 64.85) (xy 193.4005 61.79694) (xy 193.6745 61.79694) (xy 193.674502 61.796956) @@ -68340,7 +68643,7 @@ (xy 195.269399 62.719398) (xy 195.269398 62.719399) (xy 195.214034 62.802259) - (xy 195.211712 62.813934) + (xy 195.212807 62.808428) (xy 195.1995 62.875326) (xy 195.1995 63.724674) (xy 194.6005 63.724674) @@ -68359,7 +68662,7 @@ (xy 194.6505 62.118511) (xy 194.667813 62.070945) (xy 194.672174 62.066185) - (xy 194.688359 62.05) + (xy 194.676761 62.061598) (xy 194.762012 61.976347) (xy 194.81522 61.867509) (xy 194.8255 61.796949) @@ -68522,6 +68825,29 @@ (xy 193.6745 61.203045) (xy 193.6745 61.79694) (xy 193.4005 61.79694) + (xy 193.4005 58.9) + (xy 193.9 58.9) + (xy 193.9 59.174622) + (xy 193.914505 59.247545) + (xy 193.969759 59.330239) + (xy 193.96976 59.33024) + (xy 194.052455 59.385495) + (xy 194.1 59.394952) + (xy 194.1 58.9) + (xy 193.9 58.9) + (xy 193.4005 58.9) + (xy 193.4005 58.6) + (xy 193.9 58.6) + (xy 194.1 58.6) + (xy 194.1 58.105046) + (xy 194.099999 58.105046) + (xy 194.052455 58.114504) + (xy 193.96976 58.169759) + (xy 193.969759 58.16976) + (xy 193.914505 58.252454) + (xy 193.9 58.325377) + (xy 193.9 58.6) + (xy 193.4005 58.6) (xy 193.4005 55.54694) (xy 193.6745 55.54694) (xy 193.674502 55.546956) @@ -69584,8 +69910,6 @@ (xy 105.1245 65.314425) (xy 105.123589 65.326001) (xy 105.119777 65.350063) - (xy 105.120022 65.350977) - (xy 105.121841 65.357765) (xy 105.121978 65.358274) (xy 105.1245 65.377428) (xy 105.1245 108.267138) @@ -69902,6 +70226,119 @@ (xy 153.486578 48.743273) (xy 153.486253 48.741012) (xy 153.4855 48.730482) + (xy 153.4855 48.65) + (xy 166.244508 48.65) + (xy 166.264353 48.775301) + (xy 166.264353 48.775302) + (xy 166.264354 48.775304) + (xy 166.32195 48.888342) + (xy 166.411658 48.97805) + (xy 166.524696 49.035646) + (xy 166.65 49.055492) + (xy 166.775304 49.035646) + (xy 166.81246 49.016714) + (xy 166.862701 49.010545) + (xy 166.905154 49.038114) + (xy 166.913122 49.051374) + (xy 166.921247 49.068797) + (xy 166.954253 49.139579) + (xy 167.035421 49.220747) + (xy 167.139455 49.269259) + (xy 167.186861 49.2755) + (xy 167.613138 49.275499) + (xy 167.660545 49.269259) + (xy 167.764579 49.220747) + (xy 167.845747 49.139579) + (xy 167.894259 49.035545) + (xy 167.9005 48.988139) + (xy 167.9005 48.988137) + (xy 168.4995 48.988137) + (xy 168.50574 49.035543) + (xy 168.505741 49.035545) + (xy 168.554253 49.139579) + (xy 168.635421 49.220747) + (xy 168.739455 49.269259) + (xy 168.786861 49.2755) + (xy 169.213138 49.275499) + (xy 169.260545 49.269259) + (xy 169.364579 49.220747) + (xy 169.445747 49.139579) + (xy 169.494259 49.035545) + (xy 169.494259 49.035542) + (xy 169.496476 49.030789) + (xy 169.532269 48.994995) + (xy 169.582695 48.990583) + (xy 169.597137 48.996127) + (xy 169.674695 49.035645) + (xy 169.674695 49.035646) + (xy 169.690278 49.038114) + (xy 169.8 49.055492) + (xy 169.925304 49.035646) + (xy 170.038342 48.97805) + (xy 170.12805 48.888342) + (xy 170.185646 48.775304) + (xy 170.205492 48.65) + (xy 170.185646 48.524696) + (xy 170.12805 48.411658) + (xy 170.038342 48.32195) + (xy 169.925304 48.264354) + (xy 169.925302 48.264353) + (xy 169.925301 48.264353) + (xy 169.8 48.244508) + (xy 169.674698 48.264353) + (xy 169.674696 48.264353) + (xy 169.674696 48.264354) + (xy 169.640508 48.281774) + (xy 169.597138 48.303872) + (xy 169.546896 48.31004) + (xy 169.504444 48.282471) + (xy 169.496476 48.269211) + (xy 169.494259 48.264456) + (xy 169.494259 48.264455) + (xy 169.445747 48.160421) + (xy 169.364579 48.079253) + (xy 169.260545 48.030741) + (xy 169.260543 48.03074) + (xy 169.225619 48.026142) + (xy 169.213139 48.0245) + (xy 169.213137 48.0245) + (xy 168.786862 48.0245) + (xy 168.739456 48.03074) + (xy 168.739454 48.030741) + (xy 168.63542 48.079253) + (xy 168.554253 48.16042) + (xy 168.50574 48.264456) + (xy 168.4995 48.311862) + (xy 168.4995 48.988137) + (xy 167.9005 48.988137) + (xy 167.900499 48.311862) + (xy 167.894259 48.264455) + (xy 167.845747 48.160421) + (xy 167.764579 48.079253) + (xy 167.660545 48.030741) + (xy 167.660543 48.03074) + (xy 167.625619 48.026142) + (xy 167.613139 48.0245) + (xy 167.613137 48.0245) + (xy 167.186862 48.0245) + (xy 167.139456 48.03074) + (xy 167.139454 48.030741) + (xy 167.03542 48.079253) + (xy 166.954253 48.16042) + (xy 166.954253 48.160421) + (xy 166.913122 48.248626) + (xy 166.877328 48.284419) + (xy 166.826902 48.28883) + (xy 166.81246 48.283286) + (xy 166.775304 48.264354) + (xy 166.775304 48.264353) + (xy 166.65 48.244508) + (xy 166.524698 48.264353) + (xy 166.411656 48.321951) + (xy 166.321951 48.411656) + (xy 166.264353 48.524698) + (xy 166.244508 48.65) + (xy 153.4855 48.65) (xy 153.4855 48.475576) (xy 153.502813 48.42801) (xy 153.525905 48.409641) @@ -71986,7 +72423,7 @@ (xy 189.914687 48.730801) (xy 189.862012 48.623053) (xy 189.776347 48.537388) - (xy 189.732066 48.51574) + (xy 189.756025 48.527453) (xy 189.66751 48.48418) (xy 189.638854 48.480005) (xy 189.594282 48.456014) @@ -81359,7 +81796,140 @@ (xy 131.385646 93.775304) (xy 131.405492 93.65) (xy 131.385646 93.524696) - (xy 131.373063 93.5) + (xy 131.32805 93.411658) + (xy 131.238342 93.32195) + (xy 131.125304 93.264354) + (xy 131.125302 93.264353) + (xy 131.125301 93.264353) + (xy 131 93.244508) + (xy 130.874698 93.264353) + (xy 130.874696 93.264353) + (xy 130.874696 93.264354) + (xy 130.832908 93.285646) + (xy 130.761656 93.321951) + (xy 130.671951 93.411656) + (xy 130.614353 93.524698) + (xy 130.594508 93.65) + (xy 126.805492 93.65) + (xy 126.785646 93.524696) + (xy 126.72805 93.411658) + (xy 126.638342 93.32195) + (xy 126.525304 93.264354) + (xy 126.525302 93.264353) + (xy 126.525301 93.264353) + (xy 126.4 93.244508) + (xy 126.274698 93.264353) + (xy 126.274696 93.264353) + (xy 126.274696 93.264354) + (xy 126.232908 93.285646) + (xy 126.161656 93.321951) + (xy 126.071951 93.411656) + (xy 126.014353 93.524698) + (xy 125.994508 93.65) + (xy 103.6579 93.65) + (xy 103.6579 92.950003) + (xy 119.794722 92.950003) + (xy 119.813761 93.106808) + (xy 119.813764 93.106822) + (xy 119.869778 93.254521) + (xy 119.921424 93.329343) + (xy 119.959517 93.38453) + (xy 120.07776 93.489283) + (xy 120.217635 93.562696) + (xy 120.371015 93.6005) + (xy 120.371019 93.6005) + (xy 120.528981 93.6005) + (xy 120.528985 93.6005) + (xy 120.682365 93.562696) + (xy 120.82224 93.489283) + (xy 120.940483 93.38453) + (xy 121.03022 93.254523) + (xy 121.086237 93.106818) + (xy 121.104611 92.955492) + (xy 121.105278 92.950003) + (xy 121.105278 92.949996) + (xy 121.099207 92.9) + (xy 129.894508 92.9) + (xy 129.914353 93.025301) + (xy 129.914353 93.025302) + (xy 129.914354 93.025304) + (xy 129.97195 93.138342) + (xy 130.061658 93.22805) + (xy 130.174696 93.285646) + (xy 130.3 93.305492) + (xy 130.425304 93.285646) + (xy 130.538342 93.22805) + (xy 130.62805 93.138342) + (xy 130.652172 93.091) + (xy 137.643508 93.091) + (xy 137.663353 93.216301) + (xy 137.663353 93.216302) + (xy 137.663354 93.216304) + (xy 137.72095 93.329342) + (xy 137.810658 93.41905) + (xy 137.923696 93.476646) + (xy 138.049 93.496492) + (xy 138.05842 93.495) + (xy 169.126435 93.495) + (xy 169.130969 93.541035) + (xy 169.188151 93.729539) + (xy 169.281004 93.903252) + (xy 169.281013 93.903266) + (xy 169.405971 94.055526) + (xy 169.405973 94.055528) + (xy 169.558233 94.180486) + (xy 169.558247 94.180495) + (xy 169.73196 94.273348) + (xy 169.920464 94.33053) + (xy 169.9665 94.335064) + (xy 169.9665 93.82617) + (xy 169.974185 93.82968) + (xy 170.080737 93.845) + (xy 170.152263 93.845) + (xy 170.258815 93.82968) + (xy 170.2665 93.82617) + (xy 170.2665 94.335064) + (xy 170.312535 94.33053) + (xy 170.501039 94.273348) + (xy 170.674752 94.180495) + (xy 170.674766 94.180486) + (xy 170.827026 94.055528) + (xy 170.827028 94.055526) + (xy 170.951986 93.903266) + (xy 170.951995 93.903252) + (xy 171.044848 93.729539) + (xy 171.10203 93.541035) + (xy 171.106565 93.495) + (xy 173.507935 93.495) + (xy 173.512469 93.541035) + (xy 173.569651 93.729539) + (xy 173.662504 93.903252) + (xy 173.662513 93.903266) + (xy 173.787471 94.055526) + (xy 173.787473 94.055528) + (xy 173.939733 94.180486) + (xy 173.939747 94.180495) + (xy 174.11346 94.273348) + (xy 174.301964 94.33053) + (xy 174.348 94.335064) + (xy 174.348 93.82617) + (xy 174.355685 93.82968) + (xy 174.462237 93.845) + (xy 174.533763 93.845) + (xy 174.640315 93.82968) + (xy 174.648 93.82617) + (xy 174.648 94.335064) + (xy 174.694035 94.33053) + (xy 174.882539 94.273348) + (xy 175.056252 94.180495) + (xy 175.056266 94.180486) + (xy 175.208526 94.055528) + (xy 175.208528 94.055526) + (xy 175.333486 93.903266) + (xy 175.333495 93.903252) + (xy 175.426348 93.729539) + (xy 175.48353 93.541035) + (xy 175.487572 93.5) (xy 181.994508 93.5) (xy 182.014353 93.625301) (xy 182.014353 93.625302) @@ -81411,98 +81981,20 @@ (xy 182.071951 93.261656) (xy 182.014353 93.374698) (xy 181.994508 93.5) - (xy 131.373063 93.5) - (xy 131.32805 93.411658) - (xy 131.238342 93.32195) - (xy 131.125304 93.264354) - (xy 131.125302 93.264353) - (xy 131.125301 93.264353) - (xy 131 93.244508) - (xy 130.874698 93.264353) - (xy 130.761656 93.321951) - (xy 130.671951 93.411656) - (xy 130.614353 93.524698) - (xy 130.594508 93.65) - (xy 126.805492 93.65) - (xy 126.785646 93.524696) - (xy 126.72805 93.411658) - (xy 126.638342 93.32195) - (xy 126.525304 93.264354) - (xy 126.525302 93.264353) - (xy 126.525301 93.264353) - (xy 126.4 93.244508) - (xy 126.274698 93.264353) - (xy 126.161656 93.321951) - (xy 126.071951 93.411656) - (xy 126.014353 93.524698) - (xy 125.994508 93.65) - (xy 103.6579 93.65) - (xy 103.6579 92.950003) - (xy 119.794722 92.950003) - (xy 119.813761 93.106808) - (xy 119.813764 93.106822) - (xy 119.869778 93.254521) - (xy 119.921424 93.329343) - (xy 119.959517 93.38453) - (xy 120.07776 93.489283) - (xy 120.217635 93.562696) - (xy 120.371015 93.6005) - (xy 120.371019 93.6005) - (xy 120.528981 93.6005) - (xy 120.528985 93.6005) - (xy 120.682365 93.562696) - (xy 120.82224 93.489283) - (xy 120.940483 93.38453) - (xy 121.03022 93.254523) - (xy 121.086237 93.106818) - (xy 121.104611 92.955492) - (xy 121.105278 92.950003) - (xy 121.105278 92.949996) - (xy 121.099207 92.9) - (xy 129.894508 92.9) - (xy 129.914353 93.025301) - (xy 129.914353 93.025302) - (xy 129.914354 93.025304) - (xy 129.97195 93.138342) - (xy 130.061658 93.22805) - (xy 130.174696 93.285646) - (xy 130.3 93.305492) - (xy 130.425304 93.285646) - (xy 130.538342 93.22805) - (xy 130.62805 93.138342) - (xy 130.652172 93.091) - (xy 137.643508 93.091) - (xy 137.663353 93.216301) - (xy 137.663353 93.216302) - (xy 137.663354 93.216304) - (xy 137.72095 93.329342) - (xy 137.810658 93.41905) - (xy 137.923696 93.476646) - (xy 138.049 93.496492) - (xy 138.174304 93.476646) - (xy 138.287342 93.41905) - (xy 138.37705 93.329342) - (xy 138.434646 93.216304) - (xy 138.454492 93.091) - (xy 138.434646 92.965696) - (xy 138.37705 92.852658) - (xy 138.287342 92.76295) - (xy 138.174304 92.705354) - (xy 138.174302 92.705353) - (xy 138.174301 92.705353) - (xy 138.049 92.685508) - (xy 137.923698 92.705353) - (xy 137.810656 92.762951) - (xy 137.720951 92.852656) - (xy 137.663353 92.965698) - (xy 137.643508 93.091) - (xy 130.652172 93.091) - (xy 130.685646 93.025304) - (xy 130.705492 92.9) - (xy 130.685646 92.774696) - (xy 130.62805 92.661658) - (xy 130.538342 92.57195) - (xy 130.495263 92.55) + (xy 175.487572 93.5) + (xy 175.488065 93.495) + (xy 174.975065 93.495) + (xy 174.998 93.416889) + (xy 174.998 93.273111) + (xy 174.975065 93.195) + (xy 175.488064 93.195) + (xy 175.48353 93.148964) + (xy 175.426348 92.96046) + (xy 175.333495 92.786747) + (xy 175.333486 92.786733) + (xy 175.208528 92.634473) + (xy 175.208526 92.634471) + (xy 175.105599 92.55) (xy 191.744508 92.55) (xy 191.764353 92.675301) (xy 191.764353 92.675302) @@ -81528,7 +82020,99 @@ (xy 191.821951 92.311656) (xy 191.764353 92.424698) (xy 191.744508 92.55) - (xy 130.495263 92.55) + (xy 175.105599 92.55) + (xy 175.056266 92.509513) + (xy 175.056252 92.509504) + (xy 174.882539 92.416651) + (xy 174.694037 92.359469) + (xy 174.648 92.354934) + (xy 174.648 92.863829) + (xy 174.640315 92.86032) + (xy 174.533763 92.845) + (xy 174.462237 92.845) + (xy 174.355685 92.86032) + (xy 174.348 92.863829) + (xy 174.348 92.354934) + (xy 174.301962 92.359469) + (xy 174.11346 92.416651) + (xy 173.939747 92.509504) + (xy 173.939733 92.509513) + (xy 173.787473 92.634471) + (xy 173.787471 92.634473) + (xy 173.662513 92.786733) + (xy 173.662504 92.786747) + (xy 173.569651 92.96046) + (xy 173.512469 93.148964) + (xy 173.507935 93.195) + (xy 174.020935 93.195) + (xy 173.998 93.273111) + (xy 173.998 93.416889) + (xy 174.020935 93.495) + (xy 173.507935 93.495) + (xy 171.106565 93.495) + (xy 170.593565 93.495) + (xy 170.6165 93.416889) + (xy 170.6165 93.273111) + (xy 170.593565 93.195) + (xy 171.106564 93.195) + (xy 171.10203 93.148964) + (xy 171.044848 92.96046) + (xy 170.951995 92.786747) + (xy 170.951986 92.786733) + (xy 170.827028 92.634473) + (xy 170.827026 92.634471) + (xy 170.674766 92.509513) + (xy 170.674752 92.509504) + (xy 170.501039 92.416651) + (xy 170.312537 92.359469) + (xy 170.2665 92.354934) + (xy 170.2665 92.863829) + (xy 170.258815 92.86032) + (xy 170.152263 92.845) + (xy 170.080737 92.845) + (xy 169.974185 92.86032) + (xy 169.9665 92.863829) + (xy 169.9665 92.354934) + (xy 169.920462 92.359469) + (xy 169.73196 92.416651) + (xy 169.558247 92.509504) + (xy 169.558233 92.509513) + (xy 169.405973 92.634471) + (xy 169.405971 92.634473) + (xy 169.281013 92.786733) + (xy 169.281004 92.786747) + (xy 169.188151 92.96046) + (xy 169.130969 93.148964) + (xy 169.126435 93.195) + (xy 169.639435 93.195) + (xy 169.6165 93.273111) + (xy 169.6165 93.416889) + (xy 169.639435 93.495) + (xy 169.126435 93.495) + (xy 138.05842 93.495) + (xy 138.174304 93.476646) + (xy 138.287342 93.41905) + (xy 138.37705 93.329342) + (xy 138.434646 93.216304) + (xy 138.454492 93.091) + (xy 138.434646 92.965696) + (xy 138.37705 92.852658) + (xy 138.287342 92.76295) + (xy 138.174304 92.705354) + (xy 138.174302 92.705353) + (xy 138.174301 92.705353) + (xy 138.049 92.685508) + (xy 137.923698 92.705353) + (xy 137.810656 92.762951) + (xy 137.720951 92.852656) + (xy 137.663353 92.965698) + (xy 137.643508 93.091) + (xy 130.652172 93.091) + (xy 130.685646 93.025304) + (xy 130.705492 92.9) + (xy 130.685646 92.774696) + (xy 130.62805 92.661658) + (xy 130.538342 92.57195) (xy 130.425304 92.514354) (xy 130.425302 92.514353) (xy 130.425301 92.514353) @@ -81544,7 +82128,7 @@ (xy 121.074772 92.76295) (xy 121.030221 92.645478) (xy 120.940483 92.51547) - (xy 120.939222 92.514353) + (xy 120.933749 92.509504) (xy 120.82224 92.410717) (xy 120.810139 92.404366) (xy 120.682366 92.337304) @@ -81844,10 +82428,42 @@ (xy 128.024696 91.485646) (xy 128.15 91.505492) (xy 128.275304 91.485646) - (xy 128.388342 91.42805) - (xy 128.47805 91.338342) - (xy 128.535646 91.225304) - (xy 128.539654 91.2) + (xy 128.371721 91.436519) + (xy 169.116 91.436519) + (xy 169.130853 91.530304) + (xy 169.130854 91.530306) + (xy 169.169164 91.605491) + (xy 169.18845 91.643342) + (xy 169.278158 91.73305) + (xy 169.391196 91.790646) + (xy 169.484981 91.8055) + (xy 170.748018 91.805499) + (xy 170.748019 91.805499) + (xy 170.841804 91.790646) + (xy 170.841806 91.790645) + (xy 170.843919 91.789568) + (xy 170.954842 91.73305) + (xy 171.04455 91.643342) + (xy 171.102146 91.530304) + (xy 171.117 91.436519) + (xy 173.4975 91.436519) + (xy 173.512353 91.530304) + (xy 173.512354 91.530306) + (xy 173.550664 91.605491) + (xy 173.56995 91.643342) + (xy 173.659658 91.73305) + (xy 173.772696 91.790646) + (xy 173.866481 91.8055) + (xy 175.129518 91.805499) + (xy 175.129519 91.805499) + (xy 175.223304 91.790646) + (xy 175.223306 91.790645) + (xy 175.225419 91.789568) + (xy 175.336342 91.73305) + (xy 175.42605 91.643342) + (xy 175.483646 91.530304) + (xy 175.4985 91.436519) + (xy 175.4985 91.2) (xy 191.744508 91.2) (xy 191.764353 91.325301) (xy 191.764353 91.325302) @@ -81873,7 +82489,161 @@ (xy 191.821951 90.961656) (xy 191.764353 91.074698) (xy 191.744508 91.2) - (xy 128.539654 91.2) + (xy 175.4985 91.2) + (xy 175.498499 90.5) + (xy 176.044867 90.5) + (xy 176.063302 90.628223) + (xy 176.063302 90.628224) + (xy 176.063303 90.628226) + (xy 176.117118 90.746063) + (xy 176.201951 90.843967) + (xy 176.310931 90.914004) + (xy 176.435228 90.9505) + (xy 176.564772 90.9505) + (xy 176.689069 90.914004) + (xy 176.798049 90.843967) + (xy 176.882882 90.746063) + (xy 176.936697 90.628226) + (xy 176.955133 90.5) + (xy 178.344867 90.5) + (xy 178.363302 90.628223) + (xy 178.363302 90.628224) + (xy 178.363303 90.628226) + (xy 178.417118 90.746063) + (xy 178.501951 90.843967) + (xy 178.610931 90.914004) + (xy 178.735228 90.9505) + (xy 178.864772 90.9505) + (xy 178.989069 90.914004) + (xy 179.098049 90.843967) + (xy 179.182882 90.746063) + (xy 179.236697 90.628226) + (xy 179.240755 90.6) + (xy 189.544508 90.6) + (xy 189.564353 90.725301) + (xy 189.564353 90.725302) + (xy 189.564354 90.725304) + (xy 189.62195 90.838342) + (xy 189.711658 90.92805) + (xy 189.824696 90.985646) + (xy 189.95 91.005492) + (xy 190.075304 90.985646) + (xy 190.188342 90.92805) + (xy 190.27805 90.838342) + (xy 190.335646 90.725304) + (xy 190.355492 90.6) + (xy 190.335646 90.474696) + (xy 190.27805 90.361658) + (xy 190.188342 90.27195) + (xy 190.075304 90.214354) + (xy 190.075302 90.214353) + (xy 190.075301 90.214353) + (xy 189.95 90.194508) + (xy 189.824698 90.214353) + (xy 189.711656 90.271951) + (xy 189.621951 90.361656) + (xy 189.564353 90.474698) + (xy 189.544508 90.6) + (xy 179.240755 90.6) + (xy 179.255133 90.5) + (xy 179.236697 90.371774) + (xy 179.182882 90.253937) + (xy 179.098049 90.156033) + (xy 179.031032 90.112964) + (xy 178.989068 90.085995) + (xy 178.864772 90.0495) + (xy 178.735228 90.0495) + (xy 178.610931 90.085995) + (xy 178.501954 90.156031) + (xy 178.50195 90.156034) + (xy 178.417119 90.253935) + (xy 178.363302 90.371776) + (xy 178.344867 90.5) + (xy 176.955133 90.5) + (xy 176.936697 90.371774) + (xy 176.882882 90.253937) + (xy 176.798049 90.156033) + (xy 176.731032 90.112964) + (xy 176.689068 90.085995) + (xy 176.564772 90.0495) + (xy 176.435228 90.0495) + (xy 176.310931 90.085995) + (xy 176.201954 90.156031) + (xy 176.20195 90.156034) + (xy 176.117119 90.253935) + (xy 176.063302 90.371776) + (xy 176.044867 90.5) + (xy 175.498499 90.5) + (xy 175.498499 90.173482) + (xy 175.495735 90.156031) + (xy 175.483646 90.079695) + (xy 175.483645 90.079693) + (xy 175.426049 89.966657) + (xy 175.409392 89.95) + (xy 191.744508 89.95) + (xy 191.764353 90.075301) + (xy 191.764353 90.075302) + (xy 191.764354 90.075304) + (xy 191.82195 90.188342) + (xy 191.911658 90.27805) + (xy 192.024696 90.335646) + (xy 192.15 90.355492) + (xy 192.275304 90.335646) + (xy 192.388342 90.27805) + (xy 192.47805 90.188342) + (xy 192.535646 90.075304) + (xy 192.555492 89.95) + (xy 192.535646 89.824696) + (xy 192.47805 89.711658) + (xy 192.388342 89.62195) + (xy 192.275304 89.564354) + (xy 192.275302 89.564353) + (xy 192.275301 89.564353) + (xy 192.15 89.544508) + (xy 192.024698 89.564353) + (xy 191.911656 89.621951) + (xy 191.821951 89.711656) + (xy 191.764353 89.824698) + (xy 191.744508 89.95) + (xy 175.409392 89.95) + (xy 175.336343 89.876951) + (xy 175.336342 89.87695) + (xy 175.223304 89.819354) + (xy 175.223302 89.819353) + (xy 175.223301 89.819353) + (xy 175.129519 89.8045) + (xy 173.86648 89.8045) + (xy 173.772695 89.819353) + (xy 173.772693 89.819354) + (xy 173.659657 89.87695) + (xy 173.569951 89.966656) + (xy 173.512353 90.079698) + (xy 173.4975 90.17348) + (xy 173.4975 91.436519) + (xy 171.117 91.436519) + (xy 171.116999 90.173482) + (xy 171.114235 90.156031) + (xy 171.102146 90.079695) + (xy 171.102145 90.079693) + (xy 171.044549 89.966657) + (xy 170.954843 89.876951) + (xy 170.954842 89.87695) + (xy 170.841804 89.819354) + (xy 170.841802 89.819353) + (xy 170.841801 89.819353) + (xy 170.748019 89.8045) + (xy 169.48498 89.8045) + (xy 169.391195 89.819353) + (xy 169.391193 89.819354) + (xy 169.278157 89.87695) + (xy 169.188451 89.966656) + (xy 169.130853 90.079698) + (xy 169.116 90.17348) + (xy 169.116 91.436519) + (xy 128.371721 91.436519) + (xy 128.388342 91.42805) + (xy 128.47805 91.338342) + (xy 128.535646 91.225304) (xy 128.555492 91.1) (xy 128.535646 90.974696) (xy 128.47805 90.861658) @@ -81896,21 +82666,69 @@ (xy 121.068367 90.746063) (xy 121.030221 90.645478) (xy 120.940483 90.51547) - (xy 120.826871 90.41482) - (xy 120.82687 90.414819) - (xy 132.7495 90.414819) - (xy 132.758233 90.458722) - (xy 132.784509 90.498048) - (xy 132.791496 90.508504) - (xy 132.841278 90.541767) - (xy 132.88518 90.5505) - (xy 132.885181 90.5505) - (xy 134.614819 90.5505) - (xy 134.61482 90.5505) - (xy 134.658722 90.541767) - (xy 134.708504 90.508504) - (xy 134.741767 90.458722) - (xy 134.7505 90.41482) + (xy 120.894458 90.474696) + (xy 120.82224 90.410717) + (xy 120.810139 90.404366) + (xy 120.682366 90.337304) + (xy 120.528987 90.2995) + (xy 120.528985 90.2995) + (xy 120.371015 90.2995) + (xy 120.371012 90.2995) + (xy 120.217633 90.337304) + (xy 120.077761 90.410716) + (xy 119.959516 90.51547) + (xy 119.869779 90.645478) + (xy 119.869778 90.645478) + (xy 119.813764 90.793177) + (xy 119.813761 90.793191) + (xy 119.794722 90.949996) + (xy 119.794722 90.950003) + (xy 119.605492 90.950003) + (xy 119.605492 90.95) + (xy 119.585646 90.824696) + (xy 119.52805 90.711658) + (xy 119.438342 90.62195) + (xy 119.325304 90.564354) + (xy 119.325302 90.564353) + (xy 119.325301 90.564353) + (xy 119.2 90.544508) + (xy 119.074698 90.564353) + (xy 118.961656 90.621951) + (xy 118.871951 90.711656) + (xy 118.814353 90.824698) + (xy 118.794508 90.95) + (xy 111.105492 90.95) + (xy 111.085646 90.824696) + (xy 111.02805 90.711658) + (xy 110.938342 90.62195) + (xy 110.825304 90.564354) + (xy 110.825302 90.564353) + (xy 110.825301 90.564353) + (xy 110.7 90.544508) + (xy 110.574698 90.564353) + (xy 110.461656 90.621951) + (xy 110.371951 90.711656) + (xy 110.314353 90.824698) + (xy 110.294508 90.95) + (xy 103.6579 90.95) + (xy 103.6579 90.181519) + (xy 132.7495 90.181519) + (xy 132.764353 90.275304) + (xy 132.764354 90.275306) + (xy 132.805212 90.355492) + (xy 132.82195 90.388342) + (xy 132.911658 90.47805) + (xy 133.024696 90.535646) + (xy 133.118481 90.5505) + (xy 134.381518 90.550499) + (xy 134.381519 90.550499) + (xy 134.475304 90.535646) + (xy 134.475306 90.535645) + (xy 134.514901 90.51547) + (xy 134.588342 90.47805) + (xy 134.67805 90.388342) + (xy 134.735646 90.275304) + (xy 134.7505 90.181519) (xy 134.7505 90.17) (xy 138.024508 90.17) (xy 138.044353 90.295301) @@ -82051,122 +82869,11 @@ (xy 151.639696 90.555646) (xy 151.765 90.575492) (xy 151.890304 90.555646) - (xy 151.999515 90.5) - (xy 172.644867 90.5) - (xy 172.663302 90.628223) - (xy 172.663302 90.628224) - (xy 172.663303 90.628226) - (xy 172.717118 90.746063) - (xy 172.801951 90.843967) - (xy 172.910931 90.914004) - (xy 173.035228 90.9505) - (xy 173.164772 90.9505) - (xy 173.289069 90.914004) - (xy 173.398049 90.843967) - (xy 173.482882 90.746063) - (xy 173.536697 90.628226) - (xy 173.555133 90.5) - (xy 174.944867 90.5) - (xy 174.963302 90.628223) - (xy 174.963302 90.628224) - (xy 174.963303 90.628226) - (xy 175.017118 90.746063) - (xy 175.101951 90.843967) - (xy 175.210931 90.914004) - (xy 175.335228 90.9505) - (xy 175.464772 90.9505) - (xy 175.589069 90.914004) - (xy 175.698049 90.843967) - (xy 175.782882 90.746063) - (xy 175.836697 90.628226) - (xy 175.840755 90.6) - (xy 189.544508 90.6) - (xy 189.564353 90.725301) - (xy 189.564353 90.725302) - (xy 189.564354 90.725304) - (xy 189.62195 90.838342) - (xy 189.711658 90.92805) - (xy 189.824696 90.985646) - (xy 189.95 91.005492) - (xy 190.075304 90.985646) - (xy 190.188342 90.92805) - (xy 190.27805 90.838342) - (xy 190.335646 90.725304) - (xy 190.355492 90.6) - (xy 190.335646 90.474696) - (xy 190.27805 90.361658) - (xy 190.188342 90.27195) - (xy 190.075304 90.214354) - (xy 190.075302 90.214353) - (xy 190.075301 90.214353) - (xy 189.95 90.194508) - (xy 189.824698 90.214353) - (xy 189.711656 90.271951) - (xy 189.621951 90.361656) - (xy 189.564353 90.474698) - (xy 189.544508 90.6) - (xy 175.840755 90.6) - (xy 175.855133 90.5) - (xy 175.836697 90.371774) - (xy 175.782882 90.253937) - (xy 175.698049 90.156033) - (xy 175.631032 90.112964) - (xy 175.589068 90.085995) - (xy 175.464772 90.0495) - (xy 175.335228 90.0495) - (xy 175.210931 90.085995) - (xy 175.101954 90.156031) - (xy 175.10195 90.156034) - (xy 175.017119 90.253935) - (xy 174.963302 90.371776) - (xy 174.944867 90.5) - (xy 173.555133 90.5) - (xy 173.536697 90.371774) - (xy 173.482882 90.253937) - (xy 173.398049 90.156033) - (xy 173.331032 90.112964) - (xy 173.289068 90.085995) - (xy 173.164772 90.0495) - (xy 173.035228 90.0495) - (xy 172.910931 90.085995) - (xy 172.801954 90.156031) - (xy 172.80195 90.156034) - (xy 172.717119 90.253935) - (xy 172.663302 90.371776) - (xy 172.644867 90.5) - (xy 151.999515 90.5) (xy 152.003342 90.49805) (xy 152.09305 90.408342) (xy 152.150646 90.295304) (xy 152.170492 90.17) (xy 152.150646 90.044696) - (xy 152.102396 89.95) - (xy 191.744508 89.95) - (xy 191.764353 90.075301) - (xy 191.764353 90.075302) - (xy 191.764354 90.075304) - (xy 191.82195 90.188342) - (xy 191.911658 90.27805) - (xy 192.024696 90.335646) - (xy 192.15 90.355492) - (xy 192.275304 90.335646) - (xy 192.388342 90.27805) - (xy 192.47805 90.188342) - (xy 192.535646 90.075304) - (xy 192.555492 89.95) - (xy 192.535646 89.824696) - (xy 192.47805 89.711658) - (xy 192.388342 89.62195) - (xy 192.275304 89.564354) - (xy 192.275302 89.564353) - (xy 192.275301 89.564353) - (xy 192.15 89.544508) - (xy 192.024698 89.564353) - (xy 191.911656 89.621951) - (xy 191.821951 89.711656) - (xy 191.764353 89.824698) - (xy 191.744508 89.95) - (xy 152.102396 89.95) (xy 152.09305 89.931658) (xy 152.003342 89.84195) (xy 151.890304 89.784354) @@ -82342,7 +83049,7 @@ (xy 138.044353 90.044698) (xy 138.024508 90.17) (xy 134.7505 90.17) - (xy 134.7505 89.408) + (xy 134.750499 89.408) (xy 152.629508 89.408) (xy 152.649353 89.533301) (xy 152.649353 89.533302) @@ -82357,21 +83064,21 @@ (xy 153.420646 89.533304) (xy 153.440492 89.408) (xy 153.431306 89.35) - (xy 173.794867 89.35) - (xy 173.813302 89.478223) - (xy 173.813302 89.478224) - (xy 173.813303 89.478226) - (xy 173.867118 89.596063) - (xy 173.951951 89.693967) - (xy 174.060931 89.764004) - (xy 174.185228 89.8005) - (xy 174.314772 89.8005) - (xy 174.439069 89.764004) - (xy 174.548049 89.693967) - (xy 174.632882 89.596063) - (xy 174.686697 89.478226) - (xy 174.705133 89.35) - (xy 174.697944 89.3) + (xy 177.194867 89.35) + (xy 177.213302 89.478223) + (xy 177.213302 89.478224) + (xy 177.213303 89.478226) + (xy 177.267118 89.596063) + (xy 177.351951 89.693967) + (xy 177.460931 89.764004) + (xy 177.585228 89.8005) + (xy 177.714772 89.8005) + (xy 177.839069 89.764004) + (xy 177.948049 89.693967) + (xy 178.032882 89.596063) + (xy 178.086697 89.478226) + (xy 178.105133 89.35) + (xy 178.097944 89.3) (xy 189.544508 89.3) (xy 189.564353 89.425301) (xy 189.564353 89.425302) @@ -82397,23 +83104,23 @@ (xy 189.621951 89.061656) (xy 189.564353 89.174698) (xy 189.544508 89.3) - (xy 174.697944 89.3) - (xy 174.686697 89.221774) - (xy 174.632882 89.103937) - (xy 174.548049 89.006033) - (xy 174.481032 88.962964) - (xy 174.439068 88.935995) - (xy 174.314772 88.8995) - (xy 174.185228 88.8995) - (xy 174.060931 88.935995) - (xy 173.951954 89.006031) - (xy 173.95195 89.006034) - (xy 173.867119 89.103935) - (xy 173.867118 89.103936) - (xy 173.867118 89.103937) - (xy 173.840758 89.161656) - (xy 173.813302 89.221776) - (xy 173.794867 89.35) + (xy 178.097944 89.3) + (xy 178.086697 89.221774) + (xy 178.032882 89.103937) + (xy 177.948049 89.006033) + (xy 177.881032 88.962964) + (xy 177.839068 88.935995) + (xy 177.714772 88.8995) + (xy 177.585228 88.8995) + (xy 177.460931 88.935995) + (xy 177.351954 89.006031) + (xy 177.35195 89.006034) + (xy 177.267119 89.103935) + (xy 177.267118 89.103936) + (xy 177.267118 89.103937) + (xy 177.240758 89.161656) + (xy 177.213302 89.221776) + (xy 177.194867 89.35) (xy 153.431306 89.35) (xy 153.420646 89.282696) (xy 153.36305 89.169658) @@ -82427,66 +83134,27 @@ (xy 152.706951 89.169656) (xy 152.649353 89.282698) (xy 152.629508 89.408) - (xy 134.7505 89.408) - (xy 134.7505 88.68518) - (xy 134.741767 88.641278) - (xy 134.708504 88.591496) - (xy 134.68838 88.57805) - (xy 134.658722 88.558233) - (xy 134.61482 88.5495) - (xy 132.88518 88.5495) - (xy 132.863229 88.553866) - (xy 132.841277 88.558233) - (xy 132.791496 88.591495) - (xy 132.791495 88.591496) - (xy 132.758233 88.641277) - (xy 132.7495 88.68518) - (xy 132.7495 90.414819) - (xy 120.82687 90.414819) - (xy 120.82224 90.410717) - (xy 120.810139 90.404366) - (xy 120.682366 90.337304) - (xy 120.528987 90.2995) - (xy 120.528985 90.2995) - (xy 120.371015 90.2995) - (xy 120.371012 90.2995) - (xy 120.217633 90.337304) - (xy 120.077761 90.410716) - (xy 119.959516 90.51547) - (xy 119.869779 90.645478) - (xy 119.869778 90.645478) - (xy 119.813764 90.793177) - (xy 119.813761 90.793191) - (xy 119.794722 90.949996) - (xy 119.794722 90.950003) - (xy 119.605492 90.950003) - (xy 119.605492 90.95) - (xy 119.585646 90.824696) - (xy 119.52805 90.711658) - (xy 119.438342 90.62195) - (xy 119.325304 90.564354) - (xy 119.325302 90.564353) - (xy 119.325301 90.564353) - (xy 119.2 90.544508) - (xy 119.074698 90.564353) - (xy 118.961656 90.621951) - (xy 118.871951 90.711656) - (xy 118.814353 90.824698) - (xy 118.794508 90.95) - (xy 111.105492 90.95) - (xy 111.085646 90.824696) - (xy 111.02805 90.711658) - (xy 110.938342 90.62195) - (xy 110.825304 90.564354) - (xy 110.825302 90.564353) - (xy 110.825301 90.564353) - (xy 110.7 90.544508) - (xy 110.574698 90.564353) - (xy 110.461656 90.621951) - (xy 110.371951 90.711656) - (xy 110.314353 90.824698) - (xy 110.294508 90.95) - (xy 103.6579 90.95) + (xy 134.750499 89.408) + (xy 134.750499 88.918482) + (xy 134.749845 88.914354) + (xy 134.735646 88.824695) + (xy 134.735645 88.824693) + (xy 134.678049 88.711657) + (xy 134.588343 88.621951) + (xy 134.588342 88.62195) + (xy 134.475304 88.564354) + (xy 134.475302 88.564353) + (xy 134.475301 88.564353) + (xy 134.381519 88.5495) + (xy 133.11848 88.5495) + (xy 133.024695 88.564353) + (xy 133.024693 88.564354) + (xy 132.911657 88.62195) + (xy 132.821951 88.711656) + (xy 132.764353 88.824698) + (xy 132.7495 88.91848) + (xy 132.7495 90.181519) + (xy 103.6579 90.181519) (xy 103.6579 88.950003) (xy 119.794722 88.950003) (xy 119.813761 89.106808) @@ -82537,7 +83205,7 @@ (xy 121.105278 88.949996) (xy 121.086238 88.793191) (xy 121.086237 88.793182) - (xy 121.05337 88.706518) + (xy 121.055319 88.711657) (xy 121.030221 88.645478) (xy 120.940483 88.51547) (xy 120.82224 88.410717) @@ -90309,46 +90977,7 @@ (xy 115.794722 63.499996) (xy 115.794722 63.500003) (xy 103.6579 63.500003) - (xy 103.6579 62.76482) - (xy 178.4995 62.76482) - (xy 178.508175 62.808428) - (xy 178.508233 62.808722) - (xy 178.535479 62.8495) - (xy 178.541496 62.858504) - (xy 178.591278 62.891767) - (xy 178.63518 62.9005) - (xy 178.635181 62.9005) - (xy 180.364819 62.9005) - (xy 180.36482 62.9005) - (xy 180.408722 62.891767) - (xy 180.458504 62.858504) - (xy 180.491767 62.808722) - (xy 180.5005 62.76482) - (xy 180.5005 62.05) - (xy 181.049935 62.05) - (xy 181.054469 62.096035) - (xy 181.111651 62.284539) - (xy 181.204504 62.458252) - (xy 181.204513 62.458266) - (xy 181.329471 62.610526) - (xy 181.329473 62.610528) - (xy 181.481733 62.735486) - (xy 181.481747 62.735495) - (xy 181.65546 62.828348) - (xy 181.843964 62.88553) - (xy 181.89 62.890064) - (xy 181.89 62.38117) - (xy 181.897685 62.38468) - (xy 182.004237 62.4) - (xy 182.075763 62.4) - (xy 182.182315 62.38468) - (xy 182.19 62.38117) - (xy 182.19 62.890064) - (xy 182.236035 62.88553) - (xy 182.424539 62.828348) - (xy 182.598252 62.735495) - (xy 182.598266 62.735486) - (xy 182.70243 62.65) + (xy 103.6579 62.65) (xy 191.744508 62.65) (xy 191.764353 62.775301) (xy 191.764353 62.775302) @@ -90401,16 +91030,42 @@ (xy 191.821951 62.411656) (xy 191.764353 62.524698) (xy 191.744508 62.65) - (xy 182.70243 62.65) - (xy 182.750526 62.610528) - (xy 182.750528 62.610526) - (xy 182.875486 62.458266) - (xy 182.875495 62.458252) - (xy 182.968348 62.284539) - (xy 183.02553 62.096035) - (xy 183.030065 62.05) - (xy 182.517065 62.05) - (xy 182.531746 62) + (xy 103.6579 62.65) + (xy 103.6579 61.500003) + (xy 117.794722 61.500003) + (xy 117.813761 61.656808) + (xy 117.813764 61.656822) + (xy 117.869778 61.804521) + (xy 117.918218 61.874698) + (xy 117.959517 61.93453) + (xy 118.07776 62.039283) + (xy 118.217635 62.112696) + (xy 118.371015 62.1505) + (xy 118.371019 62.1505) + (xy 118.528981 62.1505) + (xy 118.528985 62.1505) + (xy 118.682365 62.112696) + (xy 118.82224 62.039283) + (xy 118.940483 61.93453) + (xy 119.03022 61.804523) + (xy 119.086237 61.656818) + (xy 119.104429 61.506992) + (xy 119.105278 61.500003) + (xy 119.794722 61.500003) + (xy 119.813761 61.656808) + (xy 119.813764 61.656822) + (xy 119.869778 61.804521) + (xy 119.918218 61.874698) + (xy 119.959517 61.93453) + (xy 120.07776 62.039283) + (xy 120.217635 62.112696) + (xy 120.371015 62.1505) + (xy 120.371019 62.1505) + (xy 120.528981 62.1505) + (xy 120.528985 62.1505) + (xy 120.682365 62.112696) + (xy 120.82224 62.039283) + (xy 120.866582 62) (xy 189.544508 62) (xy 189.564353 62.125301) (xy 189.564353 62.125302) @@ -90436,14 +91091,14 @@ (xy 189.621951 61.761656) (xy 189.564353 61.874698) (xy 189.544508 62) - (xy 182.531746 62) - (xy 182.54 61.971889) - (xy 182.54 61.828111) - (xy 182.517065 61.75) - (xy 183.030064 61.75) - (xy 183.02553 61.703964) - (xy 182.968348 61.51546) - (xy 182.879906 61.35) + (xy 120.866582 62) + (xy 120.940483 61.93453) + (xy 121.03022 61.804523) + (xy 121.086237 61.656818) + (xy 121.104429 61.506992) + (xy 121.105278 61.500003) + (xy 121.105278 61.499996) + (xy 121.087065 61.35) (xy 191.744508 61.35) (xy 191.764353 61.475301) (xy 191.764353 61.475302) @@ -90469,96 +91124,7 @@ (xy 191.821951 61.111656) (xy 191.764353 61.224698) (xy 191.744508 61.35) - (xy 182.879906 61.35) - (xy 182.875495 61.341747) - (xy 182.875486 61.341733) - (xy 182.750528 61.189473) - (xy 182.750526 61.189471) - (xy 182.598266 61.064513) - (xy 182.598252 61.064504) - (xy 182.424539 60.971651) - (xy 182.236037 60.914469) - (xy 182.19 60.909934) - (xy 182.19 61.418829) - (xy 182.182315 61.41532) - (xy 182.075763 61.4) - (xy 182.004237 61.4) - (xy 181.897685 61.41532) - (xy 181.89 61.418829) - (xy 181.89 60.909934) - (xy 181.843962 60.914469) - (xy 181.65546 60.971651) - (xy 181.481747 61.064504) - (xy 181.481733 61.064513) - (xy 181.329473 61.189471) - (xy 181.329471 61.189473) - (xy 181.204513 61.341733) - (xy 181.204504 61.341747) - (xy 181.111651 61.51546) - (xy 181.054469 61.703964) - (xy 181.049935 61.75) - (xy 181.562935 61.75) - (xy 181.54 61.828111) - (xy 181.54 61.971889) - (xy 181.562935 62.05) - (xy 181.049935 62.05) - (xy 180.5005 62.05) - (xy 180.5005 61.03518) - (xy 180.491767 60.991278) - (xy 180.458504 60.941496) - (xy 180.453785 60.938343) - (xy 180.408722 60.908233) - (xy 180.36482 60.8995) - (xy 178.63518 60.8995) - (xy 178.613229 60.903866) - (xy 178.591277 60.908233) - (xy 178.541496 60.941495) - (xy 178.541495 60.941496) - (xy 178.508233 60.991277) - (xy 178.500919 61.028048) - (xy 178.4995 61.03518) - (xy 178.4995 62.76482) - (xy 103.6579 62.76482) - (xy 103.6579 61.500003) - (xy 117.794722 61.500003) - (xy 117.813761 61.656808) - (xy 117.813764 61.656822) - (xy 117.869778 61.804521) - (xy 117.918218 61.874698) - (xy 117.959517 61.93453) - (xy 118.07776 62.039283) - (xy 118.217635 62.112696) - (xy 118.371015 62.1505) - (xy 118.371019 62.1505) - (xy 118.528981 62.1505) - (xy 118.528985 62.1505) - (xy 118.682365 62.112696) - (xy 118.82224 62.039283) - (xy 118.940483 61.93453) - (xy 119.03022 61.804523) - (xy 119.086237 61.656818) - (xy 119.094551 61.588342) - (xy 119.105278 61.500003) - (xy 119.794722 61.500003) - (xy 119.813761 61.656808) - (xy 119.813764 61.656822) - (xy 119.869778 61.804521) - (xy 119.918218 61.874698) - (xy 119.959517 61.93453) - (xy 120.07776 62.039283) - (xy 120.217635 62.112696) - (xy 120.371015 62.1505) - (xy 120.371019 62.1505) - (xy 120.528981 62.1505) - (xy 120.528985 62.1505) - (xy 120.682365 62.112696) - (xy 120.82224 62.039283) - (xy 120.940483 61.93453) - (xy 121.03022 61.804523) - (xy 121.086237 61.656818) - (xy 121.094551 61.588342) - (xy 121.105278 61.500003) - (xy 121.105278 61.499996) + (xy 121.087065 61.35) (xy 121.086238 61.343191) (xy 121.086237 61.343182) (xy 121.078213 61.322025) @@ -90566,7 +91132,7 @@ (xy 120.940483 61.06547) (xy 120.898242 61.028048) (xy 120.82224 60.960717) - (xy 120.785616 60.941495) + (xy 120.791357 60.944508) (xy 120.682366 60.887304) (xy 120.528987 60.8495) (xy 120.528985 60.8495) @@ -90590,7 +91156,7 @@ (xy 118.940483 61.06547) (xy 118.898242 61.028048) (xy 118.82224 60.960717) - (xy 118.785616 60.941495) + (xy 118.791357 60.944508) (xy 118.682366 60.887304) (xy 118.528987 60.8495) (xy 118.528985 60.8495) @@ -91101,19 +91667,6 @@ (xy 168.660646 59.561304) (xy 168.680492 59.436) (xy 168.67479 59.4) - (xy 181.994008 59.4) - (xy 182.013853 59.525301) - (xy 182.013853 59.525302) - (xy 182.013854 59.525304) - (xy 182.07145 59.638342) - (xy 182.161158 59.72805) - (xy 182.274196 59.785646) - (xy 182.3995 59.805492) - (xy 182.524804 59.785646) - (xy 182.637842 59.72805) - (xy 182.72755 59.638342) - (xy 182.785146 59.525304) - (xy 182.804992 59.4) (xy 189.544508 59.4) (xy 189.564353 59.525301) (xy 189.564353 59.525302) @@ -91166,19 +91719,6 @@ (xy 189.621951 59.161656) (xy 189.564353 59.274698) (xy 189.544508 59.4) - (xy 182.804992 59.4) - (xy 182.785146 59.274696) - (xy 182.72755 59.161658) - (xy 182.637842 59.07195) - (xy 182.524804 59.014354) - (xy 182.524802 59.014353) - (xy 182.524801 59.014353) - (xy 182.3995 58.994508) - (xy 182.274198 59.014353) - (xy 182.161156 59.071951) - (xy 182.071451 59.161656) - (xy 182.013853 59.274698) - (xy 181.994008 59.4) (xy 168.67479 59.4) (xy 168.660646 59.310696) (xy 168.60305 59.197658) @@ -94038,6 +94578,59 @@ (xy 153.417882 49.014063) (xy 153.471697 48.896226) (xy 153.490133 48.768) + (xy 153.473167 48.65) + (xy 166.244508 48.65) + (xy 166.264353 48.775301) + (xy 166.264353 48.775302) + (xy 166.264354 48.775304) + (xy 166.32195 48.888342) + (xy 166.411658 48.97805) + (xy 166.524696 49.035646) + (xy 166.65 49.055492) + (xy 166.775304 49.035646) + (xy 166.888342 48.97805) + (xy 166.97805 48.888342) + (xy 167.035646 48.775304) + (xy 167.055492 48.65) + (xy 169.394508 48.65) + (xy 169.414353 48.775301) + (xy 169.414353 48.775302) + (xy 169.414354 48.775304) + (xy 169.47195 48.888342) + (xy 169.561658 48.97805) + (xy 169.674696 49.035646) + (xy 169.8 49.055492) + (xy 169.925304 49.035646) + (xy 170.038342 48.97805) + (xy 170.12805 48.888342) + (xy 170.185646 48.775304) + (xy 170.205492 48.65) + (xy 170.185646 48.524696) + (xy 170.12805 48.411658) + (xy 170.038342 48.32195) + (xy 169.925304 48.264354) + (xy 169.925302 48.264353) + (xy 169.925301 48.264353) + (xy 169.8 48.244508) + (xy 169.674698 48.264353) + (xy 169.561656 48.321951) + (xy 169.471951 48.411656) + (xy 169.414353 48.524698) + (xy 169.394508 48.65) + (xy 167.055492 48.65) + (xy 167.035646 48.524696) + (xy 166.97805 48.411658) + (xy 166.888342 48.32195) + (xy 166.775304 48.264354) + (xy 166.775302 48.264353) + (xy 166.775301 48.264353) + (xy 166.65 48.244508) + (xy 166.524698 48.264353) + (xy 166.411656 48.321951) + (xy 166.321951 48.411656) + (xy 166.264353 48.524698) + (xy 166.244508 48.65) + (xy 153.473167 48.65) (xy 153.471697 48.639774) (xy 153.417882 48.521937) (xy 153.333049 48.424033) @@ -94128,7 +94721,7 @@ (xy 106.646983 48.15578) (xy 106.646977 48.15579) (xy 106.533561 48.365363) - (xy 106.459412 48.581352) + (xy 106.478862 48.524696) (xy 106.456184 48.590756) (xy 106.41696 48.82581) (xy 106.41696 49.064114) @@ -94691,7 +95284,10 @@ (xy 112.090844 93.649999) (xy 112.090844 93.650003) (xy 112.093697 93.669851) - (xy 112.093699 93.669855) + (xy 112.093698 93.669854) + (xy 112.094075 93.672471) + (xy 112.094075 93.672472) + (xy 112.094553 93.675798) (xy 112.096519 93.689467) (xy 112.096519 93.71053) (xy 112.087475 93.773433) @@ -94778,7 +95374,7 @@ (xy 117.856237 93.480837) (xy 117.897866 93.432794) (xy 117.91378 93.419007) - (xy 117.913785 93.419004) + (xy 117.917076 93.416889) (xy 117.967247 93.384646) (xy 117.986399 93.3759) (xy 118.034583 93.361753) @@ -94939,7 +95535,7 @@ (xy 118.962525 92.523437) (xy 118.95348 92.460528) (xy 118.95348 92.439467) - (xy 118.962524 92.376564) + (xy 118.95348 92.439466) (xy 118.962525 92.376556) (xy 118.968456 92.356355) (xy 118.994859 92.298541) @@ -94962,7 +95558,7 @@ (xy 117.407389 85.609542) (xy 117.477608 85.624467) (xy 117.492321 85.629248) - (xy 117.579537 85.668079) + (xy 117.578021 85.667404) (xy 117.60681 85.680222) (xy 117.623483 85.687645) (xy 117.636878 85.695378) @@ -95550,7 +96146,7 @@ (xy 113.752446 75.491079) (xy 113.764771 75.389582) (xy 113.76904 75.372263) - (xy 113.796445 75.3) + (xy 113.779757 75.344004) (xy 113.805291 75.276673) (xy 113.813575 75.260887) (xy 113.871663 75.176732) @@ -95617,7 +96213,7 @@ (xy 117.802446 75.491079) (xy 117.814771 75.389582) (xy 117.81904 75.372263) - (xy 117.846445 75.3) + (xy 117.829757 75.344004) (xy 117.855291 75.276673) (xy 117.863575 75.260887) (xy 117.921663 75.176732) @@ -95704,7 +96300,7 @@ (xy 120.988424 59.296219) (xy 120.934923 59.287746) (xy 120.912903 59.280592) - (xy 120.909272 59.278742) + (xy 120.912901 59.280591) (xy 120.864637 59.255999) (xy 120.845909 59.242392) (xy 120.807606 59.204089) @@ -95752,7 +96348,7 @@ (xy 122.688424 59.296219) (xy 122.634923 59.287746) (xy 122.612903 59.280592) - (xy 122.609272 59.278742) + (xy 122.612901 59.280591) (xy 122.564637 59.255999) (xy 122.545909 59.242392) (xy 122.507606 59.204089) @@ -96059,7 +96655,8 @@ (xy 113.571087 44.6781) (xy 113.601362 44.849793) (xy 113.670414 45.009876) - (xy 113.670415 45.009877) + (xy 113.670417 45.00988) + (xy 113.680179 45.022992) (xy 113.774526 45.149722) (xy 113.845938 45.209644) (xy 113.908077 45.261786) @@ -96243,9 +96840,9 @@ (xy 106.424946 49.111968) (xy 106.456183 49.299164) (xy 106.533561 49.52456) - (xy 106.590677 49.630101) + (xy 106.59798 49.643595) (xy 106.646981 49.734141) - (xy 106.646983 49.734143) + (xy 106.688977 49.788098) (xy 106.790977 49.919149) (xy 106.79335 49.922197) (xy 106.968676 50.083595) @@ -98634,7 +99231,7 @@ (xy 109.907178 95.142131) (xy 109.907184 95.142148) (xy 109.92892 95.188758) - (xy 109.928921 95.188759) + (xy 109.956585 95.228268) (xy 109.960374 95.233678) (xy 112.716322 97.989626) (xy 112.721277 97.994371) @@ -99716,7 +100313,7 @@ (xy 204.34444 84.896654) (xy 204.327211 84.913883) (xy 204.318389 84.921417) - (xy 204.30681 84.92983) + (xy 204.306899 84.929766) (xy 204.298675 84.935741) (xy 204.294423 84.943106) (xy 204.282666 84.958427) @@ -104882,7 +105479,7 @@ (xy 199.749738 96.326516) (xy 199.749738 96.326518) (xy 199.729402 96.52) - (xy 199.741365 96.633823) + (xy 199.745594 96.674057) (xy 199.749739 96.713487) (xy 199.809855 96.898505) (xy 199.809854 96.898505) @@ -104911,7 +105508,7 @@ (xy 201.570262 96.713482) (xy 201.590598 96.52) (xy 202.269402 96.52) - (xy 202.281365 96.633823) + (xy 202.285594 96.674057) (xy 202.289739 96.713487) (xy 202.349855 96.898505) (xy 202.349854 96.898505) @@ -104940,7 +105537,7 @@ (xy 204.110262 96.713482) (xy 204.130598 96.52) (xy 204.809402 96.52) - (xy 204.821365 96.633823) + (xy 204.825594 96.674057) (xy 204.829739 96.713487) (xy 204.889855 96.898505) (xy 204.889854 96.898505) @@ -105219,27 +105816,19 @@ (xy 200.226135 93.160082) (xy 200.175639 93.163612) (xy 200.143711 93.144805) - (xy 197.947174 90.948268) - (xy 197.925782 90.902392) - (xy 197.9255 90.895942) - (xy 197.9255 89.203057) - (xy 197.942813 89.155491) - (xy 197.98665 89.130181) - (xy 198.0365 89.138971) - (xy 198.051826 89.150731) - (xy 199.825608 90.924513) - (xy 199.847 90.970389) - (xy 199.837368 91.013838) - (xy 199.809856 91.06149) - (xy 199.809855 91.061494) - (xy 199.749739 91.246512) - (xy 199.749738 91.246516) - (xy 199.749738 91.246518) - (xy 199.729402 91.44) - (xy 199.746796 91.605492) - (xy 199.749739 91.633487) + (xy 199.471205 92.472299) + (xy 198.79073 91.791825) + (xy 198.769339 91.74595) + (xy 198.78244 91.697055) + (xy 198.823904 91.668021) + (xy 198.843057 91.6655) + (xy 199.706377 91.6655) + (xy 199.753943 91.682813) + (xy 199.776755 91.716633) + (xy 199.809854 91.818504) (xy 199.809855 91.818505) - (xy 199.809854 91.818505) + (xy 199.809856 91.818508) + (xy 199.835005 91.862068) (xy 199.907128 91.986989) (xy 199.90713 91.986992) (xy 200.03731 92.131571) @@ -105392,14 +105981,24 @@ (xy 200.807853 90.525251) (xy 200.757274 90.5145) (xy 200.562726 90.5145) - (xy 200.537043 90.519959) - (xy 200.372432 90.554947) - (xy 200.226135 90.620082) - (xy 200.175639 90.623612) - (xy 200.143711 90.604805) - (xy 198.247174 88.708268) - (xy 198.225782 88.662392) - (xy 198.2255 88.655942) + (xy 200.512147 90.525251) + (xy 200.372431 90.554948) + (xy 200.372425 90.554949) + (xy 200.194705 90.634075) + (xy 200.194694 90.634081) + (xy 200.03731 90.748428) + (xy 199.90713 90.893007) + (xy 199.907128 90.89301) + (xy 199.809854 91.061495) + (xy 199.776755 91.163367) + (xy 199.745591 91.203255) + (xy 199.706377 91.2145) + (xy 198.688557 91.2145) + (xy 198.640991 91.197187) + (xy 198.636231 91.192826) + (xy 198.247174 90.803769) + (xy 198.225782 90.757893) + (xy 198.2255 90.751443) (xy 198.2255 87.280557) (xy 198.242813 87.232991) (xy 198.28665 87.207681) @@ -107393,7 +107992,7 @@ (xy 204.829739 58.613487) (xy 204.889855 58.798505) (xy 204.889854 58.798505) - (xy 204.984769 58.962902) + (xy 204.985731 58.964568) (xy 204.98713 58.966992) (xy 205.11405 59.107951) (xy 205.11731 59.111571) @@ -109568,6 +110167,9 @@ (xy 191.601954 84.906031) (xy 191.60195 84.906034) (xy 191.517119 85.003935) + (xy 191.517118 85.003936) + (xy 191.517118 85.003937) + (xy 191.49021 85.062855) (xy 191.463302 85.121776) (xy 191.444867 85.25) (xy 189.2255 85.25) @@ -110133,7 +110735,6 @@ (xy 190.197668 62.36335) (xy 190.206458 62.3135) (xy 190.218218 62.298174) - (xy 190.231853 62.284539) (xy 190.27805 62.238342) (xy 190.335646 62.125304) (xy 190.355492 62) @@ -113890,8 +114491,8 @@ (xy 164.6905 96.982932) (xy 164.6905 96.958572) (xy 164.691411 96.946995) - (xy 164.693747 96.93225) (xy 164.695222 96.922934) + (xy 164.695222 96.922933) (xy 164.693021 96.914719) (xy 164.6905 96.895567) (xy 164.6905 95.939043) @@ -114210,17 +114811,11 @@ (xy 132.708931 97.5546) (xy 132.717721 97.50475) (xy 132.729481 97.489424) - (xy 133.321731 96.897174) - (xy 133.367607 96.875782) - (xy 133.374057 96.8755) - (xy 133.496845 96.8755) - (xy 133.544411 96.892813) - (xy 133.569721 96.93665) - (xy 133.569934 96.961073) - (xy 133.569777 96.962061) - (xy 133.569777 96.962062) - (xy 133.571978 96.970274) - (xy 133.5745 96.989428) + (xy 133.448174 96.770731) + (xy 133.49405 96.749339) + (xy 133.542945 96.76244) + (xy 133.571979 96.803904) + (xy 133.5745 96.823057) (xy 133.5745 99.120942) (xy 133.557187 99.168508) (xy 133.552826 99.173268) @@ -114684,7 +115279,7 @@ (xy 138.430002 90.575491) (xy 138.43368 90.576074) (xy 138.47443 90.596836) - (xy 138.773537 90.895942) + (xy 138.775636 90.898041) (xy 139.090493 91.212898) (xy 139.093159 91.215708) (xy 139.1018 91.225304) @@ -114708,7 +115303,6 @@ (xy 164.484942 91.2845) (xy 164.532508 91.301813) (xy 164.537268 91.306174) - (xy 169.334145 96.103051) (xy 174.129685 100.89859) (xy 174.151077 100.944466) (xy 174.150448 100.962491) @@ -114735,7 +115329,7 @@ (xy 174.512492 100.600448) (xy 174.462803 100.590789) (xy 174.448591 100.579685) - (xy 169.668906 95.8) + (xy 169.668905 95.8) (xy 181.044508 95.8) (xy 181.064353 95.925301) (xy 181.064353 95.925302) @@ -114791,8 +115385,8 @@ (xy 181.086013 95.632189) (xy 181.064353 95.674698) (xy 181.044508 95.8) - (xy 169.668906 95.8) - (xy 169.068906 95.2) + (xy 169.668905 95.8) + (xy 169.068905 95.2) (xy 182.144508 95.2) (xy 182.164353 95.325301) (xy 182.164353 95.325302) @@ -114818,8 +115412,8 @@ (xy 182.221951 94.961656) (xy 182.164353 95.074698) (xy 182.144508 95.2) - (xy 169.068906 95.2) - (xy 168.218906 94.35) + (xy 169.068905 95.2) + (xy 168.218905 94.35) (xy 182.944867 94.35) (xy 182.963302 94.478223) (xy 182.963302 94.478224) @@ -114847,8 +115441,68 @@ (xy 183.017119 94.103935) (xy 182.963302 94.221776) (xy 182.944867 94.35) - (xy 168.218906 94.35) - (xy 167.368906 93.5) + (xy 168.218905 94.35) + (xy 167.363905 93.495) + (xy 169.126435 93.495) + (xy 169.130969 93.541035) + (xy 169.188151 93.729539) + (xy 169.281004 93.903252) + (xy 169.281013 93.903266) + (xy 169.405971 94.055526) + (xy 169.405973 94.055528) + (xy 169.558233 94.180486) + (xy 169.558247 94.180495) + (xy 169.73196 94.273348) + (xy 169.920464 94.33053) + (xy 169.9665 94.335064) + (xy 169.9665 93.82617) + (xy 169.974185 93.82968) + (xy 170.080737 93.845) + (xy 170.152263 93.845) + (xy 170.258815 93.82968) + (xy 170.2665 93.82617) + (xy 170.2665 94.335064) + (xy 170.312535 94.33053) + (xy 170.501039 94.273348) + (xy 170.674752 94.180495) + (xy 170.674766 94.180486) + (xy 170.827026 94.055528) + (xy 170.827028 94.055526) + (xy 170.951986 93.903266) + (xy 170.951995 93.903252) + (xy 171.044848 93.729539) + (xy 171.10203 93.541035) + (xy 171.106565 93.495) + (xy 173.507935 93.495) + (xy 173.512469 93.541035) + (xy 173.569651 93.729539) + (xy 173.662504 93.903252) + (xy 173.662513 93.903266) + (xy 173.787471 94.055526) + (xy 173.787473 94.055528) + (xy 173.939733 94.180486) + (xy 173.939747 94.180495) + (xy 174.11346 94.273348) + (xy 174.301964 94.33053) + (xy 174.348 94.335064) + (xy 174.348 93.82617) + (xy 174.355685 93.82968) + (xy 174.462237 93.845) + (xy 174.533763 93.845) + (xy 174.640315 93.82968) + (xy 174.648 93.82617) + (xy 174.648 94.335064) + (xy 174.694035 94.33053) + (xy 174.882539 94.273348) + (xy 175.056252 94.180495) + (xy 175.056266 94.180486) + (xy 175.208526 94.055528) + (xy 175.208528 94.055526) + (xy 175.333486 93.903266) + (xy 175.333495 93.903252) + (xy 175.426348 93.729539) + (xy 175.48353 93.541035) + (xy 175.487572 93.5) (xy 181.994508 93.5) (xy 182.014353 93.625301) (xy 182.014353 93.625302) @@ -114874,7 +115528,126 @@ (xy 182.071951 93.261656) (xy 182.014353 93.374698) (xy 181.994508 93.5) - (xy 167.368906 93.5) + (xy 175.487572 93.5) + (xy 175.488065 93.495) + (xy 174.975065 93.495) + (xy 174.998 93.416889) + (xy 174.998 93.273111) + (xy 174.975065 93.195) + (xy 175.488064 93.195) + (xy 175.48353 93.148964) + (xy 175.426348 92.96046) + (xy 175.333495 92.786747) + (xy 175.333486 92.786733) + (xy 175.208528 92.634473) + (xy 175.208526 92.634471) + (xy 175.056266 92.509513) + (xy 175.056252 92.509504) + (xy 174.882539 92.416651) + (xy 174.694037 92.359469) + (xy 174.648 92.354934) + (xy 174.648 92.863829) + (xy 174.640315 92.86032) + (xy 174.533763 92.845) + (xy 174.462237 92.845) + (xy 174.355685 92.86032) + (xy 174.348 92.863829) + (xy 174.348 92.354934) + (xy 174.301962 92.359469) + (xy 174.11346 92.416651) + (xy 173.939747 92.509504) + (xy 173.939733 92.509513) + (xy 173.787473 92.634471) + (xy 173.787471 92.634473) + (xy 173.662513 92.786733) + (xy 173.662504 92.786747) + (xy 173.569651 92.96046) + (xy 173.512469 93.148964) + (xy 173.507935 93.195) + (xy 174.020935 93.195) + (xy 173.998 93.273111) + (xy 173.998 93.416889) + (xy 174.020935 93.495) + (xy 173.507935 93.495) + (xy 171.106565 93.495) + (xy 170.593565 93.495) + (xy 170.6165 93.416889) + (xy 170.6165 93.273111) + (xy 170.593565 93.195) + (xy 171.106564 93.195) + (xy 171.10203 93.148964) + (xy 171.044848 92.96046) + (xy 170.951995 92.786747) + (xy 170.951986 92.786733) + (xy 170.827028 92.634473) + (xy 170.827026 92.634471) + (xy 170.674766 92.509513) + (xy 170.674752 92.509504) + (xy 170.501039 92.416651) + (xy 170.312537 92.359469) + (xy 170.2665 92.354934) + (xy 170.2665 92.863829) + (xy 170.258815 92.86032) + (xy 170.152263 92.845) + (xy 170.080737 92.845) + (xy 169.974185 92.86032) + (xy 169.9665 92.863829) + (xy 169.9665 92.354934) + (xy 169.920462 92.359469) + (xy 169.73196 92.416651) + (xy 169.558247 92.509504) + (xy 169.558233 92.509513) + (xy 169.405973 92.634471) + (xy 169.405971 92.634473) + (xy 169.281013 92.786733) + (xy 169.281004 92.786747) + (xy 169.188151 92.96046) + (xy 169.130969 93.148964) + (xy 169.126435 93.195) + (xy 169.639435 93.195) + (xy 169.6165 93.273111) + (xy 169.6165 93.416889) + (xy 169.639435 93.495) + (xy 169.126435 93.495) + (xy 167.363905 93.495) + (xy 165.305424 91.436519) + (xy 169.116 91.436519) + (xy 169.130853 91.530304) + (xy 169.130854 91.530306) + (xy 169.159052 91.585646) + (xy 169.18845 91.643342) + (xy 169.278158 91.73305) + (xy 169.391196 91.790646) + (xy 169.484981 91.8055) + (xy 170.748018 91.805499) + (xy 170.748019 91.805499) + (xy 170.841804 91.790646) + (xy 170.841806 91.790645) + (xy 170.843919 91.789568) + (xy 170.954842 91.73305) + (xy 171.04455 91.643342) + (xy 171.102146 91.530304) + (xy 171.117 91.436519) + (xy 171.116999 90.173482) + (xy 171.116447 90.169999) + (xy 171.102146 90.079695) + (xy 171.102145 90.079693) + (xy 171.07227 90.021061) + (xy 171.04455 89.966658) + (xy 170.954842 89.87695) + (xy 170.841804 89.819354) + (xy 170.841802 89.819353) + (xy 170.841801 89.819353) + (xy 170.748019 89.8045) + (xy 169.48498 89.8045) + (xy 169.391195 89.819353) + (xy 169.391193 89.819354) + (xy 169.278157 89.87695) + (xy 169.188451 89.966656) + (xy 169.130853 90.079698) + (xy 169.116 90.17348) + (xy 169.116 91.436519) + (xy 165.305424 91.436519) (xy 164.774006 90.905101) (xy 164.77134 90.902291) (xy 164.744508 90.872492) @@ -115096,25 +115869,25 @@ (xy 134.845669 98.027291) (xy 134.82675 98.171) (xy 134.0255 98.171) - (xy 134.0255 97.074057) - (xy 134.042813 97.026491) - (xy 134.047163 97.021742) - (xy 134.903934 96.16497) - (xy 134.906705 96.162341) - (xy 134.936509 96.135507) - (xy 134.946414 96.113256) - (xy 134.951956 96.103051) - (xy 134.957939 96.093838) - (xy 134.965226 96.082618) - (xy 134.966557 96.074211) - (xy 134.972042 96.055698) - (xy 134.9755 96.047932) - (xy 134.9755 96.023573) - (xy 134.976411 96.011996) - (xy 134.980222 95.987935) - (xy 134.980222 95.987934) - (xy 134.978021 95.97972) - (xy 134.9755 95.960568) + (xy 134.0255 96.674057) + (xy 134.042813 96.626491) + (xy 134.047163 96.621742) + (xy 134.903934 95.76497) + (xy 134.906705 95.762341) + (xy 134.936509 95.735507) + (xy 134.946414 95.713256) + (xy 134.951956 95.703051) + (xy 134.957779 95.694084) + (xy 134.965226 95.682618) + (xy 134.966557 95.674211) + (xy 134.972042 95.655698) + (xy 134.9755 95.647932) + (xy 134.9755 95.623573) + (xy 134.976411 95.611996) + (xy 134.977267 95.606595) + (xy 134.980222 95.587935) + (xy 134.978021 95.57972) + (xy 134.9755 95.560568) (xy 134.9755 92.323057) (xy 134.992813 92.275491) (xy 134.997174 92.270731) @@ -115299,63 +116072,6 @@ (xy 151.639696 90.555646) (xy 151.765 90.575492) (xy 151.890304 90.555646) - (xy 151.999515 90.5) - (xy 172.644867 90.5) - (xy 172.663302 90.628223) - (xy 172.663302 90.628224) - (xy 172.663303 90.628226) - (xy 172.717118 90.746063) - (xy 172.801951 90.843967) - (xy 172.910931 90.914004) - (xy 173.035228 90.9505) - (xy 173.164772 90.9505) - (xy 173.289069 90.914004) - (xy 173.398049 90.843967) - (xy 173.482882 90.746063) - (xy 173.536697 90.628226) - (xy 173.555133 90.5) - (xy 174.944867 90.5) - (xy 174.963302 90.628223) - (xy 174.963302 90.628224) - (xy 174.963303 90.628226) - (xy 175.017118 90.746063) - (xy 175.101951 90.843967) - (xy 175.210931 90.914004) - (xy 175.335228 90.9505) - (xy 175.464772 90.9505) - (xy 175.589069 90.914004) - (xy 175.698049 90.843967) - (xy 175.782882 90.746063) - (xy 175.836697 90.628226) - (xy 175.855133 90.5) - (xy 175.836697 90.371774) - (xy 175.782882 90.253937) - (xy 175.698049 90.156033) - (xy 175.60347 90.095251) - (xy 175.589068 90.085995) - (xy 175.464772 90.0495) - (xy 175.335228 90.0495) - (xy 175.210931 90.085995) - (xy 175.101954 90.156031) - (xy 175.10195 90.156034) - (xy 175.017119 90.253935) - (xy 174.963302 90.371776) - (xy 174.944867 90.5) - (xy 173.555133 90.5) - (xy 173.536697 90.371774) - (xy 173.482882 90.253937) - (xy 173.398049 90.156033) - (xy 173.30347 90.095251) - (xy 173.289068 90.085995) - (xy 173.164772 90.0495) - (xy 173.035228 90.0495) - (xy 172.910931 90.085995) - (xy 172.801954 90.156031) - (xy 172.80195 90.156034) - (xy 172.717119 90.253935) - (xy 172.663302 90.371776) - (xy 172.644867 90.5) - (xy 151.999515 90.5) (xy 152.003342 90.49805) (xy 152.09305 90.408342) (xy 152.150646 90.295304) @@ -115377,35 +116093,6 @@ (xy 153.36305 89.646342) (xy 153.420646 89.533304) (xy 153.440492 89.408) - (xy 153.431306 89.35) - (xy 173.794867 89.35) - (xy 173.813302 89.478223) - (xy 173.813302 89.478224) - (xy 173.813303 89.478226) - (xy 173.867118 89.596063) - (xy 173.951951 89.693967) - (xy 174.060931 89.764004) - (xy 174.185228 89.8005) - (xy 174.314772 89.8005) - (xy 174.439069 89.764004) - (xy 174.548049 89.693967) - (xy 174.632882 89.596063) - (xy 174.686697 89.478226) - (xy 174.705133 89.35) - (xy 174.686697 89.221774) - (xy 174.632882 89.103937) - (xy 174.548049 89.006033) - (xy 174.481032 88.962964) - (xy 174.439068 88.935995) - (xy 174.314772 88.8995) - (xy 174.185228 88.8995) - (xy 174.060931 88.935995) - (xy 173.951954 89.006031) - (xy 173.95195 89.006034) - (xy 173.867119 89.103935) - (xy 173.813302 89.221776) - (xy 173.794867 89.35) - (xy 153.431306 89.35) (xy 153.420646 89.282696) (xy 153.36305 89.169658) (xy 153.273342 89.07995) @@ -115915,31 +116602,9 @@ (xy 133.919777 91.922063) (xy 133.921978 91.930274) (xy 133.9245 91.949428) - (xy 133.9245 95.575943) - (xy 133.907187 95.623509) - (xy 133.902826 95.628269) - (xy 133.428269 96.102826) - (xy 133.382393 96.124218) - (xy 133.375943 96.1245) - (xy 133.107861 96.1245) - (xy 133.103988 96.124399) - (xy 133.063938 96.1223) - (xy 133.063937 96.1223) - (xy 133.063936 96.1223) - (xy 133.063934 96.1223) - (xy 133.063932 96.122301) - (xy 133.041194 96.131029) - (xy 133.030063 96.134327) - (xy 133.006231 96.139393) - (xy 132.999347 96.144394) - (xy 132.982381 96.153606) - (xy 132.97444 96.156654) - (xy 132.957211 96.173883) - (xy 132.948389 96.181417) - (xy 132.93681 96.18983) - (xy 132.928675 96.195741) - (xy 132.924423 96.203106) - (xy 132.912666 96.218427) + (xy 133.9245 95.175942) + (xy 133.907187 95.223508) + (xy 133.902826 95.228268) (xy 130.990005 98.141088) (xy 130.944129 98.16248) (xy 130.895234 98.149379) @@ -116690,7 +117355,7 @@ (xy 132.719723 94.73262) (xy 131.925496 93.938393) (xy 131.923577 93.9364) - (xy 131.889338 93.8995) + (xy 131.894897 93.905491) (xy 131.884055 93.893806) (xy 131.884048 93.893802) (xy 131.879717 93.890347) @@ -116699,38 +117364,47 @@ (xy 131.472174 93.485071) (xy 131.450782 93.439195) (xy 131.4505 93.432745) - (xy 131.4505 90.41482) - (xy 132.7495 90.41482) - (xy 132.758233 90.458722) - (xy 132.784509 90.498048) - (xy 132.791496 90.508504) - (xy 132.841278 90.541767) - (xy 132.88518 90.5505) - (xy 132.885181 90.5505) - (xy 134.614819 90.5505) - (xy 134.61482 90.5505) - (xy 134.658722 90.541767) - (xy 134.708504 90.508504) - (xy 134.741767 90.458722) - (xy 134.7505 90.41482) - (xy 134.7505 88.68518) - (xy 134.741767 88.641278) - (xy 134.708504 88.591496) - (xy 134.658722 88.558233) - (xy 134.61482 88.5495) - (xy 132.88518 88.5495) - (xy 132.867052 88.553106) - (xy 132.841277 88.558233) - (xy 132.791496 88.591495) - (xy 132.791495 88.591496) - (xy 132.758233 88.641277) - (xy 132.758233 88.641278) - (xy 132.7495 88.68518) - (xy 132.7495 90.41482) - (xy 131.4505 90.41482) (xy 131.4505 90.229458) (xy 131.450965 90.221172) (xy 131.45527 90.182965) + (xy 131.454996 90.181519) + (xy 132.7495 90.181519) + (xy 132.764353 90.275304) + (xy 132.764354 90.275306) + (xy 132.805212 90.355492) + (xy 132.82195 90.388342) + (xy 132.911658 90.47805) + (xy 133.024696 90.535646) + (xy 133.118481 90.5505) + (xy 134.381518 90.550499) + (xy 134.381519 90.550499) + (xy 134.475304 90.535646) + (xy 134.475306 90.535645) + (xy 134.495705 90.525251) + (xy 134.588342 90.47805) + (xy 134.67805 90.388342) + (xy 134.735646 90.275304) + (xy 134.7505 90.181519) + (xy 134.750499 88.918482) + (xy 134.749845 88.914354) + (xy 134.735646 88.824695) + (xy 134.735645 88.824693) + (xy 134.698893 88.752565) + (xy 134.67805 88.711658) + (xy 134.588342 88.62195) + (xy 134.475304 88.564354) + (xy 134.475302 88.564353) + (xy 134.475301 88.564353) + (xy 134.381519 88.5495) + (xy 133.11848 88.5495) + (xy 133.024695 88.564353) + (xy 133.024693 88.564354) + (xy 132.911657 88.62195) + (xy 132.821951 88.711656) + (xy 132.764353 88.824698) + (xy 132.7495 88.91848) + (xy 132.7495 90.181519) + (xy 131.454996 90.181519) (xy 131.45179 90.164572) (xy 131.4505 90.150815) (xy 131.4505 88.529984) @@ -116917,6 +117591,8 @@ (xy 127.807187 85.376022) (xy 127.802826 85.380782) (xy 127.721951 85.461656) + (xy 127.72195 85.461658) + (xy 127.675424 85.552971) (xy 127.664353 85.574698) (xy 127.644508 85.7) (xy 127.664353 85.825301) @@ -118362,7 +119038,7 @@ (xy 157.241656 71.426951) (xy 157.151951 71.516656) (xy 157.094353 71.629698) - (xy 157.074508 71.755) + (xy 157.074507 71.755) (xy 157.080448 71.792507) (xy 157.070789 71.842196) (xy 157.059685 71.856408) @@ -119058,7 +119734,7 @@ (xy 128.5755 66.7) (xy 128.5755 61.35786) (xy 128.575601 61.353987) - (xy 128.57581 61.35) + (xy 128.576412 61.338505) (xy 128.5777 61.313936) (xy 128.568968 61.29119) (xy 128.56567 61.280054) @@ -119263,478 +119939,6 @@ (xy 156.459353 65.533698) (xy 156.439508 65.659) (xy 154.877904 65.659) - (xy 157.290904 63.246) - (xy 164.059508 63.246) - (xy 164.079353 63.371301) - (xy 164.079353 63.371302) - (xy 164.079354 63.371304) - (xy 164.13695 63.484342) - (xy 164.217826 63.565218) - (xy 164.239218 63.611093) - (xy 164.2395 63.617543) - (xy 164.2395 64.807138) - (xy 164.239399 64.811011) - (xy 164.2373 64.851063) - (xy 164.246031 64.873814) - (xy 164.249326 64.884937) - (xy 164.254392 64.908766) - (xy 164.254394 64.90877) - (xy 164.259388 64.915643) - (xy 164.268605 64.932618) - (xy 164.271654 64.94056) - (xy 164.288888 64.957794) - (xy 164.296419 64.966612) - (xy 164.31074 64.986323) - (xy 164.318102 64.990573) - (xy 164.333426 65.002332) - (xy 173.184992 73.853897) - (xy 173.187658 73.856707) - (xy 173.214492 73.886508) - (xy 173.214493 73.886509) - (xy 173.236751 73.896418) - (xy 173.246946 73.901954) - (xy 173.267383 73.915226) - (xy 173.270171 73.915667) - (xy 173.275779 73.916556) - (xy 173.294302 73.922042) - (xy 173.302068 73.9255) - (xy 173.326428 73.9255) - (xy 173.338002 73.92641) - (xy 173.348169 73.928021) - (xy 173.362065 73.930222) - (xy 173.362066 73.930222) - (xy 173.370281 73.928021) - (xy 173.389433 73.9255) - (xy 173.828457 73.9255) - (xy 173.876023 73.942813) - (xy 173.880771 73.947163) - (xy 173.961658 74.02805) - (xy 174.074696 74.085646) - (xy 174.2 74.105492) - (xy 174.325304 74.085646) - (xy 174.438342 74.02805) - (xy 174.52805 73.938342) - (xy 174.585646 73.825304) - (xy 174.605492 73.7) - (xy 174.585646 73.574696) - (xy 174.52805 73.461658) - (xy 174.438342 73.37195) - (xy 174.325304 73.314354) - (xy 174.325302 73.314353) - (xy 174.325301 73.314353) - (xy 174.2 73.294508) - (xy 174.074698 73.314353) - (xy 173.961656 73.371951) - (xy 173.931783 73.401825) - (xy 173.880781 73.452826) - (xy 173.834907 73.474218) - (xy 173.828457 73.4745) - (xy 173.720544 73.4745) - (xy 173.672978 73.457187) - (xy 173.647668 73.41335) - (xy 173.656458 73.3635) - (xy 173.668218 73.348174) - (xy 173.684442 73.33195) - (xy 173.72805 73.288342) - (xy 173.785646 73.175304) - (xy 173.805492 73.05) - (xy 173.785646 72.924696) - (xy 173.72805 72.811658) - (xy 173.668218 72.751826) - (xy 173.646826 72.70595) - (xy 173.659927 72.657055) - (xy 173.701391 72.628021) - (xy 173.720544 72.6255) - (xy 173.828457 72.6255) - (xy 173.876023 72.642813) - (xy 173.880771 72.647163) - (xy 173.961658 72.72805) - (xy 174.074696 72.785646) - (xy 174.2 72.805492) - (xy 174.325304 72.785646) - (xy 174.438342 72.72805) - (xy 174.52805 72.638342) - (xy 174.585646 72.525304) - (xy 174.605492 72.4) - (xy 174.585646 72.274696) - (xy 174.52805 72.161658) - (xy 174.438342 72.07195) - (xy 174.325304 72.014354) - (xy 174.325302 72.014353) - (xy 174.325301 72.014353) - (xy 174.2 71.994508) - (xy 174.074698 72.014353) - (xy 173.961656 72.071951) - (xy 173.931783 72.101825) - (xy 173.880781 72.152826) - (xy 173.834907 72.174218) - (xy 173.828457 72.1745) - (xy 173.720544 72.1745) - (xy 173.672978 72.157187) - (xy 173.647668 72.11335) - (xy 173.656458 72.0635) - (xy 173.668218 72.048174) - (xy 173.696205 72.020187) - (xy 173.72805 71.988342) - (xy 173.785646 71.875304) - (xy 173.805492 71.75) - (xy 173.785646 71.624696) - (xy 173.72805 71.511658) - (xy 173.668218 71.451826) - (xy 173.646826 71.40595) - (xy 173.659927 71.357055) - (xy 173.701391 71.328021) - (xy 173.720544 71.3255) - (xy 173.828457 71.3255) - (xy 173.876023 71.342813) - (xy 173.880771 71.347163) - (xy 173.961658 71.42805) - (xy 174.074696 71.485646) - (xy 174.2 71.505492) - (xy 174.325304 71.485646) - (xy 174.438342 71.42805) - (xy 174.52805 71.338342) - (xy 174.585646 71.225304) - (xy 174.605492 71.1) - (xy 174.585646 70.974696) - (xy 174.52805 70.861658) - (xy 174.438342 70.77195) - (xy 174.325304 70.714354) - (xy 174.325302 70.714353) - (xy 174.325301 70.714353) - (xy 174.2 70.694508) - (xy 174.074698 70.714353) - (xy 173.961656 70.771951) - (xy 173.935681 70.797927) - (xy 173.880781 70.852826) - (xy 173.834907 70.874218) - (xy 173.828457 70.8745) - (xy 173.720544 70.8745) - (xy 173.672978 70.857187) - (xy 173.647668 70.81335) - (xy 173.656458 70.7635) - (xy 173.668218 70.748174) - (xy 173.697501 70.718891) - (xy 173.72805 70.688342) - (xy 173.785646 70.575304) - (xy 173.805492 70.45) - (xy 173.785646 70.324696) - (xy 173.72805 70.211658) - (xy 173.668218 70.151826) - (xy 173.646826 70.10595) - (xy 173.659927 70.057055) - (xy 173.701391 70.028021) - (xy 173.720544 70.0255) - (xy 173.828457 70.0255) - (xy 173.876023 70.042813) - (xy 173.880771 70.047163) - (xy 173.961658 70.12805) - (xy 174.074696 70.185646) - (xy 174.2 70.205492) - (xy 174.325304 70.185646) - (xy 174.438342 70.12805) - (xy 174.52805 70.038342) - (xy 174.585646 69.925304) - (xy 174.605492 69.8) - (xy 174.585646 69.674696) - (xy 174.52805 69.561658) - (xy 174.438342 69.47195) - (xy 174.325304 69.414354) - (xy 174.325302 69.414353) - (xy 174.325301 69.414353) - (xy 174.2 69.394508) - (xy 174.074698 69.414353) - (xy 173.961656 69.471951) - (xy 173.931782 69.501826) - (xy 173.880781 69.552826) - (xy 173.834907 69.574218) - (xy 173.828457 69.5745) - (xy 173.720544 69.5745) - (xy 173.672978 69.557187) - (xy 173.647668 69.51335) - (xy 173.656458 69.4635) - (xy 173.668218 69.448174) - (xy 173.679742 69.43665) - (xy 173.72805 69.388342) - (xy 173.785646 69.275304) - (xy 173.805492 69.15) - (xy 173.785646 69.024696) - (xy 173.72805 68.911658) - (xy 173.638342 68.82195) - (xy 173.525304 68.764354) - (xy 173.525302 68.764353) - (xy 173.525301 68.764353) - (xy 173.4 68.744508) - (xy 173.399999 68.744508) - (xy 173.319327 68.757284) - (xy 173.269638 68.747625) - (xy 173.255426 68.736521) - (xy 169.157174 64.638269) - (xy 169.135782 64.592393) - (xy 169.1355 64.585943) - (xy 169.1355 57.53886) - (xy 169.135601 57.534987) - (xy 169.136302 57.521607) - (xy 169.1377 57.494936) - (xy 169.128968 57.47219) - (xy 169.12567 57.461054) - (xy 169.122976 57.448379) - (xy 169.120607 57.437232) - (xy 169.115607 57.43035) - (xy 169.106391 57.413374) - (xy 169.105096 57.41) - (xy 169.103346 57.405441) - (xy 169.086118 57.388213) - (xy 169.078576 57.379382) - (xy 169.06426 57.359677) - (xy 169.056896 57.355425) - (xy 169.041571 57.343666) - (xy 168.695314 56.997409) - (xy 168.677637 56.9595) - (xy 169.089867 56.9595) - (xy 169.108302 57.087723) - (xy 169.108302 57.087724) - (xy 169.108303 57.087726) - (xy 169.162118 57.205563) - (xy 169.246951 57.303467) - (xy 169.355931 57.373504) - (xy 169.480228 57.41) - (xy 169.609772 57.41) - (xy 169.734069 57.373504) - (xy 169.843049 57.303467) - (xy 169.927882 57.205563) - (xy 169.981697 57.087726) - (xy 170.000133 56.9595) - (xy 169.981697 56.831274) - (xy 169.927882 56.713437) - (xy 169.843049 56.615533) - (xy 169.774643 56.571571) - (xy 169.734068 56.545495) - (xy 169.609772 56.509) - (xy 169.480228 56.509) - (xy 169.355931 56.545495) - (xy 169.246954 56.615531) - (xy 169.24695 56.615534) - (xy 169.162119 56.713435) - (xy 169.108302 56.831276) - (xy 169.089867 56.9595) - (xy 168.677637 56.9595) - (xy 168.673922 56.951533) - (xy 168.674551 56.933507) - (xy 168.680492 56.896) - (xy 168.660646 56.770696) - (xy 168.60305 56.657658) - (xy 168.513342 56.56795) - (xy 168.400304 56.510354) - (xy 168.400302 56.510353) - (xy 168.400301 56.510353) - (xy 168.275 56.490508) - (xy 168.149698 56.510353) - (xy 168.036656 56.567951) - (xy 167.946951 56.657656) - (xy 167.889353 56.770698) - (xy 167.869508 56.896) - (xy 167.889353 57.021301) - (xy 167.889353 57.021302) - (xy 167.889354 57.021304) - (xy 167.94695 57.134342) - (xy 168.036658 57.22405) - (xy 168.149696 57.281646) - (xy 168.275 57.301492) - (xy 168.312506 57.295551) - (xy 168.362194 57.305209) - (xy 168.376408 57.316314) - (xy 168.662826 57.602731) - (xy 168.684218 57.648607) - (xy 168.6845 57.655057) - (xy 168.6845 59.100456) - (xy 168.667187 59.148022) - (xy 168.62335 59.173332) - (xy 168.5735 59.164542) - (xy 168.558174 59.152782) - (xy 168.513343 59.107951) - (xy 168.513342 59.10795) - (xy 168.400304 59.050354) - (xy 168.400302 59.050353) - (xy 168.400301 59.050353) - (xy 168.275 59.030508) - (xy 168.149698 59.050353) - (xy 168.036656 59.107951) - (xy 167.991826 59.152782) - (xy 167.94595 59.174174) - (xy 167.897055 59.161073) - (xy 167.868021 59.119609) - (xy 167.8655 59.100456) - (xy 167.8655 57.53886) - (xy 167.865601 57.534987) - (xy 167.866302 57.521607) - (xy 167.8677 57.494936) - (xy 167.858968 57.47219) - (xy 167.85567 57.461054) - (xy 167.852976 57.448379) - (xy 167.850607 57.437232) - (xy 167.845607 57.43035) - (xy 167.836391 57.413374) - (xy 167.835096 57.41) - (xy 167.833346 57.405441) - (xy 167.816118 57.388213) - (xy 167.808576 57.379382) - (xy 167.79426 57.359677) - (xy 167.786896 57.355425) - (xy 167.771571 57.343666) - (xy 167.425314 56.997409) - (xy 167.403922 56.951533) - (xy 167.404551 56.933507) - (xy 167.410492 56.896) - (xy 167.390646 56.770696) - (xy 167.33305 56.657658) - (xy 167.243342 56.56795) - (xy 167.130304 56.510354) - (xy 167.130302 56.510353) - (xy 167.130301 56.510353) - (xy 167.005 56.490508) - (xy 166.879698 56.510353) - (xy 166.766656 56.567951) - (xy 166.676951 56.657656) - (xy 166.619353 56.770698) - (xy 166.599508 56.896) - (xy 166.619353 57.021301) - (xy 166.619353 57.021302) - (xy 166.619354 57.021304) - (xy 166.67695 57.134342) - (xy 166.766658 57.22405) - (xy 166.879696 57.281646) - (xy 167.005 57.301492) - (xy 167.042506 57.295551) - (xy 167.092194 57.305209) - (xy 167.106408 57.316314) - (xy 167.392826 57.602731) - (xy 167.414218 57.648607) - (xy 167.4145 57.655057) - (xy 167.4145 59.100456) - (xy 167.397187 59.148022) - (xy 167.35335 59.173332) - (xy 167.3035 59.164542) - (xy 167.288174 59.152782) - (xy 167.243343 59.107951) - (xy 167.243342 59.10795) - (xy 167.130304 59.050354) - (xy 167.130302 59.050353) - (xy 167.130301 59.050353) - (xy 167.005 59.030508) - (xy 166.879698 59.050353) - (xy 166.766656 59.107951) - (xy 166.721826 59.152782) - (xy 166.67595 59.174174) - (xy 166.627055 59.161073) - (xy 166.598021 59.119609) - (xy 166.5955 59.100456) - (xy 166.5955 57.53886) - (xy 166.595601 57.534987) - (xy 166.596302 57.521607) - (xy 166.5977 57.494936) - (xy 166.588968 57.47219) - (xy 166.58567 57.461054) - (xy 166.582976 57.448379) - (xy 166.580607 57.437232) - (xy 166.575607 57.43035) - (xy 166.566391 57.413374) - (xy 166.565096 57.41) - (xy 166.563346 57.405441) - (xy 166.546118 57.388213) - (xy 166.538576 57.379382) - (xy 166.52426 57.359677) - (xy 166.516896 57.355425) - (xy 166.501571 57.343666) - (xy 166.155314 56.997409) - (xy 166.133922 56.951533) - (xy 166.134551 56.933507) - (xy 166.140492 56.896) - (xy 166.120646 56.770696) - (xy 166.06305 56.657658) - (xy 165.973342 56.56795) - (xy 165.860304 56.510354) - (xy 165.860302 56.510353) - (xy 165.860301 56.510353) - (xy 165.735 56.490508) - (xy 165.609698 56.510353) - (xy 165.496656 56.567951) - (xy 165.406951 56.657656) - (xy 165.349353 56.770698) - (xy 165.329508 56.896) - (xy 165.349353 57.021301) - (xy 165.349353 57.021302) - (xy 165.349354 57.021304) - (xy 165.40695 57.134342) - (xy 165.496658 57.22405) - (xy 165.609696 57.281646) - (xy 165.735 57.301492) - (xy 165.772506 57.295551) - (xy 165.822194 57.305209) - (xy 165.836408 57.316314) - (xy 166.122826 57.602731) - (xy 166.144218 57.648607) - (xy 166.1445 57.655057) - (xy 166.1445 59.100456) - (xy 166.127187 59.148022) - (xy 166.08335 59.173332) - (xy 166.0335 59.164542) - (xy 166.018174 59.152782) - (xy 165.973343 59.107951) - (xy 165.973342 59.10795) - (xy 165.860304 59.050354) - (xy 165.860302 59.050353) - (xy 165.860301 59.050353) - (xy 165.735 59.030508) - (xy 165.609698 59.050353) - (xy 165.496656 59.107951) - (xy 165.406951 59.197656) - (xy 165.349353 59.310698) - (xy 165.329508 59.436) - (xy 165.349353 59.561301) - (xy 165.349353 59.561302) - (xy 165.349354 59.561304) - (xy 165.40695 59.674342) - (xy 165.487826 59.755218) - (xy 165.509218 59.801093) - (xy 165.5095 59.807543) - (xy 165.5095 63.799456) - (xy 165.492187 63.847022) - (xy 165.44835 63.872332) - (xy 165.3985 63.863542) - (xy 165.383174 63.851782) - (xy 165.338343 63.806951) - (xy 165.338342 63.80695) - (xy 165.225304 63.749354) - (xy 165.225302 63.749353) - (xy 165.225301 63.749353) - (xy 165.1 63.729508) - (xy 164.974698 63.749353) - (xy 164.861656 63.806951) - (xy 164.816826 63.851782) - (xy 164.77095 63.873174) - (xy 164.722055 63.860073) - (xy 164.693021 63.818609) - (xy 164.6905 63.799456) - (xy 164.6905 63.617543) - (xy 164.707813 63.569977) - (xy 164.712163 63.565228) - (xy 164.79305 63.484342) - (xy 164.850646 63.371304) - (xy 164.870492 63.246) - (xy 164.850646 63.120696) - (xy 164.79305 63.007658) - (xy 164.703342 62.91795) - (xy 164.590304 62.860354) - (xy 164.590302 62.860353) - (xy 164.590301 62.860353) - (xy 164.465 62.840508) - (xy 164.339698 62.860353) - (xy 164.226656 62.917951) - (xy 164.136951 63.007656) - (xy 164.079353 63.120698) - (xy 164.059508 63.246) - (xy 157.290904 63.246) (xy 160.686018 59.850885) (xy 160.726766 59.830124) (xy 160.780304 59.821646) @@ -120590,12 +120794,12 @@ (xy 158.117942 51.5335) (xy 158.165508 51.550813) (xy 158.170268 51.555174) - (xy 163.963826 57.348732) - (xy 163.985218 57.394608) - (xy 163.9855 57.401058) - (xy 163.9855 58.168942) - (xy 163.968187 58.216508) - (xy 163.963826 58.221268) + (xy 163.836826 57.221731) + (xy 163.858218 57.267607) + (xy 163.8585 57.274057) + (xy 163.8585 58.295942) + (xy 163.841187 58.343508) + (xy 163.836826 58.348268) (xy 162.996269 59.188826) (xy 162.950393 59.210218) (xy 162.943943 59.2105) @@ -120638,124 +120842,38 @@ (xy 163.239323 59.59026) (xy 163.243573 59.582897) (xy 163.255329 59.567575) - (xy 164.364915 58.457988) - (xy 164.367687 58.455357) - (xy 164.397509 58.428507) - (xy 164.407418 58.406247) - (xy 164.412955 58.39605) - (xy 164.426226 58.375618) - (xy 164.427557 58.367211) - (xy 164.433042 58.348698) - (xy 164.4365 58.340932) - (xy 164.4365 58.316573) - (xy 164.437411 58.304996) - (xy 164.441222 58.280935) - (xy 164.441222 58.280934) - (xy 164.439021 58.27272) - (xy 164.4365 58.253568) - (xy 164.4365 57.28486) - (xy 164.436601 57.280987) - (xy 164.4387 57.240936) - (xy 164.429968 57.21819) - (xy 164.42667 57.207054) - (xy 164.421607 57.183232) - (xy 164.416607 57.17635) - (xy 164.407391 57.159374) - (xy 164.404346 57.151442) - (xy 164.404346 57.151441) - (xy 164.387118 57.134213) - (xy 164.379576 57.125382) - (xy 164.36526 57.105677) - (xy 164.357896 57.101425) - (xy 164.342571 57.089666) - (xy 162.243905 54.991) - (xy 169.851867 54.991) - (xy 169.870302 55.119223) - (xy 169.870302 55.119224) - (xy 169.870303 55.119226) - (xy 169.924118 55.237063) - (xy 170.008951 55.334967) - (xy 170.117931 55.405004) - (xy 170.242228 55.4415) - (xy 170.371772 55.4415) - (xy 170.496069 55.405004) - (xy 170.605049 55.334967) - (xy 170.689882 55.237063) - (xy 170.715257 55.1815) - (xy 172.822508 55.1815) - (xy 172.842353 55.306801) - (xy 172.842353 55.306802) - (xy 172.842354 55.306804) - (xy 172.89995 55.419842) - (xy 172.989658 55.50955) - (xy 173.102696 55.567146) - (xy 173.228 55.586992) - (xy 173.353304 55.567146) - (xy 173.466342 55.50955) - (xy 173.55605 55.419842) - (xy 173.613646 55.306804) - (xy 173.633492 55.1815) - (xy 173.613646 55.056196) - (xy 173.55605 54.943158) - (xy 173.466342 54.85345) - (xy 173.353304 54.795854) - (xy 173.353302 54.795853) - (xy 173.353301 54.795853) - (xy 173.228 54.776008) - (xy 173.102698 54.795853) - (xy 172.989656 54.853451) - (xy 172.899951 54.943156) - (xy 172.842353 55.056198) - (xy 172.822508 55.1815) - (xy 170.715257 55.1815) - (xy 170.743697 55.119226) - (xy 170.762133 54.991) - (xy 170.743697 54.862774) - (xy 170.689882 54.744937) - (xy 170.605049 54.647033) - (xy 170.522232 54.59381) - (xy 170.496068 54.576995) - (xy 170.371772 54.5405) - (xy 170.242228 54.5405) - (xy 170.117931 54.576995) - (xy 170.008954 54.647031) - (xy 170.00895 54.647034) - (xy 169.924119 54.744935) - (xy 169.870302 54.862776) - (xy 169.851867 54.991) - (xy 162.243905 54.991) - (xy 161.291405 54.0385) - (xy 171.629867 54.0385) - (xy 171.648302 54.166723) - (xy 171.648302 54.166724) - (xy 171.648303 54.166726) - (xy 171.702118 54.284563) - (xy 171.786951 54.382467) - (xy 171.895931 54.452504) - (xy 172.020228 54.489) - (xy 172.149772 54.489) - (xy 172.274069 54.452504) - (xy 172.383049 54.382467) - (xy 172.467882 54.284563) - (xy 172.521697 54.166726) - (xy 172.540133 54.0385) - (xy 172.521697 53.910274) - (xy 172.467882 53.792437) - (xy 172.383049 53.694533) - (xy 172.284182 53.630995) - (xy 172.274068 53.624495) - (xy 172.149772 53.588) - (xy 172.020228 53.588) - (xy 171.895931 53.624495) - (xy 171.786954 53.694531) - (xy 171.78695 53.694534) - (xy 171.702119 53.792435) - (xy 171.702118 53.792436) - (xy 171.702118 53.792437) - (xy 171.695907 53.806037) - (xy 171.648302 53.910276) - (xy 171.629867 54.0385) - (xy 161.291405 54.0385) + (xy 164.237915 58.584988) + (xy 164.240687 58.582357) + (xy 164.270509 58.555507) + (xy 164.280418 58.533247) + (xy 164.285955 58.52305) + (xy 164.299226 58.502618) + (xy 164.300557 58.494211) + (xy 164.306042 58.475698) + (xy 164.3095 58.467932) + (xy 164.3095 58.443573) + (xy 164.310411 58.431996) + (xy 164.312632 58.417977) + (xy 164.314222 58.407935) + (xy 164.312021 58.39972) + (xy 164.3095 58.380568) + (xy 164.3095 57.15786) + (xy 164.309601 57.153987) + (xy 164.3117 57.113936) + (xy 164.302968 57.09119) + (xy 164.29967 57.080054) + (xy 164.294607 57.056234) + (xy 164.294607 57.056232) + (xy 164.289607 57.04935) + (xy 164.280391 57.032374) + (xy 164.277346 57.024442) + (xy 164.277346 57.024441) + (xy 164.260118 57.007213) + (xy 164.252576 56.998382) + (xy 164.251869 56.997409) + (xy 164.23826 56.978677) + (xy 164.230896 56.974425) + (xy 164.215571 56.962666) (xy 158.407006 51.154101) (xy 158.40434 51.151291) (xy 158.377508 51.121492) @@ -120846,7 +120964,7 @@ (xy 135.956345 57.27844) (xy 135.939118 57.261213) (xy 135.931576 57.252382) - (xy 135.92326 57.240936) + (xy 135.925054 57.243405) (xy 135.91726 57.232677) (xy 135.909896 57.228425) (xy 135.894571 57.216666) @@ -121438,35 +121556,6 @@ (xy 163.52305 53.324342) (xy 163.580646 53.211304) (xy 163.600492 53.086) - (xy 163.590435 53.0225) - (xy 169.089867 53.0225) - (xy 169.108302 53.150723) - (xy 169.108302 53.150724) - (xy 169.108303 53.150726) - (xy 169.162118 53.268563) - (xy 169.246951 53.366467) - (xy 169.355931 53.436504) - (xy 169.480228 53.473) - (xy 169.609772 53.473) - (xy 169.734069 53.436504) - (xy 169.843049 53.366467) - (xy 169.927882 53.268563) - (xy 169.981697 53.150726) - (xy 170.000133 53.0225) - (xy 169.981697 52.894274) - (xy 169.927882 52.776437) - (xy 169.843049 52.678533) - (xy 169.776032 52.635464) - (xy 169.734068 52.608495) - (xy 169.609772 52.572) - (xy 169.480228 52.572) - (xy 169.355931 52.608495) - (xy 169.246954 52.678531) - (xy 169.24695 52.678534) - (xy 169.162119 52.776435) - (xy 169.108302 52.894276) - (xy 169.089867 53.0225) - (xy 163.590435 53.0225) (xy 163.580646 52.960696) (xy 163.52305 52.847658) (xy 163.433342 52.75795) @@ -121587,158 +121676,1045 @@ (xy 131.446731 47.872174) (xy 131.492607 47.850782) (xy 131.499057 47.8505) - (xy 167.250942 47.8505) - (xy 167.298508 47.867813) - (xy 167.303268 47.872174) - (xy 179.252826 59.821731) - (xy 179.274218 59.867607) - (xy 179.2745 59.874057) - (xy 179.2745 60.8255) - (xy 179.257187 60.873066) - (xy 179.21335 60.898376) - (xy 179.2005 60.8995) - (xy 178.63518 60.8995) - (xy 178.613229 60.903866) - (xy 178.591277 60.908233) - (xy 178.541496 60.941495) - (xy 178.541495 60.941496) - (xy 178.508233 60.991277) - (xy 178.501216 61.026555) - (xy 178.4995 61.03518) - (xy 178.4995 62.76482) - (xy 178.505264 62.793794) - (xy 178.508233 62.808722) - (xy 178.538725 62.854358) - (xy 178.541496 62.858504) - (xy 178.591278 62.891767) - (xy 178.63518 62.9005) - (xy 178.635181 62.9005) - (xy 180.364819 62.9005) - (xy 180.36482 62.9005) - (xy 180.408722 62.891767) - (xy 180.458504 62.858504) - (xy 180.491767 62.808722) - (xy 180.5005 62.76482) - (xy 180.5005 62.05) - (xy 181.049935 62.05) - (xy 181.054469 62.096035) - (xy 181.111651 62.284539) - (xy 181.204504 62.458252) - (xy 181.204513 62.458266) - (xy 181.329471 62.610526) - (xy 181.329473 62.610528) - (xy 181.481733 62.735486) - (xy 181.481747 62.735495) - (xy 181.65546 62.828348) - (xy 181.843964 62.88553) - (xy 181.89 62.890064) - (xy 181.89 62.38117) - (xy 181.897685 62.38468) - (xy 182.004237 62.4) - (xy 182.075763 62.4) - (xy 182.182315 62.38468) - (xy 182.19 62.38117) - (xy 182.19 62.890064) - (xy 182.236035 62.88553) - (xy 182.424539 62.828348) - (xy 182.598252 62.735495) - (xy 182.598266 62.735486) - (xy 182.750526 62.610528) - (xy 182.750528 62.610526) - (xy 182.875486 62.458266) - (xy 182.875495 62.458252) - (xy 182.968348 62.284539) - (xy 183.02553 62.096035) - (xy 183.030065 62.05) - (xy 182.517065 62.05) - (xy 182.54 61.971889) - (xy 182.54 61.828111) - (xy 182.517065 61.75) - (xy 183.030064 61.75) - (xy 183.02553 61.703964) - (xy 182.968348 61.51546) - (xy 182.875495 61.341747) - (xy 182.875486 61.341733) - (xy 182.750528 61.189473) - (xy 182.750526 61.189471) - (xy 182.598266 61.064513) - (xy 182.598252 61.064504) - (xy 182.424539 60.971651) - (xy 182.236037 60.914469) - (xy 182.19 60.909934) - (xy 182.19 61.418829) - (xy 182.182315 61.41532) - (xy 182.075763 61.4) - (xy 182.004237 61.4) - (xy 181.897685 61.41532) - (xy 181.89 61.418829) - (xy 181.89 60.909934) - (xy 181.843962 60.914469) - (xy 181.65546 60.971651) - (xy 181.481747 61.064504) - (xy 181.481733 61.064513) - (xy 181.329473 61.189471) - (xy 181.329471 61.189473) - (xy 181.204513 61.341733) - (xy 181.204504 61.341747) - (xy 181.111651 61.51546) - (xy 181.054469 61.703964) - (xy 181.049935 61.75) - (xy 181.562935 61.75) - (xy 181.54 61.828111) - (xy 181.54 61.971889) - (xy 181.562935 62.05) - (xy 181.049935 62.05) - (xy 180.5005 62.05) - (xy 180.5005 61.03518) - (xy 180.491767 60.991278) - (xy 180.458504 60.941496) - (xy 180.453785 60.938343) - (xy 180.408722 60.908233) - (xy 180.407209 60.907932) - (xy 180.36482 60.8995) - (xy 180.364819 60.8995) - (xy 179.7995 60.8995) - (xy 179.751934 60.882187) - (xy 179.726624 60.83835) - (xy 179.7255 60.8255) - (xy 179.7255 59.75784) - (xy 179.725601 59.753967) - (xy 179.726959 59.728048) - (xy 179.727699 59.713936) - (xy 179.718969 59.691195) - (xy 179.715671 59.680059) - (xy 179.710607 59.656232) - (xy 179.709789 59.655106) - (xy 179.70561 59.649354) - (xy 179.696391 59.632375) - (xy 179.693345 59.62444) - (xy 179.676118 59.607213) - (xy 179.668576 59.598382) - (xy 179.662675 59.59026) - (xy 179.65426 59.578677) - (xy 179.646896 59.574425) - (xy 179.631571 59.562666) - (xy 167.540006 47.471101) - (xy 167.53734 47.468291) - (xy 167.510508 47.438492) - (xy 167.510504 47.438489) - (xy 167.488252 47.428582) - (xy 167.478047 47.423041) - (xy 167.457619 47.409774) - (xy 167.457616 47.409773) - (xy 167.451505 47.408805) - (xy 167.449213 47.408442) - (xy 167.4307 47.402958) - (xy 167.422932 47.3995) - (xy 167.422931 47.3995) - (xy 167.398573 47.3995) - (xy 167.386998 47.398589) - (xy 167.383474 47.398031) - (xy 167.362935 47.394777) - (xy 167.362934 47.394777) - (xy 167.35472 47.396979) - (xy 167.335568 47.3995) + (xy 160.276942 47.8505) + (xy 160.324508 47.867813) + (xy 160.329268 47.872174) + (xy 162.090433 49.633338) + (xy 162.090436 49.633342) + (xy 162.136001 49.678907) + (xy 162.138667 49.681717) + (xy 162.165492 49.711509) + (xy 162.171786 49.716081) + (xy 162.171089 49.717039) + (xy 162.180797 49.723703) + (xy 162.242448 49.785354) + (xy 162.24763 49.791109) + (xy 162.267918 49.816162) + (xy 162.268354 49.816384) + (xy 162.28709 49.829995) + (xy 162.315433 49.858338) + (xy 162.315436 49.858342) + (xy 162.336883 49.879789) + (xy 162.344424 49.888619) + (xy 162.35874 49.908323) + (xy 162.366102 49.912573) + (xy 162.381426 49.924332) + (xy 163.494009 51.036915) + (xy 164.598826 52.141731) + (xy 164.620218 52.187607) + (xy 164.6205 52.194057) + (xy 164.6205 58.879942) + (xy 164.603187 58.927508) + (xy 164.598826 58.932268) + (xy 162.8506 60.680493) + (xy 162.847792 60.683158) + (xy 162.817992 60.709991) + (xy 162.817989 60.709995) + (xy 162.80808 60.732249) + (xy 162.802543 60.742446) + (xy 162.789274 60.762879) + (xy 162.787943 60.771283) + (xy 162.782459 60.789796) + (xy 162.779001 60.797563) + (xy 162.779 60.797569) + (xy 162.779 60.821925) + (xy 162.778089 60.833501) + (xy 162.774277 60.857563) + (xy 162.774278 60.857565) + (xy 162.776268 60.864993) + (xy 162.776478 60.865774) + (xy 162.779 60.884928) + (xy 162.779 64.825638) + (xy 162.778899 64.829511) + (xy 162.7768 64.869563) + (xy 162.785531 64.892314) + (xy 162.788826 64.903437) + (xy 162.793892 64.927266) + (xy 162.793894 64.92727) + (xy 162.798888 64.934143) + (xy 162.808105 64.951118) + (xy 162.811154 64.95906) + (xy 162.828388 64.976294) + (xy 162.835919 64.985112) + (xy 162.85024 65.004823) + (xy 162.857602 65.009073) + (xy 162.872926 65.020832) + (xy 168.150586 70.298492) + (xy 173.425326 75.573231) + (xy 173.446718 75.619107) + (xy 173.447 75.625557) + (xy 173.447 84.828138) + (xy 173.446899 84.832011) + (xy 173.445329 84.861979) + (xy 173.4448 84.872064) + (xy 173.445669 84.874327) + (xy 173.453531 84.894814) + (xy 173.456826 84.905937) + (xy 173.461892 84.929766) + (xy 173.461894 84.92977) + (xy 173.466888 84.936643) + (xy 173.476105 84.953618) + (xy 173.479056 84.961304) + (xy 173.479154 84.96156) + (xy 173.496385 84.978791) + (xy 173.503921 84.987615) + (xy 173.51824 85.007323) + (xy 173.525602 85.011573) + (xy 173.540926 85.023332) + (xy 173.900602 85.383008) + (xy 174.250826 85.733231) + (xy 174.272218 85.779107) + (xy 174.2725 85.785557) + (xy 174.2725 89.7305) + (xy 174.255187 89.778066) + (xy 174.21135 89.803376) + (xy 174.1985 89.8045) + (xy 173.86648 89.8045) + (xy 173.772695 89.819353) + (xy 173.772693 89.819354) + (xy 173.659657 89.87695) + (xy 173.569951 89.966656) + (xy 173.512353 90.079698) + (xy 173.4975 90.17348) + (xy 173.4975 91.436519) + (xy 173.512353 91.530304) + (xy 173.512354 91.530306) + (xy 173.540552 91.585646) + (xy 173.56995 91.643342) + (xy 173.659658 91.73305) + (xy 173.772696 91.790646) + (xy 173.866481 91.8055) + (xy 175.129518 91.805499) + (xy 175.129519 91.805499) + (xy 175.223304 91.790646) + (xy 175.223306 91.790645) + (xy 175.225419 91.789568) + (xy 175.336342 91.73305) + (xy 175.42605 91.643342) + (xy 175.483646 91.530304) + (xy 175.4985 91.436519) + (xy 175.498499 90.5) + (xy 176.044867 90.5) + (xy 176.063302 90.628223) + (xy 176.063302 90.628224) + (xy 176.063303 90.628226) + (xy 176.117118 90.746063) + (xy 176.201951 90.843967) + (xy 176.310931 90.914004) + (xy 176.435228 90.9505) + (xy 176.564772 90.9505) + (xy 176.689069 90.914004) + (xy 176.798049 90.843967) + (xy 176.882882 90.746063) + (xy 176.936697 90.628226) + (xy 176.955133 90.5) + (xy 178.344867 90.5) + (xy 178.363302 90.628223) + (xy 178.363302 90.628224) + (xy 178.363303 90.628226) + (xy 178.417118 90.746063) + (xy 178.501951 90.843967) + (xy 178.610931 90.914004) + (xy 178.735228 90.9505) + (xy 178.864772 90.9505) + (xy 178.989069 90.914004) + (xy 179.098049 90.843967) + (xy 179.182882 90.746063) + (xy 179.236697 90.628226) + (xy 179.255133 90.5) + (xy 179.236697 90.371774) + (xy 179.182882 90.253937) + (xy 179.098049 90.156033) + (xy 179.00347 90.095251) + (xy 178.989068 90.085995) + (xy 178.864772 90.0495) + (xy 178.735228 90.0495) + (xy 178.610931 90.085995) + (xy 178.501954 90.156031) + (xy 178.50195 90.156034) + (xy 178.417119 90.253935) + (xy 178.363302 90.371776) + (xy 178.344867 90.5) + (xy 176.955133 90.5) + (xy 176.936697 90.371774) + (xy 176.882882 90.253937) + (xy 176.798049 90.156033) + (xy 176.70347 90.095251) + (xy 176.689068 90.085995) + (xy 176.564772 90.0495) + (xy 176.435228 90.0495) + (xy 176.310931 90.085995) + (xy 176.201954 90.156031) + (xy 176.20195 90.156034) + (xy 176.117119 90.253935) + (xy 176.063302 90.371776) + (xy 176.044867 90.5) + (xy 175.498499 90.5) + (xy 175.498499 90.173482) + (xy 175.497947 90.169999) + (xy 175.483646 90.079695) + (xy 175.483645 90.079693) + (xy 175.45377 90.021061) + (xy 175.42605 89.966658) + (xy 175.336342 89.87695) + (xy 175.223304 89.819354) + (xy 175.223302 89.819353) + (xy 175.223301 89.819353) + (xy 175.12952 89.8045) + (xy 175.129519 89.8045) + (xy 174.7975 89.8045) + (xy 174.749934 89.787187) + (xy 174.724624 89.74335) + (xy 174.7235 89.7305) + (xy 174.7235 89.35) + (xy 177.194867 89.35) + (xy 177.213302 89.478223) + (xy 177.213302 89.478224) + (xy 177.213303 89.478226) + (xy 177.267118 89.596063) + (xy 177.351951 89.693967) + (xy 177.460931 89.764004) + (xy 177.585228 89.8005) + (xy 177.714772 89.8005) + (xy 177.839069 89.764004) + (xy 177.948049 89.693967) + (xy 178.032882 89.596063) + (xy 178.086697 89.478226) + (xy 178.105133 89.35) + (xy 178.086697 89.221774) + (xy 178.032882 89.103937) + (xy 177.948049 89.006033) + (xy 177.881032 88.962964) + (xy 177.839068 88.935995) + (xy 177.714772 88.8995) + (xy 177.585228 88.8995) + (xy 177.460931 88.935995) + (xy 177.351954 89.006031) + (xy 177.35195 89.006034) + (xy 177.267119 89.103935) + (xy 177.213302 89.221776) + (xy 177.194867 89.35) + (xy 174.7235 89.35) + (xy 174.7235 85.669348) + (xy 174.723601 85.665476) + (xy 174.724309 85.651958) + (xy 174.725699 85.625436) + (xy 174.725317 85.624442) + (xy 174.71697 85.602697) + (xy 174.713671 85.591559) + (xy 174.708607 85.567732) + (xy 174.705327 85.563217) + (xy 174.70361 85.560854) + (xy 174.694391 85.543875) + (xy 174.691345 85.53594) + (xy 174.674118 85.518713) + (xy 174.666576 85.509882) + (xy 174.65226 85.490177) + (xy 174.644896 85.485925) + (xy 174.629571 85.474166) + (xy 173.919674 84.764269) + (xy 173.898282 84.718393) + (xy 173.898 84.711943) + (xy 173.898 75.509348) + (xy 173.898101 75.505476) + (xy 173.898855 75.491079) + (xy 173.900199 75.465436) + (xy 173.899827 75.464468) + (xy 173.89147 75.442697) + (xy 173.888171 75.431559) + (xy 173.883107 75.407732) + (xy 173.87811 75.400854) + (xy 173.868891 75.383875) + (xy 173.865845 75.37594) + (xy 173.848618 75.358713) + (xy 173.841076 75.349882) + (xy 173.82676 75.330177) + (xy 173.819396 75.325925) + (xy 173.804071 75.314166) + (xy 163.251674 64.761769) + (xy 163.230282 64.715893) + (xy 163.23 64.709443) + (xy 163.23 63.246) + (xy 164.059508 63.246) + (xy 164.079353 63.371301) + (xy 164.079353 63.371302) + (xy 164.079354 63.371304) + (xy 164.13695 63.484342) + (xy 164.217826 63.565218) + (xy 164.239218 63.611093) + (xy 164.2395 63.617543) + (xy 164.2395 64.807138) + (xy 164.239399 64.811011) + (xy 164.2373 64.851063) + (xy 164.246031 64.873814) + (xy 164.249326 64.884937) + (xy 164.254392 64.908766) + (xy 164.254394 64.90877) + (xy 164.259388 64.915643) + (xy 164.268605 64.932618) + (xy 164.26969 64.935444) + (xy 164.271654 64.94056) + (xy 164.288888 64.957794) + (xy 164.296419 64.966612) + (xy 164.31074 64.986323) + (xy 164.318102 64.990573) + (xy 164.333426 65.002332) + (xy 173.184992 73.853897) + (xy 173.187658 73.856707) + (xy 173.214492 73.886508) + (xy 173.214493 73.886509) + (xy 173.236751 73.896418) + (xy 173.246946 73.901954) + (xy 173.267383 73.915226) + (xy 173.270171 73.915667) + (xy 173.275779 73.916556) + (xy 173.294302 73.922042) + (xy 173.302068 73.9255) + (xy 173.326428 73.9255) + (xy 173.338002 73.92641) + (xy 173.348169 73.928021) + (xy 173.362065 73.930222) + (xy 173.362066 73.930222) + (xy 173.370281 73.928021) + (xy 173.389433 73.9255) + (xy 173.828457 73.9255) + (xy 173.876023 73.942813) + (xy 173.880771 73.947163) + (xy 173.961658 74.02805) + (xy 174.074696 74.085646) + (xy 174.2 74.105492) + (xy 174.325304 74.085646) + (xy 174.438342 74.02805) + (xy 174.52805 73.938342) + (xy 174.585646 73.825304) + (xy 174.605492 73.7) + (xy 174.585646 73.574696) + (xy 174.52805 73.461658) + (xy 174.438342 73.37195) + (xy 174.325304 73.314354) + (xy 174.325302 73.314353) + (xy 174.325301 73.314353) + (xy 174.2 73.294508) + (xy 174.074698 73.314353) + (xy 173.961656 73.371951) + (xy 173.931783 73.401825) + (xy 173.880781 73.452826) + (xy 173.834907 73.474218) + (xy 173.828457 73.4745) + (xy 173.720544 73.4745) + (xy 173.672978 73.457187) + (xy 173.647668 73.41335) + (xy 173.656458 73.3635) + (xy 173.668218 73.348174) + (xy 173.684442 73.33195) + (xy 173.72805 73.288342) + (xy 173.785646 73.175304) + (xy 173.805492 73.05) + (xy 173.785646 72.924696) + (xy 173.72805 72.811658) + (xy 173.668218 72.751826) + (xy 173.646826 72.70595) + (xy 173.659927 72.657055) + (xy 173.701391 72.628021) + (xy 173.720544 72.6255) + (xy 173.828457 72.6255) + (xy 173.876023 72.642813) + (xy 173.880771 72.647163) + (xy 173.961658 72.72805) + (xy 174.074696 72.785646) + (xy 174.2 72.805492) + (xy 174.325304 72.785646) + (xy 174.438342 72.72805) + (xy 174.52805 72.638342) + (xy 174.585646 72.525304) + (xy 174.605492 72.4) + (xy 174.585646 72.274696) + (xy 174.52805 72.161658) + (xy 174.438342 72.07195) + (xy 174.325304 72.014354) + (xy 174.325302 72.014353) + (xy 174.325301 72.014353) + (xy 174.2 71.994508) + (xy 174.074698 72.014353) + (xy 173.961656 72.071951) + (xy 173.931783 72.101825) + (xy 173.880781 72.152826) + (xy 173.834907 72.174218) + (xy 173.828457 72.1745) + (xy 173.720544 72.1745) + (xy 173.672978 72.157187) + (xy 173.647668 72.11335) + (xy 173.656458 72.0635) + (xy 173.668218 72.048174) + (xy 173.696205 72.020187) + (xy 173.72805 71.988342) + (xy 173.785646 71.875304) + (xy 173.805492 71.75) + (xy 173.785646 71.624696) + (xy 173.72805 71.511658) + (xy 173.668218 71.451826) + (xy 173.646826 71.40595) + (xy 173.659927 71.357055) + (xy 173.701391 71.328021) + (xy 173.720544 71.3255) + (xy 173.828457 71.3255) + (xy 173.876023 71.342813) + (xy 173.880771 71.347163) + (xy 173.961658 71.42805) + (xy 174.074696 71.485646) + (xy 174.2 71.505492) + (xy 174.325304 71.485646) + (xy 174.438342 71.42805) + (xy 174.52805 71.338342) + (xy 174.585646 71.225304) + (xy 174.605492 71.1) + (xy 174.585646 70.974696) + (xy 174.52805 70.861658) + (xy 174.438342 70.77195) + (xy 174.325304 70.714354) + (xy 174.325302 70.714353) + (xy 174.325301 70.714353) + (xy 174.2 70.694508) + (xy 174.074698 70.714353) + (xy 173.961656 70.771951) + (xy 173.935681 70.797927) + (xy 173.880781 70.852826) + (xy 173.834907 70.874218) + (xy 173.828457 70.8745) + (xy 173.720544 70.8745) + (xy 173.672978 70.857187) + (xy 173.647668 70.81335) + (xy 173.656458 70.7635) + (xy 173.668218 70.748174) + (xy 173.697501 70.718891) + (xy 173.72805 70.688342) + (xy 173.785646 70.575304) + (xy 173.805492 70.45) + (xy 173.785646 70.324696) + (xy 173.72805 70.211658) + (xy 173.668218 70.151826) + (xy 173.646826 70.10595) + (xy 173.659927 70.057055) + (xy 173.701391 70.028021) + (xy 173.720544 70.0255) + (xy 173.828457 70.0255) + (xy 173.876023 70.042813) + (xy 173.880771 70.047163) + (xy 173.961658 70.12805) + (xy 174.074696 70.185646) + (xy 174.2 70.205492) + (xy 174.325304 70.185646) + (xy 174.438342 70.12805) + (xy 174.52805 70.038342) + (xy 174.585646 69.925304) + (xy 174.605492 69.8) + (xy 174.585646 69.674696) + (xy 174.52805 69.561658) + (xy 174.438342 69.47195) + (xy 174.325304 69.414354) + (xy 174.325302 69.414353) + (xy 174.325301 69.414353) + (xy 174.2 69.394508) + (xy 174.074698 69.414353) + (xy 173.961656 69.471951) + (xy 173.931782 69.501826) + (xy 173.880781 69.552826) + (xy 173.834907 69.574218) + (xy 173.828457 69.5745) + (xy 173.720544 69.5745) + (xy 173.672978 69.557187) + (xy 173.647668 69.51335) + (xy 173.656458 69.4635) + (xy 173.668218 69.448174) + (xy 173.679742 69.43665) + (xy 173.72805 69.388342) + (xy 173.785646 69.275304) + (xy 173.805492 69.15) + (xy 173.785646 69.024696) + (xy 173.72805 68.911658) + (xy 173.638342 68.82195) + (xy 173.525304 68.764354) + (xy 173.525302 68.764353) + (xy 173.525301 68.764353) + (xy 173.4 68.744508) + (xy 173.399999 68.744508) + (xy 173.319327 68.757284) + (xy 173.269638 68.747625) + (xy 173.255426 68.736521) + (xy 169.157174 64.638269) + (xy 169.135782 64.592393) + (xy 169.1355 64.585943) + (xy 169.1355 59.4) + (xy 189.544508 59.4) + (xy 189.564353 59.525301) + (xy 189.564353 59.525302) + (xy 189.564354 59.525304) + (xy 189.62195 59.638342) + (xy 189.711658 59.72805) + (xy 189.824696 59.785646) + (xy 189.95 59.805492) + (xy 190.075304 59.785646) + (xy 190.188342 59.72805) + (xy 190.27805 59.638342) + (xy 190.335646 59.525304) + (xy 190.355492 59.4) + (xy 190.339654 59.3) + (xy 191.744508 59.3) + (xy 191.764353 59.425301) + (xy 191.764353 59.425302) + (xy 191.764354 59.425304) + (xy 191.82195 59.538342) + (xy 191.911658 59.62805) + (xy 192.024696 59.685646) + (xy 192.15 59.705492) + (xy 192.275304 59.685646) + (xy 192.388342 59.62805) + (xy 192.47805 59.538342) + (xy 192.535646 59.425304) + (xy 192.555492 59.3) + (xy 192.535646 59.174696) + (xy 192.47805 59.061658) + (xy 192.388342 58.97195) + (xy 192.275304 58.914354) + (xy 192.275302 58.914353) + (xy 192.275301 58.914353) + (xy 192.15 58.894508) + (xy 192.024698 58.914353) + (xy 191.911656 58.971951) + (xy 191.821951 59.061656) + (xy 191.764353 59.174698) + (xy 191.744508 59.3) + (xy 190.339654 59.3) + (xy 190.335646 59.274696) + (xy 190.27805 59.161658) + (xy 190.188342 59.07195) + (xy 190.075304 59.014354) + (xy 190.075302 59.014353) + (xy 190.075301 59.014353) + (xy 189.95 58.994508) + (xy 189.824698 59.014353) + (xy 189.824696 59.014353) + (xy 189.824696 59.014354) + (xy 189.798754 59.027572) + (xy 189.711656 59.071951) + (xy 189.621951 59.161656) + (xy 189.564353 59.274698) + (xy 189.544508 59.4) + (xy 169.1355 59.4) + (xy 169.1355 58.8) + (xy 190.644508 58.8) + (xy 190.664353 58.925301) + (xy 190.664353 58.925302) + (xy 190.664354 58.925304) + (xy 190.72195 59.038342) + (xy 190.811658 59.12805) + (xy 190.924696 59.185646) + (xy 191.05 59.205492) + (xy 191.175304 59.185646) + (xy 191.288342 59.12805) + (xy 191.37805 59.038342) + (xy 191.435646 58.925304) + (xy 191.455492 58.8) + (xy 191.435646 58.674696) + (xy 191.37805 58.561658) + (xy 191.288342 58.47195) + (xy 191.175304 58.414354) + (xy 191.175302 58.414353) + (xy 191.175301 58.414353) + (xy 191.05 58.394508) + (xy 190.924698 58.414353) + (xy 190.924696 58.414353) + (xy 190.924696 58.414354) + (xy 190.915984 58.418793) + (xy 190.811656 58.471951) + (xy 190.721951 58.561656) + (xy 190.664353 58.674698) + (xy 190.644508 58.8) + (xy 169.1355 58.8) + (xy 169.1355 57.53886) + (xy 169.135601 57.534987) + (xy 169.136302 57.521607) + (xy 169.1377 57.494936) + (xy 169.128968 57.47219) + (xy 169.12567 57.461054) + (xy 169.122976 57.448379) + (xy 169.120607 57.437232) + (xy 169.115607 57.43035) + (xy 169.106391 57.413374) + (xy 169.105096 57.41) + (xy 169.103346 57.405441) + (xy 169.086118 57.388213) + (xy 169.078576 57.379382) + (xy 169.06426 57.359677) + (xy 169.056896 57.355425) + (xy 169.041571 57.343666) + (xy 168.695314 56.997409) + (xy 168.677637 56.9595) + (xy 169.089867 56.9595) + (xy 169.108302 57.087723) + (xy 169.108302 57.087724) + (xy 169.108303 57.087726) + (xy 169.162118 57.205563) + (xy 169.246951 57.303467) + (xy 169.355931 57.373504) + (xy 169.480228 57.41) + (xy 169.609772 57.41) + (xy 169.734069 57.373504) + (xy 169.843049 57.303467) + (xy 169.927882 57.205563) + (xy 169.981697 57.087726) + (xy 170.000133 56.9595) + (xy 169.981697 56.831274) + (xy 169.927882 56.713437) + (xy 169.843049 56.615533) + (xy 169.774643 56.571571) + (xy 169.734068 56.545495) + (xy 169.609772 56.509) + (xy 169.480228 56.509) + (xy 169.355931 56.545495) + (xy 169.246954 56.615531) + (xy 169.24695 56.615534) + (xy 169.162119 56.713435) + (xy 169.108302 56.831276) + (xy 169.089867 56.9595) + (xy 168.677637 56.9595) + (xy 168.673922 56.951533) + (xy 168.674551 56.933507) + (xy 168.680492 56.896) + (xy 168.660646 56.770696) + (xy 168.60305 56.657658) + (xy 168.513342 56.56795) + (xy 168.400304 56.510354) + (xy 168.400302 56.510353) + (xy 168.400301 56.510353) + (xy 168.275 56.490508) + (xy 168.149698 56.510353) + (xy 168.036656 56.567951) + (xy 167.946951 56.657656) + (xy 167.889353 56.770698) + (xy 167.869508 56.896) + (xy 167.889353 57.021301) + (xy 167.889353 57.021302) + (xy 167.889354 57.021304) + (xy 167.94695 57.134342) + (xy 168.036658 57.22405) + (xy 168.149696 57.281646) + (xy 168.275 57.301492) + (xy 168.312506 57.295551) + (xy 168.362194 57.305209) + (xy 168.376408 57.316314) + (xy 168.662826 57.602731) + (xy 168.684218 57.648607) + (xy 168.6845 57.655057) + (xy 168.6845 59.100456) + (xy 168.667187 59.148022) + (xy 168.62335 59.173332) + (xy 168.5735 59.164542) + (xy 168.558174 59.152782) + (xy 168.513343 59.107951) + (xy 168.513342 59.10795) + (xy 168.400304 59.050354) + (xy 168.400302 59.050353) + (xy 168.400301 59.050353) + (xy 168.275 59.030508) + (xy 168.149698 59.050353) + (xy 168.036656 59.107951) + (xy 167.991826 59.152782) + (xy 167.94595 59.174174) + (xy 167.897055 59.161073) + (xy 167.868021 59.119609) + (xy 167.8655 59.100456) + (xy 167.8655 57.53886) + (xy 167.865601 57.534987) + (xy 167.866302 57.521607) + (xy 167.8677 57.494936) + (xy 167.858968 57.47219) + (xy 167.85567 57.461054) + (xy 167.852976 57.448379) + (xy 167.850607 57.437232) + (xy 167.845607 57.43035) + (xy 167.836391 57.413374) + (xy 167.835096 57.41) + (xy 167.833346 57.405441) + (xy 167.816118 57.388213) + (xy 167.808576 57.379382) + (xy 167.79426 57.359677) + (xy 167.786896 57.355425) + (xy 167.771571 57.343666) + (xy 167.425314 56.997409) + (xy 167.403922 56.951533) + (xy 167.404551 56.933507) + (xy 167.410492 56.896) + (xy 167.390646 56.770696) + (xy 167.33305 56.657658) + (xy 167.243342 56.56795) + (xy 167.130304 56.510354) + (xy 167.130302 56.510353) + (xy 167.130301 56.510353) + (xy 167.005 56.490508) + (xy 166.879698 56.510353) + (xy 166.766656 56.567951) + (xy 166.676951 56.657656) + (xy 166.619353 56.770698) + (xy 166.599508 56.896) + (xy 166.619353 57.021301) + (xy 166.619353 57.021302) + (xy 166.619354 57.021304) + (xy 166.67695 57.134342) + (xy 166.766658 57.22405) + (xy 166.879696 57.281646) + (xy 167.005 57.301492) + (xy 167.042506 57.295551) + (xy 167.092194 57.305209) + (xy 167.106408 57.316314) + (xy 167.392826 57.602731) + (xy 167.414218 57.648607) + (xy 167.4145 57.655057) + (xy 167.4145 59.100456) + (xy 167.397187 59.148022) + (xy 167.35335 59.173332) + (xy 167.3035 59.164542) + (xy 167.288174 59.152782) + (xy 167.243343 59.107951) + (xy 167.243342 59.10795) + (xy 167.130304 59.050354) + (xy 167.130302 59.050353) + (xy 167.130301 59.050353) + (xy 167.005 59.030508) + (xy 166.879698 59.050353) + (xy 166.766656 59.107951) + (xy 166.721826 59.152782) + (xy 166.67595 59.174174) + (xy 166.627055 59.161073) + (xy 166.598021 59.119609) + (xy 166.5955 59.100456) + (xy 166.5955 57.53886) + (xy 166.595601 57.534987) + (xy 166.596302 57.521607) + (xy 166.5977 57.494936) + (xy 166.588968 57.47219) + (xy 166.58567 57.461054) + (xy 166.582976 57.448379) + (xy 166.580607 57.437232) + (xy 166.575607 57.43035) + (xy 166.566391 57.413374) + (xy 166.565096 57.41) + (xy 166.563346 57.405441) + (xy 166.546118 57.388213) + (xy 166.538576 57.379382) + (xy 166.52426 57.359677) + (xy 166.516896 57.355425) + (xy 166.501571 57.343666) + (xy 166.155314 56.997409) + (xy 166.133922 56.951533) + (xy 166.134551 56.933507) + (xy 166.140492 56.896) + (xy 166.120646 56.770696) + (xy 166.06305 56.657658) + (xy 165.973342 56.56795) + (xy 165.860304 56.510354) + (xy 165.860302 56.510353) + (xy 165.860301 56.510353) + (xy 165.735 56.490508) + (xy 165.609698 56.510353) + (xy 165.496656 56.567951) + (xy 165.406951 56.657656) + (xy 165.349353 56.770698) + (xy 165.329508 56.896) + (xy 165.349353 57.021301) + (xy 165.349353 57.021302) + (xy 165.349354 57.021304) + (xy 165.40695 57.134342) + (xy 165.496658 57.22405) + (xy 165.609696 57.281646) + (xy 165.735 57.301492) + (xy 165.772506 57.295551) + (xy 165.822194 57.305209) + (xy 165.836408 57.316314) + (xy 166.122826 57.602731) + (xy 166.144218 57.648607) + (xy 166.1445 57.655057) + (xy 166.1445 59.100456) + (xy 166.127187 59.148022) + (xy 166.08335 59.173332) + (xy 166.0335 59.164542) + (xy 166.018174 59.152782) + (xy 165.973343 59.107951) + (xy 165.973342 59.10795) + (xy 165.860304 59.050354) + (xy 165.860302 59.050353) + (xy 165.860301 59.050353) + (xy 165.735 59.030508) + (xy 165.609698 59.050353) + (xy 165.496656 59.107951) + (xy 165.406951 59.197656) + (xy 165.349353 59.310698) + (xy 165.329508 59.436) + (xy 165.349353 59.561301) + (xy 165.349353 59.561302) + (xy 165.349354 59.561304) + (xy 165.40695 59.674342) + (xy 165.487826 59.755218) + (xy 165.509218 59.801093) + (xy 165.5095 59.807543) + (xy 165.5095 63.799456) + (xy 165.492187 63.847022) + (xy 165.44835 63.872332) + (xy 165.3985 63.863542) + (xy 165.383174 63.851782) + (xy 165.338343 63.806951) + (xy 165.338342 63.80695) + (xy 165.225304 63.749354) + (xy 165.225302 63.749353) + (xy 165.225301 63.749353) + (xy 165.1 63.729508) + (xy 164.974698 63.749353) + (xy 164.861656 63.806951) + (xy 164.816826 63.851782) + (xy 164.77095 63.873174) + (xy 164.722055 63.860073) + (xy 164.693021 63.818609) + (xy 164.6905 63.799456) + (xy 164.6905 63.617543) + (xy 164.707813 63.569977) + (xy 164.712163 63.565228) + (xy 164.79305 63.484342) + (xy 164.850646 63.371304) + (xy 164.870492 63.246) + (xy 164.850646 63.120696) + (xy 164.79305 63.007658) + (xy 164.703342 62.91795) + (xy 164.590304 62.860354) + (xy 164.590302 62.860353) + (xy 164.590301 62.860353) + (xy 164.465 62.840508) + (xy 164.339698 62.860353) + (xy 164.226656 62.917951) + (xy 164.136951 63.007656) + (xy 164.079353 63.120698) + (xy 164.059508 63.246) + (xy 163.23 63.246) + (xy 163.23 60.969556) + (xy 163.247313 60.92199) + (xy 163.251663 60.917241) + (xy 164.999924 59.168979) + (xy 165.002694 59.166351) + (xy 165.032509 59.139507) + (xy 165.042421 59.117241) + (xy 165.047953 59.107052) + (xy 165.061225 59.086618) + (xy 165.062553 59.078225) + (xy 165.06804 59.059701) + (xy 165.0715 59.051932) + (xy 165.0715 59.027572) + (xy 165.072411 59.015995) + (xy 165.076222 58.991935) + (xy 165.074021 58.98372) + (xy 165.0715 58.964568) + (xy 165.0715 54.991) + (xy 169.851867 54.991) + (xy 169.870302 55.119223) + (xy 169.870302 55.119224) + (xy 169.870303 55.119226) + (xy 169.924118 55.237063) + (xy 170.008951 55.334967) + (xy 170.117931 55.405004) + (xy 170.242228 55.4415) + (xy 170.371772 55.4415) + (xy 170.496069 55.405004) + (xy 170.605049 55.334967) + (xy 170.689882 55.237063) + (xy 170.715257 55.1815) + (xy 172.822508 55.1815) + (xy 172.842353 55.306801) + (xy 172.842353 55.306802) + (xy 172.842354 55.306804) + (xy 172.89995 55.419842) + (xy 172.989658 55.50955) + (xy 173.102696 55.567146) + (xy 173.228 55.586992) + (xy 173.353304 55.567146) + (xy 173.466342 55.50955) + (xy 173.55605 55.419842) + (xy 173.613646 55.306804) + (xy 173.633492 55.1815) + (xy 173.613646 55.056196) + (xy 173.55605 54.943158) + (xy 173.466342 54.85345) + (xy 173.353304 54.795854) + (xy 173.353302 54.795853) + (xy 173.353301 54.795853) + (xy 173.228 54.776008) + (xy 173.102698 54.795853) + (xy 172.989656 54.853451) + (xy 172.899951 54.943156) + (xy 172.842353 55.056198) + (xy 172.822508 55.1815) + (xy 170.715257 55.1815) + (xy 170.743697 55.119226) + (xy 170.762133 54.991) + (xy 170.743697 54.862774) + (xy 170.689882 54.744937) + (xy 170.605049 54.647033) + (xy 170.522232 54.59381) + (xy 170.496068 54.576995) + (xy 170.371772 54.5405) + (xy 170.242228 54.5405) + (xy 170.117931 54.576995) + (xy 170.008954 54.647031) + (xy 170.00895 54.647034) + (xy 169.924119 54.744935) + (xy 169.870302 54.862776) + (xy 169.851867 54.991) + (xy 165.0715 54.991) + (xy 165.0715 54.0385) + (xy 171.629867 54.0385) + (xy 171.648302 54.166723) + (xy 171.648302 54.166724) + (xy 171.648303 54.166726) + (xy 171.702118 54.284563) + (xy 171.786951 54.382467) + (xy 171.895931 54.452504) + (xy 172.020228 54.489) + (xy 172.149772 54.489) + (xy 172.274069 54.452504) + (xy 172.383049 54.382467) + (xy 172.467882 54.284563) + (xy 172.521697 54.166726) + (xy 172.540133 54.0385) + (xy 172.521697 53.910274) + (xy 172.467882 53.792437) + (xy 172.383049 53.694533) + (xy 172.284182 53.630995) + (xy 172.274068 53.624495) + (xy 172.149772 53.588) + (xy 172.020228 53.588) + (xy 171.895931 53.624495) + (xy 171.786954 53.694531) + (xy 171.78695 53.694534) + (xy 171.702119 53.792435) + (xy 171.702118 53.792436) + (xy 171.702118 53.792437) + (xy 171.695907 53.806037) + (xy 171.648302 53.910276) + (xy 171.629867 54.0385) + (xy 165.0715 54.0385) + (xy 165.0715 53.0225) + (xy 169.089867 53.0225) + (xy 169.108302 53.150723) + (xy 169.108302 53.150724) + (xy 169.108303 53.150726) + (xy 169.162118 53.268563) + (xy 169.246951 53.366467) + (xy 169.355931 53.436504) + (xy 169.480228 53.473) + (xy 169.609772 53.473) + (xy 169.734069 53.436504) + (xy 169.843049 53.366467) + (xy 169.927882 53.268563) + (xy 169.981697 53.150726) + (xy 170.000133 53.0225) + (xy 169.981697 52.894274) + (xy 169.927882 52.776437) + (xy 169.843049 52.678533) + (xy 169.776032 52.635464) + (xy 169.734068 52.608495) + (xy 169.609772 52.572) + (xy 169.480228 52.572) + (xy 169.355931 52.608495) + (xy 169.246954 52.678531) + (xy 169.24695 52.678534) + (xy 169.162119 52.776435) + (xy 169.108302 52.894276) + (xy 169.089867 53.0225) + (xy 165.0715 53.0225) + (xy 165.0715 52.07786) + (xy 165.071601 52.073987) + (xy 165.07181 52.069999) + (xy 165.0737 52.033936) + (xy 165.064965 52.011183) + (xy 165.061673 52.00007) + (xy 165.056607 51.976232) + (xy 165.05161 51.969354) + (xy 165.042391 51.952375) + (xy 165.039345 51.94444) + (xy 165.022118 51.927213) + (xy 165.014576 51.918382) + (xy 165.012919 51.916101) + (xy 165.00026 51.898677) + (xy 164.992896 51.894425) + (xy 164.977571 51.882666) + (xy 162.784231 49.689326) + (xy 162.762839 49.64345) + (xy 162.77594 49.594555) + (xy 162.784225 49.58468) + (xy 163.471732 48.897174) + (xy 163.517609 48.875782) + (xy 163.524058 48.8755) + (xy 166.278457 48.8755) + (xy 166.326023 48.892813) + (xy 166.330771 48.897163) + (xy 166.411658 48.97805) + (xy 166.524696 49.035646) + (xy 166.65 49.055492) + (xy 166.775304 49.035646) + (xy 166.888342 48.97805) + (xy 166.97805 48.888342) + (xy 167.035646 48.775304) + (xy 167.055492 48.65) + (xy 167.035646 48.524696) + (xy 166.97805 48.411658) + (xy 166.888342 48.32195) + (xy 166.775304 48.264354) + (xy 166.775302 48.264353) + (xy 166.775301 48.264353) + (xy 166.65 48.244508) + (xy 166.524698 48.264353) + (xy 166.411656 48.321951) + (xy 166.379613 48.353995) + (xy 166.330781 48.402826) + (xy 166.284907 48.424218) + (xy 166.278457 48.4245) + (xy 163.407861 48.4245) + (xy 163.403988 48.424399) + (xy 163.363936 48.4223) + (xy 163.341186 48.431031) + (xy 163.330061 48.434326) + (xy 163.306233 48.439391) + (xy 163.306231 48.439392) + (xy 163.299351 48.444391) + (xy 163.282386 48.453603) + (xy 163.274441 48.456653) + (xy 163.274439 48.456654) + (xy 163.25721 48.473883) + (xy 163.248384 48.481421) + (xy 163.228676 48.49574) + (xy 163.224423 48.503106) + (xy 163.212666 48.518427) + (xy 162.465326 49.265768) + (xy 162.41945 49.28716) + (xy 162.370555 49.274059) + (xy 162.360674 49.265768) + (xy 160.566006 47.471101) + (xy 160.56334 47.468291) + (xy 160.536508 47.438492) + (xy 160.536504 47.438489) + (xy 160.514252 47.428582) + (xy 160.504047 47.423041) + (xy 160.483619 47.409774) + (xy 160.483616 47.409773) + (xy 160.477505 47.408805) + (xy 160.475213 47.408442) + (xy 160.4567 47.402958) + (xy 160.448932 47.3995) + (xy 160.448931 47.3995) + (xy 160.424573 47.3995) + (xy 160.412998 47.398589) + (xy 160.409474 47.398031) + (xy 160.388935 47.394777) + (xy 160.388934 47.394777) + (xy 160.38072 47.396979) + (xy 160.361568 47.3995) (xy 131.382861 47.3995) (xy 131.378988 47.399399) (xy 131.338936 47.3973) @@ -121821,14 +122797,14 @@ (xy 126.6579 66.668852) (xy 126.6579 61.980868) (xy 126.657771 61.974481) - (xy 126.657666 61.971889) + (xy 126.65777 61.974453) (xy 126.657526 61.968409) (xy 126.657524 61.968401) (xy 126.643283 61.908977) (xy 126.643282 61.908972) (xy 126.62184 61.862215) (xy 126.590673 61.817095) - (xy 123.79435 58.984922) + (xy 123.793163 58.98372) (xy 123.056622 58.237736) (xy 123.035522 58.191724) (xy 123.048934 58.142914) @@ -121838,6 +122814,7 @@ (xy 123.056194 58.134055) (xy 123.080343 58.092225) (xy 123.083272 58.087566) + (xy 123.085332 58.084545) (xy 123.110472 58.047673) (xy 123.113066 58.039261) (xy 123.119693 58.024069) @@ -121989,217 +122966,114 @@ (xy 131.914929 45.722174) (xy 131.960805 45.700782) (xy 131.967255 45.7005) - (xy 166.190744 45.7005) - (xy 166.23831 45.717813) - (xy 166.24307 45.722174) - (xy 175.365122 54.844226) - (xy 175.370651 54.850413) - (xy 175.394621 54.88047) - (xy 175.410084 54.891013) - (xy 175.420723 54.899827) - (xy 180.218622 59.697725) - (xy 180.224144 59.703904) - (xy 180.243398 59.728048) - (xy 180.248121 59.73397) - (xy 180.296137 59.766707) - (xy 180.298394 59.768309) - (xy 180.345118 59.802793) - (xy 180.350022 59.805385) - (xy 180.349999 59.805427) - (xy 180.35531 59.808107) - (xy 180.35533 59.808066) - (xy 180.360322 59.81047) - (xy 180.360325 59.810471) - (xy 180.360327 59.810472) - (xy 180.391033 59.819943) - (xy 180.415867 59.827603) - (xy 180.418497 59.828469) - (xy 180.4733 59.847646) - (xy 180.47875 59.848677) - (xy 180.478741 59.848723) - (xy 180.484605 59.849719) - (xy 180.484612 59.849673) - (xy 180.490097 59.850499) - (xy 180.490098 59.8505) - (xy 180.54818 59.8505) - (xy 180.550948 59.850552) - (xy 180.609005 59.852725) - (xy 180.609006 59.852724) - (xy 180.60901 59.852725) - (xy 180.609013 59.852724) - (xy 180.61452 59.852104) - (xy 180.614525 59.852149) - (xy 180.627057 59.8505) - (xy 181.232098 59.8505) - (xy 181.29018 59.8505) - (xy 181.292948 59.850552) - (xy 181.351005 59.852725) - (xy 181.351006 59.852724) - (xy 181.35101 59.852725) - (xy 181.351013 59.852724) - (xy 181.35652 59.852104) - (xy 181.356525 59.852149) - (xy 181.369057 59.8505) - (xy 181.832098 59.8505) - (xy 181.890195 59.8505) - (xy 181.892963 59.850552) - (xy 181.951005 59.852724) - (xy 181.951006 59.852723) - (xy 181.95101 59.852724) - (xy 181.951013 59.852723) - (xy 181.956519 59.852103) - (xy 181.956524 59.852149) - (xy 181.969052 59.8505) - (xy 182.331598 59.8505) - (xy 182.38968 59.8505) - (xy 182.392448 59.850552) - (xy 182.450506 59.852725) - (xy 182.450508 59.852725) - (xy 182.450508 59.852724) - (xy 182.45051 59.852725) - (xy 182.48703 59.842938) - (xy 182.495118 59.841251) - (xy 182.534287 59.835348) - (xy 182.551774 59.826926) - (xy 182.56472 59.822121) - (xy 182.581687 59.817576) - (xy 182.612619 59.798139) - (xy 182.619855 59.794139) - (xy 182.656642 59.776425) - (xy 182.671412 59.762719) - (xy 182.682364 59.754314) - (xy 182.696675 59.745324) - (xy 182.719777 59.718477) - (xy 182.72553 59.712506) - (xy 182.756192 59.684057) - (xy 182.756192 59.684056) - (xy 182.756194 59.684055) - (xy 182.766575 59.666073) - (xy 182.774566 59.654811) - (xy 182.785258 59.642388) - (xy 182.799086 59.61069) - (xy 182.802817 59.603299) - (xy 182.824096 59.566445) - (xy 182.82881 59.545788) - (xy 182.833124 59.532675) - (xy 182.839565 59.517916) - (xy 182.843382 59.484026) - (xy 182.84477 59.475859) - (xy 182.854315 59.434046) - (xy 182.852715 59.412706) - (xy 182.852952 59.4) - (xy 189.544508 59.4) - (xy 189.564353 59.525301) - (xy 189.564353 59.525302) - (xy 189.564354 59.525304) - (xy 189.62195 59.638342) - (xy 189.711658 59.72805) - (xy 189.824696 59.785646) - (xy 189.95 59.805492) - (xy 190.075304 59.785646) - (xy 190.188342 59.72805) - (xy 190.27805 59.638342) - (xy 190.335646 59.525304) - (xy 190.355492 59.4) - (xy 190.339654 59.3) - (xy 191.744508 59.3) - (xy 191.764353 59.425301) - (xy 191.764353 59.425302) - (xy 191.764354 59.425304) - (xy 191.82195 59.538342) - (xy 191.911658 59.62805) - (xy 192.024696 59.685646) - (xy 192.15 59.705492) - (xy 192.275304 59.685646) - (xy 192.388342 59.62805) - (xy 192.47805 59.538342) - (xy 192.535646 59.425304) - (xy 192.555492 59.3) - (xy 192.535646 59.174696) - (xy 192.47805 59.061658) - (xy 192.388342 58.97195) - (xy 192.275304 58.914354) - (xy 192.275302 58.914353) - (xy 192.275301 58.914353) - (xy 192.15 58.894508) - (xy 192.024698 58.914353) - (xy 191.911656 58.971951) - (xy 191.821951 59.061656) - (xy 191.764353 59.174698) - (xy 191.744508 59.3) - (xy 190.339654 59.3) - (xy 190.335646 59.274696) - (xy 190.27805 59.161658) - (xy 190.188342 59.07195) - (xy 190.075304 59.014354) - (xy 190.075302 59.014353) - (xy 190.075301 59.014353) - (xy 189.95 58.994508) - (xy 189.824698 59.014353) - (xy 189.711656 59.071951) - (xy 189.621951 59.161656) - (xy 189.616574 59.172208) - (xy 189.56906 59.265461) - (xy 189.564353 59.274698) - (xy 189.544508 59.4) - (xy 182.852952 59.4) - (xy 182.852973 59.398902) - (xy 182.85477 59.382965) - (xy 182.848448 59.349555) - (xy 182.847366 59.341329) - (xy 182.844648 59.305051) - (xy 182.844166 59.298622) - (xy 182.843223 59.296219) - (xy 182.836364 59.278742) - (xy 182.832538 59.265461) - (xy 182.829525 59.249534) - (xy 182.829524 59.249533) - (xy 182.829524 59.249529) - (xy 182.813496 59.219204) - (xy 182.810035 59.211658) - (xy 182.794553 59.172208) - (xy 182.794552 59.172206) - (xy 182.781397 59.15571) - (xy 182.773828 59.14415) - (xy 182.772867 59.142332) - (xy 182.766066 59.129463) - (xy 182.741349 59.104746) - (xy 182.735821 59.098559) - (xy 182.709884 59.066035) - (xy 182.70988 59.066032) - (xy 182.709879 59.06603) - (xy 182.692842 59.054414) - (xy 182.682202 59.045599) - (xy 182.436603 58.8) - (xy 190.644508 58.8) - (xy 190.664353 58.925301) - (xy 190.664353 58.925302) - (xy 190.664354 58.925304) - (xy 190.72195 59.038342) - (xy 190.811658 59.12805) - (xy 190.924696 59.185646) - (xy 191.05 59.205492) - (xy 191.175304 59.185646) - (xy 191.288342 59.12805) - (xy 191.37805 59.038342) - (xy 191.435646 58.925304) - (xy 191.455492 58.8) - (xy 191.435646 58.674696) - (xy 191.37805 58.561658) - (xy 191.288342 58.47195) - (xy 191.175304 58.414354) - (xy 191.175302 58.414353) - (xy 191.175301 58.414353) - (xy 191.05 58.394508) - (xy 190.924698 58.414353) - (xy 190.811656 58.471951) - (xy 190.721951 58.561656) - (xy 190.664353 58.674698) - (xy 190.644508 58.8) - (xy 182.436603 58.8) - (xy 181.522174 57.885571) - (xy 181.500782 57.839695) - (xy 181.5005 57.833245) + (xy 166.182744 45.7005) + (xy 166.23031 45.717813) + (xy 166.23507 45.722174) + (xy 169.433434 48.920538) + (xy 172.380937 51.868041) + (xy 175.327345 54.814448) + (xy 175.32735 54.814454) + (xy 175.357122 54.844226) + (xy 175.362651 54.850413) + (xy 175.386621 54.88047) + (xy 175.402084 54.891013) + (xy 175.412723 54.899827) + (xy 178.861122 58.348225) + (xy 178.866644 58.354404) + (xy 178.890621 58.38447) + (xy 178.890622 58.384471) + (xy 178.899424 58.390472) + (xy 178.938634 58.417205) + (xy 178.940862 58.418786) + (xy 178.987617 58.453293) + (xy 178.987619 58.453293) + (xy 178.99252 58.455884) + (xy 178.992498 58.455925) + (xy 178.997809 58.458606) + (xy 178.997829 58.458565) + (xy 179.002825 58.460971) + (xy 179.002827 58.460972) + (xy 179.050551 58.475692) + (xy 179.058358 58.4781) + (xy 179.060988 58.478966) + (xy 179.115799 58.498146) + (xy 179.121249 58.499177) + (xy 179.12124 58.499223) + (xy 179.127105 58.500219) + (xy 179.127112 58.500173) + (xy 179.132597 58.500999) + (xy 179.132598 58.501) + (xy 179.190695 58.501) + (xy 179.193463 58.501052) + (xy 179.251505 58.503224) + (xy 179.251506 58.503223) + (xy 179.25151 58.503224) + (xy 179.251513 58.503223) + (xy 179.257019 58.502603) + (xy 179.257024 58.502649) + (xy 179.269552 58.501) + (xy 179.954598 58.501) + (xy 180.012695 58.501) + (xy 180.015463 58.501052) + (xy 180.073505 58.503224) + (xy 180.073506 58.503223) + (xy 180.07351 58.503224) + (xy 180.073513 58.503223) + (xy 180.079019 58.502603) + (xy 180.079024 58.502649) + (xy 180.091552 58.501) + (xy 180.482598 58.501) + (xy 180.540695 58.501) + (xy 180.543463 58.501052) + (xy 180.601505 58.503224) + (xy 180.601506 58.503223) + (xy 180.60151 58.503224) + (xy 180.601513 58.503223) + (xy 180.607019 58.502603) + (xy 180.607024 58.502649) + (xy 180.619552 58.501) + (xy 180.716598 58.501) + (xy 180.9909 58.501) + (xy 181.007366 58.502855) + (xy 181.015954 58.504815) + (xy 181.064098 58.501207) + (xy 181.069628 58.501) + (xy 181.083763 58.501) + (xy 181.086187 58.500634) + (xy 181.09775 58.49889) + (xy 181.103222 58.498274) + (xy 181.151378 58.494666) + (xy 181.159571 58.491449) + (xy 181.175579 58.487159) + (xy 181.184287 58.485848) + (xy 181.227793 58.464895) + (xy 181.232848 58.462691) + (xy 181.243362 58.458565) + (xy 181.277794 58.445052) + (xy 181.284678 58.439561) + (xy 181.29871 58.430744) + (xy 181.306642 58.426925) + (xy 181.342047 58.394072) + (xy 181.346216 58.390484) + (xy 181.38397 58.360379) + (xy 181.388927 58.353106) + (xy 181.399739 58.340544) + (xy 181.406194 58.334555) + (xy 181.430343 58.292725) + (xy 181.433272 58.288066) + (xy 181.460472 58.248173) + (xy 181.463066 58.239761) + (xy 181.469693 58.224569) + (xy 181.474096 58.216945) + (xy 181.48484 58.169864) + (xy 181.486262 58.164557) + (xy 181.5005 58.118402) + (xy 181.5005 58.109599) + (xy 181.502356 58.093132) + (xy 181.504315 58.084548) + (xy 181.504315 58.084545) + (xy 181.500707 58.036402) + (xy 181.5005 58.030871) (xy 181.5005 57.1) (xy 190.494508 57.1) (xy 190.514353 57.225301) @@ -122974,49 +123848,47 @@ (xy 177.141598 54.095366) (xy 177.135902 54.095793) (xy 177.130372 54.096) - (xy 176.5091 54.096) - (xy 176.492634 54.094145) - (xy 176.484047 54.092185) - (xy 176.484046 54.092185) - (xy 176.441598 54.095366) - (xy 176.435902 54.095793) - (xy 176.430372 54.096) - (xy 175.921755 54.096) - (xy 175.874189 54.078687) - (xy 175.869429 54.074326) - (xy 166.74738 44.952277) - (xy 166.74185 44.946089) - (xy 166.726176 44.926434) + (xy 176.528304 54.096) + (xy 176.525536 54.095948) + (xy 176.467494 54.093775) + (xy 176.461981 54.094397) + (xy 176.461975 54.09435) + (xy 176.449448 54.096) + (xy 175.913755 54.096) + (xy 175.866189 54.078687) + (xy 175.861429 54.074326) + (xy 166.796997 45.009894) + (xy 166.788199 44.997493) + (xy 166.787547 44.997938) + (xy 166.784426 44.993361) + (xy 166.784425 44.993358) + (xy 166.75158 44.95796) + (xy 166.747977 44.953772) + (xy 166.717884 44.916035) + (xy 166.71788 44.916032) (xy 166.717879 44.91603) - (xy 166.717878 44.916029) - (xy 166.717877 44.916028) - (xy 166.670074 44.883437) - (xy 166.669869 44.883297) - (xy 166.667621 44.881702) - (xy 166.620882 44.847207) - (xy 166.615981 44.844617) - (xy 166.616002 44.844575) - (xy 166.610687 44.841892) - (xy 166.610668 44.841933) - (xy 166.605672 44.839527) - (xy 166.550138 44.822397) - (xy 166.54751 44.821533) - (xy 166.543063 44.819977) - (xy 166.492699 44.802354) - (xy 166.492697 44.802353) - (xy 166.492695 44.802353) - (xy 166.487251 44.801323) - (xy 166.487259 44.801278) - (xy 166.481391 44.800281) - (xy 166.481385 44.800326) - (xy 166.475903 44.7995) + (xy 166.710601 44.911067) + (xy 166.698046 44.900263) + (xy 166.692055 44.893806) + (xy 166.65025 44.869669) + (xy 166.645568 44.866727) + (xy 166.60567 44.839526) + (xy 166.597253 44.83693) + (xy 166.582066 44.830304) + (xy 166.574445 44.825904) + (xy 166.574444 44.825903) + (xy 166.574441 44.825902) + (xy 166.574442 44.825902) + (xy 166.527375 44.815159) + (xy 166.522032 44.813728) (xy 166.475902 44.7995) - (xy 166.417804 44.7995) - (xy 166.415036 44.799448) - (xy 166.356994 44.797275) - (xy 166.351481 44.797897) - (xy 166.351475 44.79785) - (xy 166.338948 44.7995) + (xy 166.4671 44.7995) + (xy 166.450634 44.797645) + (xy 166.442047 44.795685) + (xy 166.442046 44.795685) + (xy 166.399598 44.798866) + (xy 166.393902 44.799293) + (xy 166.388372 44.7995) (xy 131.779459 44.7995) (xy 131.771174 44.799035) (xy 131.732965 44.794729) @@ -123490,7 +124362,7 @@ (xy 206.205294 49.994075) (xy 206.027574 49.914949) (xy 206.027568 49.914948) - (xy 205.907632 49.889455) + (xy 205.903698 49.888619) (xy 205.837274 49.8745) (xy 205.642726 49.8745) (xy 205.591359 49.885418) @@ -123517,7 +124389,7 @@ (xy 203.665294 49.994075) (xy 203.487574 49.914949) (xy 203.487568 49.914948) - (xy 203.367632 49.889455) + (xy 203.363698 49.888619) (xy 203.297274 49.8745) (xy 203.102726 49.8745) (xy 203.051359 49.885418) @@ -123544,7 +124416,7 @@ (xy 201.125294 49.994075) (xy 200.947574 49.914949) (xy 200.947568 49.914948) - (xy 200.827632 49.889455) + (xy 200.823698 49.888619) (xy 200.757274 49.8745) (xy 200.562726 49.8745) (xy 200.511359 49.885418) @@ -124206,7 +125078,9 @@ (xy 181.253185 51.96859) (xy 181.274577 52.014466) (xy 181.273948 52.032491) - (xy 181.268008 52.069999) + (xy 181.273363 52.036187) + (xy 181.268008 52.07) + (xy 181.268777 52.074854) (xy 181.287853 52.195301) (xy 181.287853 52.195302) (xy 181.287854 52.195304) @@ -124489,6 +125363,20 @@ ) ) ) + (zone (net 0) (net_name "") (layers "F.Cu" "F.Fab") (tstamp e8d3c5bc-fc24-45b0-a5df-1db3e20bb789) (hatch edge 0.5) + (connect_pads (clearance 0)) + (min_thickness 0.25) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.5) (thermal_bridge_width 0.5)) + (polygon + (pts + (xy 125.2 86.15) + (xy 128.7 86.15) + (xy 128.7 88.95) + (xy 125.2 88.95) + ) + ) + ) (zone (net 2) (net_name "+5V") (layer "In2.Cu") (tstamp 00000000-0000-0000-0000-000061aa3074) (hatch edge 0.508) (connect_pads (clearance 0.15)) (min_thickness 0.15) (filled_areas_thickness no) @@ -127944,7 +128832,20 @@ (xy 187.988342 126.47805) (xy 188.07805 126.388342) (xy 188.135646 126.275304) - (xy 188.141554 126.238) + (xy 188.155492 126.15) + (xy 190.894508 126.15) + (xy 190.914353 126.275301) + (xy 190.914353 126.275302) + (xy 190.914354 126.275304) + (xy 190.97195 126.388342) + (xy 191.061658 126.47805) + (xy 191.174696 126.535646) + (xy 191.3 126.555492) + (xy 191.425304 126.535646) + (xy 191.538342 126.47805) + (xy 191.62805 126.388342) + (xy 191.685646 126.275304) + (xy 191.691554 126.238) (xy 192.253508 126.238) (xy 192.273353 126.363301) (xy 192.273353 126.363302) @@ -127970,7 +128871,20 @@ (xy 192.330951 125.999656) (xy 192.273353 126.112698) (xy 192.253508 126.238) - (xy 188.141554 126.238) + (xy 191.691554 126.238) + (xy 191.705492 126.15) + (xy 191.685646 126.024696) + (xy 191.62805 125.911658) + (xy 191.538342 125.82195) + (xy 191.425304 125.764354) + (xy 191.425302 125.764353) + (xy 191.425301 125.764353) + (xy 191.3 125.744508) + (xy 191.174698 125.764353) + (xy 191.061656 125.821951) + (xy 190.971951 125.911656) + (xy 190.914353 126.024698) + (xy 190.894508 126.15) (xy 188.155492 126.15) (xy 188.135646 126.024696) (xy 188.07805 125.911658) @@ -134217,6 +135131,33 @@ (xy 188.75 112.955492) (xy 188.875304 112.935646) (xy 188.988342 112.87805) + (xy 189.026892 112.8395) + (xy 198.476508 112.8395) + (xy 198.496353 112.964801) + (xy 198.496353 112.964802) + (xy 198.496354 112.964804) + (xy 198.55395 113.077842) + (xy 198.643658 113.16755) + (xy 198.756696 113.225146) + (xy 198.882 113.244992) + (xy 199.007304 113.225146) + (xy 199.120342 113.16755) + (xy 199.21005 113.077842) + (xy 199.267646 112.964804) + (xy 199.287492 112.8395) + (xy 199.267646 112.714196) + (xy 199.21005 112.601158) + (xy 199.120342 112.51145) + (xy 199.007304 112.453854) + (xy 199.007302 112.453853) + (xy 199.007301 112.453853) + (xy 198.882 112.434008) + (xy 198.756698 112.453853) + (xy 198.643656 112.511451) + (xy 198.553951 112.601156) + (xy 198.496353 112.714198) + (xy 198.476508 112.8395) + (xy 189.026892 112.8395) (xy 189.07805 112.788342) (xy 189.135646 112.675304) (xy 189.155492 112.55) @@ -140506,17 +141447,17 @@ (xy 120.82224 97.489283) (xy 120.940483 97.38453) (xy 120.99883 97.3) - (xy 132.881008 97.3) - (xy 132.900853 97.425301) - (xy 132.900853 97.425302) - (xy 132.900854 97.425304) - (xy 132.95845 97.538342) - (xy 133.048158 97.62805) - (xy 133.161196 97.685646) - (xy 133.2865 97.705492) - (xy 133.411804 97.685646) - (xy 133.524842 97.62805) - (xy 133.552892 97.6) + (xy 132.894508 97.3) + (xy 132.914353 97.425301) + (xy 132.914353 97.425302) + (xy 132.914354 97.425304) + (xy 132.97195 97.538342) + (xy 133.061658 97.62805) + (xy 133.174696 97.685646) + (xy 133.3 97.705492) + (xy 133.425304 97.685646) + (xy 133.538342 97.62805) + (xy 133.566392 97.6) (xy 170.044508 97.6) (xy 170.064353 97.725301) (xy 170.064353 97.725302) @@ -140586,22 +141527,22 @@ (xy 170.121951 97.361656) (xy 170.064353 97.474698) (xy 170.044508 97.6) - (xy 133.552892 97.6) - (xy 133.61455 97.538342) - (xy 133.672146 97.425304) - (xy 133.691992 97.3) - (xy 133.672146 97.174696) - (xy 133.61455 97.061658) - (xy 133.524842 96.97195) - (xy 133.411804 96.914354) - (xy 133.411802 96.914353) - (xy 133.411801 96.914353) - (xy 133.2865 96.894508) - (xy 133.161198 96.914353) - (xy 133.048156 96.971951) - (xy 132.958451 97.061656) - (xy 132.900853 97.174698) - (xy 132.881008 97.3) + (xy 133.566392 97.6) + (xy 133.62805 97.538342) + (xy 133.685646 97.425304) + (xy 133.705492 97.3) + (xy 133.685646 97.174696) + (xy 133.62805 97.061658) + (xy 133.538342 96.97195) + (xy 133.425304 96.914354) + (xy 133.425302 96.914353) + (xy 133.425301 96.914353) + (xy 133.3 96.894508) + (xy 133.174698 96.914353) + (xy 133.061656 96.971951) + (xy 132.971951 97.061656) + (xy 132.914353 97.174698) + (xy 132.894508 97.3) (xy 120.99883 97.3) (xy 121.03022 97.254523) (xy 121.086237 97.106818) @@ -142476,7 +143417,51 @@ (xy 162.056646 93.851304) (xy 162.076492 93.726) (xy 162.056646 93.600696) - (xy 162.005339 93.5) + (xy 161.99905 93.487658) + (xy 161.909342 93.39795) + (xy 161.805422 93.345) + (xy 169.111159 93.345) + (xy 169.130476 93.541133) + (xy 169.187687 93.729731) + (xy 169.272468 93.888342) + (xy 169.28059 93.903538) + (xy 169.405617 94.055883) + (xy 169.557962 94.18091) + (xy 169.627992 94.218342) + (xy 169.731768 94.273812) + (xy 169.73177 94.273812) + (xy 169.731773 94.273814) + (xy 169.920368 94.331024) + (xy 170.1165 94.350341) + (xy 170.312632 94.331024) + (xy 170.501227 94.273814) + (xy 170.675038 94.18091) + (xy 170.827383 94.055883) + (xy 170.95241 93.903538) + (xy 171.045314 93.729727) + (xy 171.102524 93.541132) + (xy 171.121841 93.345) + (xy 173.492659 93.345) + (xy 173.511976 93.541133) + (xy 173.569187 93.729731) + (xy 173.653968 93.888342) + (xy 173.66209 93.903538) + (xy 173.787117 94.055883) + (xy 173.939462 94.18091) + (xy 174.009492 94.218342) + (xy 174.113268 94.273812) + (xy 174.11327 94.273812) + (xy 174.113273 94.273814) + (xy 174.301868 94.331024) + (xy 174.498 94.350341) + (xy 174.694132 94.331024) + (xy 174.882727 94.273814) + (xy 175.056538 94.18091) + (xy 175.208883 94.055883) + (xy 175.33391 93.903538) + (xy 175.426814 93.729727) + (xy 175.484024 93.541132) + (xy 175.488075 93.5) (xy 180.794508 93.5) (xy 180.814353 93.625301) (xy 180.814353 93.625302) @@ -142583,9 +143568,157 @@ (xy 180.871951 93.261656) (xy 180.814353 93.374698) (xy 180.794508 93.5) - (xy 162.005339 93.5) - (xy 161.99905 93.487658) - (xy 161.909342 93.39795) + (xy 175.488075 93.5) + (xy 175.503341 93.345) + (xy 175.484024 93.148868) + (xy 175.426814 92.960273) + (xy 175.426812 92.96027) + (xy 175.426812 92.960268) + (xy 175.421324 92.95) + (xy 177.194867 92.95) + (xy 177.213302 93.078223) + (xy 177.213302 93.078224) + (xy 177.213303 93.078226) + (xy 177.267118 93.196063) + (xy 177.351951 93.293967) + (xy 177.460931 93.364004) + (xy 177.585228 93.4005) + (xy 177.714772 93.4005) + (xy 177.839069 93.364004) + (xy 177.948049 93.293967) + (xy 178.032882 93.196063) + (xy 178.086697 93.078226) + (xy 178.105133 92.95) + (xy 178.086697 92.821774) + (xy 178.032882 92.703937) + (xy 177.948049 92.606033) + (xy 177.86086 92.55) + (xy 183.644508 92.55) + (xy 183.664353 92.675301) + (xy 183.664353 92.675302) + (xy 183.664354 92.675304) + (xy 183.72195 92.788342) + (xy 183.811658 92.87805) + (xy 183.924696 92.935646) + (xy 184.05 92.955492) + (xy 184.175304 92.935646) + (xy 184.288342 92.87805) + (xy 184.37805 92.788342) + (xy 184.435646 92.675304) + (xy 184.455492 92.55) + (xy 185.844508 92.55) + (xy 185.864353 92.675301) + (xy 185.864353 92.675302) + (xy 185.864354 92.675304) + (xy 185.92195 92.788342) + (xy 186.011658 92.87805) + (xy 186.124696 92.935646) + (xy 186.25 92.955492) + (xy 186.375304 92.935646) + (xy 186.488342 92.87805) + (xy 186.57805 92.788342) + (xy 186.635646 92.675304) + (xy 186.655492 92.55) + (xy 191.744508 92.55) + (xy 191.764353 92.675301) + (xy 191.764353 92.675302) + (xy 191.764354 92.675304) + (xy 191.82195 92.788342) + (xy 191.911658 92.87805) + (xy 192.024696 92.935646) + (xy 192.15 92.955492) + (xy 192.275304 92.935646) + (xy 192.388342 92.87805) + (xy 192.47805 92.788342) + (xy 192.535646 92.675304) + (xy 192.555492 92.55) + (xy 192.535646 92.424696) + (xy 192.47805 92.311658) + (xy 192.388342 92.22195) + (xy 192.275304 92.164354) + (xy 192.275302 92.164353) + (xy 192.275301 92.164353) + (xy 192.15 92.144508) + (xy 192.024698 92.164353) + (xy 191.911656 92.221951) + (xy 191.821951 92.311656) + (xy 191.764353 92.424698) + (xy 191.744508 92.55) + (xy 186.655492 92.55) + (xy 186.635646 92.424696) + (xy 186.57805 92.311658) + (xy 186.488342 92.22195) + (xy 186.375304 92.164354) + (xy 186.375302 92.164353) + (xy 186.375301 92.164353) + (xy 186.25 92.144508) + (xy 186.124698 92.164353) + (xy 186.011656 92.221951) + (xy 185.921951 92.311656) + (xy 185.864353 92.424698) + (xy 185.844508 92.55) + (xy 184.455492 92.55) + (xy 184.435646 92.424696) + (xy 184.37805 92.311658) + (xy 184.288342 92.22195) + (xy 184.175304 92.164354) + (xy 184.175302 92.164353) + (xy 184.175301 92.164353) + (xy 184.05 92.144508) + (xy 183.924698 92.164353) + (xy 183.811656 92.221951) + (xy 183.721951 92.311656) + (xy 183.664353 92.424698) + (xy 183.644508 92.55) + (xy 177.86086 92.55) + (xy 177.839068 92.535995) + (xy 177.714772 92.4995) + (xy 177.585228 92.4995) + (xy 177.460931 92.535995) + (xy 177.351954 92.606031) + (xy 177.35195 92.606034) + (xy 177.267119 92.703935) + (xy 177.213302 92.821776) + (xy 177.194867 92.95) + (xy 175.421324 92.95) + (xy 175.374014 92.861491) + (xy 175.33391 92.786462) + (xy 175.208883 92.634117) + (xy 175.056538 92.50909) + (xy 175.029257 92.494508) + (xy 174.882731 92.416187) + (xy 174.694133 92.358976) + (xy 174.498 92.339659) + (xy 174.301866 92.358976) + (xy 174.113268 92.416187) + (xy 173.939463 92.509089) + (xy 173.787117 92.634117) + (xy 173.662089 92.786463) + (xy 173.569187 92.960268) + (xy 173.511976 93.148866) + (xy 173.492659 93.345) + (xy 171.121841 93.345) + (xy 171.102524 93.148868) + (xy 171.045314 92.960273) + (xy 171.045312 92.96027) + (xy 171.045312 92.960268) + (xy 170.992514 92.861491) + (xy 170.95241 92.786462) + (xy 170.827383 92.634117) + (xy 170.675038 92.50909) + (xy 170.647757 92.494508) + (xy 170.501231 92.416187) + (xy 170.312633 92.358976) + (xy 170.1165 92.339659) + (xy 169.920366 92.358976) + (xy 169.731768 92.416187) + (xy 169.557963 92.509089) + (xy 169.405617 92.634117) + (xy 169.280589 92.786463) + (xy 169.187687 92.960268) + (xy 169.130476 93.148866) + (xy 169.111159 93.345) + (xy 161.805422 93.345) (xy 161.796304 93.340354) (xy 161.796302 93.340353) (xy 161.796301 93.340353) @@ -142889,140 +144022,6 @@ (xy 166.080492 92.85) (xy 166.060646 92.724696) (xy 166.00305 92.611658) - (xy 165.974392 92.583) - (xy 170.219008 92.583) - (xy 170.238853 92.708301) - (xy 170.238853 92.708302) - (xy 170.238854 92.708304) - (xy 170.29645 92.821342) - (xy 170.386158 92.91105) - (xy 170.499196 92.968646) - (xy 170.6245 92.988492) - (xy 170.749804 92.968646) - (xy 170.786399 92.95) - (xy 173.794867 92.95) - (xy 173.813302 93.078223) - (xy 173.813302 93.078224) - (xy 173.813303 93.078226) - (xy 173.867118 93.196063) - (xy 173.951951 93.293967) - (xy 174.060931 93.364004) - (xy 174.185228 93.4005) - (xy 174.314772 93.4005) - (xy 174.439069 93.364004) - (xy 174.548049 93.293967) - (xy 174.632882 93.196063) - (xy 174.686697 93.078226) - (xy 174.705133 92.95) - (xy 174.686697 92.821774) - (xy 174.632882 92.703937) - (xy 174.548049 92.606033) - (xy 174.46086 92.55) - (xy 183.644508 92.55) - (xy 183.664353 92.675301) - (xy 183.664353 92.675302) - (xy 183.664354 92.675304) - (xy 183.72195 92.788342) - (xy 183.811658 92.87805) - (xy 183.924696 92.935646) - (xy 184.05 92.955492) - (xy 184.175304 92.935646) - (xy 184.288342 92.87805) - (xy 184.37805 92.788342) - (xy 184.435646 92.675304) - (xy 184.455492 92.55) - (xy 185.844508 92.55) - (xy 185.864353 92.675301) - (xy 185.864353 92.675302) - (xy 185.864354 92.675304) - (xy 185.92195 92.788342) - (xy 186.011658 92.87805) - (xy 186.124696 92.935646) - (xy 186.25 92.955492) - (xy 186.375304 92.935646) - (xy 186.488342 92.87805) - (xy 186.57805 92.788342) - (xy 186.635646 92.675304) - (xy 186.655492 92.55) - (xy 191.744508 92.55) - (xy 191.764353 92.675301) - (xy 191.764353 92.675302) - (xy 191.764354 92.675304) - (xy 191.82195 92.788342) - (xy 191.911658 92.87805) - (xy 192.024696 92.935646) - (xy 192.15 92.955492) - (xy 192.275304 92.935646) - (xy 192.388342 92.87805) - (xy 192.47805 92.788342) - (xy 192.535646 92.675304) - (xy 192.555492 92.55) - (xy 192.535646 92.424696) - (xy 192.47805 92.311658) - (xy 192.388342 92.22195) - (xy 192.275304 92.164354) - (xy 192.275302 92.164353) - (xy 192.275301 92.164353) - (xy 192.15 92.144508) - (xy 192.024698 92.164353) - (xy 191.911656 92.221951) - (xy 191.821951 92.311656) - (xy 191.764353 92.424698) - (xy 191.744508 92.55) - (xy 186.655492 92.55) - (xy 186.635646 92.424696) - (xy 186.57805 92.311658) - (xy 186.488342 92.22195) - (xy 186.375304 92.164354) - (xy 186.375302 92.164353) - (xy 186.375301 92.164353) - (xy 186.25 92.144508) - (xy 186.124698 92.164353) - (xy 186.011656 92.221951) - (xy 185.921951 92.311656) - (xy 185.864353 92.424698) - (xy 185.844508 92.55) - (xy 184.455492 92.55) - (xy 184.435646 92.424696) - (xy 184.37805 92.311658) - (xy 184.288342 92.22195) - (xy 184.175304 92.164354) - (xy 184.175302 92.164353) - (xy 184.175301 92.164353) - (xy 184.05 92.144508) - (xy 183.924698 92.164353) - (xy 183.811656 92.221951) - (xy 183.721951 92.311656) - (xy 183.664353 92.424698) - (xy 183.644508 92.55) - (xy 174.46086 92.55) - (xy 174.439068 92.535995) - (xy 174.314772 92.4995) - (xy 174.185228 92.4995) - (xy 174.060931 92.535995) - (xy 173.951954 92.606031) - (xy 173.95195 92.606034) - (xy 173.867119 92.703935) - (xy 173.813302 92.821776) - (xy 173.794867 92.95) - (xy 170.786399 92.95) - (xy 170.862842 92.91105) - (xy 170.95255 92.821342) - (xy 171.010146 92.708304) - (xy 171.029992 92.583) - (xy 171.010146 92.457696) - (xy 170.95255 92.344658) - (xy 170.862842 92.25495) - (xy 170.749804 92.197354) - (xy 170.749802 92.197353) - (xy 170.749801 92.197353) - (xy 170.6245 92.177508) - (xy 170.499198 92.197353) - (xy 170.386156 92.254951) - (xy 170.296451 92.344656) - (xy 170.238853 92.457698) - (xy 170.219008 92.583) - (xy 165.974392 92.583) (xy 165.913342 92.52195) (xy 165.800304 92.464354) (xy 165.800302 92.464353) @@ -143271,7 +144270,6 @@ (xy 121.714353 92.874698) (xy 121.694508 93) (xy 121.099207 93) - (xy 121.100604 92.988492) (xy 121.105278 92.950003) (xy 121.105278 92.949996) (xy 121.088808 92.814353) @@ -143402,125 +144400,6 @@ (xy 166.805492 92.125) (xy 166.785646 91.999696) (xy 166.72805 91.886658) - (xy 166.641392 91.8) - (xy 172.644867 91.8) - (xy 172.663302 91.928223) - (xy 172.663302 91.928224) - (xy 172.663303 91.928226) - (xy 172.717118 92.046063) - (xy 172.801951 92.143967) - (xy 172.910931 92.214004) - (xy 173.035228 92.2505) - (xy 173.164772 92.2505) - (xy 173.289069 92.214004) - (xy 173.398049 92.143967) - (xy 173.482882 92.046063) - (xy 173.536697 91.928226) - (xy 173.555133 91.8) - (xy 174.944867 91.8) - (xy 174.963302 91.928223) - (xy 174.963302 91.928224) - (xy 174.963303 91.928226) - (xy 175.017118 92.046063) - (xy 175.101951 92.143967) - (xy 175.210931 92.214004) - (xy 175.335228 92.2505) - (xy 175.464772 92.2505) - (xy 175.589069 92.214004) - (xy 175.698049 92.143967) - (xy 175.782882 92.046063) - (xy 175.836697 91.928226) - (xy 175.840755 91.9) - (xy 189.544508 91.9) - (xy 189.564353 92.025301) - (xy 189.564353 92.025302) - (xy 189.564354 92.025304) - (xy 189.62195 92.138342) - (xy 189.711658 92.22805) - (xy 189.824696 92.285646) - (xy 189.95 92.305492) - (xy 190.075304 92.285646) - (xy 190.188342 92.22805) - (xy 190.27805 92.138342) - (xy 190.335646 92.025304) - (xy 190.355492 91.9) - (xy 190.335646 91.774696) - (xy 190.297586 91.7) - (xy 194.294508 91.7) - (xy 194.314353 91.825301) - (xy 194.314353 91.825302) - (xy 194.314354 91.825304) - (xy 194.37195 91.938342) - (xy 194.461658 92.02805) - (xy 194.574696 92.085646) - (xy 194.7 92.105492) - (xy 194.825304 92.085646) - (xy 194.938342 92.02805) - (xy 195.02805 91.938342) - (xy 195.085646 91.825304) - (xy 195.105492 91.7) - (xy 195.085646 91.574696) - (xy 195.02805 91.461658) - (xy 194.938342 91.37195) - (xy 194.825304 91.314354) - (xy 194.825302 91.314353) - (xy 194.825301 91.314353) - (xy 194.7 91.294508) - (xy 194.574698 91.314353) - (xy 194.461656 91.371951) - (xy 194.371951 91.461656) - (xy 194.37195 91.461658) - (xy 194.31914 91.565304) - (xy 194.314353 91.574698) - (xy 194.294508 91.7) - (xy 190.297586 91.7) - (xy 190.27805 91.661658) - (xy 190.188342 91.57195) - (xy 190.075304 91.514354) - (xy 190.075302 91.514353) - (xy 190.075301 91.514353) - (xy 189.95 91.494508) - (xy 189.824698 91.514353) - (xy 189.711656 91.571951) - (xy 189.621951 91.661656) - (xy 189.564353 91.774698) - (xy 189.544508 91.9) - (xy 175.840755 91.9) - (xy 175.855133 91.8) - (xy 175.836697 91.671774) - (xy 175.782882 91.553937) - (xy 175.698049 91.456033) - (xy 175.631032 91.412964) - (xy 175.589068 91.385995) - (xy 175.464772 91.3495) - (xy 175.335228 91.3495) - (xy 175.210931 91.385995) - (xy 175.101954 91.456031) - (xy 175.10195 91.456034) - (xy 175.017119 91.553935) - (xy 175.017118 91.553936) - (xy 175.017118 91.553937) - (xy 175.013274 91.562354) - (xy 174.963302 91.671776) - (xy 174.944867 91.8) - (xy 173.555133 91.8) - (xy 173.536697 91.671774) - (xy 173.482882 91.553937) - (xy 173.398049 91.456033) - (xy 173.331032 91.412964) - (xy 173.289068 91.385995) - (xy 173.164772 91.3495) - (xy 173.035228 91.3495) - (xy 172.910931 91.385995) - (xy 172.801954 91.456031) - (xy 172.80195 91.456034) - (xy 172.717119 91.553935) - (xy 172.717118 91.553936) - (xy 172.717118 91.553937) - (xy 172.713274 91.562354) - (xy 172.663302 91.671776) - (xy 172.644867 91.8) - (xy 166.641392 91.8) (xy 166.638342 91.79695) (xy 166.525304 91.739354) (xy 166.525302 91.739353) @@ -143726,341 +144605,6 @@ (xy 166.076992 91.44) (xy 166.057146 91.314696) (xy 165.99955 91.201658) - (xy 165.947892 91.15) - (xy 187.644508 91.15) - (xy 187.664353 91.275301) - (xy 187.664353 91.275302) - (xy 187.664354 91.275304) - (xy 187.72195 91.388342) - (xy 187.811658 91.47805) - (xy 187.924696 91.535646) - (xy 188.05 91.555492) - (xy 188.175304 91.535646) - (xy 188.288342 91.47805) - (xy 188.37805 91.388342) - (xy 188.435646 91.275304) - (xy 188.447573 91.2) - (xy 191.744508 91.2) - (xy 191.764353 91.325301) - (xy 191.764353 91.325302) - (xy 191.764354 91.325304) - (xy 191.82195 91.438342) - (xy 191.911658 91.52805) - (xy 192.024696 91.585646) - (xy 192.15 91.605492) - (xy 192.275304 91.585646) - (xy 192.388342 91.52805) - (xy 192.47805 91.438342) - (xy 192.535646 91.325304) - (xy 192.547652 91.2495) - (xy 195.365008 91.2495) - (xy 195.384853 91.374801) - (xy 195.384853 91.374802) - (xy 195.384854 91.374804) - (xy 195.44245 91.487842) - (xy 195.532158 91.57755) - (xy 195.645196 91.635146) - (xy 195.7705 91.654992) - (xy 195.895804 91.635146) - (xy 196.008842 91.57755) - (xy 196.09855 91.487842) - (xy 196.122927 91.44) - (xy 199.729402 91.44) - (xy 199.748936 91.625854) - (xy 199.749739 91.633487) - (xy 199.809855 91.818505) - (xy 199.809854 91.818505) - (xy 199.879043 91.938343) - (xy 199.90713 91.986992) - (xy 200.03405 92.127951) - (xy 200.03731 92.131571) - (xy 200.194694 92.245918) - (xy 200.194705 92.245924) - (xy 200.372425 92.32505) - (xy 200.372427 92.32505) - (xy 200.372429 92.325051) - (xy 200.562726 92.3655) - (xy 200.562727 92.3655) - (xy 200.757273 92.3655) - (xy 200.757274 92.3655) - (xy 200.947571 92.325051) - (xy 200.947572 92.32505) - (xy 200.947574 92.32505) - (xy 201.105019 92.254951) - (xy 201.125299 92.245922) - (xy 201.1259 92.245486) - (xy 201.147214 92.23) - (xy 201.524508 92.23) - (xy 201.544353 92.355301) - (xy 201.544353 92.355302) - (xy 201.544354 92.355304) - (xy 201.60195 92.468342) - (xy 201.691658 92.55805) - (xy 201.804696 92.615646) - (xy 201.93 92.635492) - (xy 202.055304 92.615646) - (xy 202.168342 92.55805) - (xy 202.25805 92.468342) - (xy 202.315646 92.355304) - (xy 202.335492 92.23) - (xy 202.315646 92.104696) - (xy 202.25805 91.991658) - (xy 202.168342 91.90195) - (xy 202.055304 91.844354) - (xy 202.055302 91.844353) - (xy 202.055301 91.844353) - (xy 201.93 91.824508) - (xy 201.804698 91.844353) - (xy 201.691656 91.901951) - (xy 201.601951 91.991656) - (xy 201.544353 92.104698) - (xy 201.524508 92.23) - (xy 201.147214 92.23) - (xy 201.265626 92.143968) - (xy 201.282692 92.131569) - (xy 201.41287 91.986992) - (xy 201.510144 91.818508) - (xy 201.570262 91.633482) - (xy 201.590598 91.44) - (xy 202.269905 91.44) - (xy 202.290231 91.633383) - (xy 202.350314 91.8183) - (xy 202.445206 91.98266) - (xy 202.755184 91.672681) - (xy 202.818239 91.770798) - (xy 202.9269 91.864952) - (xy 202.968281 91.88385) - (xy 202.660638 92.191493) - (xy 202.73495 92.245485) - (xy 202.734952 92.245486) - (xy 202.912583 92.324572) - (xy 202.912585 92.324573) - (xy 203.10278 92.365) - (xy 203.29722 92.365) - (xy 203.487414 92.324573) - (xy 203.48742 92.324571) - (xy 203.665039 92.24549) - (xy 203.665048 92.245485) - (xy 203.73936 92.191493) - (xy 203.739361 92.191493) - (xy 203.431718 91.88385) - (xy 203.4731 91.864952) - (xy 203.581761 91.770798) - (xy 203.644815 91.672683) - (xy 203.954791 91.982659) - (xy 204.049685 91.818302) - (xy 204.109768 91.633383) - (xy 204.130094 91.44) - (xy 204.809402 91.44) - (xy 204.828936 91.625854) - (xy 204.829739 91.633487) - (xy 204.889855 91.818505) - (xy 204.889854 91.818505) - (xy 204.959043 91.938343) - (xy 204.98713 91.986992) - (xy 205.11405 92.127951) - (xy 205.11731 92.131571) - (xy 205.274694 92.245918) - (xy 205.274705 92.245924) - (xy 205.452425 92.32505) - (xy 205.452427 92.32505) - (xy 205.452429 92.325051) - (xy 205.642726 92.3655) - (xy 205.642727 92.3655) - (xy 205.837273 92.3655) - (xy 205.837274 92.3655) - (xy 206.027571 92.325051) - (xy 206.027572 92.32505) - (xy 206.027574 92.32505) - (xy 206.185019 92.254951) - (xy 206.205299 92.245922) - (xy 206.2059 92.245486) - (xy 206.345626 92.143968) - (xy 206.362692 92.131569) - (xy 206.49287 91.986992) - (xy 206.515382 91.948) - (xy 208.319008 91.948) - (xy 208.338853 92.073301) - (xy 208.338853 92.073302) - (xy 208.338854 92.073304) - (xy 208.39645 92.186342) - (xy 208.486158 92.27605) - (xy 208.599196 92.333646) - (xy 208.7245 92.353492) - (xy 208.849804 92.333646) - (xy 208.962842 92.27605) - (xy 209.05255 92.186342) - (xy 209.110146 92.073304) - (xy 209.129992 91.948) - (xy 209.110146 91.822696) - (xy 209.05255 91.709658) - (xy 208.962842 91.61995) - (xy 208.849804 91.562354) - (xy 208.849802 91.562353) - (xy 208.849801 91.562353) - (xy 208.7245 91.542508) - (xy 208.599198 91.562353) - (xy 208.486156 91.619951) - (xy 208.396451 91.709656) - (xy 208.338853 91.822698) - (xy 208.319008 91.948) - (xy 206.515382 91.948) - (xy 206.590144 91.818508) - (xy 206.650262 91.633482) - (xy 206.670598 91.44) - (xy 206.650262 91.246518) - (xy 206.590144 91.061492) - (xy 206.49287 90.893008) - (xy 206.362692 90.748431) - (xy 206.362691 90.74843) - (xy 206.362689 90.748428) - (xy 206.205305 90.634081) - (xy 206.205294 90.634075) - (xy 206.027574 90.554949) - (xy 206.027568 90.554948) - (xy 205.907632 90.529455) - (xy 205.837274 90.5145) - (xy 205.642726 90.5145) - (xy 205.638163 90.51547) - (xy 205.452431 90.554948) - (xy 205.452425 90.554949) - (xy 205.274705 90.634075) - (xy 205.274694 90.634081) - (xy 205.11731 90.748428) - (xy 204.98713 90.893007) - (xy 204.987128 90.89301) - (xy 204.889854 91.061494) - (xy 204.829739 91.246512) - (xy 204.829738 91.246516) - (xy 204.829738 91.246518) - (xy 204.809402 91.44) - (xy 204.130094 91.44) - (xy 204.109768 91.246616) - (xy 204.049685 91.061699) - (xy 203.954791 90.897339) - (xy 203.644814 91.207315) - (xy 203.581761 91.109202) - (xy 203.4731 91.015048) - (xy 203.431716 90.996148) - (xy 203.73936 90.688505) - (xy 203.665049 90.634514) - (xy 203.665047 90.634513) - (xy 203.487416 90.555427) - (xy 203.487414 90.555426) - (xy 203.29722 90.515) - (xy 203.10278 90.515) - (xy 202.912585 90.555426) - (xy 202.912583 90.555427) - (xy 202.734955 90.634512) - (xy 202.734951 90.634514) - (xy 202.660638 90.688505) - (xy 202.660638 90.688506) - (xy 202.968281 90.996149) - (xy 202.9269 91.015048) - (xy 202.818239 91.109202) - (xy 202.755184 91.207316) - (xy 202.445206 90.897338) - (xy 202.350314 91.061699) - (xy 202.290231 91.246616) - (xy 202.269905 91.44) - (xy 201.590598 91.44) - (xy 201.570262 91.246518) - (xy 201.510144 91.061492) - (xy 201.41287 90.893008) - (xy 201.282692 90.748431) - (xy 201.282691 90.74843) - (xy 201.282689 90.748428) - (xy 201.147215 90.65) - (xy 201.524508 90.65) - (xy 201.544353 90.775301) - (xy 201.544353 90.775302) - (xy 201.544354 90.775304) - (xy 201.60195 90.888342) - (xy 201.691658 90.97805) - (xy 201.804696 91.035646) - (xy 201.93 91.055492) - (xy 202.055304 91.035646) - (xy 202.168342 90.97805) - (xy 202.25805 90.888342) - (xy 202.315646 90.775304) - (xy 202.335492 90.65) - (xy 202.315646 90.524696) - (xy 202.25805 90.411658) - (xy 202.168342 90.32195) - (xy 202.055304 90.264354) - (xy 202.055302 90.264353) - (xy 202.055301 90.264353) - (xy 201.93 90.244508) - (xy 201.804698 90.264353) - (xy 201.691656 90.321951) - (xy 201.601951 90.411656) - (xy 201.544353 90.524698) - (xy 201.524508 90.65) - (xy 201.147215 90.65) - (xy 201.125305 90.634081) - (xy 201.125294 90.634075) - (xy 200.947574 90.554949) - (xy 200.947568 90.554948) - (xy 200.827632 90.529455) - (xy 200.757274 90.5145) - (xy 200.562726 90.5145) - (xy 200.558163 90.51547) - (xy 200.372431 90.554948) - (xy 200.372425 90.554949) - (xy 200.194705 90.634075) - (xy 200.194694 90.634081) - (xy 200.03731 90.748428) - (xy 199.90713 90.893007) - (xy 199.907128 90.89301) - (xy 199.809854 91.061494) - (xy 199.749739 91.246512) - (xy 199.749738 91.246516) - (xy 199.749738 91.246518) - (xy 199.729402 91.44) - (xy 196.122927 91.44) - (xy 196.156146 91.374804) - (xy 196.175992 91.2495) - (xy 196.156146 91.124196) - (xy 196.09855 91.011158) - (xy 196.008842 90.92145) - (xy 195.895804 90.863854) - (xy 195.895802 90.863853) - (xy 195.895801 90.863853) - (xy 195.7705 90.844008) - (xy 195.645198 90.863853) - (xy 195.532156 90.921451) - (xy 195.442451 91.011156) - (xy 195.384853 91.124198) - (xy 195.365008 91.2495) - (xy 192.547652 91.2495) - (xy 192.555492 91.2) - (xy 192.535646 91.074696) - (xy 192.47805 90.961658) - (xy 192.388342 90.87195) - (xy 192.275304 90.814354) - (xy 192.275302 90.814353) - (xy 192.275301 90.814353) - (xy 192.15 90.794508) - (xy 192.024698 90.814353) - (xy 191.911656 90.871951) - (xy 191.821951 90.961656) - (xy 191.764353 91.074698) - (xy 191.744508 91.2) - (xy 188.447573 91.2) - (xy 188.455492 91.15) - (xy 188.435646 91.024696) - (xy 188.37805 90.911658) - (xy 188.288342 90.82195) - (xy 188.175304 90.764354) - (xy 188.175302 90.764353) - (xy 188.175301 90.764353) - (xy 188.05 90.744508) - (xy 187.924698 90.764353) - (xy 187.811656 90.821951) - (xy 187.721951 90.911656) - (xy 187.664353 91.024698) - (xy 187.644508 91.15) - (xy 165.947892 91.15) (xy 165.909842 91.11195) (xy 165.796804 91.054354) (xy 165.796802 91.054353) @@ -144296,8 +144840,511 @@ (xy 165.30105 90.979842) (xy 165.358646 90.866804) (xy 165.378492 90.7415) - (xy 165.358646 90.616196) - (xy 165.350394 90.6) + (xy 165.364792 90.655) + (xy 169.1165 90.655) + (xy 169.639435 90.655) + (xy 169.6165 90.733111) + (xy 169.6165 90.876889) + (xy 169.639435 90.955) + (xy 169.116501 90.955) + (xy 169.116501 91.436482) + (xy 169.131334 91.530148) + (xy 169.131335 91.530149) + (xy 169.18886 91.643046) + (xy 169.278453 91.732639) + (xy 169.39135 91.790164) + (xy 169.485018 91.804999) + (xy 169.9665 91.804999) + (xy 169.9665 91.28617) + (xy 169.974185 91.28968) + (xy 170.080737 91.305) + (xy 170.152263 91.305) + (xy 170.258815 91.28968) + (xy 170.2665 91.28617) + (xy 170.2665 91.804999) + (xy 170.747981 91.804999) + (xy 170.841648 91.790165) + (xy 170.841649 91.790164) + (xy 170.954546 91.732639) + (xy 171.044139 91.643046) + (xy 171.101664 91.530149) + (xy 171.101664 91.530148) + (xy 171.116494 91.436519) + (xy 173.4975 91.436519) + (xy 173.512353 91.530304) + (xy 173.512354 91.530306) + (xy 173.559379 91.622595) + (xy 173.56995 91.643342) + (xy 173.659658 91.73305) + (xy 173.772696 91.790646) + (xy 173.866481 91.8055) + (xy 175.129518 91.805499) + (xy 175.129519 91.805499) + (xy 175.164241 91.8) + (xy 176.044867 91.8) + (xy 176.063302 91.928223) + (xy 176.063302 91.928224) + (xy 176.063303 91.928226) + (xy 176.117118 92.046063) + (xy 176.201951 92.143967) + (xy 176.310931 92.214004) + (xy 176.435228 92.2505) + (xy 176.564772 92.2505) + (xy 176.689069 92.214004) + (xy 176.798049 92.143967) + (xy 176.882882 92.046063) + (xy 176.936697 91.928226) + (xy 176.955133 91.8) + (xy 178.344867 91.8) + (xy 178.363302 91.928223) + (xy 178.363302 91.928224) + (xy 178.363303 91.928226) + (xy 178.417118 92.046063) + (xy 178.501951 92.143967) + (xy 178.610931 92.214004) + (xy 178.735228 92.2505) + (xy 178.864772 92.2505) + (xy 178.989069 92.214004) + (xy 179.098049 92.143967) + (xy 179.182882 92.046063) + (xy 179.236697 91.928226) + (xy 179.240755 91.9) + (xy 189.544508 91.9) + (xy 189.564353 92.025301) + (xy 189.564353 92.025302) + (xy 189.564354 92.025304) + (xy 189.62195 92.138342) + (xy 189.711658 92.22805) + (xy 189.824696 92.285646) + (xy 189.95 92.305492) + (xy 190.075304 92.285646) + (xy 190.188342 92.22805) + (xy 190.27805 92.138342) + (xy 190.335646 92.025304) + (xy 190.355492 91.9) + (xy 190.335646 91.774696) + (xy 190.297586 91.7) + (xy 194.294508 91.7) + (xy 194.314353 91.825301) + (xy 194.314353 91.825302) + (xy 194.314354 91.825304) + (xy 194.37195 91.938342) + (xy 194.461658 92.02805) + (xy 194.574696 92.085646) + (xy 194.7 92.105492) + (xy 194.825304 92.085646) + (xy 194.938342 92.02805) + (xy 195.02805 91.938342) + (xy 195.085646 91.825304) + (xy 195.105492 91.7) + (xy 195.085646 91.574696) + (xy 195.02805 91.461658) + (xy 194.938342 91.37195) + (xy 194.825304 91.314354) + (xy 194.825302 91.314353) + (xy 194.825301 91.314353) + (xy 194.7 91.294508) + (xy 194.574698 91.314353) + (xy 194.461656 91.371951) + (xy 194.371951 91.461656) + (xy 194.37195 91.461658) + (xy 194.31914 91.565304) + (xy 194.314353 91.574698) + (xy 194.294508 91.7) + (xy 190.297586 91.7) + (xy 190.27805 91.661658) + (xy 190.188342 91.57195) + (xy 190.075304 91.514354) + (xy 190.075302 91.514353) + (xy 190.075301 91.514353) + (xy 189.95 91.494508) + (xy 189.824698 91.514353) + (xy 189.711656 91.571951) + (xy 189.621951 91.661656) + (xy 189.564353 91.774698) + (xy 189.544508 91.9) + (xy 179.240755 91.9) + (xy 179.255133 91.8) + (xy 179.236697 91.671774) + (xy 179.182882 91.553937) + (xy 179.098049 91.456033) + (xy 179.031032 91.412964) + (xy 178.989068 91.385995) + (xy 178.864772 91.3495) + (xy 178.735228 91.3495) + (xy 178.610931 91.385995) + (xy 178.501954 91.456031) + (xy 178.50195 91.456034) + (xy 178.417119 91.553935) + (xy 178.417118 91.553936) + (xy 178.417118 91.553937) + (xy 178.413274 91.562354) + (xy 178.363302 91.671776) + (xy 178.344867 91.8) + (xy 176.955133 91.8) + (xy 176.936697 91.671774) + (xy 176.882882 91.553937) + (xy 176.798049 91.456033) + (xy 176.731032 91.412964) + (xy 176.689068 91.385995) + (xy 176.564772 91.3495) + (xy 176.435228 91.3495) + (xy 176.310931 91.385995) + (xy 176.201954 91.456031) + (xy 176.20195 91.456034) + (xy 176.117119 91.553935) + (xy 176.117118 91.553936) + (xy 176.117118 91.553937) + (xy 176.113274 91.562354) + (xy 176.063302 91.671776) + (xy 176.044867 91.8) + (xy 175.164241 91.8) + (xy 175.223304 91.790646) + (xy 175.223306 91.790645) + (xy 175.248025 91.77805) + (xy 175.336342 91.73305) + (xy 175.42605 91.643342) + (xy 175.483646 91.530304) + (xy 175.4985 91.436519) + (xy 175.4985 91.15) + (xy 187.644508 91.15) + (xy 187.664353 91.275301) + (xy 187.664353 91.275302) + (xy 187.664354 91.275304) + (xy 187.72195 91.388342) + (xy 187.811658 91.47805) + (xy 187.924696 91.535646) + (xy 188.05 91.555492) + (xy 188.175304 91.535646) + (xy 188.288342 91.47805) + (xy 188.37805 91.388342) + (xy 188.435646 91.275304) + (xy 188.447573 91.2) + (xy 191.744508 91.2) + (xy 191.764353 91.325301) + (xy 191.764353 91.325302) + (xy 191.764354 91.325304) + (xy 191.82195 91.438342) + (xy 191.911658 91.52805) + (xy 192.024696 91.585646) + (xy 192.15 91.605492) + (xy 192.275304 91.585646) + (xy 192.388342 91.52805) + (xy 192.47805 91.438342) + (xy 192.535646 91.325304) + (xy 192.547652 91.2495) + (xy 195.365008 91.2495) + (xy 195.384853 91.374801) + (xy 195.384853 91.374802) + (xy 195.384854 91.374804) + (xy 195.44245 91.487842) + (xy 195.532158 91.57755) + (xy 195.645196 91.635146) + (xy 195.7705 91.654992) + (xy 195.895804 91.635146) + (xy 196.008842 91.57755) + (xy 196.09855 91.487842) + (xy 196.122927 91.44) + (xy 199.729402 91.44) + (xy 199.748936 91.625854) + (xy 199.749739 91.633487) + (xy 199.809855 91.818505) + (xy 199.809854 91.818505) + (xy 199.879043 91.938343) + (xy 199.90713 91.986992) + (xy 200.03405 92.127951) + (xy 200.03731 92.131571) + (xy 200.194694 92.245918) + (xy 200.194705 92.245924) + (xy 200.372425 92.32505) + (xy 200.372427 92.32505) + (xy 200.372429 92.325051) + (xy 200.562726 92.3655) + (xy 200.562727 92.3655) + (xy 200.757273 92.3655) + (xy 200.757274 92.3655) + (xy 200.947571 92.325051) + (xy 200.947572 92.32505) + (xy 200.947574 92.32505) + (xy 201.099566 92.257379) + (xy 201.125299 92.245922) + (xy 201.1259 92.245486) + (xy 201.147214 92.23) + (xy 201.524508 92.23) + (xy 201.544353 92.355301) + (xy 201.544353 92.355302) + (xy 201.544354 92.355304) + (xy 201.60195 92.468342) + (xy 201.691658 92.55805) + (xy 201.804696 92.615646) + (xy 201.93 92.635492) + (xy 202.055304 92.615646) + (xy 202.168342 92.55805) + (xy 202.25805 92.468342) + (xy 202.315646 92.355304) + (xy 202.335492 92.23) + (xy 202.315646 92.104696) + (xy 202.25805 91.991658) + (xy 202.168342 91.90195) + (xy 202.055304 91.844354) + (xy 202.055302 91.844353) + (xy 202.055301 91.844353) + (xy 201.93 91.824508) + (xy 201.804698 91.844353) + (xy 201.691656 91.901951) + (xy 201.601951 91.991656) + (xy 201.544353 92.104698) + (xy 201.524508 92.23) + (xy 201.147214 92.23) + (xy 201.265626 92.143968) + (xy 201.282692 92.131569) + (xy 201.41287 91.986992) + (xy 201.510144 91.818508) + (xy 201.570262 91.633482) + (xy 201.590598 91.44) + (xy 202.269905 91.44) + (xy 202.290231 91.633383) + (xy 202.350314 91.8183) + (xy 202.445206 91.98266) + (xy 202.755184 91.672681) + (xy 202.818239 91.770798) + (xy 202.9269 91.864952) + (xy 202.968281 91.88385) + (xy 202.660638 92.191493) + (xy 202.73495 92.245485) + (xy 202.734952 92.245486) + (xy 202.912583 92.324572) + (xy 202.912585 92.324573) + (xy 203.10278 92.365) + (xy 203.29722 92.365) + (xy 203.487414 92.324573) + (xy 203.48742 92.324571) + (xy 203.665039 92.24549) + (xy 203.665048 92.245485) + (xy 203.73936 92.191493) + (xy 203.739361 92.191493) + (xy 203.431718 91.88385) + (xy 203.4731 91.864952) + (xy 203.581761 91.770798) + (xy 203.644815 91.672683) + (xy 203.954791 91.982659) + (xy 204.049685 91.818302) + (xy 204.109768 91.633383) + (xy 204.130094 91.44) + (xy 204.809402 91.44) + (xy 204.828936 91.625854) + (xy 204.829739 91.633487) + (xy 204.889855 91.818505) + (xy 204.889854 91.818505) + (xy 204.959043 91.938343) + (xy 204.98713 91.986992) + (xy 205.11405 92.127951) + (xy 205.11731 92.131571) + (xy 205.274694 92.245918) + (xy 205.274705 92.245924) + (xy 205.452425 92.32505) + (xy 205.452427 92.32505) + (xy 205.452429 92.325051) + (xy 205.642726 92.3655) + (xy 205.642727 92.3655) + (xy 205.837273 92.3655) + (xy 205.837274 92.3655) + (xy 206.027571 92.325051) + (xy 206.027572 92.32505) + (xy 206.027574 92.32505) + (xy 206.179566 92.257379) + (xy 206.205299 92.245922) + (xy 206.2059 92.245486) + (xy 206.345626 92.143968) + (xy 206.362692 92.131569) + (xy 206.49287 91.986992) + (xy 206.515382 91.948) + (xy 208.319008 91.948) + (xy 208.338853 92.073301) + (xy 208.338853 92.073302) + (xy 208.338854 92.073304) + (xy 208.39645 92.186342) + (xy 208.486158 92.27605) + (xy 208.599196 92.333646) + (xy 208.7245 92.353492) + (xy 208.849804 92.333646) + (xy 208.962842 92.27605) + (xy 209.05255 92.186342) + (xy 209.110146 92.073304) + (xy 209.129992 91.948) + (xy 209.110146 91.822696) + (xy 209.05255 91.709658) + (xy 208.962842 91.61995) + (xy 208.849804 91.562354) + (xy 208.849802 91.562353) + (xy 208.849801 91.562353) + (xy 208.7245 91.542508) + (xy 208.599198 91.562353) + (xy 208.486156 91.619951) + (xy 208.396451 91.709656) + (xy 208.338853 91.822698) + (xy 208.319008 91.948) + (xy 206.515382 91.948) + (xy 206.590144 91.818508) + (xy 206.650262 91.633482) + (xy 206.670598 91.44) + (xy 206.650262 91.246518) + (xy 206.590144 91.061492) + (xy 206.49287 90.893008) + (xy 206.362692 90.748431) + (xy 206.362691 90.74843) + (xy 206.362689 90.748428) + (xy 206.205305 90.634081) + (xy 206.205294 90.634075) + (xy 206.027574 90.554949) + (xy 206.027568 90.554948) + (xy 205.907632 90.529455) + (xy 205.837274 90.5145) + (xy 205.642726 90.5145) + (xy 205.638163 90.51547) + (xy 205.452431 90.554948) + (xy 205.452425 90.554949) + (xy 205.274705 90.634075) + (xy 205.274694 90.634081) + (xy 205.11731 90.748428) + (xy 204.98713 90.893007) + (xy 204.987128 90.89301) + (xy 204.889854 91.061494) + (xy 204.829739 91.246512) + (xy 204.829738 91.246516) + (xy 204.829738 91.246518) + (xy 204.809402 91.44) + (xy 204.130094 91.44) + (xy 204.109768 91.246616) + (xy 204.049685 91.061699) + (xy 203.954791 90.897339) + (xy 203.644814 91.207315) + (xy 203.581761 91.109202) + (xy 203.4731 91.015048) + (xy 203.431716 90.996148) + (xy 203.73936 90.688505) + (xy 203.665049 90.634514) + (xy 203.665047 90.634513) + (xy 203.487416 90.555427) + (xy 203.487414 90.555426) + (xy 203.29722 90.515) + (xy 203.10278 90.515) + (xy 202.912585 90.555426) + (xy 202.912583 90.555427) + (xy 202.734955 90.634512) + (xy 202.734951 90.634514) + (xy 202.660638 90.688505) + (xy 202.660638 90.688506) + (xy 202.968281 90.996149) + (xy 202.9269 91.015048) + (xy 202.818239 91.109202) + (xy 202.755184 91.207316) + (xy 202.445206 90.897338) + (xy 202.350314 91.061699) + (xy 202.290231 91.246616) + (xy 202.269905 91.44) + (xy 201.590598 91.44) + (xy 201.570262 91.246518) + (xy 201.510144 91.061492) + (xy 201.41287 90.893008) + (xy 201.282692 90.748431) + (xy 201.282691 90.74843) + (xy 201.282689 90.748428) + (xy 201.147215 90.65) + (xy 201.524508 90.65) + (xy 201.544353 90.775301) + (xy 201.544353 90.775302) + (xy 201.544354 90.775304) + (xy 201.60195 90.888342) + (xy 201.691658 90.97805) + (xy 201.804696 91.035646) + (xy 201.93 91.055492) + (xy 202.055304 91.035646) + (xy 202.168342 90.97805) + (xy 202.25805 90.888342) + (xy 202.315646 90.775304) + (xy 202.335492 90.65) + (xy 202.315646 90.524696) + (xy 202.25805 90.411658) + (xy 202.168342 90.32195) + (xy 202.055304 90.264354) + (xy 202.055302 90.264353) + (xy 202.055301 90.264353) + (xy 201.93 90.244508) + (xy 201.804698 90.264353) + (xy 201.691656 90.321951) + (xy 201.601951 90.411656) + (xy 201.544353 90.524698) + (xy 201.524508 90.65) + (xy 201.147215 90.65) + (xy 201.125305 90.634081) + (xy 201.125294 90.634075) + (xy 200.947574 90.554949) + (xy 200.947568 90.554948) + (xy 200.827632 90.529455) + (xy 200.757274 90.5145) + (xy 200.562726 90.5145) + (xy 200.558163 90.51547) + (xy 200.372431 90.554948) + (xy 200.372425 90.554949) + (xy 200.194705 90.634075) + (xy 200.194694 90.634081) + (xy 200.03731 90.748428) + (xy 199.90713 90.893007) + (xy 199.907128 90.89301) + (xy 199.809854 91.061494) + (xy 199.749739 91.246512) + (xy 199.749738 91.246516) + (xy 199.749738 91.246518) + (xy 199.729402 91.44) + (xy 196.122927 91.44) + (xy 196.156146 91.374804) + (xy 196.175992 91.2495) + (xy 196.156146 91.124196) + (xy 196.09855 91.011158) + (xy 196.008842 90.92145) + (xy 195.895804 90.863854) + (xy 195.895802 90.863853) + (xy 195.895801 90.863853) + (xy 195.7705 90.844008) + (xy 195.645198 90.863853) + (xy 195.645196 90.863853) + (xy 195.645196 90.863854) + (xy 195.615376 90.879048) + (xy 195.532156 90.921451) + (xy 195.442451 91.011156) + (xy 195.384853 91.124198) + (xy 195.365008 91.2495) + (xy 192.547652 91.2495) + (xy 192.555492 91.2) + (xy 192.535646 91.074696) + (xy 192.47805 90.961658) + (xy 192.388342 90.87195) + (xy 192.275304 90.814354) + (xy 192.275302 90.814353) + (xy 192.275301 90.814353) + (xy 192.15 90.794508) + (xy 192.024698 90.814353) + (xy 191.911656 90.871951) + (xy 191.821951 90.961656) + (xy 191.764353 91.074698) + (xy 191.744508 91.2) + (xy 188.447573 91.2) + (xy 188.455492 91.15) + (xy 188.435646 91.024696) + (xy 188.37805 90.911658) + (xy 188.288342 90.82195) + (xy 188.175304 90.764354) + (xy 188.175302 90.764353) + (xy 188.175301 90.764353) + (xy 188.05 90.744508) + (xy 187.924698 90.764353) + (xy 187.811656 90.821951) + (xy 187.721951 90.911656) + (xy 187.664353 91.024698) + (xy 187.644508 91.15) + (xy 175.4985 91.15) + (xy 175.498499 90.6) (xy 189.544508 90.6) (xy 189.564353 90.725301) (xy 189.564353 90.725302) @@ -144327,6 +145374,33 @@ (xy 193.735646 90.474696) (xy 193.67805 90.361658) (xy 193.588342 90.27195) + (xy 193.51288 90.2335) + (xy 198.921007 90.2335) + (xy 198.940852 90.358801) + (xy 198.940852 90.358802) + (xy 198.940853 90.358804) + (xy 198.998449 90.471842) + (xy 199.088157 90.56155) + (xy 199.201195 90.619146) + (xy 199.326499 90.638992) + (xy 199.451803 90.619146) + (xy 199.564841 90.56155) + (xy 199.654549 90.471842) + (xy 199.712145 90.358804) + (xy 199.731991 90.2335) + (xy 199.712145 90.108196) + (xy 199.654549 89.995158) + (xy 199.564841 89.90545) + (xy 199.451803 89.847854) + (xy 199.451801 89.847853) + (xy 199.4518 89.847853) + (xy 199.326499 89.828008) + (xy 199.201197 89.847853) + (xy 199.088155 89.905451) + (xy 198.99845 89.995156) + (xy 198.940852 90.108198) + (xy 198.921007 90.2335) + (xy 193.51288 90.2335) (xy 193.475304 90.214354) (xy 193.475302 90.214353) (xy 193.475301 90.214353) @@ -144355,7 +145429,89 @@ (xy 189.621951 90.361656) (xy 189.564353 90.474698) (xy 189.544508 90.6) - (xy 165.350394 90.6) + (xy 175.498499 90.6) + (xy 175.498499 90.173482) + (xy 175.486147 90.095491) + (xy 175.483646 90.079695) + (xy 175.483645 90.079693) + (xy 175.452235 90.018048) + (xy 175.42605 89.966658) + (xy 175.409392 89.95) + (xy 191.744508 89.95) + (xy 191.764353 90.075301) + (xy 191.764353 90.075302) + (xy 191.764354 90.075304) + (xy 191.82195 90.188342) + (xy 191.911658 90.27805) + (xy 192.024696 90.335646) + (xy 192.15 90.355492) + (xy 192.275304 90.335646) + (xy 192.388342 90.27805) + (xy 192.47805 90.188342) + (xy 192.535646 90.075304) + (xy 192.555492 89.95) + (xy 192.535646 89.824696) + (xy 192.47805 89.711658) + (xy 192.388342 89.62195) + (xy 192.275304 89.564354) + (xy 192.275302 89.564353) + (xy 192.275301 89.564353) + (xy 192.15 89.544508) + (xy 192.024698 89.564353) + (xy 191.911656 89.621951) + (xy 191.821951 89.711656) + (xy 191.82195 89.711658) + (xy 191.76914 89.815304) + (xy 191.764353 89.824698) + (xy 191.744508 89.95) + (xy 175.409392 89.95) + (xy 175.336342 89.87695) + (xy 175.223304 89.819354) + (xy 175.223302 89.819353) + (xy 175.223301 89.819353) + (xy 175.129519 89.8045) + (xy 173.86648 89.8045) + (xy 173.772695 89.819353) + (xy 173.772693 89.819354) + (xy 173.659657 89.87695) + (xy 173.569951 89.966656) + (xy 173.512353 90.079698) + (xy 173.4975 90.17348) + (xy 173.4975 91.436519) + (xy 171.116494 91.436519) + (xy 171.1165 91.436481) + (xy 171.1165 90.955) + (xy 170.593565 90.955) + (xy 170.6165 90.876889) + (xy 170.6165 90.733111) + (xy 170.593565 90.655) + (xy 171.116499 90.655) + (xy 171.116499 90.173517) + (xy 171.101665 90.079851) + (xy 171.101664 90.07985) + (xy 171.044139 89.966953) + (xy 170.954546 89.87736) + (xy 170.841649 89.819835) + (xy 170.747982 89.805) + (xy 170.2665 89.805) + (xy 170.2665 90.323829) + (xy 170.258815 90.32032) + (xy 170.152263 90.305) + (xy 170.080737 90.305) + (xy 169.974185 90.32032) + (xy 169.9665 90.323829) + (xy 169.9665 89.805) + (xy 169.485018 89.805) + (xy 169.391351 89.819834) + (xy 169.39135 89.819835) + (xy 169.278453 89.87736) + (xy 169.18886 89.966953) + (xy 169.131335 90.07985) + (xy 169.131335 90.079851) + (xy 169.1165 90.173518) + (xy 169.1165 90.655) + (xy 165.364792 90.655) + (xy 165.358646 90.616196) (xy 165.30105 90.503158) (xy 165.211342 90.41345) (xy 165.098304 90.355854) @@ -144401,35 +145557,6 @@ (xy 152.150646 90.295304) (xy 152.170492 90.17) (xy 152.150646 90.044696) - (xy 152.102396 89.95) - (xy 191.744508 89.95) - (xy 191.764353 90.075301) - (xy 191.764353 90.075302) - (xy 191.764354 90.075304) - (xy 191.82195 90.188342) - (xy 191.911658 90.27805) - (xy 192.024696 90.335646) - (xy 192.15 90.355492) - (xy 192.275304 90.335646) - (xy 192.388342 90.27805) - (xy 192.47805 90.188342) - (xy 192.535646 90.075304) - (xy 192.555492 89.95) - (xy 192.535646 89.824696) - (xy 192.47805 89.711658) - (xy 192.388342 89.62195) - (xy 192.275304 89.564354) - (xy 192.275302 89.564353) - (xy 192.275301 89.564353) - (xy 192.15 89.544508) - (xy 192.024698 89.564353) - (xy 191.911656 89.621951) - (xy 191.821951 89.711656) - (xy 191.82195 89.711658) - (xy 191.76914 89.815304) - (xy 191.764353 89.824698) - (xy 191.744508 89.95) - (xy 152.102396 89.95) (xy 152.09305 89.931658) (xy 152.003342 89.84195) (xy 151.890304 89.784354) @@ -144647,7 +145774,7 @@ (xy 120.940483 91.38453) (xy 121.03022 91.254523) (xy 121.041303 91.225301) - (xy 121.071001 91.146991) + (xy 121.075246 91.135798) (xy 121.086237 91.106818) (xy 121.097852 91.011158) (xy 121.105278 90.950003) @@ -144684,23 +145811,70 @@ (xy 121.069264 90.748428) (xy 121.030221 90.645478) (xy 120.940483 90.51547) - (xy 120.876427 90.458722) - (xy 120.826871 90.41482) - (xy 132.7495 90.41482) - (xy 132.751664 90.425698) - (xy 132.758233 90.458722) - (xy 132.787922 90.503156) - (xy 132.791496 90.508504) - (xy 132.841278 90.541767) - (xy 132.88518 90.5505) - (xy 132.885181 90.5505) - (xy 134.614819 90.5505) - (xy 134.61482 90.5505) - (xy 134.658722 90.541767) - (xy 134.708504 90.508504) - (xy 134.741767 90.458722) - (xy 134.7505 90.41482) - (xy 134.7505 89.154) + (xy 120.894458 90.474696) + (xy 120.82224 90.410717) + (xy 120.810139 90.404366) + (xy 120.682366 90.337304) + (xy 120.528987 90.2995) + (xy 120.528985 90.2995) + (xy 120.371015 90.2995) + (xy 120.371012 90.2995) + (xy 120.217633 90.337304) + (xy 120.077761 90.410716) + (xy 119.959516 90.51547) + (xy 119.869779 90.645478) + (xy 119.869778 90.645478) + (xy 119.813764 90.793177) + (xy 119.813761 90.793191) + (xy 119.794722 90.949996) + (xy 119.794722 90.950003) + (xy 119.605492 90.950003) + (xy 119.605492 90.95) + (xy 119.585646 90.824696) + (xy 119.52805 90.711658) + (xy 119.438342 90.62195) + (xy 119.325304 90.564354) + (xy 119.325302 90.564353) + (xy 119.325301 90.564353) + (xy 119.2 90.544508) + (xy 119.074698 90.564353) + (xy 118.961656 90.621951) + (xy 118.871951 90.711656) + (xy 118.814353 90.824698) + (xy 118.794508 90.95) + (xy 111.105492 90.95) + (xy 111.085646 90.824696) + (xy 111.02805 90.711658) + (xy 110.938342 90.62195) + (xy 110.825304 90.564354) + (xy 110.825302 90.564353) + (xy 110.825301 90.564353) + (xy 110.7 90.544508) + (xy 110.574698 90.564353) + (xy 110.461656 90.621951) + (xy 110.371951 90.711656) + (xy 110.314353 90.824698) + (xy 110.294508 90.95) + (xy 103.6579 90.95) + (xy 103.6579 90.181519) + (xy 132.7495 90.181519) + (xy 132.764353 90.275304) + (xy 132.764354 90.275306) + (xy 132.808353 90.361656) + (xy 132.82195 90.388342) + (xy 132.911658 90.47805) + (xy 133.024696 90.535646) + (xy 133.118481 90.5505) + (xy 134.381518 90.550499) + (xy 134.381519 90.550499) + (xy 134.475304 90.535646) + (xy 134.475306 90.535645) + (xy 134.477419 90.534568) + (xy 134.588342 90.47805) + (xy 134.67805 90.388342) + (xy 134.735646 90.275304) + (xy 134.7505 90.181519) + (xy 134.750499 89.154) (xy 141.834508 89.154) (xy 141.854353 89.279301) (xy 141.854353 89.279302) @@ -144859,67 +146033,27 @@ (xy 141.911951 88.915656) (xy 141.854353 89.028698) (xy 141.834508 89.154) - (xy 134.7505 89.154) - (xy 134.7505 88.68518) - (xy 134.741767 88.641278) - (xy 134.708504 88.591496) - (xy 134.68838 88.57805) - (xy 134.658722 88.558233) - (xy 134.61482 88.5495) - (xy 132.88518 88.5495) - (xy 132.863229 88.553866) - (xy 132.841277 88.558233) - (xy 132.791496 88.591495) - (xy 132.791495 88.591496) - (xy 132.758233 88.641277) - (xy 132.752422 88.670491) - (xy 132.7495 88.68518) - (xy 132.7495 90.41482) - (xy 120.826871 90.41482) - (xy 120.82224 90.410717) - (xy 120.810139 90.404366) - (xy 120.682366 90.337304) - (xy 120.528987 90.2995) - (xy 120.528985 90.2995) - (xy 120.371015 90.2995) - (xy 120.371012 90.2995) - (xy 120.217633 90.337304) - (xy 120.077761 90.410716) - (xy 119.959516 90.51547) - (xy 119.869779 90.645478) - (xy 119.869778 90.645478) - (xy 119.813764 90.793177) - (xy 119.813761 90.793191) - (xy 119.794722 90.949996) - (xy 119.794722 90.950003) - (xy 119.605492 90.950003) - (xy 119.605492 90.95) - (xy 119.585646 90.824696) - (xy 119.52805 90.711658) - (xy 119.438342 90.62195) - (xy 119.325304 90.564354) - (xy 119.325302 90.564353) - (xy 119.325301 90.564353) - (xy 119.2 90.544508) - (xy 119.074698 90.564353) - (xy 118.961656 90.621951) - (xy 118.871951 90.711656) - (xy 118.814353 90.824698) - (xy 118.794508 90.95) - (xy 111.105492 90.95) - (xy 111.085646 90.824696) - (xy 111.02805 90.711658) - (xy 110.938342 90.62195) - (xy 110.825304 90.564354) - (xy 110.825302 90.564353) - (xy 110.825301 90.564353) - (xy 110.7 90.544508) - (xy 110.574698 90.564353) - (xy 110.461656 90.621951) - (xy 110.371951 90.711656) - (xy 110.314353 90.824698) - (xy 110.294508 90.95) - (xy 103.6579 90.95) + (xy 134.750499 89.154) + (xy 134.750499 88.918482) + (xy 134.750051 88.915656) + (xy 134.735646 88.824695) + (xy 134.735645 88.824693) + (xy 134.678049 88.711657) + (xy 134.588343 88.621951) + (xy 134.588342 88.62195) + (xy 134.475304 88.564354) + (xy 134.475302 88.564353) + (xy 134.475301 88.564353) + (xy 134.381519 88.5495) + (xy 133.11848 88.5495) + (xy 133.024695 88.564353) + (xy 133.024693 88.564354) + (xy 132.911657 88.62195) + (xy 132.821951 88.711656) + (xy 132.764353 88.824698) + (xy 132.7495 88.91848) + (xy 132.7495 90.181519) + (xy 103.6579 90.181519) (xy 103.6579 88.950003) (xy 119.794722 88.950003) (xy 119.813761 89.106808) @@ -145083,34 +146217,7 @@ (xy 153.289 88.797492) (xy 153.414304 88.777646) (xy 153.527342 88.72005) - (xy 153.61705 88.630342) - (xy 153.674646 88.517304) - (xy 153.694492 88.392) - (xy 153.674646 88.266696) - (xy 153.641427 88.2015) - (xy 169.901508 88.2015) - (xy 169.921353 88.326801) - (xy 169.921353 88.326802) - (xy 169.921354 88.326804) - (xy 169.97895 88.439842) - (xy 170.068658 88.52955) - (xy 170.181696 88.587146) - (xy 170.307 88.606992) - (xy 170.432304 88.587146) - (xy 170.545342 88.52955) - (xy 170.63505 88.439842) - (xy 170.692646 88.326804) - (xy 170.702435 88.265) - (xy 175.045008 88.265) - (xy 175.064853 88.390301) - (xy 175.064853 88.390302) - (xy 175.064854 88.390304) - (xy 175.12245 88.503342) - (xy 175.212158 88.59305) - (xy 175.325196 88.650646) - (xy 175.4505 88.670492) - (xy 175.575804 88.650646) - (xy 175.577072 88.65) + (xy 153.597392 88.65) (xy 191.744508 88.65) (xy 191.764353 88.775301) (xy 191.764353 88.775302) @@ -145390,14 +146497,17 @@ (xy 191.821951 88.411656) (xy 191.764353 88.524698) (xy 191.744508 88.65) - (xy 175.577072 88.65) - (xy 175.688842 88.59305) - (xy 175.77855 88.503342) - (xy 175.836146 88.390304) - (xy 175.855992 88.265) - (xy 175.836146 88.139696) - (xy 175.77855 88.026658) - (xy 175.751892 88) + (xy 153.597392 88.65) + (xy 153.61705 88.630342) + (xy 153.674646 88.517304) + (xy 153.694492 88.392) + (xy 153.674646 88.266696) + (xy 153.61705 88.153658) + (xy 153.527342 88.06395) + (xy 153.414304 88.006354) + (xy 153.414302 88.006353) + (xy 153.414301 88.006353) + (xy 153.374188 88) (xy 189.544508 88) (xy 189.564353 88.125301) (xy 189.564353 88.125302) @@ -145423,37 +146533,7 @@ (xy 189.621951 87.761656) (xy 189.564353 87.874698) (xy 189.544508 88) - (xy 175.751892 88) - (xy 175.688842 87.93695) - (xy 175.575804 87.879354) - (xy 175.575802 87.879353) - (xy 175.575801 87.879353) - (xy 175.4505 87.859508) - (xy 175.325198 87.879353) - (xy 175.212156 87.936951) - (xy 175.122451 88.026656) - (xy 175.064853 88.139698) - (xy 175.045008 88.265) - (xy 170.702435 88.265) - (xy 170.712492 88.2015) - (xy 170.692646 88.076196) - (xy 170.63505 87.963158) - (xy 170.545342 87.87345) - (xy 170.432304 87.815854) - (xy 170.432302 87.815853) - (xy 170.432301 87.815853) - (xy 170.307 87.796008) - (xy 170.181698 87.815853) - (xy 170.068656 87.873451) - (xy 169.978951 87.963156) - (xy 169.921353 88.076198) - (xy 169.901508 88.2015) - (xy 153.641427 88.2015) - (xy 153.61705 88.153658) - (xy 153.527342 88.06395) - (xy 153.414304 88.006354) - (xy 153.414302 88.006353) - (xy 153.414301 88.006353) + (xy 153.374188 88) (xy 153.289 87.986508) (xy 153.163698 88.006353) (xy 153.050656 88.063951) @@ -145693,7 +146773,7 @@ (xy 132.91409 87.568538) (xy 133.039117 87.720883) (xy 133.191462 87.84591) - (xy 133.254031 87.879354) + (xy 133.242986 87.87345) (xy 133.365268 87.938812) (xy 133.36527 87.938812) (xy 133.365273 87.938814) @@ -145701,8 +146781,6 @@ (xy 133.75 88.015341) (xy 133.946132 87.996024) (xy 134.134727 87.938814) - (xy 134.138213 87.936951) - (xy 134.222401 87.891951) (xy 134.308538 87.84591) (xy 134.460883 87.720883) (xy 134.58591 87.568538) @@ -146044,7 +147122,20 @@ (xy 153.985804 86.174146) (xy 154.098842 86.11655) (xy 154.18855 86.026842) - (xy 154.227703 85.95) + (xy 154.246146 85.913804) + (xy 154.265992 85.7885) + (xy 154.246146 85.663196) + (xy 154.212927 85.598) + (xy 173.013008 85.598) + (xy 173.032853 85.723301) + (xy 173.032853 85.723302) + (xy 173.032854 85.723304) + (xy 173.09045 85.836342) + (xy 173.180158 85.92605) + (xy 173.293196 85.983646) + (xy 173.4185 86.003492) + (xy 173.543804 85.983646) + (xy 173.609838 85.95) (xy 178.844508 85.95) (xy 178.864353 86.075301) (xy 178.864353 86.075302) @@ -146355,6 +147446,9 @@ (xy 195.875301 85.964353) (xy 195.75 85.944508) (xy 195.624698 85.964353) + (xy 195.624696 85.964353) + (xy 195.624696 85.964354) + (xy 195.57525 85.989548) (xy 195.511656 86.021951) (xy 195.421951 86.111656) (xy 195.364353 86.224698) @@ -146403,10 +147497,24 @@ (xy 178.921951 85.711656) (xy 178.864353 85.824698) (xy 178.844508 85.95) - (xy 154.227703 85.95) - (xy 154.246146 85.913804) - (xy 154.265992 85.7885) - (xy 154.246146 85.663196) + (xy 173.609838 85.95) + (xy 173.656842 85.92605) + (xy 173.74655 85.836342) + (xy 173.804146 85.723304) + (xy 173.823992 85.598) + (xy 173.804146 85.472696) + (xy 173.74655 85.359658) + (xy 173.656842 85.26995) + (xy 173.543804 85.212354) + (xy 173.543802 85.212353) + (xy 173.543801 85.212353) + (xy 173.4185 85.192508) + (xy 173.293198 85.212353) + (xy 173.180156 85.269951) + (xy 173.090451 85.359656) + (xy 173.032853 85.472698) + (xy 173.013008 85.598) + (xy 154.212927 85.598) (xy 154.18855 85.550158) (xy 154.098842 85.46045) (xy 153.985804 85.402854) @@ -154021,19 +155129,33 @@ (xy 126.496646 70.867696) (xy 126.43905 70.754658) (xy 126.359892 70.6755) - (xy 142.850508 70.6755) - (xy 142.870353 70.800801) - (xy 142.870353 70.800802) - (xy 142.870354 70.800804) - (xy 142.92795 70.913842) - (xy 143.017658 71.00355) - (xy 143.130696 71.061146) - (xy 143.256 71.080992) - (xy 143.381304 71.061146) - (xy 143.494342 71.00355) - (xy 143.58405 70.913842) - (xy 143.641646 70.800804) - (xy 143.661492 70.6755) + (xy 142.152008 70.6755) + (xy 142.171853 70.800801) + (xy 142.171853 70.800802) + (xy 142.171854 70.800804) + (xy 142.22945 70.913842) + (xy 142.319158 71.00355) + (xy 142.432196 71.061146) + (xy 142.5575 71.080992) + (xy 142.682804 71.061146) + (xy 142.795842 71.00355) + (xy 142.88555 70.913842) + (xy 142.943146 70.800804) + (xy 142.962992 70.6755) + (xy 142.943146 70.550196) + (xy 142.942282 70.5485) + (xy 143.485508 70.5485) + (xy 143.505353 70.673801) + (xy 143.505353 70.673802) + (xy 143.505354 70.673804) + (xy 143.56295 70.786842) + (xy 143.652658 70.87655) + (xy 143.765696 70.934146) + (xy 143.891 70.953992) + (xy 144.016304 70.934146) + (xy 144.129342 70.87655) + (xy 144.21905 70.786842) + (xy 144.275782 70.6755) (xy 154.661508 70.6755) (xy 154.681353 70.800801) (xy 154.681353 70.800802) @@ -154059,19 +155181,33 @@ (xy 154.738951 70.437156) (xy 154.681353 70.550198) (xy 154.661508 70.6755) - (xy 143.661492 70.6755) - (xy 143.641646 70.550196) - (xy 143.58405 70.437158) - (xy 143.494342 70.34745) - (xy 143.381304 70.289854) - (xy 143.381302 70.289853) - (xy 143.381301 70.289853) - (xy 143.256 70.270008) - (xy 143.130698 70.289853) - (xy 143.017656 70.347451) - (xy 142.927951 70.437156) - (xy 142.870353 70.550198) - (xy 142.850508 70.6755) + (xy 144.275782 70.6755) + (xy 144.276646 70.673804) + (xy 144.296492 70.5485) + (xy 144.276646 70.423196) + (xy 144.21905 70.310158) + (xy 144.129342 70.22045) + (xy 144.016304 70.162854) + (xy 144.016302 70.162853) + (xy 144.016301 70.162853) + (xy 143.891 70.143008) + (xy 143.765698 70.162853) + (xy 143.652656 70.220451) + (xy 143.562951 70.310156) + (xy 143.505353 70.423198) + (xy 143.485508 70.5485) + (xy 142.942282 70.5485) + (xy 142.88555 70.437158) + (xy 142.795842 70.34745) + (xy 142.682804 70.289854) + (xy 142.682802 70.289853) + (xy 142.682801 70.289853) + (xy 142.5575 70.270008) + (xy 142.432198 70.289853) + (xy 142.319156 70.347451) + (xy 142.229451 70.437156) + (xy 142.171853 70.550198) + (xy 142.152008 70.6755) (xy 126.359892 70.6755) (xy 126.349342 70.66495) (xy 126.236304 70.607354) @@ -155786,17 +156922,18 @@ (xy 158.942196 67.632146) (xy 159.0675 67.651992) (xy 159.192804 67.632146) - (xy 159.305842 67.57455) - (xy 159.379892 67.5005) - (xy 164.694508 67.5005) - (xy 164.714353 67.625801) - (xy 164.714353 67.625802) - (xy 164.714354 67.625804) - (xy 164.77195 67.738842) - (xy 164.861658 67.82855) - (xy 164.974696 67.886146) - (xy 165.1 67.905992) - (xy 165.137832 67.9) + (xy 159.201922 67.6275) + (xy 164.694508 67.6275) + (xy 164.714353 67.752801) + (xy 164.714353 67.752802) + (xy 164.714354 67.752804) + (xy 164.77195 67.865842) + (xy 164.861658 67.95555) + (xy 164.974696 68.013146) + (xy 165.1 68.032992) + (xy 165.225304 68.013146) + (xy 165.338342 67.95555) + (xy 165.393892 67.9) (xy 166.444508 67.9) (xy 166.464353 68.025301) (xy 166.464353 68.025302) @@ -155903,15 +157040,14 @@ (xy 166.521951 67.661656) (xy 166.464353 67.774698) (xy 166.444508 67.9) - (xy 165.137832 67.9) - (xy 165.225304 67.886146) - (xy 165.338342 67.82855) - (xy 165.42805 67.738842) - (xy 165.485646 67.625804) - (xy 165.505492 67.5005) - (xy 165.485646 67.375196) - (xy 165.42805 67.262158) - (xy 165.415892 67.25) + (xy 165.393892 67.9) + (xy 165.42805 67.865842) + (xy 165.485646 67.752804) + (xy 165.505492 67.6275) + (xy 165.485646 67.502196) + (xy 165.42805 67.389158) + (xy 165.338342 67.29945) + (xy 165.241291 67.25) (xy 184.344508 67.25) (xy 184.364353 67.375301) (xy 184.364353 67.375302) @@ -155924,37 +157060,34 @@ (xy 184.988342 67.57805) (xy 185.07805 67.488342) (xy 185.135646 67.375304) + (xy 185.139654 67.35) + (xy 193.714008 67.35) + (xy 193.733853 67.475301) + (xy 193.733853 67.475302) + (xy 193.733854 67.475304) + (xy 193.79145 67.588342) + (xy 193.881158 67.67805) + (xy 193.994196 67.735646) + (xy 194.1195 67.755492) + (xy 194.244804 67.735646) + (xy 194.357842 67.67805) + (xy 194.44755 67.588342) + (xy 194.505146 67.475304) + (xy 194.524992 67.35) + (xy 194.505146 67.224696) + (xy 194.44755 67.111658) + (xy 194.357842 67.02195) + (xy 194.244804 66.964354) + (xy 194.244802 66.964353) + (xy 194.244801 66.964353) + (xy 194.1195 66.944508) + (xy 193.994198 66.964353) + (xy 193.881156 67.021951) + (xy 193.791451 67.111656) + (xy 193.733853 67.224698) + (xy 193.714008 67.35) + (xy 185.139654 67.35) (xy 185.155492 67.25) - (xy 185.154938 67.2465) - (xy 193.714008 67.2465) - (xy 193.733853 67.371801) - (xy 193.733853 67.371802) - (xy 193.733854 67.371804) - (xy 193.79145 67.484842) - (xy 193.881158 67.57455) - (xy 193.994196 67.632146) - (xy 194.1195 67.651992) - (xy 194.244804 67.632146) - (xy 194.357842 67.57455) - (xy 194.44755 67.484842) - (xy 194.505146 67.371804) - (xy 194.524992 67.2465) - (xy 194.505146 67.121196) - (xy 194.44755 67.008158) - (xy 194.357842 66.91845) - (xy 194.244804 66.860854) - (xy 194.244802 66.860853) - (xy 194.244801 66.860853) - (xy 194.1195 66.841008) - (xy 193.994198 66.860853) - (xy 193.994196 66.860853) - (xy 193.994196 66.860854) - (xy 193.964376 66.876048) - (xy 193.881156 66.918451) - (xy 193.791451 67.008156) - (xy 193.733853 67.121198) - (xy 193.714008 67.2465) - (xy 185.154938 67.2465) (xy 185.135646 67.124696) (xy 185.07805 67.011658) (xy 184.988342 66.92195) @@ -155970,18 +157103,20 @@ (xy 184.421951 67.011656) (xy 184.364353 67.124698) (xy 184.344508 67.25) - (xy 165.415892 67.25) - (xy 165.338342 67.17245) - (xy 165.225304 67.114854) - (xy 165.225302 67.114853) - (xy 165.225301 67.114853) - (xy 165.1 67.095008) - (xy 164.974698 67.114853) - (xy 164.861656 67.172451) - (xy 164.771951 67.262156) - (xy 164.714353 67.375198) - (xy 164.694508 67.5005) - (xy 159.379892 67.5005) + (xy 165.241291 67.25) + (xy 165.225304 67.241854) + (xy 165.225302 67.241853) + (xy 165.225301 67.241853) + (xy 165.1 67.222008) + (xy 164.974698 67.241853) + (xy 164.861656 67.299451) + (xy 164.771951 67.389156) + (xy 164.77195 67.389158) + (xy 164.721413 67.488343) + (xy 164.714353 67.502198) + (xy 164.694508 67.6275) + (xy 159.201922 67.6275) + (xy 159.305842 67.57455) (xy 159.39555 67.484842) (xy 159.453146 67.371804) (xy 159.472992 67.2465) @@ -156011,7 +157146,7 @@ (xy 138.304698 66.924353) (xy 138.304696 66.924353) (xy 138.304696 66.924354) - (xy 138.26209 66.946063) + (xy 138.265142 66.944508) (xy 138.191656 66.981951) (xy 138.101951 67.071656) (xy 138.044353 67.184698) @@ -156621,6 +157756,19 @@ (xy 190.085646 66.074696) (xy 190.02805 65.961658) (xy 189.966392 65.9) + (xy 193.844508 65.9) + (xy 193.864353 66.025301) + (xy 193.864353 66.025302) + (xy 193.864354 66.025304) + (xy 193.92195 66.138342) + (xy 194.011658 66.22805) + (xy 194.124696 66.285646) + (xy 194.25 66.305492) + (xy 194.375304 66.285646) + (xy 194.488342 66.22805) + (xy 194.57805 66.138342) + (xy 194.635646 66.025304) + (xy 194.655492 65.9) (xy 195.144508 65.9) (xy 195.164353 66.025301) (xy 195.164353 66.025302) @@ -156902,6 +158050,19 @@ (xy 195.221951 65.661656) (xy 195.164353 65.774698) (xy 195.144508 65.9) + (xy 194.655492 65.9) + (xy 194.635646 65.774696) + (xy 194.57805 65.661658) + (xy 194.488342 65.57195) + (xy 194.375304 65.514354) + (xy 194.375302 65.514353) + (xy 194.375301 65.514353) + (xy 194.25 65.494508) + (xy 194.124698 65.514353) + (xy 194.011656 65.571951) + (xy 193.921951 65.661656) + (xy 193.864353 65.774698) + (xy 193.844508 65.9) (xy 189.966392 65.9) (xy 189.938342 65.87195) (xy 189.825304 65.814354) @@ -158304,39 +159465,17 @@ (xy 106.240146 62.993696) (xy 106.18255 62.880658) (xy 106.092842 62.79095) - (xy 106.041559 62.76482) - (xy 178.4995 62.76482) - (xy 178.504698 62.79095) - (xy 178.508233 62.808722) - (xy 178.535479 62.8495) - (xy 178.541496 62.858504) - (xy 178.591278 62.891767) - (xy 178.63518 62.9005) - (xy 178.635181 62.9005) - (xy 180.364819 62.9005) - (xy 180.36482 62.9005) - (xy 180.408722 62.891767) - (xy 180.458504 62.858504) - (xy 180.491767 62.808722) - (xy 180.5005 62.76482) - (xy 180.5005 61.9) - (xy 181.034659 61.9) - (xy 181.053976 62.096133) - (xy 181.111187 62.284731) - (xy 181.175736 62.405492) - (xy 181.20409 62.458538) - (xy 181.329117 62.610883) - (xy 181.481462 62.73591) - (xy 181.535547 62.764819) - (xy 181.655268 62.828812) - (xy 181.65527 62.828812) - (xy 181.655273 62.828814) - (xy 181.843868 62.886024) - (xy 182.04 62.905341) - (xy 182.236132 62.886024) - (xy 182.424727 62.828814) - (xy 182.598538 62.73591) - (xy 182.703219 62.65) + (xy 105.979804 62.733354) + (xy 105.979802 62.733353) + (xy 105.979801 62.733353) + (xy 105.8545 62.713508) + (xy 105.729198 62.733353) + (xy 105.616156 62.790951) + (xy 105.526451 62.880656) + (xy 105.468853 62.993698) + (xy 105.449008 63.119) + (xy 103.6579 63.119) + (xy 103.6579 62.65) (xy 191.744508 62.65) (xy 191.764353 62.775301) (xy 191.764353 62.775302) @@ -158441,113 +159580,7 @@ (xy 191.821951 62.411656) (xy 191.764353 62.524698) (xy 191.744508 62.65) - (xy 182.703219 62.65) - (xy 182.750883 62.610883) - (xy 182.87591 62.458538) - (xy 182.968814 62.284727) - (xy 183.026024 62.096132) - (xy 183.035492 62) - (xy 189.544508 62) - (xy 189.564353 62.125301) - (xy 189.564353 62.125302) - (xy 189.564354 62.125304) - (xy 189.62195 62.238342) - (xy 189.711658 62.32805) - (xy 189.824696 62.385646) - (xy 189.95 62.405492) - (xy 190.075304 62.385646) - (xy 190.188342 62.32805) - (xy 190.27805 62.238342) - (xy 190.335646 62.125304) - (xy 190.355492 62) - (xy 190.335646 61.874696) - (xy 190.27805 61.761658) - (xy 190.188342 61.67195) - (xy 190.075304 61.614354) - (xy 190.075302 61.614353) - (xy 190.075301 61.614353) - (xy 189.95 61.594508) - (xy 189.824698 61.614353) - (xy 189.711656 61.671951) - (xy 189.621951 61.761656) - (xy 189.564353 61.874698) - (xy 189.544508 62) - (xy 183.035492 62) - (xy 183.045341 61.9) - (xy 183.026024 61.703868) - (xy 182.968814 61.515273) - (xy 182.968812 61.51527) - (xy 182.968812 61.515268) - (xy 182.888146 61.364354) - (xy 182.880474 61.35) - (xy 191.744508 61.35) - (xy 191.764353 61.475301) - (xy 191.764353 61.475302) - (xy 191.764354 61.475304) - (xy 191.82195 61.588342) - (xy 191.911658 61.67805) - (xy 192.024696 61.735646) - (xy 192.15 61.755492) - (xy 192.275304 61.735646) - (xy 192.388342 61.67805) - (xy 192.47805 61.588342) - (xy 192.535646 61.475304) - (xy 192.555492 61.35) - (xy 192.535646 61.224696) - (xy 192.47805 61.111658) - (xy 192.388342 61.02195) - (xy 192.275304 60.964354) - (xy 192.275302 60.964353) - (xy 192.275301 60.964353) - (xy 192.15 60.944508) - (xy 192.024698 60.964353) - (xy 191.911656 61.021951) - (xy 191.821951 61.111656) - (xy 191.764353 61.224698) - (xy 191.744508 61.35) - (xy 182.880474 61.35) - (xy 182.87591 61.341462) - (xy 182.750883 61.189117) - (xy 182.598538 61.06409) - (xy 182.58245 61.055491) - (xy 182.424731 60.971187) - (xy 182.236133 60.913976) - (xy 182.04 60.894659) - (xy 181.843866 60.913976) - (xy 181.655268 60.971187) - (xy 181.481463 61.064089) - (xy 181.329117 61.189117) - (xy 181.204089 61.341463) - (xy 181.111187 61.515268) - (xy 181.053976 61.703866) - (xy 181.034659 61.9) - (xy 180.5005 61.9) - (xy 180.5005 61.03518) - (xy 180.491767 60.991278) - (xy 180.458504 60.941496) - (xy 180.453785 60.938343) - (xy 180.408722 60.908233) - (xy 180.36482 60.8995) - (xy 178.63518 60.8995) - (xy 178.613229 60.903866) - (xy 178.591277 60.908233) - (xy 178.541496 60.941495) - (xy 178.541495 60.941496) - (xy 178.508233 60.991277) - (xy 178.500919 61.028048) - (xy 178.4995 61.03518) - (xy 178.4995 62.76482) - (xy 106.041559 62.76482) - (xy 105.979804 62.733354) - (xy 105.979802 62.733353) - (xy 105.979801 62.733353) - (xy 105.8545 62.713508) - (xy 105.729198 62.733353) - (xy 105.616156 62.790951) - (xy 105.526451 62.880656) - (xy 105.468853 62.993698) - (xy 105.449008 63.119) - (xy 103.6579 63.119) + (xy 103.6579 62.65) (xy 103.6579 62.103) (xy 106.465008 62.103) (xy 106.484853 62.228301) @@ -158593,7 +159626,7 @@ (xy 117.813761 61.656808) (xy 117.813764 61.656822) (xy 117.869778 61.804521) - (xy 117.959516 61.934529) + (xy 117.918218 61.874698) (xy 117.959517 61.93453) (xy 118.07776 62.039283) (xy 118.217635 62.112696) @@ -158608,13 +159641,13 @@ (xy 119.033434 61.79605) (xy 119.050898 61.75) (xy 119.086237 61.656818) - (xy 119.103424 61.515268) + (xy 119.094707 61.587063) (xy 119.105278 61.500003) (xy 119.794722 61.500003) (xy 119.813761 61.656808) (xy 119.813764 61.656822) (xy 119.869778 61.804521) - (xy 119.959516 61.934529) + (xy 119.918218 61.874698) (xy 119.959517 61.93453) (xy 120.07776 62.039283) (xy 120.217635 62.112696) @@ -158624,12 +159657,39 @@ (xy 120.528985 62.1505) (xy 120.682365 62.112696) (xy 120.82224 62.039283) + (xy 120.866582 62) + (xy 189.544508 62) + (xy 189.564353 62.125301) + (xy 189.564353 62.125302) + (xy 189.564354 62.125304) + (xy 189.62195 62.238342) + (xy 189.711658 62.32805) + (xy 189.824696 62.385646) + (xy 189.95 62.405492) + (xy 190.075304 62.385646) + (xy 190.188342 62.32805) + (xy 190.27805 62.238342) + (xy 190.335646 62.125304) + (xy 190.355492 62) + (xy 190.335646 61.874696) + (xy 190.27805 61.761658) + (xy 190.188342 61.67195) + (xy 190.075304 61.614354) + (xy 190.075302 61.614353) + (xy 190.075301 61.614353) + (xy 189.95 61.594508) + (xy 189.824698 61.614353) + (xy 189.711656 61.671951) + (xy 189.621951 61.761656) + (xy 189.564353 61.874698) + (xy 189.544508 62) + (xy 120.866582 62) (xy 120.940483 61.93453) (xy 121.03022 61.804523) (xy 121.033434 61.79605) (xy 121.050898 61.75) (xy 121.086237 61.656818) - (xy 121.103424 61.515268) + (xy 121.094707 61.587063) (xy 121.105278 61.500003) (xy 121.105278 61.499996) (xy 121.086238 61.343191) @@ -158690,11 +159750,38 @@ (xy 170.605049 61.684967) (xy 170.689882 61.587063) (xy 170.743697 61.469226) + (xy 170.760839 61.35) + (xy 191.744508 61.35) + (xy 191.764353 61.475301) + (xy 191.764353 61.475302) + (xy 191.764354 61.475304) + (xy 191.82195 61.588342) + (xy 191.911658 61.67805) + (xy 192.024696 61.735646) + (xy 192.15 61.755492) + (xy 192.275304 61.735646) + (xy 192.388342 61.67805) + (xy 192.47805 61.588342) + (xy 192.535646 61.475304) + (xy 192.555492 61.35) + (xy 192.535646 61.224696) + (xy 192.47805 61.111658) + (xy 192.388342 61.02195) + (xy 192.275304 60.964354) + (xy 192.275302 60.964353) + (xy 192.275301 60.964353) + (xy 192.15 60.944508) + (xy 192.024698 60.964353) + (xy 191.911656 61.021951) + (xy 191.821951 61.111656) + (xy 191.764353 61.224698) + (xy 191.744508 61.35) + (xy 170.760839 61.35) (xy 170.762133 61.341) (xy 170.743697 61.212774) (xy 170.689882 61.094937) (xy 170.605049 60.997033) - (xy 170.51863 60.941495) + (xy 170.523318 60.944508) (xy 170.496068 60.926995) (xy 170.371772 60.8905) (xy 170.242228 60.8905) @@ -158708,7 +159795,7 @@ (xy 152.709697 61.212774) (xy 152.655882 61.094937) (xy 152.571049 60.997033) - (xy 152.48463 60.941495) + (xy 152.489318 60.944508) (xy 152.462068 60.926995) (xy 152.337772 60.8905) (xy 152.208228 60.8905) @@ -158722,7 +159809,7 @@ (xy 145.343697 61.212774) (xy 145.289882 61.094937) (xy 145.205049 60.997033) - (xy 145.11863 60.941495) + (xy 145.123318 60.944508) (xy 145.096068 60.926995) (xy 144.971772 60.8905) (xy 144.842228 60.8905) @@ -158736,7 +159823,7 @@ (xy 127.309697 61.212774) (xy 127.255882 61.094937) (xy 127.171049 60.997033) - (xy 127.08463 60.941495) + (xy 127.089318 60.944508) (xy 127.062068 60.926995) (xy 126.937772 60.8905) (xy 126.808228 60.8905) @@ -158752,7 +159839,7 @@ (xy 120.940483 61.06547) (xy 120.898242 61.028048) (xy 120.82224 60.960717) - (xy 120.785616 60.941495) + (xy 120.791357 60.944508) (xy 120.682366 60.887304) (xy 120.528987 60.8495) (xy 120.528985 60.8495) @@ -158776,7 +159863,7 @@ (xy 118.940483 61.06547) (xy 118.898242 61.028048) (xy 118.82224 60.960717) - (xy 118.785616 60.941495) + (xy 118.791357 60.944508) (xy 118.682366 60.887304) (xy 118.528987 60.8495) (xy 118.528985 60.8495) @@ -159111,9 +160198,6 @@ (xy 202.055301 59.784353) (xy 201.93 59.764508) (xy 201.804698 59.784353) - (xy 201.804696 59.784353) - (xy 201.804696 59.784354) - (xy 201.763211 59.805492) (xy 201.691656 59.841951) (xy 201.601951 59.931656) (xy 201.544353 60.044698) @@ -159661,33 +160745,6 @@ (xy 169.843049 59.716467) (xy 169.927882 59.618563) (xy 169.981697 59.500726) - (xy 169.996179 59.4) - (xy 181.994008 59.4) - (xy 182.013853 59.525301) - (xy 182.013853 59.525302) - (xy 182.013854 59.525304) - (xy 182.07145 59.638342) - (xy 182.161158 59.72805) - (xy 182.274196 59.785646) - (xy 182.3995 59.805492) - (xy 182.524804 59.785646) - (xy 182.637842 59.72805) - (xy 182.72755 59.638342) - (xy 182.785146 59.525304) - (xy 182.804992 59.4) - (xy 182.785146 59.274696) - (xy 182.72755 59.161658) - (xy 182.637842 59.07195) - (xy 182.524804 59.014354) - (xy 182.524802 59.014353) - (xy 182.524801 59.014353) - (xy 182.3995 58.994508) - (xy 182.274198 59.014353) - (xy 182.161156 59.071951) - (xy 182.071451 59.161656) - (xy 182.013853 59.274698) - (xy 181.994008 59.4) - (xy 169.996179 59.4) (xy 170.000133 59.3725) (xy 169.981697 59.244274) (xy 169.927882 59.126437) @@ -161369,10 +162426,23 @@ (xy 179.77905 56.689842) (xy 179.836646 56.576804) (xy 179.856492 56.4515) - (xy 179.836646 56.326196) - (xy 179.77905 56.213158) - (xy 179.689342 56.12345) - (xy 179.643319 56.1) + (xy 188.062508 56.4515) + (xy 188.082353 56.576801) + (xy 188.082353 56.576802) + (xy 188.082354 56.576804) + (xy 188.13995 56.689842) + (xy 188.229658 56.77955) + (xy 188.342696 56.837146) + (xy 188.468 56.856992) + (xy 188.593304 56.837146) + (xy 188.706342 56.77955) + (xy 188.79605 56.689842) + (xy 188.853646 56.576804) + (xy 188.873492 56.4515) + (xy 188.853646 56.326196) + (xy 188.79605 56.213158) + (xy 188.706342 56.12345) + (xy 188.660319 56.1) (xy 193.844508 56.1) (xy 193.864353 56.225301) (xy 193.864353 56.225302) @@ -161623,7 +162693,20 @@ (xy 193.921951 55.861656) (xy 193.864353 55.974698) (xy 193.844508 56.1) - (xy 179.643319 56.1) + (xy 188.660319 56.1) + (xy 188.593304 56.065854) + (xy 188.593302 56.065853) + (xy 188.593301 56.065853) + (xy 188.468 56.046008) + (xy 188.342698 56.065853) + (xy 188.229656 56.123451) + (xy 188.139951 56.213156) + (xy 188.082353 56.326198) + (xy 188.062508 56.4515) + (xy 179.856492 56.4515) + (xy 179.836646 56.326196) + (xy 179.77905 56.213158) + (xy 179.689342 56.12345) (xy 179.576304 56.065854) (xy 179.576302 56.065853) (xy 179.576301 56.065853) @@ -164112,19 +165195,33 @@ (xy 162.76105 49.006342) (xy 162.818646 48.893304) (xy 162.838492 48.768) - (xy 167.107508 48.768) - (xy 167.127353 48.893301) - (xy 167.127353 48.893302) - (xy 167.127354 48.893304) - (xy 167.18495 49.006342) - (xy 167.274658 49.09605) - (xy 167.387696 49.153646) - (xy 167.513 49.173492) - (xy 167.638304 49.153646) - (xy 167.751342 49.09605) - (xy 167.84105 49.006342) - (xy 167.898646 48.893304) - (xy 167.918492 48.768) + (xy 162.819803 48.65) + (xy 166.244508 48.65) + (xy 166.264353 48.775301) + (xy 166.264353 48.775302) + (xy 166.264354 48.775304) + (xy 166.32195 48.888342) + (xy 166.411658 48.97805) + (xy 166.524696 49.035646) + (xy 166.65 49.055492) + (xy 166.775304 49.035646) + (xy 166.888342 48.97805) + (xy 166.97805 48.888342) + (xy 167.035646 48.775304) + (xy 167.055492 48.65) + (xy 169.394508 48.65) + (xy 169.414353 48.775301) + (xy 169.414353 48.775302) + (xy 169.414354 48.775304) + (xy 169.47195 48.888342) + (xy 169.561658 48.97805) + (xy 169.674696 49.035646) + (xy 169.8 49.055492) + (xy 169.925304 49.035646) + (xy 170.038342 48.97805) + (xy 170.12805 48.888342) + (xy 170.185646 48.775304) + (xy 170.186803 48.768) (xy 172.187508 48.768) (xy 172.207353 48.893301) (xy 172.207353 48.893302) @@ -164284,20 +165381,34 @@ (xy 172.264951 48.529656) (xy 172.207353 48.642698) (xy 172.187508 48.768) - (xy 167.918492 48.768) - (xy 167.898646 48.642696) - (xy 167.84105 48.529658) - (xy 167.751342 48.43995) - (xy 167.638304 48.382354) - (xy 167.638302 48.382353) - (xy 167.638301 48.382353) - (xy 167.513 48.362508) - (xy 167.387698 48.382353) - (xy 167.274656 48.439951) - (xy 167.184951 48.529656) - (xy 167.127353 48.642698) - (xy 167.107508 48.768) - (xy 162.838492 48.768) + (xy 170.186803 48.768) + (xy 170.205492 48.65) + (xy 170.185646 48.524696) + (xy 170.12805 48.411658) + (xy 170.038342 48.32195) + (xy 169.925304 48.264354) + (xy 169.925302 48.264353) + (xy 169.925301 48.264353) + (xy 169.8 48.244508) + (xy 169.674698 48.264353) + (xy 169.561656 48.321951) + (xy 169.471951 48.411656) + (xy 169.414353 48.524698) + (xy 169.394508 48.65) + (xy 167.055492 48.65) + (xy 167.035646 48.524696) + (xy 166.97805 48.411658) + (xy 166.888342 48.32195) + (xy 166.775304 48.264354) + (xy 166.775302 48.264353) + (xy 166.775301 48.264353) + (xy 166.65 48.244508) + (xy 166.524698 48.264353) + (xy 166.411656 48.321951) + (xy 166.321951 48.411656) + (xy 166.264353 48.524698) + (xy 166.244508 48.65) + (xy 162.819803 48.65) (xy 162.818646 48.642696) (xy 162.76105 48.529658) (xy 162.671342 48.43995) diff --git a/WarpSE.kicad_sch b/WarpSE.kicad_sch index 798fde9..d219fad 100644 --- a/WarpSE.kicad_sch +++ b/WarpSE.kicad_sch @@ -6,7 +6,7 @@ (title_block (title "WarpSE (GW4410A)") - (date "2024-03-27") + (date "2024-04-23") (rev "1.0") (company "Garrett's Workshop") ) @@ -502,7 +502,7 @@ (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 55.88 173.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 55.88 173.99 0) + (property "Datasheet" "" (at 55.88 173.99 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -526,7 +526,7 @@ (property "Footprint" "stdpads:Fiducial" (at 25.4 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 25.4 181.61 0) + (property "Datasheet" "" (at 25.4 181.61 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -550,7 +550,7 @@ (property "Footprint" "stdpads:Fiducial" (at 38.1 181.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 38.1 181.61 0) + (property "Datasheet" "" (at 38.1 181.61 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -574,7 +574,7 @@ (property "Footprint" "stdpads:Fiducial" (at 25.4 186.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 25.4 186.69 0) + (property "Datasheet" "" (at 25.4 186.69 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -598,7 +598,7 @@ (property "Footprint" "stdpads:Fiducial" (at 38.1 186.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 38.1 186.69 0) + (property "Datasheet" "" (at 38.1 186.69 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -622,7 +622,7 @@ (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 25.4 173.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 25.4 173.99 0) + (property "Datasheet" "" (at 25.4 173.99 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -646,7 +646,7 @@ (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 33.02 173.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 33.02 173.99 0) + (property "Datasheet" "" (at 33.02 173.99 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -670,7 +670,7 @@ (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 40.64 173.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 40.64 173.99 0) + (property "Datasheet" "" (at 40.64 173.99 0) (effects (font (size 1.27 1.27)) hide) ) (instances @@ -694,7 +694,7 @@ (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 48.26 173.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (at 48.26 173.99 0) + (property "Datasheet" "" (at 48.26 173.99 0) (effects (font (size 1.27 1.27)) hide) ) (instances diff --git a/gerber/WarpSE-BOM.csv b/gerber/WarpSE-BOM.csv index 72aaed6..362a685 100644 --- a/gerber/WarpSE-BOM.csv +++ b/gerber/WarpSE-BOM.csv @@ -5,25 +5,24 @@ "FID1, FID2, FID3, FID4","4","Fiducial","stdpads:Fiducial","","" "H1, H2, H3, H4, H5","5","","stdpads:PasteHole_1.152mm_NPTH","","" "J1","1","MacSEPDS","stdpads:DIN41612_R_3x32_Male_Vertical_THT","","" -"J2","1","JTAG","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","","" -"J3","1","microUSB","stdpads:USB_Micro-B_Amphenol_10118192-0001","C404969","" +"J2","1","JTAG","stdpads:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","","" +"J3","1","microUSB","stdpads:USB_Micro-B_Amphenol_10118192-0001","C132564","" "J4","1","DBG","stdpads:PinHeader_2x06_P2.54mm_Vertical","","" "J5","1","CLKIN","stdpads:PinHeader_1x02_P2.54mm_Vertical","","" "J6","1","CLKDIS","stdpads:PinHeader_1x02_P2.54mm_Vertical","","" +"J7","1","PWR","stdpads:PinHeader_1x02_P2.54mm_Vertical","","" "Q1","1","MMBT3904","stdpads:SOT-23","C20526","" -"R1, R2, R3, R5, R7, R17, R18, R19, R20, R23","10","47","stdpads:R_0603","C23182","" -"R4, R6, R12","3","DNP","stdpads:R_0603","","" -"R8, R10, R11","3","1k","stdpads:R_0603","","" -"R15, R16","2","10k","stdpads:R_0603","C25804","" -"R21, R22","2","0","stdpads:R_0603","C23182","" +"R1, R2, R3, R5, R7, R17, R18, R19, R20, R21, R22, R23","12","47","stdpads:R_0603","C23182","" +"R4, R6, R12, R15, R16","5","DNP","stdpads:R_0603","","" +"R8, R10, R11","3","1k","stdpads:R_0603","C21190","" "U1","1","XC95144XL-TQ100","stdpads:TQFP-100_14x14mm_P0.5mm","C45126","" "U2, U13, U15, U16, U17, U18, U19, U20, U21, U22, U23, U24","12","74AHCT573PW","stdpads:TSSOP-20_4.4x6.5mm_P0.65mm","C141311","" -"U3","1","AZ1117CH-3.3","stdpads:SOT-223","C108494","" -"U5","1","50M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","C32526","" -"U6, U7","2","74LVC1G74DC","stdpads:NXP_VSSOP-8_2.3x2mm","","" +"U3","1","AZ1117CH-3.3TRG1","stdpads:SOT-223","C92102","" +"U5","1","50M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","C717684","" +"U6, U7","2","74LVC1G74DC","stdpads:NXP_VSSOP-8_2.3x2mm","C503431","" "U8, U9","2","KM48C2100","stdpads:SOJ-28_300mil","","" -"U10, U11","2","39SF040","stdpads:PLCC-32","C72257","" +"U10, U11","2","39SF040","stdpads:PLCC-32","C645935","" "U12","1","CH340G","stdpads:SOIC-16_3.9mm","C14267","" "U14","1","MC68HC000FN20","stdpads:PLCC-68","","" -"U26, U27, U28","3","74LVC1G07GW","stdpads:SOT-353","","" -"Y1","1","12M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","","" +"U26, U27, U28","3","74LVC1G34GW","stdpads:SOT-353","C455045","" +"Y1","1","12M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","C9002","" diff --git a/gerber/WarpSE-B_Cu.gbl b/gerber/WarpSE-B_Cu.gbl index 3cb481b..2efc7c7 100644 --- a/gerber/WarpSE-B_Cu.gbl +++ b/gerber/WarpSE-B_Cu.gbl @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L4,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -36,7 +36,7 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD11C,1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD12R,1.700000X1.700000*% +%ADD12RoundRect,0.250000X0.600000X0.600000X-0.600000X0.600000X-0.600000X-0.600000X0.600000X-0.600000X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* %ADD13O,1.700000X1.700000*% @@ -69,10 +69,10 @@ G04 #@! TA.AperFunction,Conductor* %ADD22C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,0.800000*% +%ADD23C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.500000*% +%ADD24C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* %ADD25C,0.300000*% @@ -183,10 +183,10 @@ D12* X133750000Y-89550000D03* D13* X133750000Y-87010000D03* -D12* -X179500000Y-61900000D03* +D14* +X174498000Y-90805000D03* D13* -X182040000Y-61900000D03* +X174498000Y-93345000D03* D14* X105283000Y-112268000D03* D13* @@ -201,6 +201,10 @@ X105283000Y-122428000D03* X107823000Y-122428000D03* X105283000Y-124968000D03* X107823000Y-124968000D03* +D14* +X170116500Y-90805000D03* +D13* +X170116500Y-93345000D03* D15* X195961000Y-44005500D03* D16* @@ -334,7 +338,7 @@ X163830000Y-68262500D03* X162560000Y-69405500D03* X163830000Y-69405500D03* X165100000Y-69405500D03* -X165100000Y-67500500D03* +X165100000Y-67627500D03* D15* X135382000Y-100711000D03* X161798000Y-96901000D03* @@ -505,7 +509,7 @@ X150495000Y-76454000D03* X123952000Y-77597000D03* D16* X132207000Y-64389000D03* -X143256000Y-70675500D03* +X142557500Y-70675500D03* X129800000Y-114450000D03* X126150000Y-116600000D03* D17* @@ -523,9 +527,9 @@ X197750000Y-95450000D03* X198600000Y-119600000D03* X193500000Y-111400000D03* D17* -X174250000Y-92950000D03* -X175400000Y-91800000D03* -X173100000Y-91800000D03* +X177650000Y-92950000D03* +X178800000Y-91800000D03* +X176500000Y-91800000D03* D16* X132715000Y-83058000D03* X133985000Y-83058000D03* @@ -587,7 +591,6 @@ X157353000Y-126746000D03* X135128000Y-49149000D03* X195750000Y-86350000D03* X121793000Y-38608000D03* -X167513000Y-48768000D03* X110172500Y-120777000D03* X195600000Y-60650000D03* X196150000Y-58750000D03* @@ -641,7 +644,6 @@ X172593000Y-126746000D03* X162560000Y-58610500D03* X192659000Y-128778000D03* X157353000Y-48768000D03* -X170624500Y-92583000D03* X194050000Y-84750000D03* X127444500Y-78105000D03* X104013000Y-86868000D03* @@ -649,7 +651,7 @@ X148150000Y-119050000D03* X208724500Y-61468000D03* X169037000Y-70104000D03* X207708500Y-69088000D03* -X175450500Y-88265000D03* +X173418500Y-85598000D03* X122700000Y-59050000D03* X121000000Y-59050000D03* D17* @@ -752,6 +754,7 @@ X130175000Y-58166000D03* X124500000Y-80400000D03* X112800000Y-54800000D03* X211582000Y-122428000D03* +X194250000Y-65900000D03* X162433000Y-38608000D03* X201930000Y-113510000D03* X207708500Y-79248000D03* @@ -762,6 +765,7 @@ X131550000Y-119650000D03* X165150000Y-121450000D03* X209740500Y-94488000D03* X167513000Y-38608000D03* +X191300000Y-126150000D03* X179250000Y-85950000D03* X196550000Y-55250000D03* X177750000Y-95800000D03* @@ -867,7 +871,7 @@ X201930000Y-76990000D03* X211582000Y-132080000D03* X159893000Y-124269500D03* X124460000Y-70485000D03* -X133286500Y-97300000D03* +X133300000Y-97300000D03* X174350000Y-84200000D03* X127900000Y-101550000D03* X130600000Y-113200000D03* @@ -920,6 +924,7 @@ D16* X201930000Y-57630000D03* X201930000Y-104930000D03* X106553000Y-128778000D03* +X143891000Y-70548500D03* X180250000Y-112550000D03* X201930000Y-107470000D03* X209740500Y-99568000D03* @@ -937,7 +942,6 @@ X128400000Y-119700000D03* X195550000Y-65900000D03* X107250000Y-106400000D03* X107886500Y-61087000D03* -X170307000Y-88201500D03* X201930000Y-77950000D03* X177673000Y-43688000D03* X152273000Y-126746000D03* @@ -967,6 +971,7 @@ X188550000Y-110500000D03* X177500000Y-53800000D03* X189600000Y-99100000D03* X111633000Y-128778000D03* +X198882000Y-112839500D03* X182150000Y-89250000D03* X199050000Y-95750000D03* X124750000Y-86700000D03* @@ -981,7 +986,7 @@ X201930000Y-121130000D03* X201930000Y-62710000D03* X119300000Y-122700000D03* X178700000Y-114000000D03* -X194119500Y-67246500D03* +X194119500Y-67350000D03* X191700000Y-49099400D03* X104013000Y-107188000D03* X155067000Y-70675500D03* @@ -1120,6 +1125,7 @@ X142600000Y-118250000D03* X176100000Y-84950000D03* X104013000Y-81788000D03* X147193000Y-38608000D03* +X188468000Y-56451500D03* X137160000Y-53086000D03* X163766500Y-90551000D03* X104013000Y-97028000D03* @@ -1130,6 +1136,7 @@ X186750000Y-47700000D03* X170053000Y-41148000D03* X179150000Y-65850000D03* X109474000Y-120078500D03* +X199326499Y-90233500D03* X125285500Y-52959000D03* D17* X145669000Y-46482000D03* @@ -1270,9 +1277,9 @@ X190900000Y-111700000D03* X182400000Y-102600000D03* X190900000Y-102600000D03* D17* -X174250000Y-89350000D03* -X173100000Y-90500000D03* -X175400000Y-90500000D03* +X177650000Y-89350000D03* +X176500000Y-90500000D03* +X178800000Y-90500000D03* X144145000Y-48768000D03* D19* X112550000Y-75500000D03* @@ -1323,6 +1330,7 @@ D19* X118450000Y-61500000D03* X120000000Y-102950000D03* D16* +X169800000Y-48650000D03* X131100000Y-95450000D03* X131000000Y-93650000D03* D19* @@ -1412,7 +1420,6 @@ X120450000Y-65500000D03* X112400000Y-67500000D03* D16* X119200000Y-90950000D03* -X182399500Y-59400000D03* X187750000Y-126150000D03* D19* X118450000Y-67500000D03* @@ -1714,6 +1721,7 @@ X164465000Y-95567500D03* X115000000Y-107600000D03* X115900000Y-108100000D03* X164465000Y-98615500D03* +X166650000Y-48650000D03* X128050000Y-85700000D03* D20* X131600000Y-94550000D02* @@ -1757,15 +1765,13 @@ X184531000Y-50990500D01* X131600000Y-94750000D02* X131950000Y-95100000D01* X179451000Y-56451500D02* -X182399500Y-59400000D01* -X177150000Y-54650000D02* -X181900000Y-59400000D01* -X179451000Y-56451500D02* X179880000Y-56880500D01* -X131750000Y-45250000D02* -X121850000Y-55150000D01* X181050000Y-56757500D02* X180530500Y-57277000D01* +D23* +X180467000Y-57340500D02* +X180467000Y-57467500D01* +D20* X121475000Y-55750000D02* X120000000Y-57225000D01* X120000000Y-58900000D02* @@ -1774,11 +1780,15 @@ X131000000Y-95000000D02* X131000000Y-95450000D01* X131000000Y-89450000D02* X131000000Y-88400000D01* +X166408000Y-45250000D02* +X166408000Y-45258000D01* +X176518500Y-54546500D02* +X180022500Y-58050500D01* X121494000Y-55744000D02* X121500000Y-55750000D01* X128575000Y-88300000D02* X130800000Y-88300000D01* -D23* +D24* X128400000Y-89400000D02* X128050000Y-89050000D01* D20* @@ -1786,12 +1796,8 @@ X121850000Y-56000000D02* X121850000Y-55150000D01* X131000000Y-90200000D02* X130000000Y-89200000D01* -X181050000Y-58050500D02* -X182399500Y-59400000D01* X179880000Y-56880500D02* X180340000Y-57340500D01* -X180558000Y-59400000D02* -X181300000Y-59400000D01* D22* X120450000Y-88950000D02* X120450000Y-96950000D01* @@ -1838,6 +1844,8 @@ X178215500Y-54546500D02* X178879500Y-55210500D01* X186050000Y-126000000D02* X187600000Y-126000000D01* +X180784500Y-58050500D02* +X180022500Y-58050500D01* X129550000Y-95000000D02* X126850000Y-95000000D01* X177150000Y-54546500D02* @@ -1866,8 +1874,6 @@ X121550000Y-88950000D01* D20* X127150000Y-94400000D02* X126975000Y-94225000D01* -X181300000Y-59400000D02* -X181900000Y-59400000D01* D22* X119200000Y-98200000D02* X119200000Y-101150000D01* @@ -1878,8 +1884,6 @@ X120000000Y-57000000D02* X120000000Y-57225000D01* X179451000Y-56451500D02* X177550000Y-54550500D01* -X175704500Y-54546500D02* -X166408000Y-45250000D01* X116416000Y-128016000D02* X148634000Y-128016000D01* X186800000Y-126750000D02* @@ -1900,8 +1904,6 @@ X121550000Y-86950000D01* D20* X128950000Y-94400000D02* X129300000Y-94750000D01* -X176450000Y-54550000D02* -X176450000Y-54546500D01* D21* X193650000Y-55200000D02* X193650000Y-56100000D01* @@ -1913,12 +1915,12 @@ X184531000Y-50990500D01* D21* X194400000Y-62200000D02* X194400000Y-60650000D01* -D23* +D24* X128400000Y-89400000D02* X130250000Y-89400000D01* D20* -X166408000Y-45250000D02* -X131750000Y-45250000D01* +X175696500Y-54546500D02* +X169800000Y-48650000D01* D21* X194250000Y-62350000D02* X194400000Y-62200000D01* @@ -1929,6 +1931,10 @@ X126400000Y-94550000D02* X126400000Y-95450000D01* X115400000Y-112900000D02* X114700000Y-113600000D01* +D23* +X180467000Y-57467500D02* +X181050000Y-58050500D01* +D20* X188350000Y-126750000D02* X187750000Y-126150000D01* X113800000Y-106900000D02* @@ -2036,7 +2042,7 @@ X122700000Y-57850000D01* D21* X193225000Y-55725000D02* X193400000Y-55550000D01* -D23* +D24* X128400000Y-89400000D02* X127000000Y-88000000D01* D20* @@ -2052,8 +2058,12 @@ X184785000Y-51562000D02* X185356500Y-50990500D01* X183832500Y-50990500D02* X183832500Y-51689000D01* +X166408000Y-45258000D02* +X169800000Y-48650000D01* X121850000Y-56900000D02* X121850000Y-56000000D01* +X175704500Y-54546500D02* +X175696500Y-54546500D01* X185356500Y-50990500D02* X186626500Y-50990500D01* D21* @@ -2064,9 +2074,9 @@ X122700000Y-57750000D02* X121850000Y-56900000D01* X120000000Y-58850000D02* X120000000Y-58900000D01* -X181900000Y-59400000D02* -X182399500Y-59400000D01* -D24* +X166408000Y-45250000D02* +X131750000Y-45250000D01* +D23* X130000000Y-89200000D02* X130250000Y-89450000D01* D20* @@ -2080,8 +2090,12 @@ X129300000Y-94750000D02* X130350000Y-94750000D01* X131600000Y-94850000D02* X131600000Y-94550000D01* +X131750000Y-45250000D02* +X121850000Y-55150000D01* X181419500Y-54800500D02* X181673500Y-54546500D01* +X175704500Y-54546500D02* +X176518500Y-54546500D01* X177150000Y-54546500D02* X177150000Y-54650000D01* X178150000Y-54546500D02* @@ -2112,11 +2126,9 @@ X120006000Y-55744000D02* X121150000Y-56888000D01* X131000000Y-94100000D02* X130350000Y-94750000D01* -X175704500Y-54546500D02* -X180558000Y-59400000D01* X131600000Y-94250000D02* X131600000Y-94550000D01* -D24* +D23* X130250000Y-89450000D02* X131000000Y-89450000D01* D20* @@ -2132,7 +2144,7 @@ X127050000Y-94550000D02* X128600000Y-94550000D01* X131000000Y-93650000D02* X131000000Y-94100000D01* -D23* +D24* X128050000Y-89050000D02* X128050000Y-87950000D01* D20* @@ -2144,6 +2156,8 @@ X120000000Y-57850000D02* X120100000Y-57850000D01* X179900000Y-54800500D02* X180450000Y-54800500D01* +X179200500Y-58050500D02* +X175696500Y-54546500D01* X116332000Y-52070000D02* X120006000Y-55744000D01* X129300000Y-94750000D02* @@ -2152,16 +2166,14 @@ X121850000Y-56900000D02* X121850000Y-57500000D01* X120100000Y-57850000D02* X121850000Y-56100000D01* +X180784500Y-58050500D02* +X180550500Y-58050500D01* X120000000Y-57000000D02* X120000000Y-55750000D01* X186457250Y-126407250D02* X187430750Y-126407250D01* -X182400000Y-59400000D02* -X182399500Y-59400000D01* X131000000Y-90200000D02* X131000000Y-89450000D01* -X181300000Y-59400000D02* -X176450000Y-54550000D01* X120000000Y-102950000D02* X120000000Y-104800000D01* X181050000Y-56360500D02* @@ -2172,6 +2184,8 @@ X188700000Y-126750000D02* X187950000Y-126000000D01* X179400000Y-54800500D02* X179400000Y-56400500D01* +X180550500Y-58050500D02* +X177150000Y-54650000D01* X126400000Y-93650000D02* X126400000Y-94550000D01* X183832500Y-51689000D02* @@ -2188,6 +2202,10 @@ D22* X129150000Y-88400000D02* X128750000Y-88000000D01* D20* +X181050000Y-58050500D02* +X180784500Y-58050500D01* +X180022500Y-58050500D02* +X179200500Y-58050500D01* X131000000Y-95450000D02* X132350000Y-95450000D01* X186050000Y-126000000D02* @@ -2555,14 +2573,16 @@ X193000000Y-82150000D02* X197700000Y-86850000D01* X197700000Y-91020000D02* X200660000Y-93980000D01* -X192950000Y-81500000D02* -X193000000Y-81500000D01* X193000000Y-81500000D02* X198000000Y-86500000D01* +X198000000Y-90875500D02* +X198564500Y-91440000D01* X198000000Y-86500000D02* -X198000000Y-88780000D01* -X198000000Y-88780000D02* +X198000000Y-90875500D01* +X198564500Y-91440000D02* X200660000Y-91440000D01* +X192950000Y-81500000D02* +X193000000Y-81500000D01* X200342500Y-88900000D02* X200660000Y-88900000D01* X198350000Y-86200000D02* @@ -3525,24 +3545,24 @@ X158623000Y-113538000D02* X152844500Y-107759500D01* X158750000Y-113538000D02* X158623000Y-113538000D01* -X137795000Y-57848500D02* -X137795000Y-56705500D01* -X137795000Y-56705500D02* -X143192500Y-51308000D01* -X158242000Y-51308000D02* -X164211000Y-57277000D01* -X136652000Y-59436000D02* -X136652000Y-58991500D01* -X164211000Y-58293000D02* -X163068000Y-59436000D01* -X164211000Y-57277000D02* -X164211000Y-58293000D01* -X163068000Y-59436000D02* -X161925000Y-59436000D01* X143192500Y-51308000D02* X158242000Y-51308000D01* +X164084000Y-57150000D02* +X164084000Y-58420000D01* +X136652000Y-59436000D02* +X136652000Y-58991500D01* X136652000Y-58991500D02* X137795000Y-57848500D01* +X158242000Y-51308000D02* +X164084000Y-57150000D01* +X137795000Y-57848500D02* +X137795000Y-56705500D01* +X163068000Y-59436000D02* +X161925000Y-59436000D01* +X164084000Y-58420000D02* +X163068000Y-59436000D01* +X137795000Y-56705500D02* +X143192500Y-51308000D01* X139319000Y-57912000D02* X137795000Y-59436000D01* X157480000Y-52070000D02* @@ -3589,86 +3609,78 @@ X131445000Y-74485500D02* X130619500Y-73660000D01* X152749250Y-73564750D02* X152590500Y-73406000D01* -X140970000Y-90170000D02* -X139800000Y-89000000D01* -X130800000Y-99100000D02* -X130050000Y-99100000D01* -X123553002Y-98800000D02* -X122053002Y-100300000D01* -X123553002Y-98796998D02* -X123553002Y-98800000D01* -X122053002Y-100300000D02* -X121750000Y-100300000D01* -X124250000Y-98100000D02* -X123553002Y-98796998D01* -X134450000Y-95850000D02* -X133650000Y-96650000D01* X129050000Y-98100000D02* X124250000Y-98100000D01* +X122053002Y-100300000D02* +X121750000Y-100300000D01* +X134450000Y-95450000D02* +X130800000Y-99100000D01* +X123553002Y-98796998D02* +X123553002Y-98800000D01* +X123553002Y-98800000D02* +X122053002Y-100300000D01* X130050000Y-99100000D02* X129050000Y-98100000D01* -X134450000Y-92054500D02* -X134450000Y-95850000D01* -X137504500Y-89000000D02* -X134450000Y-92054500D01* +X124250000Y-98100000D02* +X123553002Y-98796998D01* X139800000Y-89000000D02* X137504500Y-89000000D01* -X133250000Y-96650000D02* -X130800000Y-99100000D01* -X133650000Y-96650000D02* -X133250000Y-96650000D01* -X133100000Y-96350000D02* -X130650000Y-98800000D01* -X130650000Y-98800000D02* -X130225000Y-98800000D01* +X130800000Y-99100000D02* +X130050000Y-99100000D01* +X140970000Y-90170000D02* +X139800000Y-89000000D01* +X137504500Y-89000000D02* +X134450000Y-92054500D01* +X134450000Y-92054500D02* +X134450000Y-95450000D01* +X134150000Y-91910000D02* +X134150000Y-95300000D01* +X130225000Y-98800000D02* +X129225000Y-97800000D01* X142240000Y-90170000D02* X140770000Y-88700000D01* +X140770000Y-88700000D02* +X137360000Y-88700000D01* X124100000Y-97800000D02* X122500000Y-99400000D01* X137360000Y-88700000D02* X134150000Y-91910000D01* -X134150000Y-95700000D02* -X133500000Y-96350000D01* -X140770000Y-88700000D02* -X137360000Y-88700000D01* -X134150000Y-91910000D02* -X134150000Y-95700000D01* -X129225000Y-97800000D02* -X124100000Y-97800000D01* -X133500000Y-96350000D02* -X133100000Y-96350000D01* -X130225000Y-98800000D02* -X129225000Y-97800000D01* +X134150000Y-95300000D02* +X130650000Y-98800000D01* X122500000Y-99400000D02* X122250000Y-99400000D01* -X134750000Y-96000000D02* -X134750000Y-92199000D01* +X129225000Y-97800000D02* +X124100000Y-97800000D01* +X130650000Y-98800000D02* +X130225000Y-98800000D01* X122250000Y-102750000D02* X122250000Y-102349500D01* -X133800000Y-96950000D02* -X134750000Y-96000000D01* +X123250000Y-103450000D02* +X122950000Y-103450000D01* +X137649000Y-89300000D02* +X138830000Y-89300000D01* +X138830000Y-89300000D02* +X139700000Y-90170000D01* +X122250000Y-102349500D02* +X124799500Y-99800000D01* +X126787500Y-99800000D02* +X127254000Y-100266500D01* +X134750000Y-95600000D02* +X134750000Y-92199000D01* +X134750000Y-92199000D02* +X137649000Y-89300000D01* +X132778500Y-100266500D02* +X133800000Y-99245000D01* +X133800000Y-99245000D02* +X133800000Y-96550000D01* +X133800000Y-96550000D02* +X134750000Y-95600000D01* +X122950000Y-103450000D02* +X122250000Y-102750000D01* X127254000Y-100266500D02* X132778500Y-100266500D01* X124799500Y-99800000D02* X126787500Y-99800000D01* -X122250000Y-102349500D02* -X124799500Y-99800000D01* -X123250000Y-103450000D02* -X122950000Y-103450000D01* -X122950000Y-103450000D02* -X122250000Y-102750000D01* -X132778500Y-100266500D02* -X133800000Y-99245000D01* -X138830000Y-89300000D02* -X139700000Y-90170000D01* -X137649000Y-89300000D02* -X138830000Y-89300000D01* -X134750000Y-92199000D02* -X137649000Y-89300000D01* -X126787500Y-99800000D02* -X127254000Y-100266500D01* -X133800000Y-99245000D02* -X133800000Y-96950000D01* X153606500Y-71501000D02* X154241500Y-72136000D01* X140271500Y-72580500D02* @@ -4413,28 +4425,60 @@ X121350000Y-107900000D02* X124650000Y-104600000D01* X124650000Y-104600000D02* X125150000Y-104600000D01* -X125800000Y-58800000D02* -X125800000Y-53200000D01* -X121550000Y-76750000D02* -X121550000Y-74100000D01* -X179500000Y-59750000D02* -X179500000Y-61900000D01* -X121550000Y-74100000D02* -X128350000Y-67300000D01* -X125800000Y-53200000D02* -X131375000Y-47625000D01* -X128050000Y-85700000D02* -X128050000Y-83250000D01* -X131375000Y-47625000D02* -X167375000Y-47625000D01* -X128350000Y-61350000D02* -X125800000Y-58800000D01* -X167375000Y-47625000D02* -X179500000Y-59750000D01* +X162301000Y-49525000D02* +X162525000Y-49525000D01* +X164846000Y-59004000D02* +X163004500Y-60845500D01* +X162288000Y-49512000D02* +X162413000Y-49637000D01* X128350000Y-67300000D02* X128350000Y-61350000D01* +X128050000Y-85700000D02* +X128050000Y-83250000D01* +X162513000Y-49737000D02* +X164846000Y-52070000D01* +X163004500Y-64833500D02* +X173672500Y-75501500D01* +X125800000Y-58800000D02* +X125800000Y-53200000D01* +X164846000Y-52070000D02* +X164846000Y-59004000D01* +X174498000Y-85661500D02* +X174498000Y-90805000D01* +X162513000Y-49537000D02* +X162513000Y-49737000D01* +X173672500Y-84836000D02* +X174498000Y-85661500D01* +X128350000Y-61350000D02* +X125800000Y-58800000D01* +X162525000Y-49525000D02* +X162513000Y-49537000D01* +X121550000Y-74100000D02* +X128350000Y-67300000D01* +X173672500Y-75501500D02* +X173672500Y-84836000D01* +X162413000Y-49637000D02* +X162513000Y-49737000D01* +X131375000Y-47625000D02* +X160401000Y-47625000D01* +X163004500Y-60845500D02* +X163004500Y-64833500D01* +X166650000Y-48650000D02* +X163400000Y-48650000D01* +X160401000Y-47625000D02* +X162288000Y-49512000D01* +X125800000Y-53200000D02* +X131375000Y-47625000D01* +X121550000Y-76750000D02* +X121550000Y-74100000D01* X128050000Y-83250000D02* X121550000Y-76750000D01* +X162288000Y-49512000D02* +X162301000Y-49525000D01* +X163400000Y-48650000D02* +X162525000Y-49525000D01* +X162525000Y-49525000D02* +X162413000Y-49637000D01* G04 #@! TA.AperFunction,Conductor* G36* X111852622Y-93407997D02* @@ -4451,7 +4495,10 @@ X112087474Y-93626564D01* X112090844Y-93649999D01* X112090844Y-93650003D01* X112093697Y-93669851D01* -X112093699Y-93669855D01* +X112093698Y-93669854D01* +X112094075Y-93672471D01* +X112094075Y-93672472D01* +X112094553Y-93675798D01* X112096519Y-93689467D01* X112096519Y-93710530D01* X112087475Y-93773433D01* @@ -4539,7 +4586,7 @@ X117844859Y-93498541D01* X117856237Y-93480837D01* X117897866Y-93432794D01* X117913780Y-93419007D01* -X117913785Y-93419004D01* +X117917076Y-93416889D01* X117967247Y-93384646D01* X117986399Y-93375900D01* X118034583Y-93361753D01* @@ -4703,7 +4750,7 @@ X118968457Y-92543641D01* X118962525Y-92523437D01* X118953480Y-92460528D01* X118953480Y-92439467D01* -X118962524Y-92376564D01* +X118953480Y-92439466D01* X118962525Y-92376556D01* X118968456Y-92356355D01* X118994859Y-92298541D01* @@ -4727,7 +4774,7 @@ G01* X117407389Y-85609542D01* X117477608Y-85624467D01* X117492321Y-85629248D01* -X117579537Y-85668079D01* +X117578021Y-85667404D01* X117606810Y-85680222D01* X117623483Y-85687645D01* X117636878Y-85695378D01* @@ -5323,7 +5370,7 @@ X113752446Y-75508910D01* X113752446Y-75491079D01* X113764771Y-75389582D01* X113769040Y-75372263D01* -X113796445Y-75300000D01* +X113779757Y-75344004D01* X113805291Y-75276673D01* X113813575Y-75260887D01* X113871663Y-75176732D01* @@ -5391,7 +5438,7 @@ X117802446Y-75508910D01* X117802446Y-75491079D01* X117814771Y-75389582D01* X117819040Y-75372263D01* -X117846445Y-75300000D01* +X117829757Y-75344004D01* X117855291Y-75276673D01* X117863575Y-75260887D01* X117921663Y-75176732D01* @@ -5480,7 +5527,7 @@ X121011574Y-59296219D01* X120988424Y-59296219D01* X120934923Y-59287746D01* X120912903Y-59280592D01* -X120909272Y-59278742D01* +X120912901Y-59280591D01* X120864637Y-59255999D01* X120845909Y-59242392D01* X120807606Y-59204089D01* @@ -5529,7 +5576,7 @@ X122711574Y-59296219D01* X122688424Y-59296219D01* X122634923Y-59287746D01* X122612903Y-59280592D01* -X122609272Y-59278742D01* +X122612901Y-59280591D01* X122564637Y-59255999D01* X122545909Y-59242392D01* X122507606Y-59204089D01* @@ -5845,7 +5892,8 @@ X113575521Y-44601986D01* X113571087Y-44678100D01* X113601362Y-44849793D01* X113670414Y-45009876D01* -X113670415Y-45009877D01* +X113670417Y-45009880D01* +X113680179Y-45022992D01* X113774526Y-45149722D01* X113845938Y-45209644D01* X113908077Y-45261786D01* @@ -6029,9 +6077,9 @@ X106416960Y-49064114D01* X106424946Y-49111968D01* X106456183Y-49299164D01* X106533561Y-49524560D01* -X106590677Y-49630101D01* +X106597980Y-49643595D01* X106646981Y-49734141D01* -X106646983Y-49734143D01* +X106688977Y-49788098D01* X106790977Y-49919149D01* X106793350Y-49922197D01* X106968676Y-50083595D01* @@ -8423,7 +8471,7 @@ X109892537Y-95082294D01* X109907178Y-95142131D01* X109907184Y-95142148D01* X109928920Y-95188758D01* -X109928921Y-95188759D01* +X109956585Y-95228268D01* X109960374Y-95233678D01* X112716322Y-97989626D01* X112721277Y-97994371D01* @@ -9505,7 +9553,7 @@ X204352381Y-84893606D01* X204344440Y-84896654D01* X204327211Y-84913883D01* X204318389Y-84921417D01* -X204306810Y-84929830D01* +X204306899Y-84929766D01* X204298675Y-84935741D01* X204294423Y-84943106D01* X204282666Y-84958427D01* @@ -14671,7 +14719,7 @@ X199749739Y-96326512D01* X199749738Y-96326516D01* X199749738Y-96326518D01* X199729402Y-96520000D01* -X199741365Y-96633823D01* +X199745594Y-96674057D01* X199749739Y-96713487D01* X199809855Y-96898505D01* X199809854Y-96898505D01* @@ -14700,7 +14748,7 @@ X201510144Y-96898508D01* X201570262Y-96713482D01* X201590598Y-96520000D01* X202269402Y-96520000D01* -X202281365Y-96633823D01* +X202285594Y-96674057D01* X202289739Y-96713487D01* X202349855Y-96898505D01* X202349854Y-96898505D01* @@ -14729,7 +14777,7 @@ X204050144Y-96898508D01* X204110262Y-96713482D01* X204130598Y-96520000D01* X204809402Y-96520000D01* -X204821365Y-96633823D01* +X204825594Y-96674057D01* X204829739Y-96713487D01* X204889855Y-96898505D01* X204889854Y-96898505D01* @@ -15008,27 +15056,19 @@ X200372432Y-93094947D01* X200226135Y-93160082D01* X200175639Y-93163612D01* X200143711Y-93144805D01* -X197947174Y-90948268D01* -X197925782Y-90902392D01* -X197925500Y-90895942D01* -X197925500Y-89203057D01* -X197942813Y-89155491D01* -X197986650Y-89130181D01* -X198036500Y-89138971D01* -X198051826Y-89150731D01* -X199825608Y-90924513D01* -X199847000Y-90970389D01* -X199837368Y-91013838D01* -X199809856Y-91061490D01* -X199809855Y-91061494D01* -X199749739Y-91246512D01* -X199749738Y-91246516D01* -X199749738Y-91246518D01* -X199729402Y-91440000D01* -X199746796Y-91605492D01* -X199749739Y-91633487D01* +X199471205Y-92472299D01* +X198790730Y-91791825D01* +X198769339Y-91745950D01* +X198782440Y-91697055D01* +X198823904Y-91668021D01* +X198843057Y-91665500D01* +X199706377Y-91665500D01* +X199753943Y-91682813D01* +X199776755Y-91716633D01* +X199809854Y-91818504D01* X199809855Y-91818505D01* -X199809854Y-91818505D01* +X199809856Y-91818508D01* +X199835005Y-91862068D01* X199907128Y-91986989D01* X199907130Y-91986992D01* X200037310Y-92131571D01* @@ -15181,14 +15221,24 @@ X200947568Y-90554948D01* X200807853Y-90525251D01* X200757274Y-90514500D01* X200562726Y-90514500D01* -X200537043Y-90519959D01* -X200372432Y-90554947D01* -X200226135Y-90620082D01* -X200175639Y-90623612D01* -X200143711Y-90604805D01* -X198247174Y-88708268D01* -X198225782Y-88662392D01* -X198225500Y-88655942D01* +X200512147Y-90525251D01* +X200372431Y-90554948D01* +X200372425Y-90554949D01* +X200194705Y-90634075D01* +X200194694Y-90634081D01* +X200037310Y-90748428D01* +X199907130Y-90893007D01* +X199907128Y-90893010D01* +X199809854Y-91061495D01* +X199776755Y-91163367D01* +X199745591Y-91203255D01* +X199706377Y-91214500D01* +X198688557Y-91214500D01* +X198640991Y-91197187D01* +X198636231Y-91192826D01* +X198247174Y-90803769D01* +X198225782Y-90757893D01* +X198225500Y-90751443D01* X198225500Y-87280557D01* X198242813Y-87232991D01* X198286650Y-87207681D01* @@ -17182,7 +17232,7 @@ X204829589Y-58612065D01* X204829739Y-58613487D01* X204889855Y-58798505D01* X204889854Y-58798505D01* -X204984769Y-58962902D01* +X204985731Y-58964568D01* X204987130Y-58966992D01* X205114050Y-59107951D01* X205117310Y-59111571D01* @@ -19357,6 +19407,9 @@ X191710931Y-84835995D01* X191601954Y-84906031D01* X191601950Y-84906034D01* X191517119Y-85003935D01* +X191517118Y-85003936D01* +X191517118Y-85003937D01* +X191490210Y-85062855D01* X191463302Y-85121776D01* X191444867Y-85250000D01* X189225500Y-85250000D01* @@ -19922,7 +19975,6 @@ X190222978Y-62407187D01* X190197668Y-62363350D01* X190206458Y-62313500D01* X190218218Y-62298174D01* -X190231853Y-62284539D01* X190278050Y-62238342D01* X190335646Y-62125304D01* X190355492Y-62000000D01* @@ -23679,8 +23731,8 @@ X164687042Y-96990695D01* X164690500Y-96982932D01* X164690500Y-96958572D01* X164691411Y-96946995D01* -X164693747Y-96932250D01* X164695222Y-96922934D01* +X164695222Y-96922933D01* X164693021Y-96914719D01* X164690500Y-96895567D01* X164690500Y-95939043D01* @@ -23999,17 +24051,11 @@ X132734241Y-97598437D01* X132708931Y-97554600D01* X132717721Y-97504750D01* X132729481Y-97489424D01* -X133321731Y-96897174D01* -X133367607Y-96875782D01* -X133374057Y-96875500D01* -X133496845Y-96875500D01* -X133544411Y-96892813D01* -X133569721Y-96936650D01* -X133569934Y-96961073D01* -X133569777Y-96962061D01* -X133569777Y-96962062D01* -X133571978Y-96970274D01* -X133574500Y-96989428D01* +X133448174Y-96770731D01* +X133494050Y-96749339D01* +X133542945Y-96762440D01* +X133571979Y-96803904D01* +X133574500Y-96823057D01* X133574500Y-99120942D01* X133557187Y-99168508D01* X133552826Y-99173268D01* @@ -24473,7 +24519,7 @@ X138430000Y-90575492D01* X138430002Y-90575491D01* X138433680Y-90576074D01* X138474430Y-90596836D01* -X138773537Y-90895942D01* +X138775636Y-90898041D01* X139090493Y-91212898D01* X139093159Y-91215708D01* X139101800Y-91225304D01* @@ -24497,7 +24543,6 @@ X139294932Y-91284500D01* X164484942Y-91284500D01* X164532508Y-91301813D01* X164537268Y-91306174D01* -X169334145Y-96103051D01* X174129685Y-100898590D01* X174151077Y-100944466D01* X174150448Y-100962491D01* @@ -24524,7 +24569,7 @@ X174550000Y-100594508D01* X174512492Y-100600448D01* X174462803Y-100590789D01* X174448591Y-100579685D01* -X169668906Y-95800000D01* +X169668905Y-95800000D01* X181044508Y-95800000D01* X181064353Y-95925301D01* X181064353Y-95925302D01* @@ -24580,8 +24625,8 @@ X181121950Y-95561658D01* X181086013Y-95632189D01* X181064353Y-95674698D01* X181044508Y-95800000D01* -X169668906Y-95800000D01* -X169068906Y-95200000D01* +X169668905Y-95800000D01* +X169068905Y-95200000D01* X182144508Y-95200000D01* X182164353Y-95325301D01* X182164353Y-95325302D01* @@ -24607,8 +24652,8 @@ X182311656Y-94871951D01* X182221951Y-94961656D01* X182164353Y-95074698D01* X182144508Y-95200000D01* -X169068906Y-95200000D01* -X168218906Y-94350000D01* +X169068905Y-95200000D01* +X168218905Y-94350000D01* X182944867Y-94350000D01* X182963302Y-94478223D01* X182963302Y-94478224D01* @@ -24636,8 +24681,68 @@ X183101950Y-94006034D01* X183017119Y-94103935D01* X182963302Y-94221776D01* X182944867Y-94350000D01* -X168218906Y-94350000D01* -X167368906Y-93500000D01* +X168218905Y-94350000D01* +X167363905Y-93495000D01* +X169126435Y-93495000D01* +X169130969Y-93541035D01* +X169188151Y-93729539D01* +X169281004Y-93903252D01* +X169281013Y-93903266D01* +X169405971Y-94055526D01* +X169405973Y-94055528D01* +X169558233Y-94180486D01* +X169558247Y-94180495D01* +X169731960Y-94273348D01* +X169920464Y-94330530D01* +X169966500Y-94335064D01* +X169966500Y-93826170D01* +X169974185Y-93829680D01* +X170080737Y-93845000D01* +X170152263Y-93845000D01* +X170258815Y-93829680D01* +X170266500Y-93826170D01* +X170266500Y-94335064D01* +X170312535Y-94330530D01* +X170501039Y-94273348D01* +X170674752Y-94180495D01* +X170674766Y-94180486D01* +X170827026Y-94055528D01* +X170827028Y-94055526D01* +X170951986Y-93903266D01* +X170951995Y-93903252D01* +X171044848Y-93729539D01* +X171102030Y-93541035D01* +X171106565Y-93495000D01* +X173507935Y-93495000D01* +X173512469Y-93541035D01* +X173569651Y-93729539D01* +X173662504Y-93903252D01* +X173662513Y-93903266D01* +X173787471Y-94055526D01* +X173787473Y-94055528D01* +X173939733Y-94180486D01* +X173939747Y-94180495D01* +X174113460Y-94273348D01* +X174301964Y-94330530D01* +X174348000Y-94335064D01* +X174348000Y-93826170D01* +X174355685Y-93829680D01* +X174462237Y-93845000D01* +X174533763Y-93845000D01* +X174640315Y-93829680D01* +X174648000Y-93826170D01* +X174648000Y-94335064D01* +X174694035Y-94330530D01* +X174882539Y-94273348D01* +X175056252Y-94180495D01* +X175056266Y-94180486D01* +X175208526Y-94055528D01* +X175208528Y-94055526D01* +X175333486Y-93903266D01* +X175333495Y-93903252D01* +X175426348Y-93729539D01* +X175483530Y-93541035D01* +X175487572Y-93500000D01* X181994508Y-93500000D01* X182014353Y-93625301D01* X182014353Y-93625302D01* @@ -24663,7 +24768,126 @@ X182161656Y-93171951D01* X182071951Y-93261656D01* X182014353Y-93374698D01* X181994508Y-93500000D01* -X167368906Y-93500000D01* +X175487572Y-93500000D01* +X175488065Y-93495000D01* +X174975065Y-93495000D01* +X174998000Y-93416889D01* +X174998000Y-93273111D01* +X174975065Y-93195000D01* +X175488064Y-93195000D01* +X175483530Y-93148964D01* +X175426348Y-92960460D01* +X175333495Y-92786747D01* +X175333486Y-92786733D01* +X175208528Y-92634473D01* +X175208526Y-92634471D01* +X175056266Y-92509513D01* +X175056252Y-92509504D01* +X174882539Y-92416651D01* +X174694037Y-92359469D01* +X174648000Y-92354934D01* +X174648000Y-92863829D01* +X174640315Y-92860320D01* +X174533763Y-92845000D01* +X174462237Y-92845000D01* +X174355685Y-92860320D01* +X174348000Y-92863829D01* +X174348000Y-92354934D01* +X174301962Y-92359469D01* +X174113460Y-92416651D01* +X173939747Y-92509504D01* +X173939733Y-92509513D01* +X173787473Y-92634471D01* +X173787471Y-92634473D01* +X173662513Y-92786733D01* +X173662504Y-92786747D01* +X173569651Y-92960460D01* +X173512469Y-93148964D01* +X173507935Y-93195000D01* +X174020935Y-93195000D01* +X173998000Y-93273111D01* +X173998000Y-93416889D01* +X174020935Y-93495000D01* +X173507935Y-93495000D01* +X171106565Y-93495000D01* +X170593565Y-93495000D01* +X170616500Y-93416889D01* +X170616500Y-93273111D01* +X170593565Y-93195000D01* +X171106564Y-93195000D01* +X171102030Y-93148964D01* +X171044848Y-92960460D01* +X170951995Y-92786747D01* +X170951986Y-92786733D01* +X170827028Y-92634473D01* +X170827026Y-92634471D01* +X170674766Y-92509513D01* +X170674752Y-92509504D01* +X170501039Y-92416651D01* +X170312537Y-92359469D01* +X170266500Y-92354934D01* +X170266500Y-92863829D01* +X170258815Y-92860320D01* +X170152263Y-92845000D01* +X170080737Y-92845000D01* +X169974185Y-92860320D01* +X169966500Y-92863829D01* +X169966500Y-92354934D01* +X169920462Y-92359469D01* +X169731960Y-92416651D01* +X169558247Y-92509504D01* +X169558233Y-92509513D01* +X169405973Y-92634471D01* +X169405971Y-92634473D01* +X169281013Y-92786733D01* +X169281004Y-92786747D01* +X169188151Y-92960460D01* +X169130969Y-93148964D01* +X169126435Y-93195000D01* +X169639435Y-93195000D01* +X169616500Y-93273111D01* +X169616500Y-93416889D01* +X169639435Y-93495000D01* +X169126435Y-93495000D01* +X167363905Y-93495000D01* +X165305424Y-91436519D01* +X169116000Y-91436519D01* +X169130853Y-91530304D01* +X169130854Y-91530306D01* +X169159052Y-91585646D01* +X169188450Y-91643342D01* +X169278158Y-91733050D01* +X169391196Y-91790646D01* +X169484981Y-91805500D01* +X170748018Y-91805499D01* +X170748019Y-91805499D01* +X170841804Y-91790646D01* +X170841806Y-91790645D01* +X170843919Y-91789568D01* +X170954842Y-91733050D01* +X171044550Y-91643342D01* +X171102146Y-91530304D01* +X171117000Y-91436519D01* +X171116999Y-90173482D01* +X171116447Y-90169999D01* +X171102146Y-90079695D01* +X171102145Y-90079693D01* +X171072270Y-90021061D01* +X171044550Y-89966658D01* +X170954842Y-89876950D01* +X170841804Y-89819354D01* +X170841802Y-89819353D01* +X170841801Y-89819353D01* +X170748019Y-89804500D01* +X169484980Y-89804500D01* +X169391195Y-89819353D01* +X169391193Y-89819354D01* +X169278157Y-89876950D01* +X169188451Y-89966656D01* +X169130853Y-90079698D01* +X169116000Y-90173480D01* +X169116000Y-91436519D01* +X165305424Y-91436519D01* X164774006Y-90905101D01* X164771340Y-90902291D01* X164744508Y-90872492D01* @@ -24885,25 +25109,25 @@ X134901138Y-97893376D01* X134845669Y-98027291D01* X134826750Y-98171000D01* X134025500Y-98171000D01* -X134025500Y-97074057D01* -X134042813Y-97026491D01* -X134047163Y-97021742D01* -X134903934Y-96164970D01* -X134906705Y-96162341D01* -X134936509Y-96135507D01* -X134946414Y-96113256D01* -X134951956Y-96103051D01* -X134957939Y-96093838D01* -X134965226Y-96082618D01* -X134966557Y-96074211D01* -X134972042Y-96055698D01* -X134975500Y-96047932D01* -X134975500Y-96023573D01* -X134976411Y-96011996D01* -X134980222Y-95987935D01* -X134980222Y-95987934D01* -X134978021Y-95979720D01* -X134975500Y-95960568D01* +X134025500Y-96674057D01* +X134042813Y-96626491D01* +X134047163Y-96621742D01* +X134903934Y-95764970D01* +X134906705Y-95762341D01* +X134936509Y-95735507D01* +X134946414Y-95713256D01* +X134951956Y-95703051D01* +X134957779Y-95694084D01* +X134965226Y-95682618D01* +X134966557Y-95674211D01* +X134972042Y-95655698D01* +X134975500Y-95647932D01* +X134975500Y-95623573D01* +X134976411Y-95611996D01* +X134977267Y-95606595D01* +X134980222Y-95587935D01* +X134978021Y-95579720D01* +X134975500Y-95560568D01* X134975500Y-92323057D01* X134992813Y-92275491D01* X134997174Y-92270731D01* @@ -25088,63 +25312,6 @@ X151526658Y-90498050D01* X151639696Y-90555646D01* X151765000Y-90575492D01* X151890304Y-90555646D01* -X151999515Y-90500000D01* -X172644867Y-90500000D01* -X172663302Y-90628223D01* -X172663302Y-90628224D01* -X172663303Y-90628226D01* -X172717118Y-90746063D01* -X172801951Y-90843967D01* -X172910931Y-90914004D01* -X173035228Y-90950500D01* -X173164772Y-90950500D01* -X173289069Y-90914004D01* -X173398049Y-90843967D01* -X173482882Y-90746063D01* -X173536697Y-90628226D01* -X173555133Y-90500000D01* -X174944867Y-90500000D01* -X174963302Y-90628223D01* -X174963302Y-90628224D01* -X174963303Y-90628226D01* -X175017118Y-90746063D01* -X175101951Y-90843967D01* -X175210931Y-90914004D01* -X175335228Y-90950500D01* -X175464772Y-90950500D01* -X175589069Y-90914004D01* -X175698049Y-90843967D01* -X175782882Y-90746063D01* -X175836697Y-90628226D01* -X175855133Y-90500000D01* -X175836697Y-90371774D01* -X175782882Y-90253937D01* -X175698049Y-90156033D01* -X175603470Y-90095251D01* -X175589068Y-90085995D01* -X175464772Y-90049500D01* -X175335228Y-90049500D01* -X175210931Y-90085995D01* -X175101954Y-90156031D01* -X175101950Y-90156034D01* -X175017119Y-90253935D01* -X174963302Y-90371776D01* -X174944867Y-90500000D01* -X173555133Y-90500000D01* -X173536697Y-90371774D01* -X173482882Y-90253937D01* -X173398049Y-90156033D01* -X173303470Y-90095251D01* -X173289068Y-90085995D01* -X173164772Y-90049500D01* -X173035228Y-90049500D01* -X172910931Y-90085995D01* -X172801954Y-90156031D01* -X172801950Y-90156034D01* -X172717119Y-90253935D01* -X172663302Y-90371776D01* -X172644867Y-90500000D01* -X151999515Y-90500000D01* X152003342Y-90498050D01* X152093050Y-90408342D01* X152150646Y-90295304D01* @@ -25166,35 +25333,6 @@ X153273342Y-89736050D01* X153363050Y-89646342D01* X153420646Y-89533304D01* X153440492Y-89408000D01* -X153431306Y-89350000D01* -X173794867Y-89350000D01* -X173813302Y-89478223D01* -X173813302Y-89478224D01* -X173813303Y-89478226D01* -X173867118Y-89596063D01* -X173951951Y-89693967D01* -X174060931Y-89764004D01* -X174185228Y-89800500D01* -X174314772Y-89800500D01* -X174439069Y-89764004D01* -X174548049Y-89693967D01* -X174632882Y-89596063D01* -X174686697Y-89478226D01* -X174705133Y-89350000D01* -X174686697Y-89221774D01* -X174632882Y-89103937D01* -X174548049Y-89006033D01* -X174481032Y-88962964D01* -X174439068Y-88935995D01* -X174314772Y-88899500D01* -X174185228Y-88899500D01* -X174060931Y-88935995D01* -X173951954Y-89006031D01* -X173951950Y-89006034D01* -X173867119Y-89103935D01* -X173813302Y-89221776D01* -X173794867Y-89350000D01* -X153431306Y-89350000D01* X153420646Y-89282696D01* X153363050Y-89169658D01* X153273342Y-89079950D01* @@ -25704,31 +25842,9 @@ X133923589Y-91898001D01* X133919777Y-91922063D01* X133921978Y-91930274D01* X133924500Y-91949428D01* -X133924500Y-95575943D01* -X133907187Y-95623509D01* -X133902826Y-95628269D01* -X133428269Y-96102826D01* -X133382393Y-96124218D01* -X133375943Y-96124500D01* -X133107861Y-96124500D01* -X133103988Y-96124399D01* -X133063938Y-96122300D01* -X133063937Y-96122300D01* -X133063936Y-96122300D01* -X133063934Y-96122300D01* -X133063932Y-96122301D01* -X133041194Y-96131029D01* -X133030063Y-96134327D01* -X133006231Y-96139393D01* -X132999347Y-96144394D01* -X132982381Y-96153606D01* -X132974440Y-96156654D01* -X132957211Y-96173883D01* -X132948389Y-96181417D01* -X132936810Y-96189830D01* -X132928675Y-96195741D01* -X132924423Y-96203106D01* -X132912666Y-96218427D01* +X133924500Y-95175942D01* +X133907187Y-95223508D01* +X133902826Y-95228268D01* X130990005Y-98141088D01* X130944129Y-98162480D01* X130895234Y-98149379D01* @@ -26479,7 +26595,7 @@ X132729745Y-94740311D01* X132719723Y-94732620D01* X131925496Y-93938393D01* X131923577Y-93936400D01* -X131889338Y-93899500D01* +X131894897Y-93905491D01* X131884055Y-93893806D01* X131884048Y-93893802D01* X131879717Y-93890347D01* @@ -26488,38 +26604,47 @@ X131869723Y-93882620D01* X131472174Y-93485071D01* X131450782Y-93439195D01* X131450500Y-93432745D01* -X131450500Y-90414820D01* -X132749500Y-90414820D01* -X132758233Y-90458722D01* -X132784509Y-90498048D01* -X132791496Y-90508504D01* -X132841278Y-90541767D01* -X132885180Y-90550500D01* -X132885181Y-90550500D01* -X134614819Y-90550500D01* -X134614820Y-90550500D01* -X134658722Y-90541767D01* -X134708504Y-90508504D01* -X134741767Y-90458722D01* -X134750500Y-90414820D01* -X134750500Y-88685180D01* -X134741767Y-88641278D01* -X134708504Y-88591496D01* -X134658722Y-88558233D01* -X134614820Y-88549500D01* -X132885180Y-88549500D01* -X132867052Y-88553106D01* -X132841277Y-88558233D01* -X132791496Y-88591495D01* -X132791495Y-88591496D01* -X132758233Y-88641277D01* -X132758233Y-88641278D01* -X132749500Y-88685180D01* -X132749500Y-90414820D01* -X131450500Y-90414820D01* X131450500Y-90229458D01* X131450965Y-90221172D01* X131455270Y-90182965D01* +X131454996Y-90181519D01* +X132749500Y-90181519D01* +X132764353Y-90275304D01* +X132764354Y-90275306D01* +X132805212Y-90355492D01* +X132821950Y-90388342D01* +X132911658Y-90478050D01* +X133024696Y-90535646D01* +X133118481Y-90550500D01* +X134381518Y-90550499D01* +X134381519Y-90550499D01* +X134475304Y-90535646D01* +X134475306Y-90535645D01* +X134495705Y-90525251D01* +X134588342Y-90478050D01* +X134678050Y-90388342D01* +X134735646Y-90275304D01* +X134750500Y-90181519D01* +X134750499Y-88918482D01* +X134749845Y-88914354D01* +X134735646Y-88824695D01* +X134735645Y-88824693D01* +X134698893Y-88752565D01* +X134678050Y-88711658D01* +X134588342Y-88621950D01* +X134475304Y-88564354D01* +X134475302Y-88564353D01* +X134475301Y-88564353D01* +X134381519Y-88549500D01* +X133118480Y-88549500D01* +X133024695Y-88564353D01* +X133024693Y-88564354D01* +X132911657Y-88621950D01* +X132821951Y-88711656D01* +X132764353Y-88824698D01* +X132749500Y-88918480D01* +X132749500Y-90181519D01* +X131454996Y-90181519D01* X131451790Y-90164572D01* X131450500Y-90150815D01* X131450500Y-88529984D01* @@ -26706,6 +26831,8 @@ X127824500Y-85328456D01* X127807187Y-85376022D01* X127802826Y-85380782D01* X127721951Y-85461656D01* +X127721950Y-85461658D01* +X127675424Y-85552971D01* X127664353Y-85574698D01* X127644508Y-85700000D01* X127664353Y-85825301D01* @@ -28151,7 +28278,7 @@ X157354698Y-71369353D01* X157241656Y-71426951D01* X157151951Y-71516656D01* X157094353Y-71629698D01* -X157074508Y-71755000D01* +X157074507Y-71755000D01* X157080448Y-71792507D01* X157070789Y-71842196D01* X157059685Y-71856408D01* @@ -28847,7 +28974,7 @@ X147494867Y-66700000D01* X128575500Y-66700000D01* X128575500Y-61357860D01* X128575601Y-61353987D01* -X128575810Y-61350000D01* +X128576412Y-61338505D01* X128577700Y-61313936D01* X128568968Y-61291190D01* X128565670Y-61280054D01* @@ -29052,478 +29179,6 @@ X156516951Y-65420656D01* X156459353Y-65533698D01* X156439508Y-65659000D01* X154877904Y-65659000D01* -X157290904Y-63246000D01* -X164059508Y-63246000D01* -X164079353Y-63371301D01* -X164079353Y-63371302D01* -X164079354Y-63371304D01* -X164136950Y-63484342D01* -X164217826Y-63565218D01* -X164239218Y-63611093D01* -X164239500Y-63617543D01* -X164239500Y-64807138D01* -X164239399Y-64811011D01* -X164237300Y-64851063D01* -X164246031Y-64873814D01* -X164249326Y-64884937D01* -X164254392Y-64908766D01* -X164254394Y-64908770D01* -X164259388Y-64915643D01* -X164268605Y-64932618D01* -X164271654Y-64940560D01* -X164288888Y-64957794D01* -X164296419Y-64966612D01* -X164310740Y-64986323D01* -X164318102Y-64990573D01* -X164333426Y-65002332D01* -X173184992Y-73853897D01* -X173187658Y-73856707D01* -X173214492Y-73886508D01* -X173214493Y-73886509D01* -X173236751Y-73896418D01* -X173246946Y-73901954D01* -X173267383Y-73915226D01* -X173270171Y-73915667D01* -X173275779Y-73916556D01* -X173294302Y-73922042D01* -X173302068Y-73925500D01* -X173326428Y-73925500D01* -X173338002Y-73926410D01* -X173348169Y-73928021D01* -X173362065Y-73930222D01* -X173362066Y-73930222D01* -X173370281Y-73928021D01* -X173389433Y-73925500D01* -X173828457Y-73925500D01* -X173876023Y-73942813D01* -X173880771Y-73947163D01* -X173961658Y-74028050D01* -X174074696Y-74085646D01* -X174200000Y-74105492D01* -X174325304Y-74085646D01* -X174438342Y-74028050D01* -X174528050Y-73938342D01* -X174585646Y-73825304D01* -X174605492Y-73700000D01* -X174585646Y-73574696D01* -X174528050Y-73461658D01* -X174438342Y-73371950D01* -X174325304Y-73314354D01* -X174325302Y-73314353D01* -X174325301Y-73314353D01* -X174200000Y-73294508D01* -X174074698Y-73314353D01* -X173961656Y-73371951D01* -X173931783Y-73401825D01* -X173880781Y-73452826D01* -X173834907Y-73474218D01* -X173828457Y-73474500D01* -X173720544Y-73474500D01* -X173672978Y-73457187D01* -X173647668Y-73413350D01* -X173656458Y-73363500D01* -X173668218Y-73348174D01* -X173684442Y-73331950D01* -X173728050Y-73288342D01* -X173785646Y-73175304D01* -X173805492Y-73050000D01* -X173785646Y-72924696D01* -X173728050Y-72811658D01* -X173668218Y-72751826D01* -X173646826Y-72705950D01* -X173659927Y-72657055D01* -X173701391Y-72628021D01* -X173720544Y-72625500D01* -X173828457Y-72625500D01* -X173876023Y-72642813D01* -X173880771Y-72647163D01* -X173961658Y-72728050D01* -X174074696Y-72785646D01* -X174200000Y-72805492D01* -X174325304Y-72785646D01* -X174438342Y-72728050D01* -X174528050Y-72638342D01* -X174585646Y-72525304D01* -X174605492Y-72400000D01* -X174585646Y-72274696D01* -X174528050Y-72161658D01* -X174438342Y-72071950D01* -X174325304Y-72014354D01* -X174325302Y-72014353D01* -X174325301Y-72014353D01* -X174200000Y-71994508D01* -X174074698Y-72014353D01* -X173961656Y-72071951D01* -X173931783Y-72101825D01* -X173880781Y-72152826D01* -X173834907Y-72174218D01* -X173828457Y-72174500D01* -X173720544Y-72174500D01* -X173672978Y-72157187D01* -X173647668Y-72113350D01* -X173656458Y-72063500D01* -X173668218Y-72048174D01* -X173696205Y-72020187D01* -X173728050Y-71988342D01* -X173785646Y-71875304D01* -X173805492Y-71750000D01* -X173785646Y-71624696D01* -X173728050Y-71511658D01* -X173668218Y-71451826D01* -X173646826Y-71405950D01* -X173659927Y-71357055D01* -X173701391Y-71328021D01* -X173720544Y-71325500D01* -X173828457Y-71325500D01* -X173876023Y-71342813D01* -X173880771Y-71347163D01* -X173961658Y-71428050D01* -X174074696Y-71485646D01* -X174200000Y-71505492D01* -X174325304Y-71485646D01* -X174438342Y-71428050D01* -X174528050Y-71338342D01* -X174585646Y-71225304D01* -X174605492Y-71100000D01* -X174585646Y-70974696D01* -X174528050Y-70861658D01* -X174438342Y-70771950D01* -X174325304Y-70714354D01* -X174325302Y-70714353D01* -X174325301Y-70714353D01* -X174200000Y-70694508D01* -X174074698Y-70714353D01* -X173961656Y-70771951D01* -X173935681Y-70797927D01* -X173880781Y-70852826D01* -X173834907Y-70874218D01* -X173828457Y-70874500D01* -X173720544Y-70874500D01* -X173672978Y-70857187D01* -X173647668Y-70813350D01* -X173656458Y-70763500D01* -X173668218Y-70748174D01* -X173697501Y-70718891D01* -X173728050Y-70688342D01* -X173785646Y-70575304D01* -X173805492Y-70450000D01* -X173785646Y-70324696D01* -X173728050Y-70211658D01* -X173668218Y-70151826D01* -X173646826Y-70105950D01* -X173659927Y-70057055D01* -X173701391Y-70028021D01* -X173720544Y-70025500D01* -X173828457Y-70025500D01* -X173876023Y-70042813D01* -X173880771Y-70047163D01* -X173961658Y-70128050D01* -X174074696Y-70185646D01* -X174200000Y-70205492D01* -X174325304Y-70185646D01* -X174438342Y-70128050D01* -X174528050Y-70038342D01* -X174585646Y-69925304D01* -X174605492Y-69800000D01* -X174585646Y-69674696D01* -X174528050Y-69561658D01* -X174438342Y-69471950D01* -X174325304Y-69414354D01* -X174325302Y-69414353D01* -X174325301Y-69414353D01* -X174200000Y-69394508D01* -X174074698Y-69414353D01* -X173961656Y-69471951D01* -X173931782Y-69501826D01* -X173880781Y-69552826D01* -X173834907Y-69574218D01* -X173828457Y-69574500D01* -X173720544Y-69574500D01* -X173672978Y-69557187D01* -X173647668Y-69513350D01* -X173656458Y-69463500D01* -X173668218Y-69448174D01* -X173679742Y-69436650D01* -X173728050Y-69388342D01* -X173785646Y-69275304D01* -X173805492Y-69150000D01* -X173785646Y-69024696D01* -X173728050Y-68911658D01* -X173638342Y-68821950D01* -X173525304Y-68764354D01* -X173525302Y-68764353D01* -X173525301Y-68764353D01* -X173400000Y-68744508D01* -X173399999Y-68744508D01* -X173319327Y-68757284D01* -X173269638Y-68747625D01* -X173255426Y-68736521D01* -X169157174Y-64638269D01* -X169135782Y-64592393D01* -X169135500Y-64585943D01* -X169135500Y-57538860D01* -X169135601Y-57534987D01* -X169136302Y-57521607D01* -X169137700Y-57494936D01* -X169128968Y-57472190D01* -X169125670Y-57461054D01* -X169122976Y-57448379D01* -X169120607Y-57437232D01* -X169115607Y-57430350D01* -X169106391Y-57413374D01* -X169105096Y-57410000D01* -X169103346Y-57405441D01* -X169086118Y-57388213D01* -X169078576Y-57379382D01* -X169064260Y-57359677D01* -X169056896Y-57355425D01* -X169041571Y-57343666D01* -X168695314Y-56997409D01* -X168677637Y-56959500D01* -X169089867Y-56959500D01* -X169108302Y-57087723D01* -X169108302Y-57087724D01* -X169108303Y-57087726D01* -X169162118Y-57205563D01* -X169246951Y-57303467D01* -X169355931Y-57373504D01* -X169480228Y-57410000D01* -X169609772Y-57410000D01* -X169734069Y-57373504D01* -X169843049Y-57303467D01* -X169927882Y-57205563D01* -X169981697Y-57087726D01* -X170000133Y-56959500D01* -X169981697Y-56831274D01* -X169927882Y-56713437D01* -X169843049Y-56615533D01* -X169774643Y-56571571D01* -X169734068Y-56545495D01* -X169609772Y-56509000D01* -X169480228Y-56509000D01* -X169355931Y-56545495D01* -X169246954Y-56615531D01* -X169246950Y-56615534D01* -X169162119Y-56713435D01* -X169108302Y-56831276D01* -X169089867Y-56959500D01* -X168677637Y-56959500D01* -X168673922Y-56951533D01* -X168674551Y-56933507D01* -X168680492Y-56896000D01* -X168660646Y-56770696D01* -X168603050Y-56657658D01* -X168513342Y-56567950D01* -X168400304Y-56510354D01* -X168400302Y-56510353D01* -X168400301Y-56510353D01* -X168275000Y-56490508D01* -X168149698Y-56510353D01* -X168036656Y-56567951D01* -X167946951Y-56657656D01* -X167889353Y-56770698D01* -X167869508Y-56896000D01* -X167889353Y-57021301D01* -X167889353Y-57021302D01* -X167889354Y-57021304D01* -X167946950Y-57134342D01* -X168036658Y-57224050D01* -X168149696Y-57281646D01* -X168275000Y-57301492D01* -X168312506Y-57295551D01* -X168362194Y-57305209D01* -X168376408Y-57316314D01* -X168662826Y-57602731D01* -X168684218Y-57648607D01* -X168684500Y-57655057D01* -X168684500Y-59100456D01* -X168667187Y-59148022D01* -X168623350Y-59173332D01* -X168573500Y-59164542D01* -X168558174Y-59152782D01* -X168513343Y-59107951D01* -X168513342Y-59107950D01* -X168400304Y-59050354D01* -X168400302Y-59050353D01* -X168400301Y-59050353D01* -X168275000Y-59030508D01* -X168149698Y-59050353D01* -X168036656Y-59107951D01* -X167991826Y-59152782D01* -X167945950Y-59174174D01* -X167897055Y-59161073D01* -X167868021Y-59119609D01* -X167865500Y-59100456D01* -X167865500Y-57538860D01* -X167865601Y-57534987D01* -X167866302Y-57521607D01* -X167867700Y-57494936D01* -X167858968Y-57472190D01* -X167855670Y-57461054D01* -X167852976Y-57448379D01* -X167850607Y-57437232D01* -X167845607Y-57430350D01* -X167836391Y-57413374D01* -X167835096Y-57410000D01* -X167833346Y-57405441D01* -X167816118Y-57388213D01* -X167808576Y-57379382D01* -X167794260Y-57359677D01* -X167786896Y-57355425D01* -X167771571Y-57343666D01* -X167425314Y-56997409D01* -X167403922Y-56951533D01* -X167404551Y-56933507D01* -X167410492Y-56896000D01* -X167390646Y-56770696D01* -X167333050Y-56657658D01* -X167243342Y-56567950D01* -X167130304Y-56510354D01* -X167130302Y-56510353D01* -X167130301Y-56510353D01* -X167005000Y-56490508D01* -X166879698Y-56510353D01* -X166766656Y-56567951D01* -X166676951Y-56657656D01* -X166619353Y-56770698D01* -X166599508Y-56896000D01* -X166619353Y-57021301D01* -X166619353Y-57021302D01* -X166619354Y-57021304D01* -X166676950Y-57134342D01* -X166766658Y-57224050D01* -X166879696Y-57281646D01* -X167005000Y-57301492D01* -X167042506Y-57295551D01* -X167092194Y-57305209D01* -X167106408Y-57316314D01* -X167392826Y-57602731D01* -X167414218Y-57648607D01* -X167414500Y-57655057D01* -X167414500Y-59100456D01* -X167397187Y-59148022D01* -X167353350Y-59173332D01* -X167303500Y-59164542D01* -X167288174Y-59152782D01* -X167243343Y-59107951D01* -X167243342Y-59107950D01* -X167130304Y-59050354D01* -X167130302Y-59050353D01* -X167130301Y-59050353D01* -X167005000Y-59030508D01* -X166879698Y-59050353D01* -X166766656Y-59107951D01* -X166721826Y-59152782D01* -X166675950Y-59174174D01* -X166627055Y-59161073D01* -X166598021Y-59119609D01* -X166595500Y-59100456D01* -X166595500Y-57538860D01* -X166595601Y-57534987D01* -X166596302Y-57521607D01* -X166597700Y-57494936D01* -X166588968Y-57472190D01* -X166585670Y-57461054D01* -X166582976Y-57448379D01* -X166580607Y-57437232D01* -X166575607Y-57430350D01* -X166566391Y-57413374D01* -X166565096Y-57410000D01* -X166563346Y-57405441D01* -X166546118Y-57388213D01* -X166538576Y-57379382D01* -X166524260Y-57359677D01* -X166516896Y-57355425D01* -X166501571Y-57343666D01* -X166155314Y-56997409D01* -X166133922Y-56951533D01* -X166134551Y-56933507D01* -X166140492Y-56896000D01* -X166120646Y-56770696D01* -X166063050Y-56657658D01* -X165973342Y-56567950D01* -X165860304Y-56510354D01* -X165860302Y-56510353D01* -X165860301Y-56510353D01* -X165735000Y-56490508D01* -X165609698Y-56510353D01* -X165496656Y-56567951D01* -X165406951Y-56657656D01* -X165349353Y-56770698D01* -X165329508Y-56896000D01* -X165349353Y-57021301D01* -X165349353Y-57021302D01* -X165349354Y-57021304D01* -X165406950Y-57134342D01* -X165496658Y-57224050D01* -X165609696Y-57281646D01* -X165735000Y-57301492D01* -X165772506Y-57295551D01* -X165822194Y-57305209D01* -X165836408Y-57316314D01* -X166122826Y-57602731D01* -X166144218Y-57648607D01* -X166144500Y-57655057D01* -X166144500Y-59100456D01* -X166127187Y-59148022D01* -X166083350Y-59173332D01* -X166033500Y-59164542D01* -X166018174Y-59152782D01* -X165973343Y-59107951D01* -X165973342Y-59107950D01* -X165860304Y-59050354D01* -X165860302Y-59050353D01* -X165860301Y-59050353D01* -X165735000Y-59030508D01* -X165609698Y-59050353D01* -X165496656Y-59107951D01* -X165406951Y-59197656D01* -X165349353Y-59310698D01* -X165329508Y-59436000D01* -X165349353Y-59561301D01* -X165349353Y-59561302D01* -X165349354Y-59561304D01* -X165406950Y-59674342D01* -X165487826Y-59755218D01* -X165509218Y-59801093D01* -X165509500Y-59807543D01* -X165509500Y-63799456D01* -X165492187Y-63847022D01* -X165448350Y-63872332D01* -X165398500Y-63863542D01* -X165383174Y-63851782D01* -X165338343Y-63806951D01* -X165338342Y-63806950D01* -X165225304Y-63749354D01* -X165225302Y-63749353D01* -X165225301Y-63749353D01* -X165100000Y-63729508D01* -X164974698Y-63749353D01* -X164861656Y-63806951D01* -X164816826Y-63851782D01* -X164770950Y-63873174D01* -X164722055Y-63860073D01* -X164693021Y-63818609D01* -X164690500Y-63799456D01* -X164690500Y-63617543D01* -X164707813Y-63569977D01* -X164712163Y-63565228D01* -X164793050Y-63484342D01* -X164850646Y-63371304D01* -X164870492Y-63246000D01* -X164850646Y-63120696D01* -X164793050Y-63007658D01* -X164703342Y-62917950D01* -X164590304Y-62860354D01* -X164590302Y-62860353D01* -X164590301Y-62860353D01* -X164465000Y-62840508D01* -X164339698Y-62860353D01* -X164226656Y-62917951D01* -X164136951Y-63007656D01* -X164079353Y-63120698D01* -X164059508Y-63246000D01* -X157290904Y-63246000D01* X160686018Y-59850885D01* X160726766Y-59830124D01* X160780304Y-59821646D01* @@ -30379,12 +30034,12 @@ X143316558Y-51533500D01* X158117942Y-51533500D01* X158165508Y-51550813D01* X158170268Y-51555174D01* -X163963826Y-57348732D01* -X163985218Y-57394608D01* -X163985500Y-57401058D01* -X163985500Y-58168942D01* -X163968187Y-58216508D01* -X163963826Y-58221268D01* +X163836826Y-57221731D01* +X163858218Y-57267607D01* +X163858500Y-57274057D01* +X163858500Y-58295942D01* +X163841187Y-58343508D01* +X163836826Y-58348268D01* X162996269Y-59188826D01* X162950393Y-59210218D01* X162943943Y-59210500D01* @@ -30427,124 +30082,38 @@ X163219609Y-59604582D01* X163239323Y-59590260D01* X163243573Y-59582897D01* X163255329Y-59567575D01* -X164364915Y-58457988D01* -X164367687Y-58455357D01* -X164397509Y-58428507D01* -X164407418Y-58406247D01* -X164412955Y-58396050D01* -X164426226Y-58375618D01* -X164427557Y-58367211D01* -X164433042Y-58348698D01* -X164436500Y-58340932D01* -X164436500Y-58316573D01* -X164437411Y-58304996D01* -X164441222Y-58280935D01* -X164441222Y-58280934D01* -X164439021Y-58272720D01* -X164436500Y-58253568D01* -X164436500Y-57284860D01* -X164436601Y-57280987D01* -X164438700Y-57240936D01* -X164429968Y-57218190D01* -X164426670Y-57207054D01* -X164421607Y-57183232D01* -X164416607Y-57176350D01* -X164407391Y-57159374D01* -X164404346Y-57151442D01* -X164404346Y-57151441D01* -X164387118Y-57134213D01* -X164379576Y-57125382D01* -X164365260Y-57105677D01* -X164357896Y-57101425D01* -X164342571Y-57089666D01* -X162243905Y-54991000D01* -X169851867Y-54991000D01* -X169870302Y-55119223D01* -X169870302Y-55119224D01* -X169870303Y-55119226D01* -X169924118Y-55237063D01* -X170008951Y-55334967D01* -X170117931Y-55405004D01* -X170242228Y-55441500D01* -X170371772Y-55441500D01* -X170496069Y-55405004D01* -X170605049Y-55334967D01* -X170689882Y-55237063D01* -X170715257Y-55181500D01* -X172822508Y-55181500D01* -X172842353Y-55306801D01* -X172842353Y-55306802D01* -X172842354Y-55306804D01* -X172899950Y-55419842D01* -X172989658Y-55509550D01* -X173102696Y-55567146D01* -X173228000Y-55586992D01* -X173353304Y-55567146D01* -X173466342Y-55509550D01* -X173556050Y-55419842D01* -X173613646Y-55306804D01* -X173633492Y-55181500D01* -X173613646Y-55056196D01* -X173556050Y-54943158D01* -X173466342Y-54853450D01* -X173353304Y-54795854D01* -X173353302Y-54795853D01* -X173353301Y-54795853D01* -X173228000Y-54776008D01* -X173102698Y-54795853D01* -X172989656Y-54853451D01* -X172899951Y-54943156D01* -X172842353Y-55056198D01* -X172822508Y-55181500D01* -X170715257Y-55181500D01* -X170743697Y-55119226D01* -X170762133Y-54991000D01* -X170743697Y-54862774D01* -X170689882Y-54744937D01* -X170605049Y-54647033D01* -X170522232Y-54593810D01* -X170496068Y-54576995D01* -X170371772Y-54540500D01* -X170242228Y-54540500D01* -X170117931Y-54576995D01* -X170008954Y-54647031D01* -X170008950Y-54647034D01* -X169924119Y-54744935D01* -X169870302Y-54862776D01* -X169851867Y-54991000D01* -X162243905Y-54991000D01* -X161291405Y-54038500D01* -X171629867Y-54038500D01* -X171648302Y-54166723D01* -X171648302Y-54166724D01* -X171648303Y-54166726D01* -X171702118Y-54284563D01* -X171786951Y-54382467D01* -X171895931Y-54452504D01* -X172020228Y-54489000D01* -X172149772Y-54489000D01* -X172274069Y-54452504D01* -X172383049Y-54382467D01* -X172467882Y-54284563D01* -X172521697Y-54166726D01* -X172540133Y-54038500D01* -X172521697Y-53910274D01* -X172467882Y-53792437D01* -X172383049Y-53694533D01* -X172284182Y-53630995D01* -X172274068Y-53624495D01* -X172149772Y-53588000D01* -X172020228Y-53588000D01* -X171895931Y-53624495D01* -X171786954Y-53694531D01* -X171786950Y-53694534D01* -X171702119Y-53792435D01* -X171702118Y-53792436D01* -X171702118Y-53792437D01* -X171695907Y-53806037D01* -X171648302Y-53910276D01* -X171629867Y-54038500D01* -X161291405Y-54038500D01* +X164237915Y-58584988D01* +X164240687Y-58582357D01* +X164270509Y-58555507D01* +X164280418Y-58533247D01* +X164285955Y-58523050D01* +X164299226Y-58502618D01* +X164300557Y-58494211D01* +X164306042Y-58475698D01* +X164309500Y-58467932D01* +X164309500Y-58443573D01* +X164310411Y-58431996D01* +X164312632Y-58417977D01* +X164314222Y-58407935D01* +X164312021Y-58399720D01* +X164309500Y-58380568D01* +X164309500Y-57157860D01* +X164309601Y-57153987D01* +X164311700Y-57113936D01* +X164302968Y-57091190D01* +X164299670Y-57080054D01* +X164294607Y-57056234D01* +X164294607Y-57056232D01* +X164289607Y-57049350D01* +X164280391Y-57032374D01* +X164277346Y-57024442D01* +X164277346Y-57024441D01* +X164260118Y-57007213D01* +X164252576Y-56998382D01* +X164251869Y-56997409D01* +X164238260Y-56978677D01* +X164230896Y-56974425D01* +X164215571Y-56962666D01* X158407006Y-51154101D01* X158404340Y-51151291D01* X158377508Y-51121492D01* @@ -30635,7 +30204,7 @@ X135959391Y-57286375D01* X135956345Y-57278440D01* X135939118Y-57261213D01* X135931576Y-57252382D01* -X135923260Y-57240936D01* +X135925054Y-57243405D01* X135917260Y-57232677D01* X135909896Y-57228425D01* X135894571Y-57216666D01* @@ -31227,35 +30796,6 @@ X163433342Y-53414050D01* X163523050Y-53324342D01* X163580646Y-53211304D01* X163600492Y-53086000D01* -X163590435Y-53022500D01* -X169089867Y-53022500D01* -X169108302Y-53150723D01* -X169108302Y-53150724D01* -X169108303Y-53150726D01* -X169162118Y-53268563D01* -X169246951Y-53366467D01* -X169355931Y-53436504D01* -X169480228Y-53473000D01* -X169609772Y-53473000D01* -X169734069Y-53436504D01* -X169843049Y-53366467D01* -X169927882Y-53268563D01* -X169981697Y-53150726D01* -X170000133Y-53022500D01* -X169981697Y-52894274D01* -X169927882Y-52776437D01* -X169843049Y-52678533D01* -X169776032Y-52635464D01* -X169734068Y-52608495D01* -X169609772Y-52572000D01* -X169480228Y-52572000D01* -X169355931Y-52608495D01* -X169246954Y-52678531D01* -X169246950Y-52678534D01* -X169162119Y-52776435D01* -X169108302Y-52894276D01* -X169089867Y-53022500D01* -X163590435Y-53022500D01* X163580646Y-52960696D01* X163523050Y-52847658D01* X163433342Y-52757950D01* @@ -31376,158 +30916,1045 @@ X130550905Y-48768000D01* X131446731Y-47872174D01* X131492607Y-47850782D01* X131499057Y-47850500D01* -X167250942Y-47850500D01* -X167298508Y-47867813D01* -X167303268Y-47872174D01* -X179252826Y-59821731D01* -X179274218Y-59867607D01* -X179274500Y-59874057D01* -X179274500Y-60825500D01* -X179257187Y-60873066D01* -X179213350Y-60898376D01* -X179200500Y-60899500D01* -X178635180Y-60899500D01* -X178613229Y-60903866D01* -X178591277Y-60908233D01* -X178541496Y-60941495D01* -X178541495Y-60941496D01* -X178508233Y-60991277D01* -X178501216Y-61026555D01* -X178499500Y-61035180D01* -X178499500Y-62764820D01* -X178505264Y-62793794D01* -X178508233Y-62808722D01* -X178538725Y-62854358D01* -X178541496Y-62858504D01* -X178591278Y-62891767D01* -X178635180Y-62900500D01* -X178635181Y-62900500D01* -X180364819Y-62900500D01* -X180364820Y-62900500D01* -X180408722Y-62891767D01* -X180458504Y-62858504D01* -X180491767Y-62808722D01* -X180500500Y-62764820D01* -X180500500Y-62050000D01* -X181049935Y-62050000D01* -X181054469Y-62096035D01* -X181111651Y-62284539D01* -X181204504Y-62458252D01* -X181204513Y-62458266D01* -X181329471Y-62610526D01* -X181329473Y-62610528D01* -X181481733Y-62735486D01* -X181481747Y-62735495D01* -X181655460Y-62828348D01* -X181843964Y-62885530D01* -X181890000Y-62890064D01* -X181890000Y-62381170D01* -X181897685Y-62384680D01* -X182004237Y-62400000D01* -X182075763Y-62400000D01* -X182182315Y-62384680D01* -X182190000Y-62381170D01* -X182190000Y-62890064D01* -X182236035Y-62885530D01* -X182424539Y-62828348D01* -X182598252Y-62735495D01* -X182598266Y-62735486D01* -X182750526Y-62610528D01* -X182750528Y-62610526D01* -X182875486Y-62458266D01* -X182875495Y-62458252D01* -X182968348Y-62284539D01* -X183025530Y-62096035D01* -X183030065Y-62050000D01* -X182517065Y-62050000D01* -X182540000Y-61971889D01* -X182540000Y-61828111D01* -X182517065Y-61750000D01* -X183030064Y-61750000D01* -X183025530Y-61703964D01* -X182968348Y-61515460D01* -X182875495Y-61341747D01* -X182875486Y-61341733D01* -X182750528Y-61189473D01* -X182750526Y-61189471D01* -X182598266Y-61064513D01* -X182598252Y-61064504D01* -X182424539Y-60971651D01* -X182236037Y-60914469D01* -X182190000Y-60909934D01* -X182190000Y-61418829D01* -X182182315Y-61415320D01* -X182075763Y-61400000D01* -X182004237Y-61400000D01* -X181897685Y-61415320D01* -X181890000Y-61418829D01* -X181890000Y-60909934D01* -X181843962Y-60914469D01* -X181655460Y-60971651D01* -X181481747Y-61064504D01* -X181481733Y-61064513D01* -X181329473Y-61189471D01* -X181329471Y-61189473D01* -X181204513Y-61341733D01* -X181204504Y-61341747D01* -X181111651Y-61515460D01* -X181054469Y-61703964D01* -X181049935Y-61750000D01* -X181562935Y-61750000D01* -X181540000Y-61828111D01* -X181540000Y-61971889D01* -X181562935Y-62050000D01* -X181049935Y-62050000D01* -X180500500Y-62050000D01* -X180500500Y-61035180D01* -X180491767Y-60991278D01* -X180458504Y-60941496D01* -X180453785Y-60938343D01* -X180408722Y-60908233D01* -X180407209Y-60907932D01* -X180364820Y-60899500D01* -X180364819Y-60899500D01* -X179799500Y-60899500D01* -X179751934Y-60882187D01* -X179726624Y-60838350D01* -X179725500Y-60825500D01* -X179725500Y-59757840D01* -X179725601Y-59753967D01* -X179726959Y-59728048D01* -X179727699Y-59713936D01* -X179718969Y-59691195D01* -X179715671Y-59680059D01* -X179710607Y-59656232D01* -X179709789Y-59655106D01* -X179705610Y-59649354D01* -X179696391Y-59632375D01* -X179693345Y-59624440D01* -X179676118Y-59607213D01* -X179668576Y-59598382D01* -X179662675Y-59590260D01* -X179654260Y-59578677D01* -X179646896Y-59574425D01* -X179631571Y-59562666D01* -X167540006Y-47471101D01* -X167537340Y-47468291D01* -X167510508Y-47438492D01* -X167510504Y-47438489D01* -X167488252Y-47428582D01* -X167478047Y-47423041D01* -X167457619Y-47409774D01* -X167457616Y-47409773D01* -X167451505Y-47408805D01* -X167449213Y-47408442D01* -X167430700Y-47402958D01* -X167422932Y-47399500D01* -X167422931Y-47399500D01* -X167398573Y-47399500D01* -X167386998Y-47398589D01* -X167383474Y-47398031D01* -X167362935Y-47394777D01* -X167362934Y-47394777D01* -X167354720Y-47396979D01* -X167335568Y-47399500D01* +X160276942Y-47850500D01* +X160324508Y-47867813D01* +X160329268Y-47872174D01* +X162090433Y-49633338D01* +X162090436Y-49633342D01* +X162136001Y-49678907D01* +X162138667Y-49681717D01* +X162165492Y-49711509D01* +X162171786Y-49716081D01* +X162171089Y-49717039D01* +X162180797Y-49723703D01* +X162242448Y-49785354D01* +X162247630Y-49791109D01* +X162267918Y-49816162D01* +X162268354Y-49816384D01* +X162287090Y-49829995D01* +X162315433Y-49858338D01* +X162315436Y-49858342D01* +X162336883Y-49879789D01* +X162344424Y-49888619D01* +X162358740Y-49908323D01* +X162366102Y-49912573D01* +X162381426Y-49924332D01* +X163494009Y-51036915D01* +X164598826Y-52141731D01* +X164620218Y-52187607D01* +X164620500Y-52194057D01* +X164620500Y-58879942D01* +X164603187Y-58927508D01* +X164598826Y-58932268D01* +X162850600Y-60680493D01* +X162847792Y-60683158D01* +X162817992Y-60709991D01* +X162817989Y-60709995D01* +X162808080Y-60732249D01* +X162802543Y-60742446D01* +X162789274Y-60762879D01* +X162787943Y-60771283D01* +X162782459Y-60789796D01* +X162779001Y-60797563D01* +X162779000Y-60797569D01* +X162779000Y-60821925D01* +X162778089Y-60833501D01* +X162774277Y-60857563D01* +X162774278Y-60857565D01* +X162776268Y-60864993D01* +X162776478Y-60865774D01* +X162779000Y-60884928D01* +X162779000Y-64825638D01* +X162778899Y-64829511D01* +X162776800Y-64869563D01* +X162785531Y-64892314D01* +X162788826Y-64903437D01* +X162793892Y-64927266D01* +X162793894Y-64927270D01* +X162798888Y-64934143D01* +X162808105Y-64951118D01* +X162811154Y-64959060D01* +X162828388Y-64976294D01* +X162835919Y-64985112D01* +X162850240Y-65004823D01* +X162857602Y-65009073D01* +X162872926Y-65020832D01* +X168150586Y-70298492D01* +X173425326Y-75573231D01* +X173446718Y-75619107D01* +X173447000Y-75625557D01* +X173447000Y-84828138D01* +X173446899Y-84832011D01* +X173445329Y-84861979D01* +X173444800Y-84872064D01* +X173445669Y-84874327D01* +X173453531Y-84894814D01* +X173456826Y-84905937D01* +X173461892Y-84929766D01* +X173461894Y-84929770D01* +X173466888Y-84936643D01* +X173476105Y-84953618D01* +X173479056Y-84961304D01* +X173479154Y-84961560D01* +X173496385Y-84978791D01* +X173503921Y-84987615D01* +X173518240Y-85007323D01* +X173525602Y-85011573D01* +X173540926Y-85023332D01* +X173900602Y-85383008D01* +X174250826Y-85733231D01* +X174272218Y-85779107D01* +X174272500Y-85785557D01* +X174272500Y-89730500D01* +X174255187Y-89778066D01* +X174211350Y-89803376D01* +X174198500Y-89804500D01* +X173866480Y-89804500D01* +X173772695Y-89819353D01* +X173772693Y-89819354D01* +X173659657Y-89876950D01* +X173569951Y-89966656D01* +X173512353Y-90079698D01* +X173497500Y-90173480D01* +X173497500Y-91436519D01* +X173512353Y-91530304D01* +X173512354Y-91530306D01* +X173540552Y-91585646D01* +X173569950Y-91643342D01* +X173659658Y-91733050D01* +X173772696Y-91790646D01* +X173866481Y-91805500D01* +X175129518Y-91805499D01* +X175129519Y-91805499D01* +X175223304Y-91790646D01* +X175223306Y-91790645D01* +X175225419Y-91789568D01* +X175336342Y-91733050D01* +X175426050Y-91643342D01* +X175483646Y-91530304D01* +X175498500Y-91436519D01* +X175498499Y-90500000D01* +X176044867Y-90500000D01* +X176063302Y-90628223D01* +X176063302Y-90628224D01* +X176063303Y-90628226D01* +X176117118Y-90746063D01* +X176201951Y-90843967D01* +X176310931Y-90914004D01* +X176435228Y-90950500D01* +X176564772Y-90950500D01* +X176689069Y-90914004D01* +X176798049Y-90843967D01* +X176882882Y-90746063D01* +X176936697Y-90628226D01* +X176955133Y-90500000D01* +X178344867Y-90500000D01* +X178363302Y-90628223D01* +X178363302Y-90628224D01* +X178363303Y-90628226D01* +X178417118Y-90746063D01* +X178501951Y-90843967D01* +X178610931Y-90914004D01* +X178735228Y-90950500D01* +X178864772Y-90950500D01* +X178989069Y-90914004D01* +X179098049Y-90843967D01* +X179182882Y-90746063D01* +X179236697Y-90628226D01* +X179255133Y-90500000D01* +X179236697Y-90371774D01* +X179182882Y-90253937D01* +X179098049Y-90156033D01* +X179003470Y-90095251D01* +X178989068Y-90085995D01* +X178864772Y-90049500D01* +X178735228Y-90049500D01* +X178610931Y-90085995D01* +X178501954Y-90156031D01* +X178501950Y-90156034D01* +X178417119Y-90253935D01* +X178363302Y-90371776D01* +X178344867Y-90500000D01* +X176955133Y-90500000D01* +X176936697Y-90371774D01* +X176882882Y-90253937D01* +X176798049Y-90156033D01* +X176703470Y-90095251D01* +X176689068Y-90085995D01* +X176564772Y-90049500D01* +X176435228Y-90049500D01* +X176310931Y-90085995D01* +X176201954Y-90156031D01* +X176201950Y-90156034D01* +X176117119Y-90253935D01* +X176063302Y-90371776D01* +X176044867Y-90500000D01* +X175498499Y-90500000D01* +X175498499Y-90173482D01* +X175497947Y-90169999D01* +X175483646Y-90079695D01* +X175483645Y-90079693D01* +X175453770Y-90021061D01* +X175426050Y-89966658D01* +X175336342Y-89876950D01* +X175223304Y-89819354D01* +X175223302Y-89819353D01* +X175223301Y-89819353D01* +X175129520Y-89804500D01* +X175129519Y-89804500D01* +X174797500Y-89804500D01* +X174749934Y-89787187D01* +X174724624Y-89743350D01* +X174723500Y-89730500D01* +X174723500Y-89350000D01* +X177194867Y-89350000D01* +X177213302Y-89478223D01* +X177213302Y-89478224D01* +X177213303Y-89478226D01* +X177267118Y-89596063D01* +X177351951Y-89693967D01* +X177460931Y-89764004D01* +X177585228Y-89800500D01* +X177714772Y-89800500D01* +X177839069Y-89764004D01* +X177948049Y-89693967D01* +X178032882Y-89596063D01* +X178086697Y-89478226D01* +X178105133Y-89350000D01* +X178086697Y-89221774D01* +X178032882Y-89103937D01* +X177948049Y-89006033D01* +X177881032Y-88962964D01* +X177839068Y-88935995D01* +X177714772Y-88899500D01* +X177585228Y-88899500D01* +X177460931Y-88935995D01* +X177351954Y-89006031D01* +X177351950Y-89006034D01* +X177267119Y-89103935D01* +X177213302Y-89221776D01* +X177194867Y-89350000D01* +X174723500Y-89350000D01* +X174723500Y-85669348D01* +X174723601Y-85665476D01* +X174724309Y-85651958D01* +X174725699Y-85625436D01* +X174725317Y-85624442D01* +X174716970Y-85602697D01* +X174713671Y-85591559D01* +X174708607Y-85567732D01* +X174705327Y-85563217D01* +X174703610Y-85560854D01* +X174694391Y-85543875D01* +X174691345Y-85535940D01* +X174674118Y-85518713D01* +X174666576Y-85509882D01* +X174652260Y-85490177D01* +X174644896Y-85485925D01* +X174629571Y-85474166D01* +X173919674Y-84764269D01* +X173898282Y-84718393D01* +X173898000Y-84711943D01* +X173898000Y-75509348D01* +X173898101Y-75505476D01* +X173898855Y-75491079D01* +X173900199Y-75465436D01* +X173899827Y-75464468D01* +X173891470Y-75442697D01* +X173888171Y-75431559D01* +X173883107Y-75407732D01* +X173878110Y-75400854D01* +X173868891Y-75383875D01* +X173865845Y-75375940D01* +X173848618Y-75358713D01* +X173841076Y-75349882D01* +X173826760Y-75330177D01* +X173819396Y-75325925D01* +X173804071Y-75314166D01* +X163251674Y-64761769D01* +X163230282Y-64715893D01* +X163230000Y-64709443D01* +X163230000Y-63246000D01* +X164059508Y-63246000D01* +X164079353Y-63371301D01* +X164079353Y-63371302D01* +X164079354Y-63371304D01* +X164136950Y-63484342D01* +X164217826Y-63565218D01* +X164239218Y-63611093D01* +X164239500Y-63617543D01* +X164239500Y-64807138D01* +X164239399Y-64811011D01* +X164237300Y-64851063D01* +X164246031Y-64873814D01* +X164249326Y-64884937D01* +X164254392Y-64908766D01* +X164254394Y-64908770D01* +X164259388Y-64915643D01* +X164268605Y-64932618D01* +X164269690Y-64935444D01* +X164271654Y-64940560D01* +X164288888Y-64957794D01* +X164296419Y-64966612D01* +X164310740Y-64986323D01* +X164318102Y-64990573D01* +X164333426Y-65002332D01* +X173184992Y-73853897D01* +X173187658Y-73856707D01* +X173214492Y-73886508D01* +X173214493Y-73886509D01* +X173236751Y-73896418D01* +X173246946Y-73901954D01* +X173267383Y-73915226D01* +X173270171Y-73915667D01* +X173275779Y-73916556D01* +X173294302Y-73922042D01* +X173302068Y-73925500D01* +X173326428Y-73925500D01* +X173338002Y-73926410D01* +X173348169Y-73928021D01* +X173362065Y-73930222D01* +X173362066Y-73930222D01* +X173370281Y-73928021D01* +X173389433Y-73925500D01* +X173828457Y-73925500D01* +X173876023Y-73942813D01* +X173880771Y-73947163D01* +X173961658Y-74028050D01* +X174074696Y-74085646D01* +X174200000Y-74105492D01* +X174325304Y-74085646D01* +X174438342Y-74028050D01* +X174528050Y-73938342D01* +X174585646Y-73825304D01* +X174605492Y-73700000D01* +X174585646Y-73574696D01* +X174528050Y-73461658D01* +X174438342Y-73371950D01* +X174325304Y-73314354D01* +X174325302Y-73314353D01* +X174325301Y-73314353D01* +X174200000Y-73294508D01* +X174074698Y-73314353D01* +X173961656Y-73371951D01* +X173931783Y-73401825D01* +X173880781Y-73452826D01* +X173834907Y-73474218D01* +X173828457Y-73474500D01* +X173720544Y-73474500D01* +X173672978Y-73457187D01* +X173647668Y-73413350D01* +X173656458Y-73363500D01* +X173668218Y-73348174D01* +X173684442Y-73331950D01* +X173728050Y-73288342D01* +X173785646Y-73175304D01* +X173805492Y-73050000D01* +X173785646Y-72924696D01* +X173728050Y-72811658D01* +X173668218Y-72751826D01* +X173646826Y-72705950D01* +X173659927Y-72657055D01* +X173701391Y-72628021D01* +X173720544Y-72625500D01* +X173828457Y-72625500D01* +X173876023Y-72642813D01* +X173880771Y-72647163D01* +X173961658Y-72728050D01* +X174074696Y-72785646D01* +X174200000Y-72805492D01* +X174325304Y-72785646D01* +X174438342Y-72728050D01* +X174528050Y-72638342D01* +X174585646Y-72525304D01* +X174605492Y-72400000D01* +X174585646Y-72274696D01* +X174528050Y-72161658D01* +X174438342Y-72071950D01* +X174325304Y-72014354D01* +X174325302Y-72014353D01* +X174325301Y-72014353D01* +X174200000Y-71994508D01* +X174074698Y-72014353D01* +X173961656Y-72071951D01* +X173931783Y-72101825D01* +X173880781Y-72152826D01* +X173834907Y-72174218D01* +X173828457Y-72174500D01* +X173720544Y-72174500D01* +X173672978Y-72157187D01* +X173647668Y-72113350D01* +X173656458Y-72063500D01* +X173668218Y-72048174D01* +X173696205Y-72020187D01* +X173728050Y-71988342D01* +X173785646Y-71875304D01* +X173805492Y-71750000D01* +X173785646Y-71624696D01* +X173728050Y-71511658D01* +X173668218Y-71451826D01* +X173646826Y-71405950D01* +X173659927Y-71357055D01* +X173701391Y-71328021D01* +X173720544Y-71325500D01* +X173828457Y-71325500D01* +X173876023Y-71342813D01* +X173880771Y-71347163D01* +X173961658Y-71428050D01* +X174074696Y-71485646D01* +X174200000Y-71505492D01* +X174325304Y-71485646D01* +X174438342Y-71428050D01* +X174528050Y-71338342D01* +X174585646Y-71225304D01* +X174605492Y-71100000D01* +X174585646Y-70974696D01* +X174528050Y-70861658D01* +X174438342Y-70771950D01* +X174325304Y-70714354D01* +X174325302Y-70714353D01* +X174325301Y-70714353D01* +X174200000Y-70694508D01* +X174074698Y-70714353D01* +X173961656Y-70771951D01* +X173935681Y-70797927D01* +X173880781Y-70852826D01* +X173834907Y-70874218D01* +X173828457Y-70874500D01* +X173720544Y-70874500D01* +X173672978Y-70857187D01* +X173647668Y-70813350D01* +X173656458Y-70763500D01* +X173668218Y-70748174D01* +X173697501Y-70718891D01* +X173728050Y-70688342D01* +X173785646Y-70575304D01* +X173805492Y-70450000D01* +X173785646Y-70324696D01* +X173728050Y-70211658D01* +X173668218Y-70151826D01* +X173646826Y-70105950D01* +X173659927Y-70057055D01* +X173701391Y-70028021D01* +X173720544Y-70025500D01* +X173828457Y-70025500D01* +X173876023Y-70042813D01* +X173880771Y-70047163D01* +X173961658Y-70128050D01* +X174074696Y-70185646D01* +X174200000Y-70205492D01* +X174325304Y-70185646D01* +X174438342Y-70128050D01* +X174528050Y-70038342D01* +X174585646Y-69925304D01* +X174605492Y-69800000D01* +X174585646Y-69674696D01* +X174528050Y-69561658D01* +X174438342Y-69471950D01* +X174325304Y-69414354D01* +X174325302Y-69414353D01* +X174325301Y-69414353D01* +X174200000Y-69394508D01* +X174074698Y-69414353D01* +X173961656Y-69471951D01* +X173931782Y-69501826D01* +X173880781Y-69552826D01* +X173834907Y-69574218D01* +X173828457Y-69574500D01* +X173720544Y-69574500D01* +X173672978Y-69557187D01* +X173647668Y-69513350D01* +X173656458Y-69463500D01* +X173668218Y-69448174D01* +X173679742Y-69436650D01* +X173728050Y-69388342D01* +X173785646Y-69275304D01* +X173805492Y-69150000D01* +X173785646Y-69024696D01* +X173728050Y-68911658D01* +X173638342Y-68821950D01* +X173525304Y-68764354D01* +X173525302Y-68764353D01* +X173525301Y-68764353D01* +X173400000Y-68744508D01* +X173399999Y-68744508D01* +X173319327Y-68757284D01* +X173269638Y-68747625D01* +X173255426Y-68736521D01* +X169157174Y-64638269D01* +X169135782Y-64592393D01* +X169135500Y-64585943D01* +X169135500Y-59400000D01* +X189544508Y-59400000D01* +X189564353Y-59525301D01* +X189564353Y-59525302D01* +X189564354Y-59525304D01* +X189621950Y-59638342D01* +X189711658Y-59728050D01* +X189824696Y-59785646D01* +X189950000Y-59805492D01* +X190075304Y-59785646D01* +X190188342Y-59728050D01* +X190278050Y-59638342D01* +X190335646Y-59525304D01* +X190355492Y-59400000D01* +X190339654Y-59300000D01* +X191744508Y-59300000D01* +X191764353Y-59425301D01* +X191764353Y-59425302D01* +X191764354Y-59425304D01* +X191821950Y-59538342D01* +X191911658Y-59628050D01* +X192024696Y-59685646D01* +X192150000Y-59705492D01* +X192275304Y-59685646D01* +X192388342Y-59628050D01* +X192478050Y-59538342D01* +X192535646Y-59425304D01* +X192555492Y-59300000D01* +X192535646Y-59174696D01* +X192478050Y-59061658D01* +X192388342Y-58971950D01* +X192275304Y-58914354D01* +X192275302Y-58914353D01* +X192275301Y-58914353D01* +X192150000Y-58894508D01* +X192024698Y-58914353D01* +X191911656Y-58971951D01* +X191821951Y-59061656D01* +X191764353Y-59174698D01* +X191744508Y-59300000D01* +X190339654Y-59300000D01* +X190335646Y-59274696D01* +X190278050Y-59161658D01* +X190188342Y-59071950D01* +X190075304Y-59014354D01* +X190075302Y-59014353D01* +X190075301Y-59014353D01* +X189950000Y-58994508D01* +X189824698Y-59014353D01* +X189824696Y-59014353D01* +X189824696Y-59014354D01* +X189798754Y-59027572D01* +X189711656Y-59071951D01* +X189621951Y-59161656D01* +X189564353Y-59274698D01* +X189544508Y-59400000D01* +X169135500Y-59400000D01* +X169135500Y-58800000D01* +X190644508Y-58800000D01* +X190664353Y-58925301D01* +X190664353Y-58925302D01* +X190664354Y-58925304D01* +X190721950Y-59038342D01* +X190811658Y-59128050D01* +X190924696Y-59185646D01* +X191050000Y-59205492D01* +X191175304Y-59185646D01* +X191288342Y-59128050D01* +X191378050Y-59038342D01* +X191435646Y-58925304D01* +X191455492Y-58800000D01* +X191435646Y-58674696D01* +X191378050Y-58561658D01* +X191288342Y-58471950D01* +X191175304Y-58414354D01* +X191175302Y-58414353D01* +X191175301Y-58414353D01* +X191050000Y-58394508D01* +X190924698Y-58414353D01* +X190924696Y-58414353D01* +X190924696Y-58414354D01* +X190915984Y-58418793D01* +X190811656Y-58471951D01* +X190721951Y-58561656D01* +X190664353Y-58674698D01* +X190644508Y-58800000D01* +X169135500Y-58800000D01* +X169135500Y-57538860D01* +X169135601Y-57534987D01* +X169136302Y-57521607D01* +X169137700Y-57494936D01* +X169128968Y-57472190D01* +X169125670Y-57461054D01* +X169122976Y-57448379D01* +X169120607Y-57437232D01* +X169115607Y-57430350D01* +X169106391Y-57413374D01* +X169105096Y-57410000D01* +X169103346Y-57405441D01* +X169086118Y-57388213D01* +X169078576Y-57379382D01* +X169064260Y-57359677D01* +X169056896Y-57355425D01* +X169041571Y-57343666D01* +X168695314Y-56997409D01* +X168677637Y-56959500D01* +X169089867Y-56959500D01* +X169108302Y-57087723D01* +X169108302Y-57087724D01* +X169108303Y-57087726D01* +X169162118Y-57205563D01* +X169246951Y-57303467D01* +X169355931Y-57373504D01* +X169480228Y-57410000D01* +X169609772Y-57410000D01* +X169734069Y-57373504D01* +X169843049Y-57303467D01* +X169927882Y-57205563D01* +X169981697Y-57087726D01* +X170000133Y-56959500D01* +X169981697Y-56831274D01* +X169927882Y-56713437D01* +X169843049Y-56615533D01* +X169774643Y-56571571D01* +X169734068Y-56545495D01* +X169609772Y-56509000D01* +X169480228Y-56509000D01* +X169355931Y-56545495D01* +X169246954Y-56615531D01* +X169246950Y-56615534D01* +X169162119Y-56713435D01* +X169108302Y-56831276D01* +X169089867Y-56959500D01* +X168677637Y-56959500D01* +X168673922Y-56951533D01* +X168674551Y-56933507D01* +X168680492Y-56896000D01* +X168660646Y-56770696D01* +X168603050Y-56657658D01* +X168513342Y-56567950D01* +X168400304Y-56510354D01* +X168400302Y-56510353D01* +X168400301Y-56510353D01* +X168275000Y-56490508D01* +X168149698Y-56510353D01* +X168036656Y-56567951D01* +X167946951Y-56657656D01* +X167889353Y-56770698D01* +X167869508Y-56896000D01* +X167889353Y-57021301D01* +X167889353Y-57021302D01* +X167889354Y-57021304D01* +X167946950Y-57134342D01* +X168036658Y-57224050D01* +X168149696Y-57281646D01* +X168275000Y-57301492D01* +X168312506Y-57295551D01* +X168362194Y-57305209D01* +X168376408Y-57316314D01* +X168662826Y-57602731D01* +X168684218Y-57648607D01* +X168684500Y-57655057D01* +X168684500Y-59100456D01* +X168667187Y-59148022D01* +X168623350Y-59173332D01* +X168573500Y-59164542D01* +X168558174Y-59152782D01* +X168513343Y-59107951D01* +X168513342Y-59107950D01* +X168400304Y-59050354D01* +X168400302Y-59050353D01* +X168400301Y-59050353D01* +X168275000Y-59030508D01* +X168149698Y-59050353D01* +X168036656Y-59107951D01* +X167991826Y-59152782D01* +X167945950Y-59174174D01* +X167897055Y-59161073D01* +X167868021Y-59119609D01* +X167865500Y-59100456D01* +X167865500Y-57538860D01* +X167865601Y-57534987D01* +X167866302Y-57521607D01* +X167867700Y-57494936D01* +X167858968Y-57472190D01* +X167855670Y-57461054D01* +X167852976Y-57448379D01* +X167850607Y-57437232D01* +X167845607Y-57430350D01* +X167836391Y-57413374D01* +X167835096Y-57410000D01* +X167833346Y-57405441D01* +X167816118Y-57388213D01* +X167808576Y-57379382D01* +X167794260Y-57359677D01* +X167786896Y-57355425D01* +X167771571Y-57343666D01* +X167425314Y-56997409D01* +X167403922Y-56951533D01* +X167404551Y-56933507D01* +X167410492Y-56896000D01* +X167390646Y-56770696D01* +X167333050Y-56657658D01* +X167243342Y-56567950D01* +X167130304Y-56510354D01* +X167130302Y-56510353D01* +X167130301Y-56510353D01* +X167005000Y-56490508D01* +X166879698Y-56510353D01* +X166766656Y-56567951D01* +X166676951Y-56657656D01* +X166619353Y-56770698D01* +X166599508Y-56896000D01* +X166619353Y-57021301D01* +X166619353Y-57021302D01* +X166619354Y-57021304D01* +X166676950Y-57134342D01* +X166766658Y-57224050D01* +X166879696Y-57281646D01* +X167005000Y-57301492D01* +X167042506Y-57295551D01* +X167092194Y-57305209D01* +X167106408Y-57316314D01* +X167392826Y-57602731D01* +X167414218Y-57648607D01* +X167414500Y-57655057D01* +X167414500Y-59100456D01* +X167397187Y-59148022D01* +X167353350Y-59173332D01* +X167303500Y-59164542D01* +X167288174Y-59152782D01* +X167243343Y-59107951D01* +X167243342Y-59107950D01* +X167130304Y-59050354D01* +X167130302Y-59050353D01* +X167130301Y-59050353D01* +X167005000Y-59030508D01* +X166879698Y-59050353D01* +X166766656Y-59107951D01* +X166721826Y-59152782D01* +X166675950Y-59174174D01* +X166627055Y-59161073D01* +X166598021Y-59119609D01* +X166595500Y-59100456D01* +X166595500Y-57538860D01* +X166595601Y-57534987D01* +X166596302Y-57521607D01* +X166597700Y-57494936D01* +X166588968Y-57472190D01* +X166585670Y-57461054D01* +X166582976Y-57448379D01* +X166580607Y-57437232D01* +X166575607Y-57430350D01* +X166566391Y-57413374D01* +X166565096Y-57410000D01* +X166563346Y-57405441D01* +X166546118Y-57388213D01* +X166538576Y-57379382D01* +X166524260Y-57359677D01* +X166516896Y-57355425D01* +X166501571Y-57343666D01* +X166155314Y-56997409D01* +X166133922Y-56951533D01* +X166134551Y-56933507D01* +X166140492Y-56896000D01* +X166120646Y-56770696D01* +X166063050Y-56657658D01* +X165973342Y-56567950D01* +X165860304Y-56510354D01* +X165860302Y-56510353D01* +X165860301Y-56510353D01* +X165735000Y-56490508D01* +X165609698Y-56510353D01* +X165496656Y-56567951D01* +X165406951Y-56657656D01* +X165349353Y-56770698D01* +X165329508Y-56896000D01* +X165349353Y-57021301D01* +X165349353Y-57021302D01* +X165349354Y-57021304D01* +X165406950Y-57134342D01* +X165496658Y-57224050D01* +X165609696Y-57281646D01* +X165735000Y-57301492D01* +X165772506Y-57295551D01* +X165822194Y-57305209D01* +X165836408Y-57316314D01* +X166122826Y-57602731D01* +X166144218Y-57648607D01* +X166144500Y-57655057D01* +X166144500Y-59100456D01* +X166127187Y-59148022D01* +X166083350Y-59173332D01* +X166033500Y-59164542D01* +X166018174Y-59152782D01* +X165973343Y-59107951D01* +X165973342Y-59107950D01* +X165860304Y-59050354D01* +X165860302Y-59050353D01* +X165860301Y-59050353D01* +X165735000Y-59030508D01* +X165609698Y-59050353D01* +X165496656Y-59107951D01* +X165406951Y-59197656D01* +X165349353Y-59310698D01* +X165329508Y-59436000D01* +X165349353Y-59561301D01* +X165349353Y-59561302D01* +X165349354Y-59561304D01* +X165406950Y-59674342D01* +X165487826Y-59755218D01* +X165509218Y-59801093D01* +X165509500Y-59807543D01* +X165509500Y-63799456D01* +X165492187Y-63847022D01* +X165448350Y-63872332D01* +X165398500Y-63863542D01* +X165383174Y-63851782D01* +X165338343Y-63806951D01* +X165338342Y-63806950D01* +X165225304Y-63749354D01* +X165225302Y-63749353D01* +X165225301Y-63749353D01* +X165100000Y-63729508D01* +X164974698Y-63749353D01* +X164861656Y-63806951D01* +X164816826Y-63851782D01* +X164770950Y-63873174D01* +X164722055Y-63860073D01* +X164693021Y-63818609D01* +X164690500Y-63799456D01* +X164690500Y-63617543D01* +X164707813Y-63569977D01* +X164712163Y-63565228D01* +X164793050Y-63484342D01* +X164850646Y-63371304D01* +X164870492Y-63246000D01* +X164850646Y-63120696D01* +X164793050Y-63007658D01* +X164703342Y-62917950D01* +X164590304Y-62860354D01* +X164590302Y-62860353D01* +X164590301Y-62860353D01* +X164465000Y-62840508D01* +X164339698Y-62860353D01* +X164226656Y-62917951D01* +X164136951Y-63007656D01* +X164079353Y-63120698D01* +X164059508Y-63246000D01* +X163230000Y-63246000D01* +X163230000Y-60969556D01* +X163247313Y-60921990D01* +X163251663Y-60917241D01* +X164999924Y-59168979D01* +X165002694Y-59166351D01* +X165032509Y-59139507D01* +X165042421Y-59117241D01* +X165047953Y-59107052D01* +X165061225Y-59086618D01* +X165062553Y-59078225D01* +X165068040Y-59059701D01* +X165071500Y-59051932D01* +X165071500Y-59027572D01* +X165072411Y-59015995D01* +X165076222Y-58991935D01* +X165074021Y-58983720D01* +X165071500Y-58964568D01* +X165071500Y-54991000D01* +X169851867Y-54991000D01* +X169870302Y-55119223D01* +X169870302Y-55119224D01* +X169870303Y-55119226D01* +X169924118Y-55237063D01* +X170008951Y-55334967D01* +X170117931Y-55405004D01* +X170242228Y-55441500D01* +X170371772Y-55441500D01* +X170496069Y-55405004D01* +X170605049Y-55334967D01* +X170689882Y-55237063D01* +X170715257Y-55181500D01* +X172822508Y-55181500D01* +X172842353Y-55306801D01* +X172842353Y-55306802D01* +X172842354Y-55306804D01* +X172899950Y-55419842D01* +X172989658Y-55509550D01* +X173102696Y-55567146D01* +X173228000Y-55586992D01* +X173353304Y-55567146D01* +X173466342Y-55509550D01* +X173556050Y-55419842D01* +X173613646Y-55306804D01* +X173633492Y-55181500D01* +X173613646Y-55056196D01* +X173556050Y-54943158D01* +X173466342Y-54853450D01* +X173353304Y-54795854D01* +X173353302Y-54795853D01* +X173353301Y-54795853D01* +X173228000Y-54776008D01* +X173102698Y-54795853D01* +X172989656Y-54853451D01* +X172899951Y-54943156D01* +X172842353Y-55056198D01* +X172822508Y-55181500D01* +X170715257Y-55181500D01* +X170743697Y-55119226D01* +X170762133Y-54991000D01* +X170743697Y-54862774D01* +X170689882Y-54744937D01* +X170605049Y-54647033D01* +X170522232Y-54593810D01* +X170496068Y-54576995D01* +X170371772Y-54540500D01* +X170242228Y-54540500D01* +X170117931Y-54576995D01* +X170008954Y-54647031D01* +X170008950Y-54647034D01* +X169924119Y-54744935D01* +X169870302Y-54862776D01* +X169851867Y-54991000D01* +X165071500Y-54991000D01* +X165071500Y-54038500D01* +X171629867Y-54038500D01* +X171648302Y-54166723D01* +X171648302Y-54166724D01* +X171648303Y-54166726D01* +X171702118Y-54284563D01* +X171786951Y-54382467D01* +X171895931Y-54452504D01* +X172020228Y-54489000D01* +X172149772Y-54489000D01* +X172274069Y-54452504D01* +X172383049Y-54382467D01* +X172467882Y-54284563D01* +X172521697Y-54166726D01* +X172540133Y-54038500D01* +X172521697Y-53910274D01* +X172467882Y-53792437D01* +X172383049Y-53694533D01* +X172284182Y-53630995D01* +X172274068Y-53624495D01* +X172149772Y-53588000D01* +X172020228Y-53588000D01* +X171895931Y-53624495D01* +X171786954Y-53694531D01* +X171786950Y-53694534D01* +X171702119Y-53792435D01* +X171702118Y-53792436D01* +X171702118Y-53792437D01* +X171695907Y-53806037D01* +X171648302Y-53910276D01* +X171629867Y-54038500D01* +X165071500Y-54038500D01* +X165071500Y-53022500D01* +X169089867Y-53022500D01* +X169108302Y-53150723D01* +X169108302Y-53150724D01* +X169108303Y-53150726D01* +X169162118Y-53268563D01* +X169246951Y-53366467D01* +X169355931Y-53436504D01* +X169480228Y-53473000D01* +X169609772Y-53473000D01* +X169734069Y-53436504D01* +X169843049Y-53366467D01* +X169927882Y-53268563D01* +X169981697Y-53150726D01* +X170000133Y-53022500D01* +X169981697Y-52894274D01* +X169927882Y-52776437D01* +X169843049Y-52678533D01* +X169776032Y-52635464D01* +X169734068Y-52608495D01* +X169609772Y-52572000D01* +X169480228Y-52572000D01* +X169355931Y-52608495D01* +X169246954Y-52678531D01* +X169246950Y-52678534D01* +X169162119Y-52776435D01* +X169108302Y-52894276D01* +X169089867Y-53022500D01* +X165071500Y-53022500D01* +X165071500Y-52077860D01* +X165071601Y-52073987D01* +X165071810Y-52069999D01* +X165073700Y-52033936D01* +X165064965Y-52011183D01* +X165061673Y-52000070D01* +X165056607Y-51976232D01* +X165051610Y-51969354D01* +X165042391Y-51952375D01* +X165039345Y-51944440D01* +X165022118Y-51927213D01* +X165014576Y-51918382D01* +X165012919Y-51916101D01* +X165000260Y-51898677D01* +X164992896Y-51894425D01* +X164977571Y-51882666D01* +X162784231Y-49689326D01* +X162762839Y-49643450D01* +X162775940Y-49594555D01* +X162784225Y-49584680D01* +X163471732Y-48897174D01* +X163517609Y-48875782D01* +X163524058Y-48875500D01* +X166278457Y-48875500D01* +X166326023Y-48892813D01* +X166330771Y-48897163D01* +X166411658Y-48978050D01* +X166524696Y-49035646D01* +X166650000Y-49055492D01* +X166775304Y-49035646D01* +X166888342Y-48978050D01* +X166978050Y-48888342D01* +X167035646Y-48775304D01* +X167055492Y-48650000D01* +X167035646Y-48524696D01* +X166978050Y-48411658D01* +X166888342Y-48321950D01* +X166775304Y-48264354D01* +X166775302Y-48264353D01* +X166775301Y-48264353D01* +X166650000Y-48244508D01* +X166524698Y-48264353D01* +X166411656Y-48321951D01* +X166379613Y-48353995D01* +X166330781Y-48402826D01* +X166284907Y-48424218D01* +X166278457Y-48424500D01* +X163407861Y-48424500D01* +X163403988Y-48424399D01* +X163363936Y-48422300D01* +X163341186Y-48431031D01* +X163330061Y-48434326D01* +X163306233Y-48439391D01* +X163306231Y-48439392D01* +X163299351Y-48444391D01* +X163282386Y-48453603D01* +X163274441Y-48456653D01* +X163274439Y-48456654D01* +X163257210Y-48473883D01* +X163248384Y-48481421D01* +X163228676Y-48495740D01* +X163224423Y-48503106D01* +X163212666Y-48518427D01* +X162465326Y-49265768D01* +X162419450Y-49287160D01* +X162370555Y-49274059D01* +X162360674Y-49265768D01* +X160566006Y-47471101D01* +X160563340Y-47468291D01* +X160536508Y-47438492D01* +X160536504Y-47438489D01* +X160514252Y-47428582D01* +X160504047Y-47423041D01* +X160483619Y-47409774D01* +X160483616Y-47409773D01* +X160477505Y-47408805D01* +X160475213Y-47408442D01* +X160456700Y-47402958D01* +X160448932Y-47399500D01* +X160448931Y-47399500D01* +X160424573Y-47399500D01* +X160412998Y-47398589D01* +X160409474Y-47398031D01* +X160388935Y-47394777D01* +X160388934Y-47394777D01* +X160380720Y-47396979D01* +X160361568Y-47399500D01* X131382861Y-47399500D01* X131378988Y-47399399D01* X131338936Y-47397300D01* @@ -31610,14 +32037,14 @@ X126648378Y-66722855D01* X126657900Y-66668852D01* X126657900Y-61980868D01* X126657771Y-61974481D01* -X126657666Y-61971889D01* +X126657770Y-61974453D01* X126657526Y-61968409D01* X126657524Y-61968401D01* X126643283Y-61908977D01* X126643282Y-61908972D01* X126621840Y-61862215D01* X126590673Y-61817095D01* -X123794350Y-58984922D01* +X123793163Y-58983720D01* X123056622Y-58237736D01* X123035522Y-58191724D01* X123048934Y-58142914D01* @@ -31627,6 +32054,7 @@ X123056184Y-58134063D01* X123056194Y-58134055D01* X123080343Y-58092225D01* X123083272Y-58087566D01* +X123085332Y-58084545D01* X123110472Y-58047673D01* X123113066Y-58039261D01* X123119693Y-58024069D01* @@ -31778,217 +32206,114 @@ X131155103Y-46482000D01* X131914929Y-45722174D01* X131960805Y-45700782D01* X131967255Y-45700500D01* -X166190744Y-45700500D01* -X166238310Y-45717813D01* -X166243070Y-45722174D01* -X175365122Y-54844226D01* -X175370651Y-54850413D01* -X175394621Y-54880470D01* -X175410084Y-54891013D01* -X175420723Y-54899827D01* -X180218622Y-59697725D01* -X180224144Y-59703904D01* -X180243398Y-59728048D01* -X180248121Y-59733970D01* -X180296137Y-59766707D01* -X180298394Y-59768309D01* -X180345118Y-59802793D01* -X180350022Y-59805385D01* -X180349999Y-59805427D01* -X180355310Y-59808107D01* -X180355330Y-59808066D01* -X180360322Y-59810470D01* -X180360325Y-59810471D01* -X180360327Y-59810472D01* -X180391033Y-59819943D01* -X180415867Y-59827603D01* -X180418497Y-59828469D01* -X180473300Y-59847646D01* -X180478750Y-59848677D01* -X180478741Y-59848723D01* -X180484605Y-59849719D01* -X180484612Y-59849673D01* -X180490097Y-59850499D01* -X180490098Y-59850500D01* -X180548180Y-59850500D01* -X180550948Y-59850552D01* -X180609005Y-59852725D01* -X180609006Y-59852724D01* -X180609010Y-59852725D01* -X180609013Y-59852724D01* -X180614520Y-59852104D01* -X180614525Y-59852149D01* -X180627057Y-59850500D01* -X181232098Y-59850500D01* -X181290180Y-59850500D01* -X181292948Y-59850552D01* -X181351005Y-59852725D01* -X181351006Y-59852724D01* -X181351010Y-59852725D01* -X181351013Y-59852724D01* -X181356520Y-59852104D01* -X181356525Y-59852149D01* -X181369057Y-59850500D01* -X181832098Y-59850500D01* -X181890195Y-59850500D01* -X181892963Y-59850552D01* -X181951005Y-59852724D01* -X181951006Y-59852723D01* -X181951010Y-59852724D01* -X181951013Y-59852723D01* -X181956519Y-59852103D01* -X181956524Y-59852149D01* -X181969052Y-59850500D01* -X182331598Y-59850500D01* -X182389680Y-59850500D01* -X182392448Y-59850552D01* -X182450506Y-59852725D01* -X182450508Y-59852725D01* -X182450508Y-59852724D01* -X182450510Y-59852725D01* -X182487030Y-59842938D01* -X182495118Y-59841251D01* -X182534287Y-59835348D01* -X182551774Y-59826926D01* -X182564720Y-59822121D01* -X182581687Y-59817576D01* -X182612619Y-59798139D01* -X182619855Y-59794139D01* -X182656642Y-59776425D01* -X182671412Y-59762719D01* -X182682364Y-59754314D01* -X182696675Y-59745324D01* -X182719777Y-59718477D01* -X182725530Y-59712506D01* -X182756192Y-59684057D01* -X182756192Y-59684056D01* -X182756194Y-59684055D01* -X182766575Y-59666073D01* -X182774566Y-59654811D01* -X182785258Y-59642388D01* -X182799086Y-59610690D01* -X182802817Y-59603299D01* -X182824096Y-59566445D01* -X182828810Y-59545788D01* -X182833124Y-59532675D01* -X182839565Y-59517916D01* -X182843382Y-59484026D01* -X182844770Y-59475859D01* -X182854315Y-59434046D01* -X182852715Y-59412706D01* -X182852952Y-59400000D01* -X189544508Y-59400000D01* -X189564353Y-59525301D01* -X189564353Y-59525302D01* -X189564354Y-59525304D01* -X189621950Y-59638342D01* -X189711658Y-59728050D01* -X189824696Y-59785646D01* -X189950000Y-59805492D01* -X190075304Y-59785646D01* -X190188342Y-59728050D01* -X190278050Y-59638342D01* -X190335646Y-59525304D01* -X190355492Y-59400000D01* -X190339654Y-59300000D01* -X191744508Y-59300000D01* -X191764353Y-59425301D01* -X191764353Y-59425302D01* -X191764354Y-59425304D01* -X191821950Y-59538342D01* -X191911658Y-59628050D01* -X192024696Y-59685646D01* -X192150000Y-59705492D01* -X192275304Y-59685646D01* -X192388342Y-59628050D01* -X192478050Y-59538342D01* -X192535646Y-59425304D01* -X192555492Y-59300000D01* -X192535646Y-59174696D01* -X192478050Y-59061658D01* -X192388342Y-58971950D01* -X192275304Y-58914354D01* -X192275302Y-58914353D01* -X192275301Y-58914353D01* -X192150000Y-58894508D01* -X192024698Y-58914353D01* -X191911656Y-58971951D01* -X191821951Y-59061656D01* -X191764353Y-59174698D01* -X191744508Y-59300000D01* -X190339654Y-59300000D01* -X190335646Y-59274696D01* -X190278050Y-59161658D01* -X190188342Y-59071950D01* -X190075304Y-59014354D01* -X190075302Y-59014353D01* -X190075301Y-59014353D01* -X189950000Y-58994508D01* -X189824698Y-59014353D01* -X189711656Y-59071951D01* -X189621951Y-59161656D01* -X189616574Y-59172208D01* -X189569060Y-59265461D01* -X189564353Y-59274698D01* -X189544508Y-59400000D01* -X182852952Y-59400000D01* -X182852973Y-59398902D01* -X182854770Y-59382965D01* -X182848448Y-59349555D01* -X182847366Y-59341329D01* -X182844648Y-59305051D01* -X182844166Y-59298622D01* -X182843223Y-59296219D01* -X182836364Y-59278742D01* -X182832538Y-59265461D01* -X182829525Y-59249534D01* -X182829524Y-59249533D01* -X182829524Y-59249529D01* -X182813496Y-59219204D01* -X182810035Y-59211658D01* -X182794553Y-59172208D01* -X182794552Y-59172206D01* -X182781397Y-59155710D01* -X182773828Y-59144150D01* -X182772867Y-59142332D01* -X182766066Y-59129463D01* -X182741349Y-59104746D01* -X182735821Y-59098559D01* -X182709884Y-59066035D01* -X182709880Y-59066032D01* -X182709879Y-59066030D01* -X182692842Y-59054414D01* -X182682202Y-59045599D01* -X182436603Y-58800000D01* -X190644508Y-58800000D01* -X190664353Y-58925301D01* -X190664353Y-58925302D01* -X190664354Y-58925304D01* -X190721950Y-59038342D01* -X190811658Y-59128050D01* -X190924696Y-59185646D01* -X191050000Y-59205492D01* -X191175304Y-59185646D01* -X191288342Y-59128050D01* -X191378050Y-59038342D01* -X191435646Y-58925304D01* -X191455492Y-58800000D01* -X191435646Y-58674696D01* -X191378050Y-58561658D01* -X191288342Y-58471950D01* -X191175304Y-58414354D01* -X191175302Y-58414353D01* -X191175301Y-58414353D01* -X191050000Y-58394508D01* -X190924698Y-58414353D01* -X190811656Y-58471951D01* -X190721951Y-58561656D01* -X190664353Y-58674698D01* -X190644508Y-58800000D01* -X182436603Y-58800000D01* -X181522174Y-57885571D01* -X181500782Y-57839695D01* -X181500500Y-57833245D01* +X166182744Y-45700500D01* +X166230310Y-45717813D01* +X166235070Y-45722174D01* +X169433434Y-48920538D01* +X172380937Y-51868041D01* +X175327345Y-54814448D01* +X175327350Y-54814454D01* +X175357122Y-54844226D01* +X175362651Y-54850413D01* +X175386621Y-54880470D01* +X175402084Y-54891013D01* +X175412723Y-54899827D01* +X178861122Y-58348225D01* +X178866644Y-58354404D01* +X178890621Y-58384470D01* +X178890622Y-58384471D01* +X178899424Y-58390472D01* +X178938634Y-58417205D01* +X178940862Y-58418786D01* +X178987617Y-58453293D01* +X178987619Y-58453293D01* +X178992520Y-58455884D01* +X178992498Y-58455925D01* +X178997809Y-58458606D01* +X178997829Y-58458565D01* +X179002825Y-58460971D01* +X179002827Y-58460972D01* +X179050551Y-58475692D01* +X179058358Y-58478100D01* +X179060988Y-58478966D01* +X179115799Y-58498146D01* +X179121249Y-58499177D01* +X179121240Y-58499223D01* +X179127105Y-58500219D01* +X179127112Y-58500173D01* +X179132597Y-58500999D01* +X179132598Y-58501000D01* +X179190695Y-58501000D01* +X179193463Y-58501052D01* +X179251505Y-58503224D01* +X179251506Y-58503223D01* +X179251510Y-58503224D01* +X179251513Y-58503223D01* +X179257019Y-58502603D01* +X179257024Y-58502649D01* +X179269552Y-58501000D01* +X179954598Y-58501000D01* +X180012695Y-58501000D01* +X180015463Y-58501052D01* +X180073505Y-58503224D01* +X180073506Y-58503223D01* +X180073510Y-58503224D01* +X180073513Y-58503223D01* +X180079019Y-58502603D01* +X180079024Y-58502649D01* +X180091552Y-58501000D01* +X180482598Y-58501000D01* +X180540695Y-58501000D01* +X180543463Y-58501052D01* +X180601505Y-58503224D01* +X180601506Y-58503223D01* +X180601510Y-58503224D01* +X180601513Y-58503223D01* +X180607019Y-58502603D01* +X180607024Y-58502649D01* +X180619552Y-58501000D01* +X180716598Y-58501000D01* +X180990900Y-58501000D01* +X181007366Y-58502855D01* +X181015954Y-58504815D01* +X181064098Y-58501207D01* +X181069628Y-58501000D01* +X181083763Y-58501000D01* +X181086187Y-58500634D01* +X181097750Y-58498890D01* +X181103222Y-58498274D01* +X181151378Y-58494666D01* +X181159571Y-58491449D01* +X181175579Y-58487159D01* +X181184287Y-58485848D01* +X181227793Y-58464895D01* +X181232848Y-58462691D01* +X181243362Y-58458565D01* +X181277794Y-58445052D01* +X181284678Y-58439561D01* +X181298710Y-58430744D01* +X181306642Y-58426925D01* +X181342047Y-58394072D01* +X181346216Y-58390484D01* +X181383970Y-58360379D01* +X181388927Y-58353106D01* +X181399739Y-58340544D01* +X181406194Y-58334555D01* +X181430343Y-58292725D01* +X181433272Y-58288066D01* +X181460472Y-58248173D01* +X181463066Y-58239761D01* +X181469693Y-58224569D01* +X181474096Y-58216945D01* +X181484840Y-58169864D01* +X181486262Y-58164557D01* +X181500500Y-58118402D01* +X181500500Y-58109599D01* +X181502356Y-58093132D01* +X181504315Y-58084548D01* +X181504315Y-58084545D01* +X181500707Y-58036402D01* +X181500500Y-58030871D01* X181500500Y-57100000D01* X190494508Y-57100000D01* X190514353Y-57225301D01* @@ -32763,49 +33088,47 @@ X177184046Y-54092185D01* X177141598Y-54095366D01* X177135902Y-54095793D01* X177130372Y-54096000D01* -X176509100Y-54096000D01* -X176492634Y-54094145D01* -X176484047Y-54092185D01* -X176484046Y-54092185D01* -X176441598Y-54095366D01* -X176435902Y-54095793D01* -X176430372Y-54096000D01* -X175921755Y-54096000D01* -X175874189Y-54078687D01* -X175869429Y-54074326D01* -X166747380Y-44952277D01* -X166741850Y-44946089D01* -X166726176Y-44926434D01* +X176528304Y-54096000D01* +X176525536Y-54095948D01* +X176467494Y-54093775D01* +X176461981Y-54094397D01* +X176461975Y-54094350D01* +X176449448Y-54096000D01* +X175913755Y-54096000D01* +X175866189Y-54078687D01* +X175861429Y-54074326D01* +X166796997Y-45009894D01* +X166788199Y-44997493D01* +X166787547Y-44997938D01* +X166784426Y-44993361D01* +X166784425Y-44993358D01* +X166751580Y-44957960D01* +X166747977Y-44953772D01* +X166717884Y-44916035D01* +X166717880Y-44916032D01* X166717879Y-44916030D01* -X166717878Y-44916029D01* -X166717877Y-44916028D01* -X166670074Y-44883437D01* -X166669869Y-44883297D01* -X166667621Y-44881702D01* -X166620882Y-44847207D01* -X166615981Y-44844617D01* -X166616002Y-44844575D01* -X166610687Y-44841892D01* -X166610668Y-44841933D01* -X166605672Y-44839527D01* -X166550138Y-44822397D01* -X166547510Y-44821533D01* -X166543063Y-44819977D01* -X166492699Y-44802354D01* -X166492697Y-44802353D01* -X166492695Y-44802353D01* -X166487251Y-44801323D01* -X166487259Y-44801278D01* -X166481391Y-44800281D01* -X166481385Y-44800326D01* -X166475903Y-44799500D01* +X166710601Y-44911067D01* +X166698046Y-44900263D01* +X166692055Y-44893806D01* +X166650250Y-44869669D01* +X166645568Y-44866727D01* +X166605670Y-44839526D01* +X166597253Y-44836930D01* +X166582066Y-44830304D01* +X166574445Y-44825904D01* +X166574444Y-44825903D01* +X166574441Y-44825902D01* +X166574442Y-44825902D01* +X166527375Y-44815159D01* +X166522032Y-44813728D01* X166475902Y-44799500D01* -X166417804Y-44799500D01* -X166415036Y-44799448D01* -X166356994Y-44797275D01* -X166351481Y-44797897D01* -X166351475Y-44797850D01* -X166338948Y-44799500D01* +X166467100Y-44799500D01* +X166450634Y-44797645D01* +X166442047Y-44795685D01* +X166442046Y-44795685D01* +X166399598Y-44798866D01* +X166393902Y-44799293D01* +X166388372Y-44799500D01* X131779459Y-44799500D01* X131771174Y-44799035D01* X131732965Y-44794729D01* @@ -33279,7 +33602,7 @@ X206205305Y-49994081D01* X206205294Y-49994075D01* X206027574Y-49914949D01* X206027568Y-49914948D01* -X205907632Y-49889455D01* +X205903698Y-49888619D01* X205837274Y-49874500D01* X205642726Y-49874500D01* X205591359Y-49885418D01* @@ -33306,7 +33629,7 @@ X203665305Y-49994081D01* X203665294Y-49994075D01* X203487574Y-49914949D01* X203487568Y-49914948D01* -X203367632Y-49889455D01* +X203363698Y-49888619D01* X203297274Y-49874500D01* X203102726Y-49874500D01* X203051359Y-49885418D01* @@ -33333,7 +33656,7 @@ X201125305Y-49994081D01* X201125294Y-49994075D01* X200947574Y-49914949D01* X200947568Y-49914948D01* -X200827632Y-49889455D01* +X200823698Y-49888619D01* X200757274Y-49874500D01* X200562726Y-49874500D01* X200511359Y-49885418D01* @@ -33995,7 +34318,9 @@ X171505268Y-42220674D01* X181253185Y-51968590D01* X181274577Y-52014466D01* X181273948Y-52032491D01* -X181268008Y-52069999D01* +X181273363Y-52036187D01* +X181268008Y-52070000D01* +X181268777Y-52074854D01* X181287853Y-52195301D01* X181287853Y-52195302D01* X181287854Y-52195304D01* diff --git a/gerber/WarpSE-B_Mask.gbs b/gerber/WarpSE-B_Mask.gbs index ead8135..c9e4689 100644 --- a/gerber/WarpSE-B_Mask.gbs +++ b/gerber/WarpSE-B_Mask.gbs @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:26-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:26* %MOMM*% %LPD*% G01* @@ -3275,6 +3275,254 @@ X205828975Y-93133463D01* X206003035Y-93170461D01* G37* G36* +X170164902Y-92423874D02* +G01* +X170213314Y-92423874D01* +X170255115Y-92432759D01* +X170297130Y-92436897D01* +X170349911Y-92452908D01* +X170402712Y-92464131D01* +X170436500Y-92479174D01* +X170470825Y-92489587D01* +X170525373Y-92518743D01* +X170579600Y-92542887D01* +X170604810Y-92561203D01* +X170630899Y-92575148D01* +X170684118Y-92618823D01* +X170736249Y-92656699D01* +X170753174Y-92675497D01* +X170771205Y-92690294D01* +X170819654Y-92749330D01* +X170865812Y-92800593D01* +X170875509Y-92817389D01* +X170886351Y-92830600D01* +X170926461Y-92905642D01* +X170962626Y-92968281D01* +X170966785Y-92981083D01* +X170971912Y-92990674D01* +X171000180Y-93083862D01* +X171022460Y-93152432D01* +X171023244Y-93159892D01* +X171024602Y-93164369D01* +X171037808Y-93298457D01* +X171042700Y-93345000D01* +X171037807Y-93391546D01* +X171024602Y-93525630D01* +X171023244Y-93530105D01* +X171022460Y-93537568D01* +X171000175Y-93606151D01* +X170971912Y-93699325D01* +X170966786Y-93708914D01* +X170962626Y-93721719D01* +X170926454Y-93784370D01* +X170886351Y-93859399D01* +X170875511Y-93872607D01* +X170865812Y-93889407D01* +X170819645Y-93940679D01* +X170771205Y-93999705D01* +X170753178Y-94014499D01* +X170736249Y-94033301D01* +X170684107Y-94071183D01* +X170630899Y-94114851D01* +X170604815Y-94128792D01* +X170579600Y-94147113D01* +X170525362Y-94171261D01* +X170470825Y-94200412D01* +X170436507Y-94210822D01* +X170402712Y-94225869D01* +X170349900Y-94237094D01* +X170297130Y-94253102D01* +X170255124Y-94257239D01* +X170213314Y-94266126D01* +X170164891Y-94266126D01* +X170116500Y-94270892D01* +X170068108Y-94266126D01* +X170019686Y-94266126D01* +X169977876Y-94257239D01* +X169935869Y-94253102D01* +X169883096Y-94237093D01* +X169830288Y-94225869D01* +X169796494Y-94210822D01* +X169762174Y-94200412D01* +X169707631Y-94171258D01* +X169653400Y-94147113D01* +X169628187Y-94128794D01* +X169602100Y-94114851D01* +X169548883Y-94071177D01* +X169496751Y-94033301D01* +X169479824Y-94014502D01* +X169461794Y-93999705D01* +X169413343Y-93940667D01* +X169367188Y-93889407D01* +X169357491Y-93872611D01* +X169346648Y-93859399D01* +X169306532Y-93784347D01* +X169270374Y-93721719D01* +X169266214Y-93708918D01* +X169261087Y-93699325D01* +X169232808Y-93606105D01* +X169210540Y-93537568D01* +X169209756Y-93530110D01* +X169208397Y-93525630D01* +X169195176Y-93391394D01* +X169190300Y-93345000D01* +X169195175Y-93298608D01* +X169208397Y-93164369D01* +X169209756Y-93159887D01* +X169210540Y-93152432D01* +X169232804Y-93083908D01* +X169261087Y-92990674D01* +X169266215Y-92981078D01* +X169270374Y-92968281D01* +X169306524Y-92905665D01* +X169346648Y-92830600D01* +X169357493Y-92817385D01* +X169367188Y-92800593D01* +X169413333Y-92749342D01* +X169461794Y-92690294D01* +X169479827Y-92675494D01* +X169496751Y-92656699D01* +X169548873Y-92618830D01* +X169602100Y-92575148D01* +X169628192Y-92561201D01* +X169653400Y-92542887D01* +X169707620Y-92518746D01* +X169762174Y-92489587D01* +X169796501Y-92479173D01* +X169830288Y-92464131D01* +X169883085Y-92452908D01* +X169935869Y-92436897D01* +X169977884Y-92432758D01* +X170019686Y-92423874D01* +X170068098Y-92423874D01* +X170116500Y-92419107D01* +X170164902Y-92423874D01* +G37* +G36* +X174546402Y-92423874D02* +G01* +X174594814Y-92423874D01* +X174636615Y-92432759D01* +X174678630Y-92436897D01* +X174731411Y-92452908D01* +X174784212Y-92464131D01* +X174818000Y-92479174D01* +X174852325Y-92489587D01* +X174906873Y-92518743D01* +X174961100Y-92542887D01* +X174986310Y-92561203D01* +X175012399Y-92575148D01* +X175065618Y-92618823D01* +X175117749Y-92656699D01* +X175134674Y-92675497D01* +X175152705Y-92690294D01* +X175201154Y-92749330D01* +X175247312Y-92800593D01* +X175257009Y-92817389D01* +X175267851Y-92830600D01* +X175307961Y-92905642D01* +X175344126Y-92968281D01* +X175348285Y-92981083D01* +X175353412Y-92990674D01* +X175381680Y-93083862D01* +X175403960Y-93152432D01* +X175404744Y-93159892D01* +X175406102Y-93164369D01* +X175419308Y-93298457D01* +X175424200Y-93345000D01* +X175419307Y-93391546D01* +X175406102Y-93525630D01* +X175404744Y-93530105D01* +X175403960Y-93537568D01* +X175381675Y-93606151D01* +X175353412Y-93699325D01* +X175348286Y-93708914D01* +X175344126Y-93721719D01* +X175307954Y-93784370D01* +X175267851Y-93859399D01* +X175257011Y-93872607D01* +X175247312Y-93889407D01* +X175201145Y-93940679D01* +X175152705Y-93999705D01* +X175134678Y-94014499D01* +X175117749Y-94033301D01* +X175065607Y-94071183D01* +X175012399Y-94114851D01* +X174986315Y-94128792D01* +X174961100Y-94147113D01* +X174906862Y-94171261D01* +X174852325Y-94200412D01* +X174818007Y-94210822D01* +X174784212Y-94225869D01* +X174731400Y-94237094D01* +X174678630Y-94253102D01* +X174636624Y-94257239D01* +X174594814Y-94266126D01* +X174546391Y-94266126D01* +X174498000Y-94270892D01* +X174449608Y-94266126D01* +X174401186Y-94266126D01* +X174359376Y-94257239D01* +X174317369Y-94253102D01* +X174264596Y-94237093D01* +X174211788Y-94225869D01* +X174177994Y-94210822D01* +X174143674Y-94200412D01* +X174089131Y-94171258D01* +X174034900Y-94147113D01* +X174009687Y-94128794D01* +X173983600Y-94114851D01* +X173930383Y-94071177D01* +X173878251Y-94033301D01* +X173861324Y-94014502D01* +X173843294Y-93999705D01* +X173794843Y-93940667D01* +X173748688Y-93889407D01* +X173738991Y-93872611D01* +X173728148Y-93859399D01* +X173688032Y-93784347D01* +X173651874Y-93721719D01* +X173647714Y-93708918D01* +X173642587Y-93699325D01* +X173614308Y-93606105D01* +X173592040Y-93537568D01* +X173591256Y-93530110D01* +X173589897Y-93525630D01* +X173576676Y-93391394D01* +X173571800Y-93345000D01* +X173576675Y-93298608D01* +X173589897Y-93164369D01* +X173591256Y-93159887D01* +X173592040Y-93152432D01* +X173614304Y-93083908D01* +X173642587Y-92990674D01* +X173647715Y-92981078D01* +X173651874Y-92968281D01* +X173688024Y-92905665D01* +X173728148Y-92830600D01* +X173738993Y-92817385D01* +X173748688Y-92800593D01* +X173794833Y-92749342D01* +X173843294Y-92690294D01* +X173861327Y-92675494D01* +X173878251Y-92656699D01* +X173930373Y-92618830D01* +X173983600Y-92575148D01* +X174009692Y-92561201D01* +X174034900Y-92542887D01* +X174089120Y-92518746D01* +X174143674Y-92489587D01* +X174178001Y-92479173D01* +X174211788Y-92464131D01* +X174264585Y-92452908D01* +X174317369Y-92436897D01* +X174359384Y-92432758D01* +X174401186Y-92423874D01* +X174449598Y-92423874D01* +X174498000Y-92419107D01* +X174546402Y-92423874D01* +G37* +G36* X200923035Y-90630461D02* G01* X201085600Y-90702839D01* @@ -3377,28 +3625,208 @@ X205828975Y-90593463D01* X206003035Y-90630461D01* G37* G36* -X134629160Y-88629600D02* +X170800927Y-89889915D02* G01* -X134653882Y-88646118D01* -X134670400Y-88670840D01* -X134676200Y-88700000D01* -X134676200Y-90400000D01* -X134670400Y-90429160D01* -X134653882Y-90453882D01* -X134629160Y-90470400D01* -X134600000Y-90476200D01* -X132900000Y-90476200D01* -X132870840Y-90470400D01* -X132846118Y-90453882D01* -X132829600Y-90429160D01* -X132823800Y-90400000D01* -X132823800Y-88700000D01* -X132829600Y-88670840D01* -X132846118Y-88646118D01* -X132870840Y-88629600D01* -X132900000Y-88623800D01* -X134600000Y-88623800D01* -X134629160Y-88629600D01* +X170810823Y-89894529D01* +X170817056Y-89895517D01* +X170861454Y-89918139D01* +X170903601Y-89937793D01* +X170907315Y-89941507D01* +X170907768Y-89941738D01* +X170979761Y-90013731D01* +X170979991Y-90014183D01* +X170983707Y-90017899D01* +X171003365Y-90060056D01* +X171025982Y-90104443D01* +X171026968Y-90110673D01* +X171031585Y-90120573D01* +X171042700Y-90205000D01* +X171042700Y-91405000D01* +X171031585Y-91489427D01* +X171026968Y-91499326D01* +X171025982Y-91505556D01* +X171003371Y-91549931D01* +X170983707Y-91592101D01* +X170979990Y-91595817D01* +X170979761Y-91596268D01* +X170907768Y-91668261D01* +X170907317Y-91668490D01* +X170903601Y-91672207D01* +X170861431Y-91691871D01* +X170817056Y-91714482D01* +X170810827Y-91715468D01* +X170800927Y-91720085D01* +X170716500Y-91731200D01* +X169516500Y-91731200D01* +X169432073Y-91720085D01* +X169422173Y-91715468D01* +X169415943Y-91714482D01* +X169371556Y-91691865D01* +X169329399Y-91672207D01* +X169325683Y-91668491D01* +X169325231Y-91668261D01* +X169253238Y-91596268D01* +X169253007Y-91595815D01* +X169249293Y-91592101D01* +X169229639Y-91549954D01* +X169207017Y-91505556D01* +X169206029Y-91499323D01* +X169201415Y-91489427D01* +X169190300Y-91405000D01* +X169190300Y-90205000D01* +X169201415Y-90120573D01* +X169206029Y-90110676D01* +X169207017Y-90104443D01* +X169229645Y-90060033D01* +X169249293Y-90017899D01* +X169253006Y-90014185D01* +X169253238Y-90013731D01* +X169325231Y-89941738D01* +X169325685Y-89941506D01* +X169329399Y-89937793D01* +X169371533Y-89918145D01* +X169415943Y-89895517D01* +X169422176Y-89894529D01* +X169432073Y-89889915D01* +X169516500Y-89878800D01* +X170716500Y-89878800D01* +X170800927Y-89889915D01* +G37* +G36* +X175182427Y-89889915D02* +G01* +X175192323Y-89894529D01* +X175198556Y-89895517D01* +X175242954Y-89918139D01* +X175285101Y-89937793D01* +X175288815Y-89941507D01* +X175289268Y-89941738D01* +X175361261Y-90013731D01* +X175361491Y-90014183D01* +X175365207Y-90017899D01* +X175384865Y-90060056D01* +X175407482Y-90104443D01* +X175408468Y-90110673D01* +X175413085Y-90120573D01* +X175424200Y-90205000D01* +X175424200Y-91405000D01* +X175413085Y-91489427D01* +X175408468Y-91499326D01* +X175407482Y-91505556D01* +X175384871Y-91549931D01* +X175365207Y-91592101D01* +X175361490Y-91595817D01* +X175361261Y-91596268D01* +X175289268Y-91668261D01* +X175288817Y-91668490D01* +X175285101Y-91672207D01* +X175242931Y-91691871D01* +X175198556Y-91714482D01* +X175192327Y-91715468D01* +X175182427Y-91720085D01* +X175098000Y-91731200D01* +X173898000Y-91731200D01* +X173813573Y-91720085D01* +X173803673Y-91715468D01* +X173797443Y-91714482D01* +X173753056Y-91691865D01* +X173710899Y-91672207D01* +X173707183Y-91668491D01* +X173706731Y-91668261D01* +X173634738Y-91596268D01* +X173634507Y-91595815D01* +X173630793Y-91592101D01* +X173611139Y-91549954D01* +X173588517Y-91505556D01* +X173587529Y-91499323D01* +X173582915Y-91489427D01* +X173571800Y-91405000D01* +X173571800Y-90205000D01* +X173582915Y-90120573D01* +X173587529Y-90110676D01* +X173588517Y-90104443D01* +X173611145Y-90060033D01* +X173630793Y-90017899D01* +X173634506Y-90014185D01* +X173634738Y-90013731D01* +X173706731Y-89941738D01* +X173707185Y-89941506D01* +X173710899Y-89937793D01* +X173753033Y-89918145D01* +X173797443Y-89895517D01* +X173803676Y-89894529D01* +X173813573Y-89889915D01* +X173898000Y-89878800D01* +X175098000Y-89878800D01* +X175182427Y-89889915D01* +G37* +G36* +X134434427Y-88634915D02* +G01* +X134444323Y-88639529D01* +X134450556Y-88640517D01* +X134494954Y-88663139D01* +X134537101Y-88682793D01* +X134540815Y-88686507D01* +X134541268Y-88686738D01* +X134613261Y-88758731D01* +X134613491Y-88759183D01* +X134617207Y-88762899D01* +X134636865Y-88805056D01* +X134659482Y-88849443D01* +X134660468Y-88855673D01* +X134665085Y-88865573D01* +X134676200Y-88950000D01* +X134676200Y-90150000D01* +X134665085Y-90234427D01* +X134660468Y-90244326D01* +X134659482Y-90250556D01* +X134636871Y-90294931D01* +X134617207Y-90337101D01* +X134613490Y-90340817D01* +X134613261Y-90341268D01* +X134541268Y-90413261D01* +X134540817Y-90413490D01* +X134537101Y-90417207D01* +X134494931Y-90436871D01* +X134450556Y-90459482D01* +X134444327Y-90460468D01* +X134434427Y-90465085D01* +X134350000Y-90476200D01* +X133150000Y-90476200D01* +X133065573Y-90465085D01* +X133055673Y-90460468D01* +X133049443Y-90459482D01* +X133005056Y-90436865D01* +X132962899Y-90417207D01* +X132959183Y-90413491D01* +X132958731Y-90413261D01* +X132886738Y-90341268D01* +X132886507Y-90340815D01* +X132882793Y-90337101D01* +X132863139Y-90294954D01* +X132840517Y-90250556D01* +X132839529Y-90244323D01* +X132834915Y-90234427D01* +X132823800Y-90150000D01* +X132823800Y-88950000D01* +X132834915Y-88865573D01* +X132839529Y-88855676D01* +X132840517Y-88849443D01* +X132863145Y-88805033D01* +X132882793Y-88762899D01* +X132886506Y-88759185D01* +X132886738Y-88758731D01* +X132958731Y-88686738D01* +X132959185Y-88686506D01* +X132962899Y-88682793D01* +X133005033Y-88663145D01* +X133049443Y-88640517D01* +X133055676Y-88639529D01* +X133065573Y-88634915D01* +X133150000Y-88623800D01* +X134350000Y-88623800D01* +X134434427Y-88634915D01* G37* G36* X200923035Y-88090461D02* @@ -4647,154 +5075,6 @@ X205828975Y-62653463D01* X206003035Y-62690461D01* G37* G36* -X180379160Y-60979600D02* -G01* -X180403882Y-60996118D01* -X180420400Y-61020840D01* -X180426200Y-61050000D01* -X180426200Y-62750000D01* -X180420400Y-62779160D01* -X180403882Y-62803882D01* -X180379160Y-62820400D01* -X180350000Y-62826200D01* -X178650000Y-62826200D01* -X178620840Y-62820400D01* -X178596118Y-62803882D01* -X178579600Y-62779160D01* -X178573800Y-62750000D01* -X178573800Y-61050000D01* -X178579600Y-61020840D01* -X178596118Y-60996118D01* -X178620840Y-60979600D01* -X178650000Y-60973800D01* -X180350000Y-60973800D01* -X180379160Y-60979600D01* -G37* -G36* -X182088402Y-60978874D02* -G01* -X182136814Y-60978874D01* -X182178615Y-60987759D01* -X182220630Y-60991897D01* -X182273411Y-61007908D01* -X182326212Y-61019131D01* -X182360000Y-61034174D01* -X182394325Y-61044587D01* -X182448873Y-61073743D01* -X182503100Y-61097887D01* -X182528310Y-61116203D01* -X182554399Y-61130148D01* -X182607618Y-61173823D01* -X182659749Y-61211699D01* -X182676674Y-61230497D01* -X182694705Y-61245294D01* -X182743154Y-61304330D01* -X182789312Y-61355593D01* -X182799009Y-61372389D01* -X182809851Y-61385600D01* -X182849961Y-61460642D01* -X182886126Y-61523281D01* -X182890285Y-61536083D01* -X182895412Y-61545674D01* -X182923680Y-61638862D01* -X182945960Y-61707432D01* -X182946744Y-61714892D01* -X182948102Y-61719369D01* -X182961308Y-61853457D01* -X182966200Y-61900000D01* -X182961307Y-61946546D01* -X182948102Y-62080630D01* -X182946744Y-62085105D01* -X182945960Y-62092568D01* -X182923675Y-62161151D01* -X182895412Y-62254325D01* -X182890286Y-62263914D01* -X182886126Y-62276719D01* -X182849954Y-62339370D01* -X182809851Y-62414399D01* -X182799011Y-62427607D01* -X182789312Y-62444407D01* -X182743145Y-62495679D01* -X182694705Y-62554705D01* -X182676678Y-62569499D01* -X182659749Y-62588301D01* -X182607607Y-62626183D01* -X182554399Y-62669851D01* -X182528315Y-62683792D01* -X182503100Y-62702113D01* -X182448862Y-62726261D01* -X182394325Y-62755412D01* -X182360007Y-62765822D01* -X182326212Y-62780869D01* -X182273400Y-62792094D01* -X182220630Y-62808102D01* -X182178624Y-62812239D01* -X182136814Y-62821126D01* -X182088391Y-62821126D01* -X182040000Y-62825892D01* -X181991608Y-62821126D01* -X181943186Y-62821126D01* -X181901376Y-62812239D01* -X181859369Y-62808102D01* -X181806596Y-62792093D01* -X181753788Y-62780869D01* -X181719994Y-62765822D01* -X181685674Y-62755412D01* -X181631131Y-62726258D01* -X181576900Y-62702113D01* -X181551687Y-62683794D01* -X181525600Y-62669851D01* -X181472383Y-62626177D01* -X181420251Y-62588301D01* -X181403324Y-62569502D01* -X181385294Y-62554705D01* -X181336843Y-62495667D01* -X181290688Y-62444407D01* -X181280991Y-62427611D01* -X181270148Y-62414399D01* -X181230032Y-62339347D01* -X181193874Y-62276719D01* -X181189714Y-62263918D01* -X181184587Y-62254325D01* -X181156308Y-62161105D01* -X181134040Y-62092568D01* -X181133256Y-62085110D01* -X181131897Y-62080630D01* -X181118676Y-61946394D01* -X181113800Y-61900000D01* -X181118675Y-61853608D01* -X181131897Y-61719369D01* -X181133256Y-61714887D01* -X181134040Y-61707432D01* -X181156304Y-61638908D01* -X181184587Y-61545674D01* -X181189715Y-61536078D01* -X181193874Y-61523281D01* -X181230024Y-61460665D01* -X181270148Y-61385600D01* -X181280993Y-61372385D01* -X181290688Y-61355593D01* -X181336833Y-61304342D01* -X181385294Y-61245294D01* -X181403327Y-61230494D01* -X181420251Y-61211699D01* -X181472373Y-61173830D01* -X181525600Y-61130148D01* -X181551692Y-61116201D01* -X181576900Y-61097887D01* -X181631120Y-61073746D01* -X181685674Y-61044587D01* -X181720001Y-61034173D01* -X181753788Y-61019131D01* -X181806585Y-61007908D01* -X181859369Y-60991897D01* -X181901384Y-60987758D01* -X181943186Y-60978874D01* -X181991598Y-60978874D01* -X182040000Y-60974107D01* -X182088402Y-60978874D01* -G37* -G36* X200923035Y-60150461D02* G01* X201085600Y-60222839D01* diff --git a/gerber/WarpSE-B_Silkscreen.gbo b/gerber/WarpSE-B_Silkscreen.gbo index d786822..aeb367c 100644 --- a/gerber/WarpSE-B_Silkscreen.gbo +++ b/gerber/WarpSE-B_Silkscreen.gbo @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -37,10 +37,9 @@ G04 Aperture macros list end* %ADD15C,1.448000*% %ADD16C,2.527300*% %ADD17C,1.143000*% -%ADD18RoundRect,0.076200X0.850000X0.850000X-0.850000X0.850000X-0.850000X-0.850000X0.850000X-0.850000X0*% +%ADD18RoundRect,0.272412X0.653788X0.653788X-0.653788X0.653788X-0.653788X-0.653788X0.653788X-0.653788X0*% %ADD19O,1.852400X1.852400*% -%ADD20RoundRect,0.076200X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X-0.850000X-0.850000X0*% -%ADD21RoundRect,0.272412X-0.653788X-0.653788X0.653788X-0.653788X0.653788X0.653788X-0.653788X0.653788X0*% +%ADD20RoundRect,0.272412X-0.653788X-0.653788X0.653788X-0.653788X0.653788X0.653788X-0.653788X0.653788X0*% G04 APERTURE END LIST* D10* X113568237Y-39430649D02* @@ -230,10 +229,10 @@ X133750000Y-89550000D03* D19* X133750000Y-87010000D03* D20* -X179500000Y-61900000D03* +X174498000Y-90805000D03* D19* -X182040000Y-61900000D03* -D21* +X174498000Y-93345000D03* +D20* X105283000Y-112268000D03* D19* X107823000Y-112268000D03* @@ -247,5 +246,9 @@ X105283000Y-122428000D03* X107823000Y-122428000D03* X105283000Y-124968000D03* X107823000Y-124968000D03* +D20* +X170116500Y-90805000D03* +D19* +X170116500Y-93345000D03* %LPD*% M02* diff --git a/gerber/WarpSE-Edge_Cuts.gm1 b/gerber/WarpSE-Edge_Cuts.gm1 index 62c85f4..da1a2ad 100644 --- a/gerber/WarpSE-Edge_Cuts.gm1 +++ b/gerber/WarpSE-Edge_Cuts.gm1 @@ -1,11 +1,11 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:26-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:26* %MOMM*% %LPD*% G01* diff --git a/gerber/WarpSE-F_Cu.gtl b/gerber/WarpSE-F_Cu.gtl index 00e3288..657f44a 100644 --- a/gerber/WarpSE-F_Cu.gtl +++ b/gerber/WarpSE-F_Cu.gtl @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L1,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -111,7 +111,7 @@ G04 #@! TA.AperFunction,SMDPad,CuDef* %ADD36RoundRect,0.100000X0.100000X-0.400000X0.100000X0.400000X-0.100000X0.400000X-0.100000X-0.400000X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD37R,1.700000X1.700000*% +%ADD37RoundRect,0.250000X0.600000X0.600000X-0.600000X0.600000X-0.600000X-0.600000X0.600000X-0.600000X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* %ADD38O,1.700000X1.700000*% @@ -131,26 +131,26 @@ G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* %ADD43RoundRect,0.175000X0.300000X-0.175000X0.300000X0.175000X-0.300000X0.175000X-0.300000X-0.175000X0*% G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD44RoundRect,0.175000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD45RoundRect,0.262500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD46RoundRect,0.300000X0.400000X0.300000X-0.400000X0.300000X-0.400000X-0.300000X0.400000X-0.300000X0*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD47RoundRect,0.100000X-0.100000X0.400000X-0.100000X-0.400000X0.100000X-0.400000X0.100000X0.400000X0*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD48RoundRect,0.075000X-0.075000X0.425000X-0.075000X-0.425000X0.075000X-0.425000X0.075000X0.425000X0*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD49RoundRect,0.100000X0.100000X0.400000X-0.100000X0.400000X-0.100000X-0.400000X0.100000X-0.400000X0*% -G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD50RoundRect,0.250000X-0.600000X-0.600000X0.600000X-0.600000X0.600000X0.600000X-0.600000X0.600000X0*% +%ADD44RoundRect,0.250000X-0.600000X-0.600000X0.600000X-0.600000X0.600000X0.600000X-0.600000X0.600000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD45RoundRect,0.175000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD46RoundRect,0.262500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD47RoundRect,0.300000X0.400000X0.300000X-0.400000X0.300000X-0.400000X-0.300000X0.400000X-0.300000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD48RoundRect,0.100000X-0.100000X0.400000X-0.100000X-0.400000X0.100000X-0.400000X0.100000X0.400000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD49RoundRect,0.075000X-0.075000X0.425000X-0.075000X-0.425000X0.075000X-0.425000X0.075000X0.425000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD50RoundRect,0.100000X0.100000X0.400000X-0.100000X0.400000X-0.100000X-0.400000X0.100000X-0.400000X0*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* %ADD51RoundRect,0.100000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% @@ -974,8 +974,8 @@ X196200000Y-111400000D03* X194300000Y-111400000D03* X195250000Y-113500000D03* D14* -X174250000Y-90300000D03* -X174250000Y-92000000D03* +X177650000Y-90300000D03* +X177650000Y-92000000D03* D34* X185228000Y-54800500D03* X185228000Y-53530500D03* @@ -1069,10 +1069,10 @@ X194250000Y-57050000D03* D31* X195650000Y-115150000D03* X194050000Y-115150000D03* -D37* -X179500000Y-61900000D03* +D44* +X174498000Y-90805000D03* D38* -X182040000Y-61900000D03* +X174498000Y-93345000D03* D35* X165189000Y-100139500D03* X166789000Y-100139500D03* @@ -1081,7 +1081,7 @@ X189350000Y-49099400D03* X190850000Y-49099400D03* X184550000Y-43900000D03* X186050000Y-43900000D03* -D44* +D45* X197200000Y-61700000D03* X197200000Y-63300000D03* D35* @@ -1102,10 +1102,10 @@ X195750000Y-55250000D03* D22* X130000000Y-88400000D03* X130000000Y-86900000D03* -D45* +D46* X153035000Y-47625000D03* X151335000Y-47625000D03* -D46* +D47* X190849400Y-45500000D03* X188649400Y-45500000D03* X188649400Y-47200000D03* @@ -1116,19 +1116,19 @@ X187618500Y-56451500D03* D43* X197200000Y-58650000D03* X197200000Y-57050000D03* -D47* -X126450000Y-91850000D03* D48* +X126450000Y-91850000D03* +D49* X125900000Y-91850000D03* X125400000Y-91850000D03* -D47* -X124850000Y-91850000D03* -D49* -X124850000Y-94550000D03* D48* +X124850000Y-91850000D03* +D50* +X124850000Y-94550000D03* +D49* X125400000Y-94550000D03* X125900000Y-94550000D03* -D47* +D48* X126450000Y-94550000D03* D31* X197250000Y-107950000D03* @@ -1136,7 +1136,7 @@ X195650000Y-107950000D03* D22* X132450000Y-94550000D03* X132450000Y-93050000D03* -D46* +D47* X128050000Y-86700000D03* X125850000Y-86700000D03* X125850000Y-88400000D03* @@ -1147,7 +1147,7 @@ X149450000Y-67850000D03* D35* X165189000Y-95567500D03* X166789000Y-95567500D03* -D50* +D44* X105283000Y-112268000D03* D38* X107823000Y-112268000D03* @@ -1162,21 +1162,21 @@ X107823000Y-122428000D03* X105283000Y-124968000D03* X107823000Y-124968000D03* D35* -X179500000Y-59400000D03* -X181100000Y-59400000D03* -D47* -X131000000Y-91850000D03* +X167400000Y-48650000D03* +X169000000Y-48650000D03* D48* +X131000000Y-91850000D03* +D49* X130450000Y-91850000D03* X129950000Y-91850000D03* -D47* -X129400000Y-91850000D03* -D49* -X129400000Y-94550000D03* D48* +X129400000Y-91850000D03* +D50* +X129400000Y-94550000D03* +D49* X129950000Y-94550000D03* X130450000Y-94550000D03* -D47* +D48* X131000000Y-94550000D03* D22* X187579000Y-50990500D03* @@ -1187,6 +1187,10 @@ X190400000Y-125500000D03* X190400000Y-124850000D03* X188700000Y-124850000D03* X188700000Y-126150000D03* +D44* +X170116500Y-90805000D03* +D38* +X170116500Y-93345000D03* D52* X195961000Y-44005500D03* D53* @@ -1320,7 +1324,7 @@ X163830000Y-68262500D03* X162560000Y-69405500D03* X163830000Y-69405500D03* X165100000Y-69405500D03* -X165100000Y-67500500D03* +X165100000Y-67627500D03* D52* X135382000Y-100711000D03* X161798000Y-96901000D03* @@ -1491,7 +1495,7 @@ X150495000Y-76454000D03* X123952000Y-77597000D03* D53* X132207000Y-64389000D03* -X143256000Y-70675500D03* +X142557500Y-70675500D03* X129800000Y-114450000D03* X126150000Y-116600000D03* D54* @@ -1509,9 +1513,9 @@ X197750000Y-95450000D03* X198600000Y-119600000D03* X193500000Y-111400000D03* D54* -X174250000Y-92950000D03* -X175400000Y-91800000D03* -X173100000Y-91800000D03* +X177650000Y-92950000D03* +X178800000Y-91800000D03* +X176500000Y-91800000D03* D53* X132715000Y-83058000D03* X133985000Y-83058000D03* @@ -1573,7 +1577,6 @@ X157353000Y-126746000D03* X135128000Y-49149000D03* X195750000Y-86350000D03* X121793000Y-38608000D03* -X167513000Y-48768000D03* X110172500Y-120777000D03* X195600000Y-60650000D03* X196150000Y-58750000D03* @@ -1627,7 +1630,6 @@ X172593000Y-126746000D03* X162560000Y-58610500D03* X192659000Y-128778000D03* X157353000Y-48768000D03* -X170624500Y-92583000D03* X194050000Y-84750000D03* X127444500Y-78105000D03* X104013000Y-86868000D03* @@ -1635,7 +1637,7 @@ X148150000Y-119050000D03* X208724500Y-61468000D03* X169037000Y-70104000D03* X207708500Y-69088000D03* -X175450500Y-88265000D03* +X173418500Y-85598000D03* X122700000Y-59050000D03* X121000000Y-59050000D03* D54* @@ -1738,6 +1740,7 @@ X130175000Y-58166000D03* X124500000Y-80400000D03* X112800000Y-54800000D03* X211582000Y-122428000D03* +X194250000Y-65900000D03* X162433000Y-38608000D03* X201930000Y-113510000D03* X207708500Y-79248000D03* @@ -1748,6 +1751,7 @@ X131550000Y-119650000D03* X165150000Y-121450000D03* X209740500Y-94488000D03* X167513000Y-38608000D03* +X191300000Y-126150000D03* X179250000Y-85950000D03* X196550000Y-55250000D03* X177750000Y-95800000D03* @@ -1853,7 +1857,7 @@ X201930000Y-76990000D03* X211582000Y-132080000D03* X159893000Y-124269500D03* X124460000Y-70485000D03* -X133286500Y-97300000D03* +X133300000Y-97300000D03* X174350000Y-84200000D03* X127900000Y-101550000D03* X130600000Y-113200000D03* @@ -1906,6 +1910,7 @@ D53* X201930000Y-57630000D03* X201930000Y-104930000D03* X106553000Y-128778000D03* +X143891000Y-70548500D03* X180250000Y-112550000D03* X201930000Y-107470000D03* X209740500Y-99568000D03* @@ -1923,7 +1928,6 @@ X128400000Y-119700000D03* X195550000Y-65900000D03* X107250000Y-106400000D03* X107886500Y-61087000D03* -X170307000Y-88201500D03* X201930000Y-77950000D03* X177673000Y-43688000D03* X152273000Y-126746000D03* @@ -1953,6 +1957,7 @@ X188550000Y-110500000D03* X177500000Y-53800000D03* X189600000Y-99100000D03* X111633000Y-128778000D03* +X198882000Y-112839500D03* X182150000Y-89250000D03* X199050000Y-95750000D03* X124750000Y-86700000D03* @@ -1967,7 +1972,7 @@ X201930000Y-121130000D03* X201930000Y-62710000D03* X119300000Y-122700000D03* X178700000Y-114000000D03* -X194119500Y-67246500D03* +X194119500Y-67350000D03* X191700000Y-49099400D03* X104013000Y-107188000D03* X155067000Y-70675500D03* @@ -2106,6 +2111,7 @@ X142600000Y-118250000D03* X176100000Y-84950000D03* X104013000Y-81788000D03* X147193000Y-38608000D03* +X188468000Y-56451500D03* X137160000Y-53086000D03* X163766500Y-90551000D03* X104013000Y-97028000D03* @@ -2116,6 +2122,7 @@ X186750000Y-47700000D03* X170053000Y-41148000D03* X179150000Y-65850000D03* X109474000Y-120078500D03* +X199326499Y-90233500D03* X125285500Y-52959000D03* D54* X145669000Y-46482000D03* @@ -2256,9 +2263,9 @@ X190900000Y-111700000D03* X182400000Y-102600000D03* X190900000Y-102600000D03* D54* -X174250000Y-89350000D03* -X173100000Y-90500000D03* -X175400000Y-90500000D03* +X177650000Y-89350000D03* +X176500000Y-90500000D03* +X178800000Y-90500000D03* X144145000Y-48768000D03* D56* X112550000Y-75500000D03* @@ -2309,6 +2316,7 @@ D56* X118450000Y-61500000D03* X120000000Y-102950000D03* D53* +X169800000Y-48650000D03* X131100000Y-95450000D03* X131000000Y-93650000D03* D56* @@ -2398,7 +2406,6 @@ X120450000Y-65500000D03* X112400000Y-67500000D03* D53* X119200000Y-90950000D03* -X182399500Y-59400000D03* X187750000Y-126150000D03* D56* X118450000Y-67500000D03* @@ -2700,6 +2707,7 @@ X164465000Y-95567500D03* X115000000Y-107600000D03* X115900000Y-108100000D03* X164465000Y-98615500D03* +X166650000Y-48650000D03* X128050000Y-85700000D03* D57* X197167500Y-43766000D02* @@ -3149,16 +3157,16 @@ D61* X125250000Y-116762500D02* X125250000Y-115650000D01* D58* -X174250000Y-92000000D02* -X174250000Y-92950000D01* -X174250000Y-92000000D02* -X175200000Y-92000000D01* -X175200000Y-92000000D02* -X175400000Y-91800000D01* -X174250000Y-92000000D02* -X173300000Y-92000000D01* -X173300000Y-92000000D02* -X173100000Y-91800000D01* +X177650000Y-92000000D02* +X177650000Y-92950000D01* +X177650000Y-92000000D02* +X178600000Y-92000000D01* +X178600000Y-92000000D02* +X178800000Y-91800000D01* +X177650000Y-92000000D02* +X176700000Y-92000000D01* +X176700000Y-92000000D02* +X176500000Y-91800000D01* X185228000Y-54800500D02* X186563000Y-54800500D01* X185228000Y-54800500D02* @@ -3184,6 +3192,12 @@ X118300000Y-78100000D01* D59* X127900000Y-93050000D02* X129100000Y-93050000D01* +D62* +X194250000Y-58750000D02* +X194250000Y-59500000D01* +D59* +X187618500Y-56451500D02* +X188468000Y-56451500D01* D66* X114250000Y-79400000D02* X114250000Y-78100000D01* @@ -3237,6 +3251,8 @@ D66* X118300000Y-79400000D02* X119450000Y-79400000D01* D65* +X190400000Y-126150000D02* +X191300000Y-126150000D01* X195550000Y-58750000D02* X195550000Y-59650000D01* D59* @@ -3265,6 +3281,9 @@ X186350000Y-41800000D02* X187850000Y-41800000D01* X187050000Y-39250000D02* X188400000Y-39250000D01* +D62* +X194250000Y-59500000D02* +X195400000Y-60650000D01* D59* X186900000Y-124650000D02* X186200000Y-124650000D01* @@ -3473,6 +3492,10 @@ X129400000Y-92750000D01* D58* X179070000Y-53530500D02* X178816000Y-53784500D01* +D62* +X195400000Y-60650000D02* +X195600000Y-60650000D01* +D58* X151335000Y-47625000D02* X151335000Y-46658000D01* D59* @@ -3519,6 +3542,10 @@ X180250000Y-94350000D01* D58* X149450000Y-66900000D02* X149250000Y-66700000D01* +D65* +X194250000Y-65000000D02* +X194250000Y-65900000D01* +D58* X188649400Y-45500000D02* X188649400Y-44450000D01* X131572000Y-99871000D02* @@ -3872,16 +3899,16 @@ X190900000Y-102600000D01* X191050000Y-103450000D02* X191050000Y-102750000D01* D58* -X174250000Y-90300000D02* -X174250000Y-89350000D01* -X174250000Y-90300000D02* -X173300000Y-90300000D01* -X173300000Y-90300000D02* -X173100000Y-90500000D01* -X174250000Y-90300000D02* -X175200000Y-90300000D01* -X175200000Y-90300000D02* -X175400000Y-90500000D01* +X177650000Y-90300000D02* +X177650000Y-89350000D01* +X177650000Y-90300000D02* +X176700000Y-90300000D01* +X176700000Y-90300000D02* +X176500000Y-90500000D01* +X177650000Y-90300000D02* +X178600000Y-90300000D01* +X178600000Y-90300000D02* +X178800000Y-90500000D01* X153035000Y-46672500D02* X152844500Y-46482000D01* X147750000Y-66900000D02* @@ -4074,6 +4101,9 @@ X194250000Y-60800000D02* X194400000Y-60650000D01* X181673500Y-54625000D02* X181498000Y-54800500D01* +D61* +X169000000Y-48650000D02* +X169800000Y-48650000D01* D66* X116600000Y-79400000D02* X116600000Y-78100000D01* @@ -4232,9 +4262,6 @@ X116600000Y-78100000D01* D58* X122550000Y-57700000D02* X122700000Y-57850000D01* -D59* -X181100000Y-59400000D02* -X182399500Y-59400000D01* D62* X130450000Y-93900000D02* X130700000Y-93650000D01* @@ -4354,28 +4381,27 @@ X205740000Y-49657000D01* D66* X197167500Y-50800000D02* X200660000Y-50800000D01* +D61* +X193100000Y-55051000D02* +X193100000Y-65550000D01* D59* X184550000Y-43900000D02* X184550000Y-43000000D01* X194450000Y-109650000D02* X193700000Y-109650000D01* -D61* -X194700000Y-67000000D02* -X194700000Y-91700000D01* -D59* X184550000Y-43900000D02* X184550000Y-44800000D01* D61* -X193100000Y-55051000D02* -X193100000Y-65400000D01* -X189946500Y-51897500D02* -X193100000Y-55051000D01* +X194700000Y-67150000D02* +X194700000Y-91700000D01* D65* X184550000Y-41925000D02* X184550000Y-43000000D01* D61* -X193100000Y-65400000D02* -X194700000Y-67000000D01* +X193100000Y-65550000D02* +X194700000Y-67150000D01* +X189946500Y-51897500D02* +X193100000Y-55051000D01* D62* X190250000Y-43899400D02* X190849400Y-44498800D01* @@ -4453,70 +4479,78 @@ X199390000Y-115570000D02* X204470000Y-115570000D01* X191950000Y-117250000D02* X192650000Y-117950000D01* -X199136000Y-113284000D02* -X199136000Y-115364000D01* -X199390000Y-113030000D02* -X199136000Y-113284000D01* -X193000000Y-117650000D02* -X191950000Y-116600000D01* X204470000Y-113030000D02* +X205740000Y-111760000D01* +X196850000Y-117650000D02* +X198550000Y-115950000D01* +X198550000Y-113870000D02* X199390000Y-113030000D01* X191950000Y-116600000D02* -X191050000Y-116600000D01* -X196850000Y-117650000D02* X193000000Y-117650000D01* -X199136000Y-115364000D02* +X191050000Y-116600000D02* +X191950000Y-116600000D01* +X198550000Y-115950000D02* +X198550000Y-113870000D01* +X193000000Y-117650000D02* X196850000Y-117650000D01* -X205740000Y-111760000D02* +X199390000Y-113030000D02* X204470000Y-113030000D01* X204470000Y-110490000D02* X205740000Y-109220000D01* X199390000Y-110490000D02* X204470000Y-110490000D01* -X198818500Y-111061500D02* -X199390000Y-110490000D01* -X191050000Y-115950000D02* -X191950000Y-115950000D01* -X198818500Y-115231500D02* -X198818500Y-111061500D01* -X196700000Y-117350000D02* -X198818500Y-115231500D01* X193350000Y-117350000D02* X196700000Y-117350000D01* X191950000Y-115950000D02* X193350000Y-117350000D01* -X191950000Y-115300000D02* -X191050000Y-115300000D01* +X196700000Y-117350000D02* +X198250000Y-115800000D01* +X198250000Y-115800000D02* +X198250000Y-111630000D01* +X198250000Y-111630000D02* +X199390000Y-110490000D01* +X191050000Y-115950000D02* +X191950000Y-115950000D01* X193700000Y-117050000D02* X191950000Y-115300000D01* -X196550000Y-117050000D02* -X193700000Y-117050000D01* -X198501000Y-115099000D02* -X196550000Y-117050000D01* -X198501000Y-108799000D02* -X198501000Y-115099000D01* -X199350000Y-107950000D02* -X198501000Y-108799000D01* -X204470000Y-107950000D02* -X199350000Y-107950000D01* X205740000Y-106680000D02* X204470000Y-107950000D01* -X204470000Y-105410000D02* -X205740000Y-104140000D01* +X199350000Y-107950000D02* +X198501000Y-108799000D01* +X196550000Y-117050000D02* +X193700000Y-117050000D01* +X191950000Y-115300000D02* +X191050000Y-115300000D01* +X204470000Y-107950000D02* +X199350000Y-107950000D01* +X197950000Y-115650000D02* +X196550000Y-117050000D01* +X197950000Y-110350000D02* +X197950000Y-115650000D01* +X198501000Y-109799000D02* +X197950000Y-110350000D01* +X198501000Y-108799000D02* +X198501000Y-109799000D01* +X191950000Y-114650000D02* +X194050000Y-116750000D01* X199390000Y-105410000D02* X204470000Y-105410000D01* X198183500Y-106616500D02* X199390000Y-105410000D01* -X191950000Y-114650000D02* -X194050000Y-116750000D01* -X198183500Y-114966500D02* -X198183500Y-106616500D01* -X196400000Y-116750000D02* -X198183500Y-114966500D01* X194050000Y-116750000D02* X196400000Y-116750000D01* X191050000Y-114650000D02* X191950000Y-114650000D01* +X204470000Y-105410000D02* +X205740000Y-104140000D01* +X198183500Y-109666500D02* +X198183500Y-106616500D01* +X197650000Y-115500000D02* +X197650000Y-110200000D01* +X196400000Y-116750000D02* +X197650000Y-115500000D01* +X197650000Y-110200000D02* +X198183500Y-109666500D01* X199180000Y-102870000D02* X204470000Y-102870000D01* X204470000Y-102870000D02* @@ -5193,16 +5227,12 @@ X184050000Y-86700000D02* X185150000Y-86700000D01* X120250000Y-116762500D02* X120250000Y-115650000D01* -X183550000Y-59800000D02* +X179600000Y-63750000D02* X183950000Y-59400000D01* +X177800000Y-63750000D02* +X179600000Y-63750000D01* X183950000Y-59400000D02* X185150000Y-59400000D01* -X183550000Y-63200000D02* -X183550000Y-59800000D01* -X177800000Y-63750000D02* -X183000000Y-63750000D01* -X183000000Y-63750000D02* -X183550000Y-63200000D01* X186050000Y-68500000D02* X186450000Y-68900000D01* X186450000Y-68900000D02* @@ -6661,26 +6691,30 @@ X176650000Y-78250000D02* X177750000Y-78250000D01* X140970000Y-70637500D02* X140970000Y-65786000D01* -X142519500Y-70637500D02* -X140970000Y-70637500D01* -X144653000Y-72771000D02* -X142519500Y-70637500D01* -X144653000Y-74295000D02* -X144653000Y-72771000D01* -X152450000Y-82092000D02* -X144653000Y-74295000D01* -X152450000Y-91627500D02* -X152450000Y-82092000D01* X142875000Y-52925000D02* X143793500Y-52006500D01* +X144653000Y-72771000D02* +X144653000Y-74295000D01* X178250000Y-77750000D02* X177750000Y-78250000D01* X174100000Y-64650000D02* X179150000Y-69700000D01* X143793500Y-52006500D02* X171386500Y-52006500D01* +X140970000Y-70637500D02* +X141706500Y-70637500D01* +X143319500Y-70548500D02* +X143319500Y-71437500D01* +X142176500Y-70167500D02* +X142938500Y-70167500D01* +X143319500Y-71437500D02* +X144653000Y-72771000D01* X142875000Y-54991000D02* X142875000Y-52925000D01* +X144653000Y-74295000D02* +X152450000Y-82092000D01* +X141706500Y-70637500D02* +X142176500Y-70167500D01* X174100000Y-54720000D02* X174100000Y-64650000D01* X179150000Y-69700000D02* @@ -6689,8 +6723,12 @@ X179150000Y-73800000D02* X178250000Y-74700000D01* X178250000Y-74700000D02* X178250000Y-77750000D01* +X142938500Y-70167500D02* +X143319500Y-70548500D01* X171386500Y-52006500D02* X174100000Y-54720000D01* +X152450000Y-82092000D02* +X152450000Y-91627500D01* X191050000Y-88650000D02* X192150000Y-88650000D01* X176650000Y-79550000D02* @@ -7879,10 +7917,8 @@ X131350000Y-89850000D02* X133450000Y-89850000D01* X133450000Y-89850000D02* X133750000Y-89550000D01* -D59* -X179500000Y-59400000D02* -X179500000Y-61900000D01* -D62* +X167400000Y-48650000D02* +X166650000Y-48650000D01* X128050000Y-86700000D02* X128050000Y-85700000D01* G04 #@! TA.AperFunction,Conductor* @@ -12581,9 +12617,28 @@ X197957089Y-106604501D01* X197953277Y-106628563D01* X197955478Y-106636774D01* X197958000Y-106655928D01* -X197958000Y-114842443D01* -X197940687Y-114890009D01* -X197936326Y-114894769D01* +X197958000Y-109542442D01* +X197940687Y-109590008D01* +X197936326Y-109594768D01* +X197496100Y-110034993D01* +X197493292Y-110037658D01* +X197463492Y-110064491D01* +X197463489Y-110064495D01* +X197453580Y-110086749D01* +X197448043Y-110096946D01* +X197434774Y-110117379D01* +X197433443Y-110125783D01* +X197427959Y-110144296D01* +X197424501Y-110152063D01* +X197424500Y-110152069D01* +X197424500Y-110176425D01* +X197423589Y-110188001D01* +X197419777Y-110212063D01* +X197421978Y-110220274D01* +X197424500Y-110239428D01* +X197424500Y-115375942D01* +X197407187Y-115423508D01* +X197402826Y-115428268D01* X196328269Y-116502826D01* X196282393Y-116524218D01* X196275943Y-116524500D01* @@ -13037,7 +13092,7 @@ X190235711Y-115583888D01* X190247742Y-115633056D01* X190235711Y-115666112D01* X190189760Y-115734882D01* -X190185321Y-115757197D01* +X190184611Y-115760770D01* X190179002Y-115788969D01* X190174500Y-115811600D01* X190174500Y-116088399D01* @@ -14867,6 +14922,77 @@ G37* G04 #@! TD.AperFunction* G04 #@! TA.AperFunction,Conductor* G36* +X200466079Y-110732813D02* +G01* +X200491389Y-110776650D01* +X200482599Y-110826500D01* +X200443822Y-110859037D01* +X200433898Y-110861883D01* +X200372431Y-110874948D01* +X200372425Y-110874949D01* +X200194705Y-110954075D01* +X200194694Y-110954081D01* +X200037310Y-111068428D01* +X199907130Y-111213007D01* +X199907128Y-111213010D01* +X199809854Y-111381494D01* +X199749739Y-111566512D01* +X199749738Y-111566516D01* +X199749738Y-111566518D01* +X199729402Y-111760000D01* +X199749125Y-111947654D01* +X199749739Y-111953487D01* +X199809855Y-112138505D01* +X199809854Y-112138505D01* +X199902805Y-112299500D01* +X199907130Y-112306992D01* +X200013925Y-112425600D01* +X200037310Y-112451571D01* +X200194694Y-112565918D01* +X200194705Y-112565924D01* +X200372425Y-112645050D01* +X200372427Y-112645050D01* +X200372429Y-112645051D01* +X200407468Y-112652499D01* +X200433898Y-112658117D01* +X200476826Y-112684941D01* +X200492468Y-112733082D01* +X200473506Y-112780016D01* +X200428812Y-112803780D01* +X200418513Y-112804500D01* +X199397842Y-112804500D01* +X199393969Y-112804399D01* +X199353933Y-112802300D01* +X199331193Y-112811029D01* +X199320066Y-112814325D01* +X199296233Y-112819391D01* +X199296232Y-112819392D01* +X199289347Y-112824394D01* +X199272381Y-112833606D01* +X199264440Y-112836654D01* +X199247211Y-112853883D01* +X199238389Y-112861417D01* +X199231262Y-112866596D01* +X199218675Y-112875741D01* +X199214423Y-112883106D01* +X199202666Y-112898427D01* +X198601826Y-113499268D01* +X198555950Y-113520660D01* +X198507055Y-113507559D01* +X198478022Y-113466095D01* +X198475500Y-113446942D01* +X198475500Y-111754057D01* +X198492813Y-111706491D01* +X198497174Y-111701731D01* +X199461731Y-110737174D01* +X199507607Y-110715782D01* +X199514057Y-110715500D01* +X200418513Y-110715500D01* +X200466079Y-110732813D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* X149554387Y-92410779D02* G01* X149640009Y-92448585D01* @@ -15295,7 +15421,7 @@ X199809855Y-109598505D01* X199809854Y-109598505D01* X199900357Y-109755260D01* X199907130Y-109766992D01* -X199978719Y-109846500D01* +X199979108Y-109846932D01* X200037310Y-109911571D01* X200194694Y-110025918D01* X200194705Y-110025924D01* @@ -15309,26 +15435,45 @@ X200492468Y-110193082D01* X200473506Y-110240016D01* X200428812Y-110263780D01* X200418513Y-110264500D01* -X199397861Y-110264500D01* -X199393988Y-110264399D01* -X199353934Y-110262299D01* -X199331184Y-110271032D01* -X199320056Y-110274328D01* +X199397842Y-110264500D01* +X199393969Y-110264399D01* +X199353933Y-110262300D01* +X199331193Y-110271029D01* +X199320066Y-110274325D01* +X199296233Y-110279391D01* X199296232Y-110279392D01* -X199296229Y-110279394D01* -X199289351Y-110284391D01* -X199272385Y-110293603D01* -X199264439Y-110296653D01* -X199247209Y-110313883D01* -X199238384Y-110321420D01* -X199218677Y-110335739D01* -X199214424Y-110343105D01* -X199202667Y-110358425D01* -X198852826Y-110708267D01* -X198806950Y-110729659D01* -X198758055Y-110716558D01* -X198729022Y-110675094D01* -X198726500Y-110655941D01* +X199289347Y-110284394D01* +X199272381Y-110293606D01* +X199264440Y-110296654D01* +X199247211Y-110313883D01* +X199238389Y-110321417D01* +X199230383Y-110327235D01* +X199218675Y-110335741D01* +X199214423Y-110343106D01* +X199202666Y-110358427D01* +X198301826Y-111259268D01* +X198255950Y-111280660D01* +X198207055Y-111267559D01* +X198178021Y-111226095D01* +X198175500Y-111206942D01* +X198175500Y-110474057D01* +X198192813Y-110426491D01* +X198197163Y-110421742D01* +X198654934Y-109963970D01* +X198657705Y-109961341D01* +X198687509Y-109934507D01* +X198697423Y-109912238D01* +X198702950Y-109902058D01* +X198716226Y-109881617D01* +X198717555Y-109873220D01* +X198723042Y-109854695D01* +X198726500Y-109846932D01* +X198726500Y-109822572D01* +X198727411Y-109810995D01* +X198729013Y-109800884D01* +X198731222Y-109786934D01* +X198729021Y-109778719D01* +X198726500Y-109759567D01* X198726500Y-108923057D01* X198743813Y-108875491D01* X198748174Y-108870731D01* @@ -15978,7 +16123,7 @@ X200947574Y-110105050D01* X201103685Y-110035545D01* X201125299Y-110025922D01* X201125900Y-110025486D01* -X201247671Y-109937013D01* +X201251121Y-109934507D01* X201282692Y-109911569D01* X201412870Y-109766992D01* X201510144Y-109598508D01* @@ -18732,6 +18877,84 @@ G37* G04 #@! TD.AperFunction* G04 #@! TA.AperFunction,Conductor* G36* +X200466079Y-87872813D02* +G01* +X200491389Y-87916650D01* +X200482599Y-87966500D01* +X200443822Y-87999037D01* +X200433898Y-88001883D01* +X200372431Y-88014948D01* +X200372425Y-88014949D01* +X200194705Y-88094075D01* +X200194694Y-88094081D01* +X200036144Y-88209275D01* +X200034445Y-88209762D01* +X200029831Y-88216734D01* +X199907130Y-88353006D01* +X199907128Y-88353010D01* +X199809854Y-88521494D01* +X199749739Y-88706512D01* +X199749738Y-88706516D01* +X199749738Y-88706518D01* +X199729402Y-88900000D01* +X199749725Y-89093362D01* +X199749739Y-89093487D01* +X199809855Y-89278505D01* +X199809854Y-89278505D01* +X199901559Y-89437342D01* +X199907130Y-89446992D01* +X200022839Y-89575500D01* +X200037310Y-89591571D01* +X200194694Y-89705918D01* +X200194705Y-89705924D01* +X200372425Y-89785050D01* +X200372427Y-89785050D01* +X200372429Y-89785051D01* +X200403804Y-89791720D01* +X200433898Y-89798117D01* +X200476826Y-89824941D01* +X200492468Y-89873082D01* +X200473506Y-89920016D01* +X200428812Y-89943780D01* +X200418513Y-89944500D01* +X200096342Y-89944500D01* +X200092469Y-89944399D01* +X200052433Y-89942300D01* +X200029693Y-89951029D01* +X200018566Y-89954325D01* +X199994733Y-89959391D01* +X199994732Y-89959392D01* +X199987847Y-89964394D01* +X199970881Y-89973606D01* +X199962940Y-89976654D01* +X199945711Y-89993883D01* +X199936889Y-90001417D01* +X199925310Y-90009830D01* +X199917175Y-90015741D01* +X199912923Y-90023106D01* +X199901166Y-90038427D01* +X199170326Y-90769268D01* +X199124450Y-90790660D01* +X199075555Y-90777559D01* +X199046522Y-90736095D01* +X199044000Y-90716942D01* +X199044000Y-89024057D01* +X199061313Y-88976491D01* +X199065674Y-88971731D01* +X199493790Y-88543615D01* +X199922513Y-88114891D01* +X199930518Y-88111158D01* +X199931299Y-88108028D01* +X199940317Y-88097087D01* +X200160231Y-87877174D01* +X200206108Y-87855782D01* +X200212557Y-87855500D01* +X200418513Y-87855500D01* +X200466079Y-87872813D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* X142640000Y-84923471D02* G01* X142655322Y-84935228D01* @@ -22151,6 +22374,87 @@ G37* G04 #@! TD.AperFunction* G04 #@! TA.AperFunction,Conductor* G36* +X142862008Y-70410313D02* +G01* +X142866768Y-70414674D01* +X143072326Y-70620231D01* +X143093718Y-70666107D01* +X143094000Y-70672557D01* +X143094000Y-71429638D01* +X143093899Y-71433511D01* +X143091800Y-71473563D01* +X143100531Y-71496314D01* +X143103826Y-71507437D01* +X143108892Y-71531266D01* +X143108894Y-71531270D01* +X143113888Y-71538143D01* +X143123105Y-71555118D01* +X143126154Y-71563060D01* +X143143388Y-71580294D01* +X143150919Y-71589112D01* +X143165240Y-71608823D01* +X143172602Y-71613073D01* +X143187926Y-71624832D01* +X143376268Y-71813174D01* +X143397660Y-71859050D01* +X143384559Y-71907945D01* +X143343095Y-71936979D01* +X143323942Y-71939500D01* +X142095136Y-71939500D01* +X142070013Y-71942414D01* +X142070007Y-71942415D01* +X141967234Y-71987794D01* +X141887794Y-72067234D01* +X141878498Y-72088288D01* +X141872521Y-72101826D01* +X141864324Y-72120390D01* +X141829273Y-72156911D01* +X141796629Y-72164500D01* +X141094057Y-72164500D01* +X141046491Y-72147187D01* +X141041731Y-72142826D01* +X140582174Y-71683269D01* +X140560782Y-71637393D01* +X140560500Y-71630943D01* +X140560500Y-71552475D01* +X140577813Y-71504909D01* +X140621650Y-71479599D01* +X140664387Y-71484779D01* +X140750009Y-71522585D01* +X140775135Y-71525500D01* +X141164864Y-71525499D01* +X141189991Y-71522585D01* +X141292765Y-71477206D01* +X141372206Y-71397765D01* +X141417585Y-71294991D01* +X141420500Y-71269865D01* +X141420500Y-70937000D01* +X141437813Y-70889434D01* +X141481650Y-70864124D01* +X141494500Y-70863000D01* +X141698639Y-70863000D01* +X141702512Y-70863101D01* +X141742564Y-70865200D01* +X141765316Y-70856465D01* +X141776427Y-70853174D01* +X141800268Y-70848107D01* +X141807142Y-70843112D01* +X141824121Y-70833892D01* +X141832060Y-70830846D01* +X141849293Y-70813612D01* +X141858109Y-70806082D01* +X141877823Y-70791760D01* +X141882073Y-70784397D01* +X141893829Y-70769075D01* +X142248231Y-70414674D01* +X142294108Y-70393282D01* +X142300557Y-70393000D01* +X142814442Y-70393000D01* +X142862008Y-70410313D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* X203006079Y-70092813D02* G01* X203031389Y-70136650D01* @@ -22640,7 +22944,7 @@ X200906668Y-66933745D01* X200947571Y-66925051D01* X200947572Y-66925050D01* X200947574Y-66925050D01* -X201084897Y-66863910D01* +X201083902Y-66864353D01* X201125299Y-66845922D01* X201127246Y-66844508D01* X201251725Y-66754068D01* @@ -23295,7 +23599,7 @@ X181735711Y-115583888D01* X181747742Y-115633056D01* X181735711Y-115666112D01* X181689760Y-115734882D01* -X181685321Y-115757197D01* +X181684611Y-115760770D01* X181679002Y-115788969D01* X181674500Y-115811600D01* X181674500Y-116088399D01* @@ -26534,7 +26838,7 @@ X106981776Y-125516597D01* X106987090Y-125526538D01* X107112117Y-125678883D01* X107264462Y-125803910D01* -X107303919Y-125825000D01* +X107319834Y-125833507D01* X107438268Y-125896812D01* X107438270Y-125896812D01* X107438273Y-125896814D01* @@ -27055,14 +27359,12 @@ X209458228Y-59818561D01* X209460200Y-59780936D01* X209451468Y-59758190D01* X209448170Y-59747054D01* -X209447584Y-59744296D01* X209443107Y-59723232D01* X209438107Y-59716350D01* X209428891Y-59699374D01* X209425846Y-59691441D01* X209408618Y-59674213D01* X209401076Y-59665382D01* -X209400429Y-59664491D01* X209386760Y-59645677D01* X209379396Y-59641425D01* X209364071Y-59629666D01* @@ -27858,50 +28160,29 @@ X195352455Y-58114504D01* X195266562Y-58171896D01* X195217393Y-58183927D01* X195184338Y-58171896D01* -X195180599Y-58169398D01* +X195160158Y-58155740D01* X195097740Y-58114034D01* X195024674Y-58099500D01* X194775326Y-58099500D01* -X194727683Y-58108977D01* -X194702259Y-58114034D01* -X194616112Y-58171595D01* -X194566943Y-58183626D01* -X194533888Y-58171595D01* -X194447740Y-58114034D01* -X194422317Y-58108977D01* -X194374674Y-58099500D01* -X194125326Y-58099500D01* -X194077683Y-58108977D01* -X194052259Y-58114034D01* -X193969399Y-58169398D01* -X193969398Y-58169399D01* -X193914034Y-58252259D01* -X193910987Y-58267576D01* -X193899500Y-58325326D01* -X193899500Y-59174674D01* -X193910107Y-59227997D01* -X193914034Y-59247740D01* -X193969157Y-59330240D01* -X193969399Y-59330601D01* -X194052260Y-59385966D01* -X194125326Y-59400500D01* -X194125328Y-59400500D01* -X194374672Y-59400500D01* -X194374674Y-59400500D01* -X194447740Y-59385966D01* -X194530601Y-59330601D01* -X194530601Y-59330599D01* -X194533888Y-59328404D01* -X194583056Y-59316373D01* -X194616111Y-59328404D01* -X194641611Y-59345442D01* -X194671543Y-59386263D01* +X194747445Y-58105046D01* +X194702258Y-58114034D01* +X194615660Y-58171896D01* +X194566492Y-58183927D01* +X194533437Y-58171896D01* +X194447544Y-58114504D01* +X194400000Y-58105046D01* +X194400000Y-59394951D01* +X194447545Y-59385494D01* +X194533435Y-59328104D01* +X194582603Y-59316072D01* +X194615658Y-59328102D01* +X194630733Y-59338174D01* +X194641610Y-59345441D01* +X194671543Y-59386261D01* X194674500Y-59406971D01* X194674500Y-59692138D01* X194674399Y-59696011D01* -X194673512Y-59712946D01* -X194672300Y-59736064D01* -X194674217Y-59741059D01* +X194672300Y-59736063D01* X194681031Y-59758814D01* X194684326Y-59769937D01* X194689392Y-59793766D01* @@ -28062,7 +28343,7 @@ X199251004Y-62456411D01* X199275064Y-62460222D01* X199275064Y-62460221D01* X199275065Y-62460222D01* -X199282417Y-62458252D01* +X199282082Y-62458341D01* X199283280Y-62458021D01* X199302432Y-62455500D01* X200418513Y-62455500D01* @@ -28165,44 +28446,25 @@ X195352455Y-64364504D01* X195266562Y-64421896D01* X195217393Y-64433927D01* X195184338Y-64421896D01* -X195180599Y-64419398D01* X195097740Y-64364034D01* +X195085785Y-64361656D01* X195024674Y-64349500D01* X194775326Y-64349500D01* -X194726615Y-64359189D01* -X194702259Y-64364034D01* -X194616112Y-64421595D01* -X194566943Y-64433626D01* -X194533888Y-64421595D01* -X194447740Y-64364034D01* -X194435785Y-64361656D01* -X194374674Y-64349500D01* -X194125326Y-64349500D01* -X194076615Y-64359189D01* -X194052259Y-64364034D01* -X193969399Y-64419398D01* -X193969398Y-64419399D01* -X193914034Y-64502259D01* -X193914034Y-64502260D01* -X193899500Y-64575326D01* -X193899500Y-65424674D01* -X193908515Y-65469994D01* -X193914034Y-65497740D01* -X193969157Y-65580240D01* -X193969399Y-65580601D01* -X194052260Y-65635966D01* -X194125326Y-65650500D01* -X194125328Y-65650500D01* -X194374672Y-65650500D01* -X194374674Y-65650500D01* -X194447740Y-65635966D01* -X194530601Y-65580601D01* -X194530601Y-65580599D01* -X194533888Y-65578404D01* -X194583056Y-65566373D01* -X194616111Y-65578404D01* -X194641611Y-65595442D01* -X194671543Y-65636263D01* +X194747445Y-64355046D01* +X194702258Y-64364034D01* +X194615660Y-64421896D01* +X194566492Y-64433927D01* +X194533437Y-64421896D01* +X194447544Y-64364504D01* +X194400000Y-64355046D01* +X194400000Y-65644951D01* +X194447545Y-65635494D01* +X194533435Y-65578104D01* +X194582603Y-65566072D01* +X194615658Y-65578102D01* +X194625553Y-65584713D01* +X194641610Y-65595441D01* +X194671543Y-65636261D01* X194674500Y-65656971D01* X194674500Y-65942138D01* X194674398Y-65946011D01* @@ -28724,7 +28986,7 @@ X188039392Y-115343766D01* X188039394Y-115343770D01* X188044388Y-115350643D01* X188053605Y-115367618D01* -X188056652Y-115375555D01* +X188055904Y-115373606D01* X188056654Y-115375560D01* X188073885Y-115392791D01* X188081421Y-115401615D01* @@ -30220,7 +30482,7 @@ X206027574Y-110105050D01* X206183685Y-110035545D01* X206205299Y-110025922D01* X206205900Y-110025486D01* -X206327671Y-109937013D01* +X206331121Y-109934507D01* X206362692Y-109911569D01* X206492870Y-109766992D01* X206590144Y-109598508D01* @@ -31852,6 +32114,8 @@ X204828193Y-71298784D01* X204829739Y-71313487D01* X204889855Y-71498505D01* X204889854Y-71498505D01* +X204893888Y-71505492D01* +X204917290Y-71546026D01* X204917368Y-71546160D01* X204926158Y-71596010D01* X204905608Y-71635486D01* @@ -32025,7 +32289,7 @@ X205837274Y-66965500D01* X206027571Y-66925051D01* X206027572Y-66925050D01* X206027574Y-66925050D01* -X206164897Y-66863910D01* +X206163902Y-66864353D01* X206205299Y-66845922D01* X206207246Y-66844508D01* X206331725Y-66754068D01* @@ -32080,7 +32344,7 @@ X203446668Y-66933745D01* X203487571Y-66925051D01* X203487572Y-66925050D01* X203487574Y-66925050D01* -X203624897Y-66863910D01* +X203623902Y-66864353D01* X203665299Y-66845922D01* X203667246Y-66844508D01* X203791725Y-66754068D01* @@ -32534,33 +32798,20 @@ X189749500Y-125375326D01* X189749500Y-125624674D01* X189763393Y-125694516D01* X189764034Y-125697740D01* -X189821595Y-125783888D01* -X189833626Y-125833057D01* -X189821595Y-125866112D01* -X189764034Y-125952259D01* -X189764034Y-125952260D01* -X189749500Y-126025326D01* -X189749500Y-126274674D01* -X189764034Y-126347740D01* -X189819399Y-126430601D01* -X189902260Y-126485966D01* -X189975326Y-126500500D01* -X189975328Y-126500500D01* -X190824672Y-126500500D01* -X190824674Y-126500500D01* -X190897740Y-126485966D01* -X190980601Y-126430601D01* -X191035966Y-126347740D01* -X191050500Y-126274674D01* -X191050500Y-126025326D01* -X191035966Y-125952260D01* -X190980601Y-125869399D01* -X190980599Y-125869398D01* -X190978404Y-125866112D01* -X190966373Y-125816944D01* -X190978405Y-125783887D01* -X190995444Y-125758387D01* -X191036266Y-125728456D01* +X189821896Y-125784338D01* +X189833927Y-125833507D01* +X189821896Y-125866562D01* +X189764504Y-125952455D01* +X189755047Y-126000000D01* +X191044952Y-126000000D01* +X191035495Y-125952455D01* +X190978103Y-125866561D01* +X190966072Y-125817392D01* +X190978105Y-125784334D01* +X190980598Y-125780602D01* +X190980601Y-125780601D01* +X190995445Y-125758384D01* +X191036267Y-125728456D01* X191056972Y-125725500D01* X193955942Y-125725500D01* X194003508Y-125742813D01* @@ -32634,7 +32885,7 @@ X207332071Y-64773166D01* X206574391Y-64015486D01* X206552999Y-63969610D01* X206562632Y-63926159D01* -X206567012Y-63918574D01* +X206584398Y-63888461D01* X206590144Y-63878508D01* X206650262Y-63693482D01* X206670598Y-63500000D01* @@ -32669,7 +32920,7 @@ X204695500Y-63252441D01* X204695500Y-62354057D01* X204712813Y-62306491D01* X204717174Y-62301731D01* -X204968905Y-62050000D01* +X204957307Y-62061598D01* X205223712Y-61795192D01* X205269587Y-61773801D01* X205306133Y-61779916D01* @@ -32870,11 +33121,32 @@ X189127656Y-126499906D01* X189197740Y-126485966D01* X189280601Y-126430601D01* X189335966Y-126347740D01* +X189345462Y-126300000D01* +X189755048Y-126300000D01* +X189764504Y-126347544D01* +X189819759Y-126430239D01* +X189819760Y-126430240D01* +X189902454Y-126485494D01* +X189975378Y-126500000D01* +X190250000Y-126500000D01* +X190250000Y-126300000D01* +X190550000Y-126300000D01* +X190550000Y-126500000D01* +X190824622Y-126500000D01* +X190897545Y-126485494D01* +X190980239Y-126430240D01* +X190980240Y-126430239D01* +X191035495Y-126347544D01* +X191044952Y-126300000D01* +X190550000Y-126300000D01* +X190250000Y-126300000D01* +X189755048Y-126300000D01* +X189345462Y-126300000D01* X189350500Y-126274674D01* X189350500Y-126025326D01* X189335966Y-125952260D01* X189280601Y-125869399D01* -X189226210Y-125833057D01* +X189276355Y-125866562D01* X189197740Y-125814034D01* X189124674Y-125799500D01* X189124672Y-125799500D01* @@ -33321,7 +33593,7 @@ X134363042Y-111065863D01* X135789500Y-111065863D01* X135792414Y-111090986D01* X135792415Y-111090992D01* -X135813167Y-111137991D01* +X135807652Y-111125500D01* X135837794Y-111193765D01* X135917235Y-111273206D01* X136020009Y-111318585D01* @@ -35701,6 +35973,9 @@ X131125302Y-93264353D01* X131125301Y-93264353D01* X131000000Y-93244508D01* X130874698Y-93264353D01* +X130874696Y-93264353D01* +X130874696Y-93264354D01* +X130857199Y-93273269D01* X130761656Y-93321951D01* X130670792Y-93412815D01* X130644978Y-93429577D01* @@ -35831,6 +36106,9 @@ X126525302Y-93264353D01* X126525301Y-93264353D01* X126400000Y-93244508D01* X126274698Y-93264353D01* +X126274696Y-93264353D01* +X126274696Y-93264354D01* +X126257199Y-93273269D01* X126161656Y-93321951D01* X126071950Y-93411658D01* X126071948Y-93411660D01* @@ -36463,41 +36741,53 @@ X131850500Y-90149500D01* X131867813Y-90101934D01* X131911650Y-90076624D01* X131924500Y-90075500D01* -X132675500Y-90075500D01* -X132723066Y-90092813D01* -X132748376Y-90136650D01* -X132749500Y-90149500D01* -X132749500Y-90414820D01* -X132756598Y-90450501D01* -X132758233Y-90458722D01* -X132790219Y-90506594D01* -X132791496Y-90508504D01* -X132841278Y-90541767D01* -X132885180Y-90550500D01* -X132885181Y-90550500D01* -X134614819Y-90550500D01* -X134614820Y-90550500D01* -X134658722Y-90541767D01* -X134708504Y-90508504D01* -X134741767Y-90458722D01* -X134750500Y-90414820D01* -X134750500Y-88685180D01* -X134741767Y-88641278D01* -X134708504Y-88591496D01* -X134679990Y-88572444D01* -X134658722Y-88558233D01* -X134614820Y-88549500D01* -X132885180Y-88549500D01* -X132863229Y-88553866D01* -X132841277Y-88558233D01* -X132791496Y-88591495D01* -X132791495Y-88591496D01* -X132758233Y-88641277D01* -X132749500Y-88685180D01* -X132749500Y-89550500D01* -X132732187Y-89598066D01* -X132688350Y-89623376D01* -X132675500Y-89624500D01* +X132675501Y-90075500D01* +X132723067Y-90092813D01* +X132748377Y-90136650D01* +X132749501Y-90149500D01* +X132749501Y-90181519D01* +X132764353Y-90275304D01* +X132764354Y-90275306D01* +X132805212Y-90355492D01* +X132821950Y-90388342D01* +X132911658Y-90478050D01* +X133024696Y-90535646D01* +X133118481Y-90550500D01* +X134381518Y-90550499D01* +X134381519Y-90550499D01* +X134475304Y-90535646D01* +X134475306Y-90535645D01* +X134498967Y-90523589D01* +X134588342Y-90478050D01* +X134678050Y-90388342D01* +X134735646Y-90275304D01* +X134750500Y-90181519D01* +X134750499Y-88918482D01* +X134749845Y-88914354D01* +X134735646Y-88824695D01* +X134735645Y-88824693D01* +X134695416Y-88745740D01* +X134678050Y-88711658D01* +X134588342Y-88621950D01* +X134475304Y-88564354D01* +X134475302Y-88564353D01* +X134475301Y-88564353D01* +X134381519Y-88549500D01* +X133118480Y-88549500D01* +X133024695Y-88564353D01* +X133024693Y-88564354D01* +X132911657Y-88621950D01* +X132821951Y-88711656D01* +X132821950Y-88711658D01* +X132781708Y-88790638D01* +X132764353Y-88824698D01* +X132750152Y-88914363D01* +X132749500Y-88918481D01* +X132749500Y-89264597D01* +X132749501Y-89550500D01* +X132732188Y-89598066D01* +X132688351Y-89623376D01* +X132675501Y-89624500D01* X131924499Y-89624500D01* X131876933Y-89607187D01* X131851623Y-89563350D01* @@ -36743,16 +37033,13 @@ X126304266Y-89150500D01* X126334699Y-89147646D01* X126462882Y-89102793D01* X126572150Y-89022150D01* -X126652793Y-88912882D01* -X126697646Y-88784699D01* -X126700500Y-88754266D01* -X127199500Y-88754266D01* -X127202354Y-88784699D01* -X127202354Y-88784701D01* -X127202355Y-88784704D01* -X127247206Y-88912881D01* -X127247207Y-88912883D01* -X127327846Y-89022146D01* +X126603216Y-88980057D01* +X126645391Y-88952066D01* +X126662756Y-88950000D01* +X127237244Y-88950000D01* +X127284810Y-88967313D01* +X127296784Y-88980057D01* +X127327849Y-89022149D01* X127327853Y-89022153D01* X127437116Y-89102792D01* X127437118Y-89102793D01* @@ -36990,106 +37277,13 @@ X128874776Y-87949940D01* X128852794Y-87887119D01* X128852792Y-87887116D01* X128772153Y-87777853D01* -X128772146Y-87777846D01* -X128662883Y-87697207D01* -X128662881Y-87697206D01* -X128534704Y-87652355D01* -X128534705Y-87652355D01* -X128534700Y-87652354D01* -X128534699Y-87652354D01* -X128504266Y-87649500D01* -X127595734Y-87649500D01* -X127565301Y-87652354D01* -X127565299Y-87652354D01* -X127565295Y-87652355D01* -X127437118Y-87697206D01* -X127437116Y-87697207D01* -X127327853Y-87777846D01* -X127327846Y-87777853D01* -X127247207Y-87887116D01* -X127247206Y-87887118D01* -X127202355Y-88015295D01* -X127202354Y-88015299D01* -X127202354Y-88015301D01* -X127199500Y-88045734D01* -X127199500Y-88754266D01* -X126700500Y-88754266D01* -X126700500Y-88045734D01* -X126697646Y-88015301D01* -X126697522Y-88014948D01* -X126652793Y-87887118D01* -X126652792Y-87887116D01* -X126572153Y-87777853D01* -X126572146Y-87777846D01* -X126462883Y-87697207D01* -X126462881Y-87697206D01* -X126334704Y-87652355D01* -X126334705Y-87652355D01* -X126334700Y-87652354D01* -X126334699Y-87652354D01* -X126304266Y-87649500D01* -X125395734Y-87649500D01* -X125365301Y-87652354D01* -X125365299Y-87652354D01* -X125365295Y-87652355D01* -X125237118Y-87697206D01* -X125237116Y-87697207D01* -X125127853Y-87777846D01* -X125127846Y-87777853D01* -X125047207Y-87887116D01* -X125047206Y-87887118D01* -X125002355Y-88015295D01* -X125002354Y-88015299D01* -X125002354Y-88015301D01* -X124999500Y-88045734D01* -X124999500Y-88754266D01* -X124225500Y-88754266D01* -X124225500Y-86850000D01* -X125000001Y-86850000D01* -X125000001Y-87054205D01* -X125002850Y-87084602D01* -X125002852Y-87084611D01* -X125047652Y-87212643D01* -X125128207Y-87321791D01* -X125128208Y-87321792D01* -X125237356Y-87402347D01* -X125237355Y-87402347D01* -X125365385Y-87447146D01* -X125365393Y-87447148D01* -X125395802Y-87449999D01* -X125700000Y-87449999D01* -X125700000Y-86850000D01* -X126000000Y-86850000D01* -X126000000Y-87449999D01* -X126304194Y-87449999D01* -X126304205Y-87449998D01* -X126334602Y-87447149D01* -X126334611Y-87447147D01* -X126462643Y-87402347D01* -X126571791Y-87321792D01* -X126571792Y-87321791D01* -X126652347Y-87212643D01* -X126697146Y-87084614D01* -X126697148Y-87084606D01* -X126699993Y-87054266D01* -X127199500Y-87054266D01* -X127202354Y-87084699D01* -X127202354Y-87084701D01* -X127202355Y-87084704D01* -X127247206Y-87212881D01* -X127247207Y-87212883D01* -X127327846Y-87322146D01* -X127327853Y-87322153D01* -X127437116Y-87402792D01* -X127437118Y-87402793D01* -X127565295Y-87447644D01* -X127565301Y-87447646D01* -X127595734Y-87450500D01* -X127595741Y-87450500D01* -X128504258Y-87450500D01* -X128504266Y-87450500D01* -X128534699Y-87447646D01* -X128662882Y-87402793D01* +X128772149Y-87777849D01* +X128730057Y-87746783D01* +X128702066Y-87704607D01* +X128700000Y-87687243D01* +X128700000Y-87412755D01* +X128717313Y-87365189D01* +X128730054Y-87353217D01* X128772150Y-87322150D01* X128852793Y-87212882D01* X128897646Y-87084699D01* @@ -37269,34 +37463,13 @@ X127565295Y-85952355D01* X127437118Y-85997206D01* X127437116Y-85997207D01* X127327853Y-86077846D01* -X127327846Y-86077853D01* -X127247207Y-86187116D01* -X127247206Y-86187118D01* -X127202355Y-86315295D01* -X127202354Y-86315299D01* -X127202354Y-86315301D01* -X127199500Y-86345734D01* -X127199500Y-87054266D01* -X126699993Y-87054266D01* -X126699999Y-87054198D01* -X126700000Y-87054197D01* -X126700000Y-86850000D01* -X126000000Y-86850000D01* -X125700000Y-86850000D01* -X125000001Y-86850000D01* -X124225500Y-86850000D01* -X124225500Y-86550000D01* -X125000000Y-86550000D01* -X125700000Y-86550000D01* -X125700000Y-85950000D01* -X126000000Y-85950000D01* -X126000000Y-86550000D01* -X126699999Y-86550000D01* -X126699999Y-86345806D01* -X126699998Y-86345794D01* -X126697149Y-86315397D01* -X126697147Y-86315388D01* -X126652347Y-86187356D01* +X127327849Y-86077850D01* +X127296784Y-86119943D01* +X127254609Y-86147934D01* +X127237244Y-86150000D01* +X126662134Y-86150000D01* +X126614568Y-86132687D01* +X126602594Y-86119943D01* X126571792Y-86078208D01* X126571791Y-86078207D01* X126462643Y-85997652D01* @@ -37305,6 +37478,14 @@ X126334614Y-85952853D01* X126334606Y-85952851D01* X126304198Y-85950000D01* X126000000Y-85950000D01* +X126000000Y-86076000D01* +X125982687Y-86123566D01* +X125938850Y-86148876D01* +X125926000Y-86150000D01* +X125774000Y-86150000D01* +X125726434Y-86132687D01* +X125701124Y-86088850D01* +X125700000Y-86076000D01* X125700000Y-85950000D01* X125395806Y-85950000D01* X125395793Y-85950001D01* @@ -37318,7 +37499,36 @@ X125002853Y-86315385D01* X125002851Y-86315393D01* X125000000Y-86345801D01* X125000000Y-86550000D01* -X124225500Y-86550000D01* +X125126000Y-86550000D01* +X125173566Y-86567313D01* +X125198876Y-86611150D01* +X125200000Y-86624000D01* +X125200000Y-86776000D01* +X125182687Y-86823566D01* +X125138850Y-86848876D01* +X125126000Y-86850000D01* +X125000001Y-86850000D01* +X125000001Y-87054205D01* +X125002850Y-87084602D01* +X125002852Y-87084611D01* +X125047652Y-87212643D01* +X125128207Y-87321791D01* +X125169942Y-87352592D01* +X125197934Y-87394767D01* +X125200000Y-87412133D01* +X125200000Y-87687243D01* +X125182687Y-87734809D01* +X125169943Y-87746783D01* +X125127850Y-87777849D01* +X125127846Y-87777853D01* +X125047207Y-87887116D01* +X125047206Y-87887118D01* +X125002355Y-88015295D01* +X125002354Y-88015299D01* +X125002354Y-88015301D01* +X124999500Y-88045734D01* +X124999500Y-88754266D01* +X124225500Y-88754266D01* X124225500Y-82394863D01* X130359500Y-82394863D01* X130362414Y-82419986D01* @@ -38386,7 +38596,7 @@ X137344500Y-59922135D01* X137344500Y-62759863D01* X137347414Y-62784986D01* X137347415Y-62784992D01* -X137379874Y-62858504D01* +X137377997Y-62854253D01* X137392794Y-62887765D01* X137472235Y-62967206D01* X137525389Y-62990675D01* @@ -38572,7 +38782,7 @@ X136074500Y-59922135D01* X136074500Y-62759863D01* X136077414Y-62784986D01* X136077415Y-62784992D01* -X136109874Y-62858504D01* +X136107997Y-62854253D01* X136122794Y-62887765D01* X136202235Y-62967206D01* X136255389Y-62990675D01* @@ -39208,7 +39418,7 @@ X128454500Y-59922135D01* X128454500Y-62759863D01* X128457414Y-62784986D01* X128457415Y-62784992D01* -X128489874Y-62858504D01* +X128487997Y-62854253D01* X128502794Y-62887765D01* X128582235Y-62967206D01* X128685009Y-63012585D01* @@ -39291,7 +39501,7 @@ X129724500Y-59922135D01* X129724500Y-62759863D01* X129727414Y-62784986D01* X129727415Y-62784992D01* -X129759874Y-62858504D01* +X129757997Y-62854253D01* X129772794Y-62887765D01* X129852235Y-62967206D01* X129955009Y-63012585D01* @@ -39372,7 +39582,7 @@ X130994500Y-59922135D01* X130994500Y-62759863D01* X130997414Y-62784986D01* X130997415Y-62784992D01* -X131029874Y-62858504D01* +X131027997Y-62854253D01* X131042794Y-62887765D01* X131122235Y-62967206D01* X131225009Y-63012585D01* @@ -39455,7 +39665,7 @@ X132264500Y-59922135D01* X132264500Y-62759863D01* X132267414Y-62784986D01* X132267415Y-62784992D01* -X132299874Y-62858504D01* +X132297997Y-62854253D01* X132312794Y-62887765D01* X132392235Y-62967206D01* X132495009Y-63012585D01* @@ -39538,7 +39748,7 @@ X133534500Y-59922135D01* X133534500Y-62759863D01* X133537414Y-62784986D01* X133537415Y-62784992D01* -X133569874Y-62858504D01* +X133567997Y-62854253D01* X133582794Y-62887765D01* X133662235Y-62967206D01* X133765009Y-63012585D01* @@ -39621,7 +39831,7 @@ X134804500Y-59922135D01* X134804500Y-62759863D01* X134807414Y-62784986D01* X134807415Y-62784992D01* -X134839874Y-62858504D01* +X134837997Y-62854253D01* X134852794Y-62887765D01* X134932235Y-62967206D01* X135035009Y-63012585D01* @@ -39999,7 +40209,7 @@ X138614500Y-59922135D01* X138614500Y-62759863D01* X138617414Y-62784986D01* X138617415Y-62784992D01* -X138649874Y-62858504D01* +X138647997Y-62854253D01* X138662794Y-62887765D01* X138742235Y-62967206D01* X138795389Y-62990675D01* @@ -44679,433 +44889,649 @@ X164136951Y-95329156D01* X164079353Y-95442198D01* X164059508Y-95567500D01* X162561404Y-95567500D01* +X164633904Y-93495000D01* +X169126435Y-93495000D01* +X169130969Y-93541035D01* +X169188151Y-93729539D01* +X169281004Y-93903252D01* +X169281013Y-93903266D01* +X169405971Y-94055526D01* +X169405973Y-94055528D01* +X169558233Y-94180486D01* +X169558247Y-94180495D01* +X169731960Y-94273348D01* +X169920464Y-94330530D01* +X169966500Y-94335064D01* +X169966500Y-93826170D01* +X169974185Y-93829680D01* +X170080737Y-93845000D01* +X170152263Y-93845000D01* +X170258815Y-93829680D01* +X170266500Y-93826170D01* +X170266500Y-94335064D01* +X170312535Y-94330530D01* +X170501039Y-94273348D01* +X170674752Y-94180495D01* +X170674766Y-94180486D01* +X170827026Y-94055528D01* +X170827028Y-94055526D01* +X170951986Y-93903266D01* +X170951995Y-93903252D01* +X171044848Y-93729539D01* +X171102030Y-93541035D01* +X171106565Y-93495000D01* +X173507935Y-93495000D01* +X173512469Y-93541035D01* +X173569651Y-93729539D01* +X173662504Y-93903252D01* +X173662513Y-93903266D01* +X173787471Y-94055526D01* +X173787473Y-94055528D01* +X173939733Y-94180486D01* +X173939747Y-94180495D01* +X174113460Y-94273348D01* +X174301964Y-94330530D01* +X174348000Y-94335064D01* +X174348000Y-93826170D01* +X174355685Y-93829680D01* +X174462237Y-93845000D01* +X174533763Y-93845000D01* +X174640315Y-93829680D01* +X174648000Y-93826170D01* +X174648000Y-94335064D01* +X174694035Y-94330530D01* +X174882539Y-94273348D01* +X175056252Y-94180495D01* +X175056266Y-94180486D01* +X175208526Y-94055528D01* +X175208528Y-94055526D01* +X175333486Y-93903266D01* +X175333495Y-93903252D01* +X175426348Y-93729539D01* +X175483530Y-93541035D01* +X175488065Y-93495000D01* +X174975065Y-93495000D01* +X174998000Y-93416889D01* +X174998000Y-93273111D01* +X174975065Y-93195000D01* +X175488064Y-93195000D01* +X175483530Y-93148964D01* +X175426348Y-92960460D01* +X175333495Y-92786747D01* +X175333486Y-92786733D01* +X175208528Y-92634473D01* +X175208526Y-92634471D01* +X175056266Y-92509513D01* +X175056252Y-92509504D01* +X174882539Y-92416651D01* +X174694037Y-92359469D01* +X174648000Y-92354934D01* +X174648000Y-92863829D01* +X174640315Y-92860320D01* +X174533763Y-92845000D01* +X174462237Y-92845000D01* +X174355685Y-92860320D01* +X174348000Y-92863829D01* +X174348000Y-92354934D01* +X174301962Y-92359469D01* +X174113460Y-92416651D01* +X173939747Y-92509504D01* +X173939733Y-92509513D01* +X173787473Y-92634471D01* +X173787471Y-92634473D01* +X173662513Y-92786733D01* +X173662504Y-92786747D01* +X173569651Y-92960460D01* +X173512469Y-93148964D01* +X173507935Y-93195000D01* +X174020935Y-93195000D01* +X173998000Y-93273111D01* +X173998000Y-93416889D01* +X174020935Y-93495000D01* +X173507935Y-93495000D01* +X171106565Y-93495000D01* +X170593565Y-93495000D01* +X170616500Y-93416889D01* +X170616500Y-93273111D01* +X170593565Y-93195000D01* +X171106564Y-93195000D01* +X171102030Y-93148964D01* +X171044848Y-92960460D01* +X170951995Y-92786747D01* +X170951986Y-92786733D01* +X170827028Y-92634473D01* +X170827026Y-92634471D01* +X170674766Y-92509513D01* +X170674752Y-92509504D01* +X170501039Y-92416651D01* +X170312537Y-92359469D01* +X170266500Y-92354934D01* +X170266500Y-92863829D01* +X170258815Y-92860320D01* +X170152263Y-92845000D01* +X170080737Y-92845000D01* +X169974185Y-92860320D01* +X169966500Y-92863829D01* +X169966500Y-92354934D01* +X169920462Y-92359469D01* +X169731960Y-92416651D01* +X169558247Y-92509504D01* +X169558233Y-92509513D01* +X169405973Y-92634471D01* +X169405971Y-92634473D01* +X169281013Y-92786733D01* +X169281004Y-92786747D01* +X169188151Y-92960460D01* +X169130969Y-93148964D01* +X169126435Y-93195000D01* +X169639435Y-93195000D01* +X169616500Y-93273111D01* +X169616500Y-93416889D01* +X169639435Y-93495000D01* +X169126435Y-93495000D01* +X164633904Y-93495000D01* X165978904Y-92150000D01* -X173400001Y-92150000D01* -X173400001Y-92294964D01* -X173415299Y-92391559D01* -X173474622Y-92507985D01* -X173567015Y-92600378D01* -X173683441Y-92659700D01* -X173683442Y-92659701D01* -X173780033Y-92674999D01* -X174100000Y-92674999D01* -X174100000Y-92150000D01* -X174400000Y-92150000D01* -X174400000Y-92674999D01* -X174719965Y-92674999D01* -X174816559Y-92659700D01* -X174932985Y-92600377D01* -X175025378Y-92507984D01* -X175025378Y-92507983D01* -X175084700Y-92391558D01* -X175084701Y-92391557D01* -X175100000Y-92294966D01* -X175100000Y-92150000D01* -X174400000Y-92150000D01* -X174100000Y-92150000D01* -X173400001Y-92150000D01* +X176800001Y-92150000D01* +X176800001Y-92294964D01* +X176815299Y-92391559D01* +X176874622Y-92507985D01* +X176967015Y-92600378D01* +X177083441Y-92659700D01* +X177083442Y-92659701D01* +X177180033Y-92674999D01* +X177500000Y-92674999D01* +X177500000Y-92150000D01* +X177800000Y-92150000D01* +X177800000Y-92674999D01* +X178119965Y-92674999D01* +X178216559Y-92659700D01* +X178332985Y-92600377D01* +X178425378Y-92507984D01* +X178425378Y-92507983D01* +X178484700Y-92391558D01* +X178484701Y-92391557D01* +X178500000Y-92294966D01* +X178500000Y-92150000D01* +X177800000Y-92150000D01* +X177500000Y-92150000D01* +X176800001Y-92150000D01* X165978904Y-92150000D01* X166278904Y-91850000D01* -X173400000Y-91850000D01* -X174100000Y-91850000D01* -X174100000Y-91325000D01* -X174400000Y-91325000D01* -X174400000Y-91850000D01* -X175099999Y-91850000D01* -X175099999Y-91705035D01* -X175084700Y-91608440D01* -X175025377Y-91492014D01* -X174932984Y-91399621D01* -X174816558Y-91340299D01* -X174816557Y-91340298D01* -X174719967Y-91325000D01* -X174400000Y-91325000D01* -X174100000Y-91325000D01* -X173780035Y-91325000D01* -X173683440Y-91340299D01* -X173567014Y-91399622D01* -X173474621Y-91492015D01* -X173474621Y-91492016D01* -X173415299Y-91608441D01* -X173415298Y-91608442D01* -X173400000Y-91705033D01* -X173400000Y-91850000D01* +X176800000Y-91850000D01* +X177500000Y-91850000D01* +X177500000Y-91325000D01* +X177800000Y-91325000D01* +X177800000Y-91850000D01* +X178499999Y-91850000D01* +X178499999Y-91705035D01* +X178484700Y-91608440D01* +X178425377Y-91492014D01* +X178332984Y-91399621D01* +X178216558Y-91340299D01* +X178216557Y-91340298D01* +X178119967Y-91325000D01* +X177800000Y-91325000D01* +X177500000Y-91325000D01* +X177180035Y-91325000D01* +X177083440Y-91340299D01* +X176967014Y-91399622D01* +X176874621Y-91492015D01* +X176874621Y-91492016D01* +X176815299Y-91608441D01* +X176815298Y-91608442D01* +X176800000Y-91705033D01* +X176800000Y-91850000D01* X166278904Y-91850000D01* -X167628902Y-90500002D01* -X172644867Y-90500002D01* -X172647341Y-90517214D01* -X172648042Y-90530509D01* -X172647275Y-90551003D01* -X172647276Y-90551012D01* -X172656763Y-90586419D01* -X172658531Y-90595038D01* -X172663302Y-90628223D01* -X172663302Y-90628224D01* -X172663303Y-90628226D01* -X172665974Y-90634075D01* -X172672019Y-90647313D01* -X172676183Y-90658897D01* -X172681481Y-90678668D01* -X172682424Y-90682187D01* -X172700030Y-90710207D01* -X172704676Y-90718821D01* -X172717118Y-90746063D01* -X172720631Y-90750117D01* -X172733210Y-90764635D01* -X172739940Y-90773721D01* -X172741102Y-90775571D01* -X172754675Y-90797173D01* -X172754676Y-90797174D01* -X172754677Y-90797175D01* -X172775623Y-90815200D01* -X172777026Y-90816407D01* -X172784685Y-90824041D01* -X172801944Y-90843961D01* -X172801950Y-90843966D01* -X172801951Y-90843967D01* -X172825642Y-90859192D01* -X172833899Y-90865352D01* -X172857612Y-90885758D01* -X172881352Y-90896115D01* -X172891766Y-90901687D01* -X172910931Y-90914004D01* -X172929834Y-90919554D01* -X172941383Y-90922945D01* -X172950127Y-90926121D01* -X172982084Y-90940065D01* -X173004259Y-90942563D01* -X173016823Y-90945096D01* -X173025661Y-90947690D01* -X173035228Y-90950500D01* -X173070541Y-90950500D01* -X173078826Y-90950965D01* -X173117034Y-90955270D01* -X173117034Y-90955269D01* -X173117035Y-90955270D01* -X173135428Y-90951790D01* -X173149185Y-90950500D01* -X173164771Y-90950500D01* -X173164772Y-90950500D01* -X173202098Y-90939539D01* -X173209175Y-90937835D01* -X173250472Y-90930023D01* -X173257851Y-90926123D01* -X173263838Y-90922959D01* -X173277571Y-90917380D01* -X173289066Y-90914005D01* -X173289066Y-90914004D01* -X173289069Y-90914004D01* -X173324818Y-90891028D01* -X173330192Y-90887888D01* -X173370538Y-90866566D01* -X173378680Y-90858423D01* -X173390997Y-90848498D01* -X173398049Y-90843967D01* -X173398052Y-90843962D01* -X173402049Y-90840501D01* -X173402818Y-90841388D01* -X173442153Y-90819501D01* -X173491858Y-90829078D01* -X173506175Y-90840245D01* -X173566719Y-90900789D01* -X173683285Y-90960182D01* -X173779997Y-90975500D01* -X174720002Y-90975499D01* -X174816715Y-90960182D01* -X174933281Y-90900789D01* -X174993826Y-90840243D01* -X175039699Y-90818852D01* -X175088594Y-90831952D01* -X175101036Y-90843173D01* -X175101945Y-90843960D01* -X175101951Y-90843967D01* -X175142210Y-90869839D01* -X175146098Y-90872519D01* -X175187118Y-90902793D01* -X175192862Y-90904802D01* -X175208433Y-90912398D01* -X175210931Y-90914004D01* -X175260270Y-90928490D01* -X175263852Y-90929643D01* -X175315300Y-90947646D01* -X175316296Y-90947683D01* -X175317765Y-90947738D01* -X175330936Y-90949883D01* -X175335225Y-90950500D01* -X175335228Y-90950500D01* -X175390180Y-90950500D01* -X175392948Y-90950552D01* -X175451005Y-90952725D01* -X175451006Y-90952724D01* -X175451010Y-90952725D01* -X175451013Y-90952724D01* -X175456520Y-90952104D01* -X175456525Y-90952149D01* -X175459606Y-90951743D01* -X175459536Y-90951253D01* -X175464773Y-90950500D01* -X175520899Y-90934020D01* -X175522595Y-90933544D01* -X175562097Y-90922959D01* -X175582187Y-90917576D01* -X175582191Y-90917573D01* -X175583636Y-90916943D01* -X175588985Y-90914056D01* -X175589063Y-90914005D01* -X175589069Y-90914004D01* -X175641466Y-90880329D01* -X175641826Y-90880101D01* -X175697175Y-90845324D01* -X175740992Y-90794406D01* -X175782882Y-90746063D01* -X175782883Y-90746060D01* -X175784944Y-90743682D01* -X175785753Y-90742392D01* -X175785758Y-90742388D01* -X175811153Y-90684178D01* -X175811591Y-90683195D01* -X175836697Y-90628226D01* -X175836698Y-90628214D01* -X175837704Y-90624792D01* -X175839680Y-90619353D01* -X175840064Y-90617918D01* -X175840063Y-90617918D01* -X175840065Y-90617916D01* -X175846769Y-90558398D01* -X175847047Y-90556227D01* -X175855133Y-90500000D01* -X175855132Y-90499997D01* -X175855133Y-90499995D01* -X175855133Y-90494703D01* -X175855368Y-90494703D01* -X175855197Y-90483611D01* -X175855270Y-90482965D01* -X175844793Y-90427594D01* -X175844267Y-90424432D01* -X175836697Y-90371774D01* -X175836697Y-90371772D01* -X175836220Y-90370728D01* -X175830821Y-90353739D01* -X175830025Y-90349532D01* -X175830024Y-90349530D01* -X175830024Y-90349529D01* -X175805375Y-90302893D01* -X175803488Y-90299058D01* -X175793893Y-90278048D01* -X175782882Y-90253937D01* -X175782880Y-90253935D01* -X175782880Y-90253934D01* -X175779756Y-90250329D01* -X175770258Y-90236449D01* -X175766566Y-90229463D01* -X175731796Y-90194693D01* -X175728202Y-90190832D01* -X175698052Y-90156036D01* -X175698044Y-90156029D01* -X175690990Y-90151496D01* -X175678674Y-90141571D01* -X175539380Y-90002277D01* -X175533850Y-89996089D01* -X175532091Y-89993883D01* -X175509879Y-89966030D01* -X175509878Y-89966029D01* -X175509877Y-89966028D01* -X175464301Y-89934955D01* -X175461869Y-89933297D01* -X175459621Y-89931702D01* -X175412882Y-89897207D01* -X175407981Y-89894617D01* -X175408002Y-89894575D01* -X175402687Y-89891892D01* -X175402668Y-89891933D01* -X175397672Y-89889527D01* -X175342138Y-89872397D01* -X175339510Y-89871533D01* -X175284699Y-89852354D01* -X175284697Y-89852353D01* -X175284695Y-89852353D01* -X175279251Y-89851323D01* -X175279259Y-89851278D01* -X175273391Y-89850281D01* -X175273385Y-89850326D01* -X175267903Y-89849500D01* -X175267902Y-89849500D01* -X175209804Y-89849500D01* -X175207036Y-89849448D01* -X175148994Y-89847275D01* -X175143481Y-89847897D01* -X175143475Y-89847850D01* -X175130948Y-89849500D01* -X175100577Y-89849500D01* -X175053011Y-89832187D01* -X175034643Y-89809095D01* -X175025790Y-89791720D01* -X174933281Y-89699211D01* -X174816715Y-89639818D01* -X174762922Y-89631297D01* -X174718651Y-89606755D01* -X174700511Y-89559498D01* -X174700500Y-89558208D01* -X174700500Y-89387516D01* -X174701253Y-89376985D01* -X174701649Y-89374232D01* -X174705133Y-89350000D01* -X174701095Y-89321920D01* -X174700551Y-89316929D01* -X174700500Y-89316256D01* -X174700500Y-89316238D01* -X174695703Y-89284414D01* -X174686697Y-89221774D01* -X174686695Y-89221770D01* -X174686454Y-89220092D01* -X174685837Y-89217297D01* -X174685348Y-89215714D01* -X174684810Y-89214597D01* -X174665054Y-89173573D01* -X174658209Y-89159358D01* -X174657568Y-89157992D01* -X174657090Y-89156945D01* -X174632882Y-89103937D01* -X174632880Y-89103935D01* -X174632880Y-89103934D01* -X174630021Y-89099484D01* -X174630554Y-89099141D01* -X174629699Y-89097840D01* -X174629551Y-89097942D01* -X174626428Y-89093362D01* -X174626425Y-89093359D01* -X174626425Y-89093358D01* -X174586276Y-89050088D01* -X174584653Y-89048277D01* -X174548049Y-89006033D01* -X174548048Y-89006032D01* -X174544051Y-89002569D01* -X174544119Y-89002489D01* -X174535124Y-88994958D01* -X174534055Y-88993806D01* -X174534054Y-88993805D01* -X174486065Y-88966098D01* -X174483059Y-88964266D01* -X174439066Y-88935994D01* -X174439067Y-88935994D01* -X174437089Y-88935414D01* -X174420936Y-88928497D01* -X174416445Y-88925904D01* -X174416444Y-88925903D01* -X174416441Y-88925902D01* -X174416443Y-88925902D01* -X174365889Y-88914363D01* -X174361511Y-88913222D01* -X174314773Y-88899500D01* -X174314772Y-88899500D01* -X174309100Y-88899500D01* -X174292634Y-88897645D01* -X174284047Y-88895685D01* -X174284046Y-88895685D01* -X174241598Y-88898866D01* -X174235902Y-88899293D01* -X174230372Y-88899500D01* -X174185223Y-88899500D01* -X174176313Y-88902116D01* -X174161005Y-88904905D01* -X174148622Y-88905833D01* -X174107008Y-88922165D01* -X174100824Y-88924282D01* -X174060927Y-88935997D01* -X174050082Y-88942967D01* -X174037115Y-88949596D01* -X174033246Y-88951114D01* -X174022202Y-88955449D01* -X173990056Y-88981085D01* -X173983929Y-88985480D01* -X173951951Y-89006032D01* -X173941149Y-89018498D01* -X173931368Y-89027888D01* -X173916031Y-89040119D01* -X173916031Y-89040120D01* -X173894872Y-89071152D01* -X173889659Y-89077920D01* -X173867122Y-89103930D01* -X173867115Y-89103941D01* -X173858775Y-89122202D01* -X173852607Y-89133141D01* -X173839527Y-89152326D01* -X173839527Y-89152327D01* -X173829514Y-89184790D01* -X173826116Y-89193717D01* -X173813302Y-89221776D01* -X173809931Y-89245214D01* -X173807399Y-89256484D01* -X173799500Y-89282098D01* -X173799500Y-89312482D01* -X173798747Y-89323012D01* -X173796798Y-89336567D01* -X173794867Y-89350000D01* -X173796365Y-89360420D01* -X173798747Y-89376985D01* -X173799500Y-89387516D01* -X173799500Y-89558209D01* -X173782187Y-89605775D01* -X173738350Y-89631085D01* -X173737077Y-89631298D01* -X173683284Y-89639818D01* -X173566718Y-89699211D01* -X173474209Y-89791720D01* -X173465357Y-89809095D01* -X173428337Y-89843617D01* -X173399423Y-89849500D01* -X173329459Y-89849500D01* -X173321174Y-89849035D01* -X173282964Y-89844729D01* -X173225865Y-89855532D01* -X173223140Y-89855995D01* -X173165716Y-89864651D01* -X173160412Y-89866287D01* -X173160398Y-89866243D01* -X173154750Y-89868101D01* -X173154765Y-89868143D01* -X173149528Y-89869976D01* -X173098138Y-89897135D01* -X173095671Y-89898381D01* -X173043354Y-89923577D01* -X173038776Y-89926698D01* -X173038751Y-89926662D01* -X173033895Y-89930108D01* -X173033921Y-89930144D01* -X173029460Y-89933435D01* -X172988379Y-89974516D01* -X172986387Y-89976434D01* -X172943806Y-90015943D01* -X172940348Y-90020280D01* -X172940312Y-90020251D01* -X172932621Y-90030274D01* -X172821322Y-90141573D01* -X172809009Y-90151496D01* -X172801952Y-90156031D01* -X172801948Y-90156035D01* -X172771794Y-90190834D01* -X172768202Y-90194693D01* -X172757573Y-90205323D01* -X172757570Y-90205328D01* -X172749710Y-90215976D01* -X172746101Y-90220485D01* -X172717121Y-90253931D01* -X172717117Y-90253938D01* -X172712884Y-90263206D01* -X172705117Y-90276399D01* -X172697205Y-90287119D01* -X172682740Y-90328460D01* -X172680207Y-90334758D01* -X172663304Y-90371772D01* -X172663302Y-90371779D01* -X172661339Y-90385427D01* -X172657942Y-90399326D01* -X172652353Y-90415300D01* -X172650849Y-90455502D01* -X172650148Y-90463263D01* -X172644867Y-90499999D01* -X172644867Y-90500002D01* -X167628902Y-90500002D01* +X166692385Y-91436519D01* +X169116000Y-91436519D01* +X169130853Y-91530304D01* +X169130854Y-91530306D01* +X169180183Y-91627118D01* +X169188450Y-91643342D01* +X169278158Y-91733050D01* +X169391196Y-91790646D01* +X169484981Y-91805500D01* +X170748018Y-91805499D01* +X170748019Y-91805499D01* +X170841804Y-91790646D01* +X170841806Y-91790645D01* +X170869437Y-91776566D01* +X170954842Y-91733050D01* +X171044550Y-91643342D01* +X171102146Y-91530304D01* +X171117000Y-91436519D01* +X173497500Y-91436519D01* +X173512353Y-91530304D01* +X173512354Y-91530306D01* +X173561683Y-91627118D01* +X173569950Y-91643342D01* +X173659658Y-91733050D01* +X173772696Y-91790646D01* +X173866481Y-91805500D01* +X175129518Y-91805499D01* +X175129519Y-91805499D01* +X175223304Y-91790646D01* +X175223306Y-91790645D01* +X175250937Y-91776566D01* +X175336342Y-91733050D01* +X175426050Y-91643342D01* +X175483646Y-91530304D01* +X175498500Y-91436519D01* +X175498499Y-90500002D01* +X176044867Y-90500002D01* +X176047341Y-90517214D01* +X176048042Y-90530509D01* +X176047275Y-90551003D01* +X176047276Y-90551012D01* +X176056763Y-90586419D01* +X176058531Y-90595038D01* +X176063302Y-90628223D01* +X176063302Y-90628224D01* +X176063303Y-90628226D01* +X176065974Y-90634075D01* +X176072019Y-90647313D01* +X176076183Y-90658897D01* +X176081481Y-90678668D01* +X176082424Y-90682187D01* +X176100030Y-90710207D01* +X176104676Y-90718821D01* +X176117118Y-90746063D01* +X176120631Y-90750117D01* +X176133210Y-90764635D01* +X176139940Y-90773721D01* +X176141102Y-90775571D01* +X176154675Y-90797173D01* +X176154676Y-90797174D01* +X176154677Y-90797175D01* +X176175623Y-90815200D01* +X176177026Y-90816407D01* +X176184685Y-90824041D01* +X176201944Y-90843961D01* +X176201950Y-90843966D01* +X176201951Y-90843967D01* +X176225642Y-90859192D01* +X176233899Y-90865352D01* +X176257612Y-90885758D01* +X176281352Y-90896115D01* +X176291766Y-90901687D01* +X176310931Y-90914004D01* +X176329834Y-90919554D01* +X176341383Y-90922945D01* +X176350127Y-90926121D01* +X176382084Y-90940065D01* +X176404259Y-90942563D01* +X176416823Y-90945096D01* +X176425661Y-90947690D01* +X176435228Y-90950500D01* +X176470541Y-90950500D01* +X176478826Y-90950965D01* +X176517034Y-90955270D01* +X176517034Y-90955269D01* +X176517035Y-90955270D01* +X176535428Y-90951790D01* +X176549185Y-90950500D01* +X176564771Y-90950500D01* +X176564772Y-90950500D01* +X176602098Y-90939539D01* +X176609175Y-90937835D01* +X176650472Y-90930023D01* +X176657851Y-90926123D01* +X176663838Y-90922959D01* +X176677571Y-90917380D01* +X176689066Y-90914005D01* +X176689066Y-90914004D01* +X176689069Y-90914004D01* +X176724818Y-90891028D01* +X176730192Y-90887888D01* +X176770538Y-90866566D01* +X176778680Y-90858423D01* +X176790997Y-90848498D01* +X176798049Y-90843967D01* +X176798052Y-90843962D01* +X176802049Y-90840501D01* +X176802818Y-90841388D01* +X176842153Y-90819501D01* +X176891858Y-90829078D01* +X176906175Y-90840245D01* +X176966719Y-90900789D01* +X177083285Y-90960182D01* +X177179997Y-90975500D01* +X178120002Y-90975499D01* +X178216715Y-90960182D01* +X178333281Y-90900789D01* +X178393826Y-90840243D01* +X178439699Y-90818852D01* +X178488594Y-90831952D01* +X178501036Y-90843173D01* +X178501945Y-90843960D01* +X178501951Y-90843967D01* +X178542210Y-90869839D01* +X178546098Y-90872519D01* +X178587118Y-90902793D01* +X178592862Y-90904802D01* +X178608433Y-90912398D01* +X178610931Y-90914004D01* +X178660270Y-90928490D01* +X178663852Y-90929643D01* +X178715300Y-90947646D01* +X178716296Y-90947683D01* +X178717765Y-90947738D01* +X178730936Y-90949883D01* +X178735225Y-90950500D01* +X178735228Y-90950500D01* +X178790180Y-90950500D01* +X178792948Y-90950552D01* +X178851005Y-90952725D01* +X178851006Y-90952724D01* +X178851010Y-90952725D01* +X178851013Y-90952724D01* +X178856520Y-90952104D01* +X178856525Y-90952149D01* +X178859606Y-90951743D01* +X178859536Y-90951253D01* +X178864773Y-90950500D01* +X178920899Y-90934020D01* +X178922595Y-90933544D01* +X178962097Y-90922959D01* +X178982187Y-90917576D01* +X178982191Y-90917573D01* +X178983636Y-90916943D01* +X178988985Y-90914056D01* +X178989063Y-90914005D01* +X178989069Y-90914004D01* +X179041466Y-90880329D01* +X179041826Y-90880101D01* +X179097175Y-90845324D01* +X179140992Y-90794406D01* +X179182882Y-90746063D01* +X179182883Y-90746060D01* +X179184944Y-90743682D01* +X179185753Y-90742392D01* +X179185758Y-90742388D01* +X179211153Y-90684178D01* +X179211591Y-90683195D01* +X179236697Y-90628226D01* +X179236698Y-90628214D01* +X179237704Y-90624792D01* +X179239680Y-90619353D01* +X179240064Y-90617918D01* +X179240063Y-90617918D01* +X179240065Y-90617916D01* +X179246769Y-90558398D01* +X179247047Y-90556227D01* +X179255133Y-90500000D01* +X179255132Y-90499997D01* +X179255133Y-90499995D01* +X179255133Y-90494703D01* +X179255368Y-90494703D01* +X179255197Y-90483611D01* +X179255270Y-90482965D01* +X179244793Y-90427594D01* +X179244267Y-90424432D01* +X179236697Y-90371774D01* +X179236697Y-90371772D01* +X179236220Y-90370728D01* +X179230821Y-90353739D01* +X179230025Y-90349532D01* +X179230024Y-90349530D01* +X179230024Y-90349529D01* +X179205375Y-90302893D01* +X179203488Y-90299058D01* +X179193893Y-90278048D01* +X179182882Y-90253937D01* +X179182880Y-90253935D01* +X179182880Y-90253934D01* +X179179756Y-90250329D01* +X179170258Y-90236449D01* +X179166566Y-90229463D01* +X179131796Y-90194693D01* +X179128202Y-90190832D01* +X179098052Y-90156036D01* +X179098044Y-90156029D01* +X179090990Y-90151496D01* +X179078674Y-90141571D01* +X178939380Y-90002277D01* +X178933850Y-89996089D01* +X178932091Y-89993883D01* +X178909879Y-89966030D01* +X178909878Y-89966029D01* +X178909877Y-89966028D01* +X178864301Y-89934955D01* +X178861869Y-89933297D01* +X178859621Y-89931702D01* +X178812882Y-89897207D01* +X178807981Y-89894617D01* +X178808002Y-89894575D01* +X178802687Y-89891892D01* +X178802668Y-89891933D01* +X178797672Y-89889527D01* +X178742138Y-89872397D01* +X178739510Y-89871533D01* +X178684699Y-89852354D01* +X178684697Y-89852353D01* +X178684695Y-89852353D01* +X178679251Y-89851323D01* +X178679259Y-89851278D01* +X178673391Y-89850281D01* +X178673385Y-89850326D01* +X178667903Y-89849500D01* +X178667902Y-89849500D01* +X178609804Y-89849500D01* +X178607036Y-89849448D01* +X178548994Y-89847275D01* +X178543481Y-89847897D01* +X178543475Y-89847850D01* +X178530948Y-89849500D01* +X178500577Y-89849500D01* +X178453011Y-89832187D01* +X178434643Y-89809095D01* +X178425790Y-89791720D01* +X178333281Y-89699211D01* +X178216715Y-89639818D01* +X178162922Y-89631297D01* +X178118651Y-89606755D01* +X178100511Y-89559498D01* +X178100500Y-89558208D01* +X178100500Y-89387516D01* +X178101253Y-89376985D01* +X178101649Y-89374232D01* +X178105133Y-89350000D01* +X178101095Y-89321920D01* +X178100551Y-89316929D01* +X178100500Y-89316256D01* +X178100500Y-89316238D01* +X178095703Y-89284414D01* +X178086697Y-89221774D01* +X178086695Y-89221770D01* +X178086454Y-89220092D01* +X178085837Y-89217297D01* +X178085348Y-89215714D01* +X178084810Y-89214597D01* +X178065054Y-89173573D01* +X178058209Y-89159358D01* +X178057568Y-89157992D01* +X178057090Y-89156945D01* +X178032882Y-89103937D01* +X178032880Y-89103935D01* +X178032880Y-89103934D01* +X178030021Y-89099484D01* +X178030554Y-89099141D01* +X178029699Y-89097840D01* +X178029551Y-89097942D01* +X178026428Y-89093362D01* +X178026425Y-89093359D01* +X178026425Y-89093358D01* +X177986276Y-89050088D01* +X177984653Y-89048277D01* +X177948049Y-89006033D01* +X177948048Y-89006032D01* +X177944051Y-89002569D01* +X177944119Y-89002489D01* +X177935124Y-88994958D01* +X177934055Y-88993806D01* +X177934054Y-88993805D01* +X177886065Y-88966098D01* +X177883059Y-88964266D01* +X177839066Y-88935994D01* +X177839067Y-88935994D01* +X177837089Y-88935414D01* +X177820936Y-88928497D01* +X177816445Y-88925904D01* +X177816444Y-88925903D01* +X177816441Y-88925902D01* +X177816443Y-88925902D01* +X177765889Y-88914363D01* +X177761511Y-88913222D01* +X177714773Y-88899500D01* +X177714772Y-88899500D01* +X177709100Y-88899500D01* +X177692634Y-88897645D01* +X177684047Y-88895685D01* +X177684046Y-88895685D01* +X177641598Y-88898866D01* +X177635902Y-88899293D01* +X177630372Y-88899500D01* +X177585223Y-88899500D01* +X177576313Y-88902116D01* +X177561005Y-88904905D01* +X177548622Y-88905833D01* +X177507008Y-88922165D01* +X177500824Y-88924282D01* +X177460927Y-88935997D01* +X177450082Y-88942967D01* +X177437115Y-88949596D01* +X177436087Y-88950000D01* +X177422202Y-88955449D01* +X177390056Y-88981085D01* +X177383929Y-88985480D01* +X177351951Y-89006032D01* +X177341149Y-89018498D01* +X177331368Y-89027888D01* +X177316031Y-89040119D01* +X177316031Y-89040120D01* +X177294872Y-89071152D01* +X177289659Y-89077920D01* +X177267122Y-89103930D01* +X177267115Y-89103941D01* +X177258775Y-89122202D01* +X177252607Y-89133141D01* +X177239527Y-89152326D01* +X177239527Y-89152327D01* +X177229514Y-89184790D01* +X177226116Y-89193717D01* +X177213302Y-89221776D01* +X177209931Y-89245214D01* +X177207399Y-89256484D01* +X177199500Y-89282098D01* +X177199500Y-89312482D01* +X177198747Y-89323012D01* +X177196798Y-89336567D01* +X177194867Y-89350000D01* +X177196365Y-89360420D01* +X177198747Y-89376985D01* +X177199500Y-89387516D01* +X177199500Y-89558209D01* +X177182187Y-89605775D01* +X177138350Y-89631085D01* +X177137077Y-89631298D01* +X177083284Y-89639818D01* +X176966718Y-89699211D01* +X176874209Y-89791720D01* +X176865357Y-89809095D01* +X176828337Y-89843617D01* +X176799423Y-89849500D01* +X176729459Y-89849500D01* +X176721174Y-89849035D01* +X176682964Y-89844729D01* +X176625865Y-89855532D01* +X176623140Y-89855995D01* +X176565716Y-89864651D01* +X176560412Y-89866287D01* +X176560398Y-89866243D01* +X176554750Y-89868101D01* +X176554765Y-89868143D01* +X176549528Y-89869976D01* +X176498138Y-89897135D01* +X176495671Y-89898381D01* +X176443354Y-89923577D01* +X176438776Y-89926698D01* +X176438751Y-89926662D01* +X176433895Y-89930108D01* +X176433921Y-89930144D01* +X176429460Y-89933435D01* +X176388379Y-89974516D01* +X176386387Y-89976434D01* +X176343806Y-90015943D01* +X176340348Y-90020280D01* +X176340312Y-90020251D01* +X176332621Y-90030274D01* +X176221322Y-90141573D01* +X176209009Y-90151496D01* +X176201952Y-90156031D01* +X176201948Y-90156035D01* +X176171794Y-90190834D01* +X176168202Y-90194693D01* +X176157573Y-90205323D01* +X176157570Y-90205328D01* +X176149710Y-90215976D01* +X176146101Y-90220485D01* +X176117121Y-90253931D01* +X176117117Y-90253938D01* +X176112884Y-90263206D01* +X176105117Y-90276399D01* +X176097205Y-90287119D01* +X176082740Y-90328460D01* +X176080207Y-90334758D01* +X176063304Y-90371772D01* +X176063302Y-90371779D01* +X176061339Y-90385427D01* +X176057942Y-90399326D01* +X176052353Y-90415300D01* +X176050849Y-90455502D01* +X176050148Y-90463263D01* +X176044867Y-90499999D01* +X176044867Y-90500002D01* +X175498499Y-90500002D01* +X175498499Y-90173482D01* +X175497174Y-90165117D01* +X175483646Y-90079695D01* +X175483645Y-90079693D01* +X175445583Y-90004993D01* +X175426050Y-89966658D01* +X175336342Y-89876950D01* +X175223304Y-89819354D01* +X175223302Y-89819353D01* +X175223301Y-89819353D01* +X175129519Y-89804500D01* +X173866480Y-89804500D01* +X173772695Y-89819353D01* +X173772693Y-89819354D01* +X173659657Y-89876950D01* +X173569951Y-89966656D01* +X173512353Y-90079698D01* +X173497500Y-90173480D01* +X173497500Y-91436519D01* +X171117000Y-91436519D01* +X171116999Y-90173482D01* +X171115674Y-90165117D01* +X171102146Y-90079695D01* +X171102145Y-90079693D01* +X171064083Y-90004993D01* +X171044550Y-89966658D01* +X170954842Y-89876950D01* +X170841804Y-89819354D01* +X170841802Y-89819353D01* +X170841801Y-89819353D01* +X170748019Y-89804500D01* +X169484980Y-89804500D01* +X169391195Y-89819353D01* +X169391193Y-89819354D01* +X169278157Y-89876950D01* +X169188451Y-89966656D01* +X169130853Y-90079698D01* +X169116000Y-90173480D01* +X169116000Y-91436519D01* +X166692385Y-91436519D01* X173762924Y-84365979D01* X173765695Y-84363350D01* X173795509Y-84336507D01* @@ -45219,7 +45645,7 @@ X169364432Y-70412000D01* X169364431Y-70412000D01* X169340073Y-70412000D01* X169328498Y-70411089D01* -X169324974Y-70410531D01* +X169323598Y-70410313D01* X169304435Y-70407277D01* X169304434Y-70407277D01* X169296220Y-70409479D01* @@ -45229,8 +45655,7 @@ X166846933Y-70394687D01* X166821623Y-70350850D01* X166820499Y-70338000D01* X166820499Y-70005136D01* -X166817585Y-69980013D01* -X166817585Y-69980011D01* +X166817699Y-69980992D01* X166817585Y-69980009D01* X166772206Y-69877235D01* X166692765Y-69797794D01* @@ -45320,7 +45745,7 @@ X169125670Y-59239054D01* X169120607Y-59215232D01* X169115607Y-59208350D01* X169106391Y-59191374D01* -X169105630Y-59189391D01* +X169105630Y-59189392D01* X169103346Y-59183441D01* X169086118Y-59166213D01* X169078576Y-59157382D01* @@ -46633,8 +47058,6 @@ X153063500Y-87582069D01* X153063500Y-87606425D01* X153062589Y-87618001D01* X153058777Y-87642063D01* -X153058778Y-87642065D01* -X153060770Y-87649500D01* X153060978Y-87650274D01* X153063500Y-87669428D01* X153063500Y-88020456D01* @@ -47411,33 +47834,69 @@ X144820630Y-72618080D01* X144807260Y-72599677D01* X144799896Y-72595425D01* X144784571Y-72583666D01* -X142684506Y-70483601D01* -X142681840Y-70480791D01* -X142655008Y-70450992D01* -X142655004Y-70450989D01* -X142632752Y-70441082D01* -X142622547Y-70435541D01* -X142602119Y-70422274D01* -X142602116Y-70422273D01* -X142596005Y-70421305D01* -X142593713Y-70420942D01* -X142575200Y-70415458D01* -X142567432Y-70412000D01* -X142567431Y-70412000D01* -X142543073Y-70412000D01* -X142531498Y-70411089D01* -X142527974Y-70410531D01* -X142507435Y-70407277D01* -X142507434Y-70407277D01* -X142499220Y-70409479D01* -X142480068Y-70412000D01* +X143566674Y-71365769D01* +X143545282Y-71319893D01* +X143545000Y-71313443D01* +X143545000Y-70556348D01* +X143545101Y-70552476D01* +X143547199Y-70512436D01* +X143538469Y-70489695D01* +X143535171Y-70478559D01* +X143530107Y-70454732D01* +X143530105Y-70454729D01* +X143525110Y-70447854D01* +X143515891Y-70430875D01* +X143512845Y-70422940D01* +X143495618Y-70405713D01* +X143488076Y-70396882D01* +X143473760Y-70377177D01* +X143466396Y-70372925D01* +X143451071Y-70361166D01* +X143103506Y-70013601D01* +X143100840Y-70010791D01* +X143074008Y-69980992D01* +X143074004Y-69980989D01* +X143051752Y-69971082D01* +X143041547Y-69965541D01* +X143021119Y-69952274D01* +X143021116Y-69952273D01* +X143015005Y-69951305D01* +X143012713Y-69950942D01* +X142994200Y-69945458D01* +X142986432Y-69942000D01* +X142986431Y-69942000D01* +X142962073Y-69942000D01* +X142950498Y-69941089D01* +X142946974Y-69940531D01* +X142926435Y-69937277D01* +X142926434Y-69937277D01* +X142918220Y-69939479D01* +X142899068Y-69942000D01* +X142184349Y-69942000D01* +X142180477Y-69941899D01* +X142140437Y-69939800D01* +X142117693Y-69948530D01* +X142106566Y-69951825D01* +X142082734Y-69956891D01* +X142082732Y-69956892D01* +X142075847Y-69961894D01* +X142058881Y-69971106D01* +X142050940Y-69974154D01* +X142033711Y-69991383D01* +X142024889Y-69998917D01* +X142016332Y-70005135D01* +X142005175Y-70013241D01* +X142000923Y-70020606D01* +X141989166Y-70035927D01* +X141634769Y-70390326D01* +X141588893Y-70411718D01* +X141582443Y-70412000D01* X141494499Y-70412000D01* X141446933Y-70394687D01* X141421623Y-70350850D01* X141420499Y-70338000D01* X141420499Y-70005136D01* -X141417585Y-69980013D01* -X141417585Y-69980011D01* +X141417699Y-69980992D01* X141417585Y-69980009D01* X141372206Y-69877235D01* X141292765Y-69797794D01* @@ -47683,8 +48142,7 @@ X148303875Y-66979537D01* X148332882Y-66946063D01* X148337113Y-66936796D01* X148344887Y-66923593D01* -X148352792Y-66912883D01* -X148352791Y-66912883D01* +X148345498Y-66922766D01* X148352793Y-66912882D01* X148367260Y-66871534D01* X148369795Y-66865234D01* @@ -47982,7 +48440,7 @@ X154755500Y-62759863D01* X155124500Y-62759863D01* X155127414Y-62784986D01* X155127415Y-62784992D01* -X155159874Y-62858504D01* +X155157997Y-62854253D01* X155172794Y-62887765D01* X155252235Y-62967206D01* X155305389Y-62990675D01* @@ -48009,7 +48467,7 @@ X155443426Y-64576332D01* X156424685Y-65557590D01* X156446077Y-65603466D01* X156445448Y-65621491D01* -X156439508Y-65658999D01* +X156439507Y-65658999D01* X156459353Y-65784301D01* X156459353Y-65784302D01* X156459354Y-65784304D01* @@ -48047,7 +48505,7 @@ X156025500Y-62759863D01* X156394500Y-62759863D01* X156397414Y-62784986D01* X156397415Y-62784992D01* -X156429874Y-62858504D01* +X156427997Y-62854253D01* X156442794Y-62887765D01* X156522235Y-62967206D01* X156625009Y-63012585D01* @@ -48062,7 +48520,7 @@ X157295500Y-62759863D01* X157664500Y-62759863D01* X157667414Y-62784986D01* X157667415Y-62784992D01* -X157699874Y-62858504D01* +X157697997Y-62854253D01* X157712794Y-62887765D01* X157792235Y-62967206D01* X157895009Y-63012585D01* @@ -48077,7 +48535,7 @@ X158565500Y-62759863D01* X158934500Y-62759863D01* X158937414Y-62784986D01* X158937415Y-62784992D01* -X158969874Y-62858504D01* +X158967997Y-62854253D01* X158982794Y-62887765D01* X159062235Y-62967206D01* X159165009Y-63012585D01* @@ -48092,7 +48550,7 @@ X159835500Y-62759863D01* X160204500Y-62759863D01* X160207414Y-62784986D01* X160207415Y-62784992D01* -X160239874Y-62858504D01* +X160237997Y-62854253D01* X160252794Y-62887765D01* X160332235Y-62967206D01* X160435009Y-63012585D01* @@ -48107,7 +48565,7 @@ X161105500Y-62759863D01* X161474500Y-62759863D01* X161477414Y-62784986D01* X161477415Y-62784992D01* -X161509874Y-62858504D01* +X161507997Y-62854253D01* X161522794Y-62887765D01* X161602235Y-62967206D01* X161705009Y-63012585D01* @@ -48122,7 +48580,7 @@ X162375500Y-62759863D01* X162744500Y-62759863D01* X162747414Y-62784986D01* X162747415Y-62784992D01* -X162779874Y-62858504D01* +X162777997Y-62854253D01* X162792794Y-62887765D01* X162872235Y-62967206D01* X162975009Y-63012585D01* @@ -49991,7 +50449,7 @@ X173794508Y-69800000D01* X173814353Y-69925301D01* X173814353Y-69925302D01* X173814354Y-69925304D01* -X173866913Y-70028456D01* +X173871950Y-70038342D01* X173871951Y-70038343D01* X173931782Y-70098174D01* X173953174Y-70144050D01* @@ -50488,37 +50946,20 @@ X178038342Y-64078050D01* X178119218Y-63997173D01* X178165093Y-63975782D01* X178171543Y-63975500D01* -X182992139Y-63975500D01* -X182996012Y-63975601D01* -X183036064Y-63977700D01* -X183058816Y-63968965D01* -X183069927Y-63965674D01* -X183093768Y-63960607D01* -X183100642Y-63955612D01* -X183117621Y-63946392D01* -X183125560Y-63943346D01* -X183142793Y-63926112D01* -X183151609Y-63918582D01* -X183171323Y-63904260D01* -X183175573Y-63896897D01* -X183187329Y-63881575D01* -X183703915Y-63364988D01* -X183706687Y-63362357D01* -X183736509Y-63335507D01* -X183746421Y-63313241D01* -X183751953Y-63303052D01* -X183765225Y-63282618D01* -X183766553Y-63274225D01* -X183772040Y-63255701D01* -X183775500Y-63247932D01* -X183775500Y-63223572D01* -X183776411Y-63211995D01* -X183780222Y-63187935D01* -X183778021Y-63179720D01* -X183775500Y-63160568D01* -X183775500Y-59924057D01* -X183792813Y-59876491D01* -X183797174Y-59871731D01* +X179592139Y-63975500D01* +X179596012Y-63975601D01* +X179636064Y-63977700D01* +X179658816Y-63968965D01* +X179669927Y-63965674D01* +X179693768Y-63960607D01* +X179700642Y-63955612D01* +X179717621Y-63946392D01* +X179725560Y-63943346D01* +X179742794Y-63926111D01* +X179751616Y-63918576D01* +X179771323Y-63904260D01* +X179775573Y-63896897D01* +X179787329Y-63881575D01* X184021731Y-59647174D01* X184067607Y-59625782D01* X184074057Y-59625500D01* @@ -50681,6 +51122,8 @@ X184624696Y-66864354D01* X184573920Y-66890226D01* X184511656Y-66921951D01* X184421951Y-67011656D01* +X184421950Y-67011658D01* +X184366573Y-67120342D01* X184364353Y-67124698D01* X184344508Y-67250000D01* X184364353Y-67375301D01* @@ -50785,13 +51228,14 @@ X183844166Y-66948622D01* X183841609Y-66942109D01* X183837247Y-66925600D01* X183836697Y-66921774D01* +X183827397Y-66901411D01* X183815712Y-66875824D01* X183814144Y-66872127D01* X183794552Y-66822206D01* X183792444Y-66819563D01* X183782986Y-66804166D01* X183782882Y-66803937D01* -X183774313Y-66794048D01* +X183776063Y-66796067D01* X183747464Y-66763061D01* X183745535Y-66760741D01* X183737750Y-66750979D01* @@ -50971,6 +51415,8 @@ X181735711Y-71383888D01* X181747742Y-71433056D01* X181735711Y-71466112D01* X181689760Y-71534882D01* +X181687543Y-71546026D01* +X181675053Y-71608822D01* X181674500Y-71611600D01* X181674500Y-71888399D01* X181689760Y-71965117D01* @@ -51882,7 +52328,7 @@ X186975500Y-111423572D01* X186976411Y-111411995D01* X186979180Y-111394516D01* X186980222Y-111387934D01* -X186978551Y-111381699D01* +X186979595Y-111385595D01* X186978021Y-111379719D01* X186975500Y-111360567D01* X186975500Y-108194543D01* @@ -54172,13 +54618,14 @@ X192344166Y-66948622D01* X192341609Y-66942109D01* X192337247Y-66925600D01* X192336697Y-66921774D01* +X192327397Y-66901411D01* X192315712Y-66875824D01* X192314144Y-66872127D01* X192294552Y-66822206D01* X192292444Y-66819563D01* X192282986Y-66804166D01* X192282882Y-66803937D01* -X192274313Y-66794048D01* +X192276063Y-66796067D01* X192247464Y-66763061D01* X192245535Y-66760741D01* X192237750Y-66750979D01* @@ -54682,7 +55129,7 @@ X191988596Y-59775500D01* X192003383Y-59775500D01* X192018567Y-59777074D01* X192034268Y-59780367D01* -X192065893Y-59776425D01* +X192065828Y-59776433D01* X192068758Y-59776068D01* X192077910Y-59775500D01* X192081111Y-59775500D01* @@ -54936,44 +55383,25 @@ X184347887Y-59097888D01* X184318673Y-59141612D01* X184277852Y-59171544D01* X184257144Y-59174500D01* -X183957842Y-59174500D01* -X183953969Y-59174399D01* -X183913933Y-59172300D01* -X183891193Y-59181029D01* -X183880066Y-59184325D01* -X183856233Y-59189391D01* +X183957861Y-59174500D01* +X183953988Y-59174399D01* +X183913934Y-59172299D01* +X183891184Y-59181032D01* +X183880056Y-59184328D01* X183856232Y-59189392D01* -X183849347Y-59194394D01* -X183832381Y-59203606D01* -X183824440Y-59206654D01* -X183807211Y-59223883D01* -X183798389Y-59231417D01* -X183795109Y-59233801D01* +X183856229Y-59189394D01* +X183849351Y-59194391D01* +X183832385Y-59203603D01* +X183824439Y-59206653D01* +X183807206Y-59223886D01* +X183798381Y-59231423D01* +X183778676Y-59245740D01* X183778675Y-59245741D01* -X183774423Y-59253106D01* -X183762666Y-59268427D01* -X183396100Y-59634993D01* -X183393292Y-59637658D01* -X183363492Y-59664491D01* -X183363489Y-59664495D01* -X183353580Y-59686749D01* -X183348043Y-59696946D01* -X183334774Y-59717379D01* -X183333443Y-59725783D01* -X183327959Y-59744296D01* -X183324501Y-59752063D01* -X183324500Y-59752069D01* -X183324500Y-59776425D01* -X183323589Y-59788001D01* -X183319777Y-59812063D01* -X183321978Y-59820274D01* -X183324500Y-59839428D01* -X183324500Y-63075943D01* -X183307187Y-63123509D01* -X183302826Y-63128269D01* -X182928269Y-63502826D01* -X182882393Y-63524218D01* -X182875943Y-63524500D01* +X183774425Y-59253103D01* +X183762667Y-59268425D01* +X179528269Y-63502826D01* +X179482393Y-63524218D01* +X179475943Y-63524500D01* X178171543Y-63524500D01* X178123977Y-63507187D01* X178119228Y-63502836D01* @@ -54994,200 +55422,6 @@ X176668905Y-63750000D01* X176447174Y-63528269D01* X176425782Y-63482393D01* X176425500Y-63475943D01* -X176425500Y-62764820D01* -X178499500Y-62764820D01* -X178503513Y-62784992D01* -X178508233Y-62808722D01* -X178541065Y-62857860D01* -X178541496Y-62858504D01* -X178591278Y-62891767D01* -X178635180Y-62900500D01* -X178635181Y-62900500D01* -X180364819Y-62900500D01* -X180364820Y-62900500D01* -X180408722Y-62891767D01* -X180458504Y-62858504D01* -X180491767Y-62808722D01* -X180500500Y-62764820D01* -X180500500Y-62050000D01* -X181049935Y-62050000D01* -X181054469Y-62096035D01* -X181111651Y-62284539D01* -X181204504Y-62458252D01* -X181204513Y-62458266D01* -X181329471Y-62610526D01* -X181329473Y-62610528D01* -X181481733Y-62735486D01* -X181481747Y-62735495D01* -X181655460Y-62828348D01* -X181843964Y-62885530D01* -X181890000Y-62890064D01* -X181890000Y-62381170D01* -X181897685Y-62384680D01* -X182004237Y-62400000D01* -X182075763Y-62400000D01* -X182182315Y-62384680D01* -X182190000Y-62381170D01* -X182190000Y-62890064D01* -X182236035Y-62885530D01* -X182424539Y-62828348D01* -X182598252Y-62735495D01* -X182598266Y-62735486D01* -X182750526Y-62610528D01* -X182750528Y-62610526D01* -X182875486Y-62458266D01* -X182875495Y-62458252D01* -X182968348Y-62284539D01* -X183025530Y-62096035D01* -X183030065Y-62050000D01* -X182517065Y-62050000D01* -X182540000Y-61971889D01* -X182540000Y-61828111D01* -X182517065Y-61750000D01* -X183030064Y-61750000D01* -X183025530Y-61703964D01* -X182968348Y-61515460D01* -X182875495Y-61341747D01* -X182875486Y-61341733D01* -X182750528Y-61189473D01* -X182750526Y-61189471D01* -X182598266Y-61064513D01* -X182598252Y-61064504D01* -X182424539Y-60971651D01* -X182236037Y-60914469D01* -X182190000Y-60909934D01* -X182190000Y-61418829D01* -X182182315Y-61415320D01* -X182075763Y-61400000D01* -X182004237Y-61400000D01* -X181897685Y-61415320D01* -X181890000Y-61418829D01* -X181890000Y-60909934D01* -X181843962Y-60914469D01* -X181655460Y-60971651D01* -X181481747Y-61064504D01* -X181481733Y-61064513D01* -X181329473Y-61189471D01* -X181329471Y-61189473D01* -X181204513Y-61341733D01* -X181204504Y-61341747D01* -X181111651Y-61515460D01* -X181054469Y-61703964D01* -X181049935Y-61750000D01* -X181562935Y-61750000D01* -X181540000Y-61828111D01* -X181540000Y-61971889D01* -X181562935Y-62050000D01* -X181049935Y-62050000D01* -X180500500Y-62050000D01* -X180500500Y-61035180D01* -X180491767Y-60991278D01* -X180458504Y-60941496D01* -X180453785Y-60938343D01* -X180408722Y-60908233D01* -X180364820Y-60899500D01* -X180364819Y-60899500D01* -X179974500Y-60899500D01* -X179926934Y-60882187D01* -X179901624Y-60838350D01* -X179900500Y-60825500D01* -X179900500Y-59965478D01* -X179917813Y-59917912D01* -X179922174Y-59913152D01* -X179932500Y-59902826D01* -X179945747Y-59889579D01* -X179994259Y-59785545D01* -X180000500Y-59738139D01* -X180000500Y-59738137D01* -X180599500Y-59738137D01* -X180605740Y-59785543D01* -X180605741Y-59785545D01* -X180654253Y-59889579D01* -X180735421Y-59970747D01* -X180839455Y-60019259D01* -X180886861Y-60025500D01* -X181313138Y-60025499D01* -X181360545Y-60019259D01* -X181464579Y-59970747D01* -X181545747Y-59889579D01* -X181563637Y-59851214D01* -X181567362Y-59843226D01* -X181603156Y-59807433D01* -X181634429Y-59800500D01* -X182362157Y-59800500D01* -X182373732Y-59801410D01* -X182399500Y-59805492D01* -X182524804Y-59785646D01* -X182637842Y-59728050D01* -X182727550Y-59638342D01* -X182785146Y-59525304D01* -X182804992Y-59400000D01* -X182785146Y-59274696D01* -X182727550Y-59161658D01* -X182637842Y-59071950D01* -X182524804Y-59014354D01* -X182524802Y-59014353D01* -X182524801Y-59014353D01* -X182399500Y-58994508D01* -X182379517Y-58997673D01* -X182373733Y-58998589D01* -X182362157Y-58999500D01* -X181634429Y-58999500D01* -X181586863Y-58982187D01* -X181567362Y-58956774D01* -X181554265Y-58928689D01* -X181545747Y-58910421D01* -X181464579Y-58829253D01* -X181360545Y-58780741D01* -X181360543Y-58780740D01* -X181325619Y-58776142D01* -X181313139Y-58774500D01* -X181313137Y-58774500D01* -X180886862Y-58774500D01* -X180839456Y-58780740D01* -X180839454Y-58780741D01* -X180735420Y-58829253D01* -X180654253Y-58910420D01* -X180605740Y-59014456D01* -X180599500Y-59061862D01* -X180599500Y-59738137D01* -X180000500Y-59738137D01* -X180000499Y-59061862D01* -X179994259Y-59014455D01* -X179945747Y-58910421D01* -X179864579Y-58829253D01* -X179760545Y-58780741D01* -X179760543Y-58780740D01* -X179725619Y-58776142D01* -X179713139Y-58774500D01* -X179713137Y-58774500D01* -X179286862Y-58774500D01* -X179239456Y-58780740D01* -X179239454Y-58780741D01* -X179135420Y-58829253D01* -X179054253Y-58910420D01* -X179005740Y-59014456D01* -X178999500Y-59061862D01* -X178999500Y-59738137D01* -X179005740Y-59785543D01* -X179005741Y-59785545D01* -X179054253Y-59889579D01* -X179077826Y-59913152D01* -X179099218Y-59959028D01* -X179099500Y-59965478D01* -X179099500Y-60825500D01* -X179082187Y-60873066D01* -X179038350Y-60898376D01* -X179025500Y-60899500D01* -X178635180Y-60899500D01* -X178613229Y-60903866D01* -X178591277Y-60908233D01* -X178541496Y-60941495D01* -X178541495Y-60941496D01* -X178508233Y-60991277D01* -X178499500Y-61035180D01* -X178499500Y-62764820D01* -X176425500Y-62764820D01* X176425500Y-53716360D01* X176425601Y-53712487D01* X176425889Y-53706998D01* @@ -56253,33 +56487,33 @@ X189993703Y-52369674D01* X192777826Y-55153797D01* X192799218Y-55199673D01* X192799500Y-55206123D01* -X192799500Y-65338912D01* -X192797547Y-65350889D01* -X192798174Y-65350977D01* -X192797226Y-65357766D01* -X192799421Y-65405224D01* -X192799500Y-65408642D01* -X192799500Y-65427849D01* -X192799840Y-65429665D01* -X192801021Y-65439843D01* -X192802415Y-65469994D01* -X192807554Y-65481632D01* -X192812600Y-65497923D01* -X192814938Y-65510432D01* -X192814939Y-65510433D01* -X192830826Y-65536094D01* -X192835601Y-65545153D01* -X192847794Y-65572765D01* -X192847795Y-65572766D01* -X192856793Y-65581764D01* -X192867380Y-65595130D01* -X192874081Y-65605952D01* -X192874082Y-65605953D01* -X192898165Y-65624140D01* -X192905896Y-65630867D01* -X194377826Y-67102797D01* -X194399218Y-67148673D01* -X194399500Y-67155123D01* +X192799500Y-65488912D01* +X192797547Y-65500889D01* +X192798174Y-65500977D01* +X192797226Y-65507766D01* +X192799421Y-65555224D01* +X192799500Y-65558642D01* +X192799500Y-65577849D01* +X192799840Y-65579665D01* +X192801021Y-65589843D01* +X192802415Y-65619994D01* +X192807554Y-65631632D01* +X192812600Y-65647923D01* +X192814938Y-65660432D01* +X192814939Y-65660433D01* +X192830826Y-65686094D01* +X192835601Y-65695153D01* +X192847794Y-65722765D01* +X192847795Y-65722766D01* +X192856793Y-65731764D01* +X192867380Y-65745130D01* +X192874081Y-65755952D01* +X192874082Y-65755953D01* +X192898165Y-65774140D01* +X192905896Y-65780867D01* +X194377826Y-67252797D01* +X194399218Y-67298673D01* +X194399500Y-67305123D01* X194399500Y-91403456D01* X194382187Y-91451022D01* X194377826Y-91455782D01* @@ -56303,37 +56537,60 @@ X195028050Y-91461658D01* X195022174Y-91455782D01* X195000782Y-91409906D01* X195000500Y-91403456D01* -X195000500Y-67061090D01* -X195002454Y-67049116D01* -X195001826Y-67049029D01* -X195002773Y-67042238D01* -X195001193Y-67008068D01* -X195000578Y-66994774D01* -X195000500Y-66991357D01* -X195000500Y-66972159D01* -X195000500Y-66972156D01* -X195000158Y-66970332D01* -X194998977Y-66960145D01* -X194998938Y-66959310D01* -X194997585Y-66930009D01* -X194992441Y-66918361D01* -X194987398Y-66902071D01* -X194987104Y-66900500D01* -X194985061Y-66889567D01* -X194969168Y-66863900D01* -X194964395Y-66854842D01* -X194963935Y-66853801D01* -X194952206Y-66827235D01* -X194943207Y-66818236D01* -X194932615Y-66804863D01* -X194932040Y-66803935D01* -X194925919Y-66794048D01* -X194925917Y-66794046D01* -X194901837Y-66775862D01* -X194894106Y-66769135D01* -X193422174Y-65297203D01* -X193400782Y-65251327D01* -X193400500Y-65244877D01* +X195000500Y-67211090D01* +X195002454Y-67199116D01* +X195001826Y-67199029D01* +X195002773Y-67192238D01* +X195000579Y-67144775D01* +X195000500Y-67141357D01* +X195000500Y-67122159D01* +X195000500Y-67122156D01* +X195000158Y-67120332D01* +X194998977Y-67110145D01* +X194998711Y-67104394D01* +X194997585Y-67080009D01* +X194992441Y-67068361D01* +X194987398Y-67052071D01* +X194986033Y-67044770D01* +X194985061Y-67039567D01* +X194969168Y-67013900D01* +X194964395Y-67004842D01* +X194962720Y-67001049D01* +X194952206Y-66977235D01* +X194943207Y-66968236D01* +X194932615Y-66954863D01* +X194930226Y-66951005D01* +X194928751Y-66948622D01* +X194925920Y-66944049D01* +X194925917Y-66944046D01* +X194901837Y-66925862D01* +X194894106Y-66919135D01* +X193422174Y-65447203D01* +X193400782Y-65401327D01* +X193400500Y-65394877D01* +X193400500Y-65150000D01* +X193900000Y-65150000D01* +X193900000Y-65424622D01* +X193914505Y-65497545D01* +X193969759Y-65580239D01* +X193969760Y-65580240D01* +X194052455Y-65635495D01* +X194100000Y-65644952D01* +X194100000Y-65150000D01* +X193900000Y-65150000D01* +X193400500Y-65150000D01* +X193400500Y-64850000D01* +X193900000Y-64850000D01* +X194100000Y-64850000D01* +X194100000Y-64355046D01* +X194099999Y-64355046D01* +X194052455Y-64364504D01* +X193969760Y-64419759D01* +X193969759Y-64419760D01* +X193914505Y-64502454D01* +X193900000Y-64575377D01* +X193900000Y-64850000D01* +X193400500Y-64850000D01* X193400500Y-61796940D01* X193674500Y-61796940D01* X193674502Y-61796956D01* @@ -56443,7 +56700,7 @@ X195352259Y-62664034D01* X195269399Y-62719398D01* X195269398Y-62719399D01* X195214034Y-62802259D01* -X195211712Y-62813934D01* +X195212807Y-62808428D01* X195199500Y-62875326D01* X195199500Y-63724674D01* X194600500Y-63724674D01* @@ -56462,7 +56719,7 @@ X194650500Y-62312656D01* X194650500Y-62118511D01* X194667813Y-62070945D01* X194672174Y-62066185D01* -X194688359Y-62050000D01* +X194676761Y-62061598D01* X194762012Y-61976347D01* X194815220Y-61867509D01* X194825500Y-61796949D01* @@ -56625,6 +56882,29 @@ X193684780Y-61132489D01* X193674500Y-61203045D01* X193674500Y-61796940D01* X193400500Y-61796940D01* +X193400500Y-58900000D01* +X193900000Y-58900000D01* +X193900000Y-59174622D01* +X193914505Y-59247545D01* +X193969759Y-59330239D01* +X193969760Y-59330240D01* +X194052455Y-59385495D01* +X194100000Y-59394952D01* +X194100000Y-58900000D01* +X193900000Y-58900000D01* +X193400500Y-58900000D01* +X193400500Y-58600000D01* +X193900000Y-58600000D01* +X194100000Y-58600000D01* +X194100000Y-58105046D01* +X194099999Y-58105046D01* +X194052455Y-58114504D01* +X193969760Y-58169759D01* +X193969759Y-58169760D01* +X193914505Y-58252454D01* +X193900000Y-58325377D01* +X193900000Y-58600000D01* +X193400500Y-58600000D01* X193400500Y-55546940D01* X193674500Y-55546940D01* X193674502Y-55546956D01* @@ -57687,8 +57967,6 @@ X105124500Y-65290069D01* X105124500Y-65314425D01* X105123589Y-65326001D01* X105119777Y-65350063D01* -X105120022Y-65350977D01* -X105121841Y-65357765D01* X105121978Y-65358274D01* X105124500Y-65377428D01* X105124500Y-108267138D01* @@ -58005,6 +58283,119 @@ X153490133Y-48768000D01* X153486578Y-48743273D01* X153486253Y-48741012D01* X153485500Y-48730482D01* +X153485500Y-48650000D01* +X166244508Y-48650000D01* +X166264353Y-48775301D01* +X166264353Y-48775302D01* +X166264354Y-48775304D01* +X166321950Y-48888342D01* +X166411658Y-48978050D01* +X166524696Y-49035646D01* +X166650000Y-49055492D01* +X166775304Y-49035646D01* +X166812460Y-49016714D01* +X166862701Y-49010545D01* +X166905154Y-49038114D01* +X166913122Y-49051374D01* +X166921247Y-49068797D01* +X166954253Y-49139579D01* +X167035421Y-49220747D01* +X167139455Y-49269259D01* +X167186861Y-49275500D01* +X167613138Y-49275499D01* +X167660545Y-49269259D01* +X167764579Y-49220747D01* +X167845747Y-49139579D01* +X167894259Y-49035545D01* +X167900500Y-48988139D01* +X167900500Y-48988137D01* +X168499500Y-48988137D01* +X168505740Y-49035543D01* +X168505741Y-49035545D01* +X168554253Y-49139579D01* +X168635421Y-49220747D01* +X168739455Y-49269259D01* +X168786861Y-49275500D01* +X169213138Y-49275499D01* +X169260545Y-49269259D01* +X169364579Y-49220747D01* +X169445747Y-49139579D01* +X169494259Y-49035545D01* +X169494259Y-49035542D01* +X169496476Y-49030789D01* +X169532269Y-48994995D01* +X169582695Y-48990583D01* +X169597137Y-48996127D01* +X169674695Y-49035645D01* +X169674695Y-49035646D01* +X169690278Y-49038114D01* +X169800000Y-49055492D01* +X169925304Y-49035646D01* +X170038342Y-48978050D01* +X170128050Y-48888342D01* +X170185646Y-48775304D01* +X170205492Y-48650000D01* +X170185646Y-48524696D01* +X170128050Y-48411658D01* +X170038342Y-48321950D01* +X169925304Y-48264354D01* +X169925302Y-48264353D01* +X169925301Y-48264353D01* +X169800000Y-48244508D01* +X169674698Y-48264353D01* +X169674696Y-48264353D01* +X169674696Y-48264354D01* +X169640508Y-48281774D01* +X169597138Y-48303872D01* +X169546896Y-48310040D01* +X169504444Y-48282471D01* +X169496476Y-48269211D01* +X169494259Y-48264456D01* +X169494259Y-48264455D01* +X169445747Y-48160421D01* +X169364579Y-48079253D01* +X169260545Y-48030741D01* +X169260543Y-48030740D01* +X169225619Y-48026142D01* +X169213139Y-48024500D01* +X169213137Y-48024500D01* +X168786862Y-48024500D01* +X168739456Y-48030740D01* +X168739454Y-48030741D01* +X168635420Y-48079253D01* +X168554253Y-48160420D01* +X168505740Y-48264456D01* +X168499500Y-48311862D01* +X168499500Y-48988137D01* +X167900500Y-48988137D01* +X167900499Y-48311862D01* +X167894259Y-48264455D01* +X167845747Y-48160421D01* +X167764579Y-48079253D01* +X167660545Y-48030741D01* +X167660543Y-48030740D01* +X167625619Y-48026142D01* +X167613139Y-48024500D01* +X167613137Y-48024500D01* +X167186862Y-48024500D01* +X167139456Y-48030740D01* +X167139454Y-48030741D01* +X167035420Y-48079253D01* +X166954253Y-48160420D01* +X166954253Y-48160421D01* +X166913122Y-48248626D01* +X166877328Y-48284419D01* +X166826902Y-48288830D01* +X166812460Y-48283286D01* +X166775304Y-48264354D01* +X166775304Y-48264353D01* +X166650000Y-48244508D01* +X166524698Y-48264353D01* +X166411656Y-48321951D01* +X166321951Y-48411656D01* +X166264353Y-48524698D01* +X166244508Y-48650000D01* +X153485500Y-48650000D01* X153485500Y-48475576D01* X153502813Y-48428010D01* X153525905Y-48409641D01* @@ -60089,7 +60480,7 @@ X189915220Y-48731892D01* X189914687Y-48730801D01* X189862012Y-48623053D01* X189776347Y-48537388D01* -X189732066Y-48515740D01* +X189756025Y-48527453D01* X189667510Y-48484180D01* X189638854Y-48480005D01* X189594282Y-48456014D01* diff --git a/gerber/WarpSE-F_Mask.gts b/gerber/WarpSE-F_Mask.gts index d8d8e9b..3d5f229 100644 --- a/gerber/WarpSE-F_Mask.gts +++ b/gerber/WarpSE-F_Mask.gts @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Top* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -14387,6 +14387,254 @@ X161090000Y-94011000D01* X161166537Y-94026224D01* G37* G36* +X170164902Y-92423874D02* +G01* +X170213314Y-92423874D01* +X170255115Y-92432759D01* +X170297130Y-92436897D01* +X170349911Y-92452908D01* +X170402712Y-92464131D01* +X170436500Y-92479174D01* +X170470825Y-92489587D01* +X170525373Y-92518743D01* +X170579600Y-92542887D01* +X170604810Y-92561203D01* +X170630899Y-92575148D01* +X170684118Y-92618823D01* +X170736249Y-92656699D01* +X170753174Y-92675497D01* +X170771205Y-92690294D01* +X170819654Y-92749330D01* +X170865812Y-92800593D01* +X170875509Y-92817389D01* +X170886351Y-92830600D01* +X170926461Y-92905642D01* +X170962626Y-92968281D01* +X170966785Y-92981083D01* +X170971912Y-92990674D01* +X171000180Y-93083862D01* +X171022460Y-93152432D01* +X171023244Y-93159892D01* +X171024602Y-93164369D01* +X171037808Y-93298457D01* +X171042700Y-93345000D01* +X171037807Y-93391546D01* +X171024602Y-93525630D01* +X171023244Y-93530105D01* +X171022460Y-93537568D01* +X171000175Y-93606151D01* +X170971912Y-93699325D01* +X170966786Y-93708914D01* +X170962626Y-93721719D01* +X170926454Y-93784370D01* +X170886351Y-93859399D01* +X170875511Y-93872607D01* +X170865812Y-93889407D01* +X170819645Y-93940679D01* +X170771205Y-93999705D01* +X170753178Y-94014499D01* +X170736249Y-94033301D01* +X170684107Y-94071183D01* +X170630899Y-94114851D01* +X170604815Y-94128792D01* +X170579600Y-94147113D01* +X170525362Y-94171261D01* +X170470825Y-94200412D01* +X170436507Y-94210822D01* +X170402712Y-94225869D01* +X170349900Y-94237094D01* +X170297130Y-94253102D01* +X170255124Y-94257239D01* +X170213314Y-94266126D01* +X170164891Y-94266126D01* +X170116500Y-94270892D01* +X170068108Y-94266126D01* +X170019686Y-94266126D01* +X169977876Y-94257239D01* +X169935869Y-94253102D01* +X169883096Y-94237093D01* +X169830288Y-94225869D01* +X169796494Y-94210822D01* +X169762174Y-94200412D01* +X169707631Y-94171258D01* +X169653400Y-94147113D01* +X169628187Y-94128794D01* +X169602100Y-94114851D01* +X169548883Y-94071177D01* +X169496751Y-94033301D01* +X169479824Y-94014502D01* +X169461794Y-93999705D01* +X169413343Y-93940667D01* +X169367188Y-93889407D01* +X169357491Y-93872611D01* +X169346648Y-93859399D01* +X169306532Y-93784347D01* +X169270374Y-93721719D01* +X169266214Y-93708918D01* +X169261087Y-93699325D01* +X169232808Y-93606105D01* +X169210540Y-93537568D01* +X169209756Y-93530110D01* +X169208397Y-93525630D01* +X169195176Y-93391394D01* +X169190300Y-93345000D01* +X169195175Y-93298608D01* +X169208397Y-93164369D01* +X169209756Y-93159887D01* +X169210540Y-93152432D01* +X169232804Y-93083908D01* +X169261087Y-92990674D01* +X169266215Y-92981078D01* +X169270374Y-92968281D01* +X169306524Y-92905665D01* +X169346648Y-92830600D01* +X169357493Y-92817385D01* +X169367188Y-92800593D01* +X169413333Y-92749342D01* +X169461794Y-92690294D01* +X169479827Y-92675494D01* +X169496751Y-92656699D01* +X169548873Y-92618830D01* +X169602100Y-92575148D01* +X169628192Y-92561201D01* +X169653400Y-92542887D01* +X169707620Y-92518746D01* +X169762174Y-92489587D01* +X169796501Y-92479173D01* +X169830288Y-92464131D01* +X169883085Y-92452908D01* +X169935869Y-92436897D01* +X169977884Y-92432758D01* +X170019686Y-92423874D01* +X170068098Y-92423874D01* +X170116500Y-92419107D01* +X170164902Y-92423874D01* +G37* +G36* +X174546402Y-92423874D02* +G01* +X174594814Y-92423874D01* +X174636615Y-92432759D01* +X174678630Y-92436897D01* +X174731411Y-92452908D01* +X174784212Y-92464131D01* +X174818000Y-92479174D01* +X174852325Y-92489587D01* +X174906873Y-92518743D01* +X174961100Y-92542887D01* +X174986310Y-92561203D01* +X175012399Y-92575148D01* +X175065618Y-92618823D01* +X175117749Y-92656699D01* +X175134674Y-92675497D01* +X175152705Y-92690294D01* +X175201154Y-92749330D01* +X175247312Y-92800593D01* +X175257009Y-92817389D01* +X175267851Y-92830600D01* +X175307961Y-92905642D01* +X175344126Y-92968281D01* +X175348285Y-92981083D01* +X175353412Y-92990674D01* +X175381680Y-93083862D01* +X175403960Y-93152432D01* +X175404744Y-93159892D01* +X175406102Y-93164369D01* +X175419308Y-93298457D01* +X175424200Y-93345000D01* +X175419307Y-93391546D01* +X175406102Y-93525630D01* +X175404744Y-93530105D01* +X175403960Y-93537568D01* +X175381675Y-93606151D01* +X175353412Y-93699325D01* +X175348286Y-93708914D01* +X175344126Y-93721719D01* +X175307954Y-93784370D01* +X175267851Y-93859399D01* +X175257011Y-93872607D01* +X175247312Y-93889407D01* +X175201145Y-93940679D01* +X175152705Y-93999705D01* +X175134678Y-94014499D01* +X175117749Y-94033301D01* +X175065607Y-94071183D01* +X175012399Y-94114851D01* +X174986315Y-94128792D01* +X174961100Y-94147113D01* +X174906862Y-94171261D01* +X174852325Y-94200412D01* +X174818007Y-94210822D01* +X174784212Y-94225869D01* +X174731400Y-94237094D01* +X174678630Y-94253102D01* +X174636624Y-94257239D01* +X174594814Y-94266126D01* +X174546391Y-94266126D01* +X174498000Y-94270892D01* +X174449608Y-94266126D01* +X174401186Y-94266126D01* +X174359376Y-94257239D01* +X174317369Y-94253102D01* +X174264596Y-94237093D01* +X174211788Y-94225869D01* +X174177994Y-94210822D01* +X174143674Y-94200412D01* +X174089131Y-94171258D01* +X174034900Y-94147113D01* +X174009687Y-94128794D01* +X173983600Y-94114851D01* +X173930383Y-94071177D01* +X173878251Y-94033301D01* +X173861324Y-94014502D01* +X173843294Y-93999705D01* +X173794843Y-93940667D01* +X173748688Y-93889407D01* +X173738991Y-93872611D01* +X173728148Y-93859399D01* +X173688032Y-93784347D01* +X173651874Y-93721719D01* +X173647714Y-93708918D01* +X173642587Y-93699325D01* +X173614308Y-93606105D01* +X173592040Y-93537568D01* +X173591256Y-93530110D01* +X173589897Y-93525630D01* +X173576676Y-93391394D01* +X173571800Y-93345000D01* +X173576675Y-93298608D01* +X173589897Y-93164369D01* +X173591256Y-93159887D01* +X173592040Y-93152432D01* +X173614304Y-93083908D01* +X173642587Y-92990674D01* +X173647715Y-92981078D01* +X173651874Y-92968281D01* +X173688024Y-92905665D01* +X173728148Y-92830600D01* +X173738993Y-92817385D01* +X173748688Y-92800593D01* +X173794833Y-92749342D01* +X173843294Y-92690294D01* +X173861327Y-92675494D01* +X173878251Y-92656699D01* +X173930373Y-92618830D01* +X173983600Y-92575148D01* +X174009692Y-92561201D01* +X174034900Y-92542887D01* +X174089120Y-92518746D01* +X174143674Y-92489587D01* +X174178001Y-92479173D01* +X174211788Y-92464131D01* +X174264585Y-92452908D01* +X174317369Y-92436897D01* +X174359384Y-92432758D01* +X174401186Y-92423874D01* +X174449598Y-92423874D01* +X174498000Y-92419107D01* +X174546402Y-92423874D01* +G37* +G36* X128170648Y-92576620D02* G01* X128228896Y-92584289D01* @@ -14731,56 +14979,56 @@ X191662500Y-92301000D01* X191714736Y-92311390D01* G37* G36* -X174768381Y-91435648D02* +X178168381Y-91435648D02* G01* -X174774015Y-91438275D01* -X174775812Y-91438537D01* -X174801342Y-91451018D01* -X174866743Y-91481515D01* -X174943485Y-91558257D01* -X174973992Y-91623680D01* -X174986462Y-91649187D01* -X174986723Y-91650982D01* -X174989352Y-91656619D01* -X175000000Y-91737500D01* -X175000000Y-92262500D01* -X174989352Y-92343381D01* -X174986723Y-92349018D01* -X174986462Y-92350812D01* -X174974002Y-92376298D01* -X174943485Y-92441743D01* -X174866743Y-92518485D01* -X174801298Y-92549002D01* -X174775812Y-92561462D01* -X174774018Y-92561723D01* -X174768381Y-92564352D01* -X174687500Y-92575000D01* -X173812500Y-92575000D01* -X173731619Y-92564352D01* -X173725982Y-92561723D01* -X173724187Y-92561462D01* -X173698680Y-92548992D01* -X173633257Y-92518485D01* -X173556515Y-92441743D01* -X173526018Y-92376342D01* -X173513537Y-92350812D01* -X173513275Y-92349015D01* -X173510648Y-92343381D01* -X173500000Y-92262500D01* -X173500000Y-91737500D01* -X173510648Y-91656619D01* -X173513274Y-91650985D01* -X173513537Y-91649187D01* -X173526028Y-91623635D01* -X173556515Y-91558257D01* -X173633257Y-91481515D01* -X173698635Y-91451028D01* -X173724187Y-91438537D01* -X173725985Y-91438274D01* -X173731619Y-91435648D01* -X173812500Y-91425000D01* -X174687500Y-91425000D01* -X174768381Y-91435648D01* +X178174015Y-91438275D01* +X178175812Y-91438537D01* +X178201342Y-91451018D01* +X178266743Y-91481515D01* +X178343485Y-91558257D01* +X178373992Y-91623680D01* +X178386462Y-91649187D01* +X178386723Y-91650982D01* +X178389352Y-91656619D01* +X178400000Y-91737500D01* +X178400000Y-92262500D01* +X178389352Y-92343381D01* +X178386723Y-92349018D01* +X178386462Y-92350812D01* +X178374002Y-92376298D01* +X178343485Y-92441743D01* +X178266743Y-92518485D01* +X178201298Y-92549002D01* +X178175812Y-92561462D01* +X178174018Y-92561723D01* +X178168381Y-92564352D01* +X178087500Y-92575000D01* +X177212500Y-92575000D01* +X177131619Y-92564352D01* +X177125982Y-92561723D01* +X177124187Y-92561462D01* +X177098680Y-92548992D01* +X177033257Y-92518485D01* +X176956515Y-92441743D01* +X176926018Y-92376342D01* +X176913537Y-92350812D01* +X176913275Y-92349015D01* +X176910648Y-92343381D01* +X176900000Y-92262500D01* +X176900000Y-91737500D01* +X176910648Y-91656619D01* +X176913274Y-91650985D01* +X176913537Y-91649187D01* +X176926028Y-91623635D01* +X176956515Y-91558257D01* +X177033257Y-91481515D01* +X177098635Y-91451028D01* +X177124187Y-91438537D01* +X177125985Y-91438274D01* +X177131619Y-91435648D01* +X177212500Y-91425000D01* +X178087500Y-91425000D01* +X178168381Y-91435648D01* G37* G36* X125001662Y-91325276D02* @@ -15585,6 +15833,142 @@ X116550000Y-89700000D01* X116649868Y-89714550D01* G37* G36* +X170800927Y-89889915D02* +G01* +X170810823Y-89894529D01* +X170817056Y-89895517D01* +X170861454Y-89918139D01* +X170903601Y-89937793D01* +X170907315Y-89941507D01* +X170907768Y-89941738D01* +X170979761Y-90013731D01* +X170979991Y-90014183D01* +X170983707Y-90017899D01* +X171003365Y-90060056D01* +X171025982Y-90104443D01* +X171026968Y-90110673D01* +X171031585Y-90120573D01* +X171042700Y-90205000D01* +X171042700Y-91405000D01* +X171031585Y-91489427D01* +X171026968Y-91499326D01* +X171025982Y-91505556D01* +X171003371Y-91549931D01* +X170983707Y-91592101D01* +X170979990Y-91595817D01* +X170979761Y-91596268D01* +X170907768Y-91668261D01* +X170907317Y-91668490D01* +X170903601Y-91672207D01* +X170861431Y-91691871D01* +X170817056Y-91714482D01* +X170810827Y-91715468D01* +X170800927Y-91720085D01* +X170716500Y-91731200D01* +X169516500Y-91731200D01* +X169432073Y-91720085D01* +X169422173Y-91715468D01* +X169415943Y-91714482D01* +X169371556Y-91691865D01* +X169329399Y-91672207D01* +X169325683Y-91668491D01* +X169325231Y-91668261D01* +X169253238Y-91596268D01* +X169253007Y-91595815D01* +X169249293Y-91592101D01* +X169229639Y-91549954D01* +X169207017Y-91505556D01* +X169206029Y-91499323D01* +X169201415Y-91489427D01* +X169190300Y-91405000D01* +X169190300Y-90205000D01* +X169201415Y-90120573D01* +X169206029Y-90110676D01* +X169207017Y-90104443D01* +X169229645Y-90060033D01* +X169249293Y-90017899D01* +X169253006Y-90014185D01* +X169253238Y-90013731D01* +X169325231Y-89941738D01* +X169325685Y-89941506D01* +X169329399Y-89937793D01* +X169371533Y-89918145D01* +X169415943Y-89895517D01* +X169422176Y-89894529D01* +X169432073Y-89889915D01* +X169516500Y-89878800D01* +X170716500Y-89878800D01* +X170800927Y-89889915D01* +G37* +G36* +X175182427Y-89889915D02* +G01* +X175192323Y-89894529D01* +X175198556Y-89895517D01* +X175242954Y-89918139D01* +X175285101Y-89937793D01* +X175288815Y-89941507D01* +X175289268Y-89941738D01* +X175361261Y-90013731D01* +X175361491Y-90014183D01* +X175365207Y-90017899D01* +X175384865Y-90060056D01* +X175407482Y-90104443D01* +X175408468Y-90110673D01* +X175413085Y-90120573D01* +X175424200Y-90205000D01* +X175424200Y-91405000D01* +X175413085Y-91489427D01* +X175408468Y-91499326D01* +X175407482Y-91505556D01* +X175384871Y-91549931D01* +X175365207Y-91592101D01* +X175361490Y-91595817D01* +X175361261Y-91596268D01* +X175289268Y-91668261D01* +X175288817Y-91668490D01* +X175285101Y-91672207D01* +X175242931Y-91691871D01* +X175198556Y-91714482D01* +X175192327Y-91715468D01* +X175182427Y-91720085D01* +X175098000Y-91731200D01* +X173898000Y-91731200D01* +X173813573Y-91720085D01* +X173803673Y-91715468D01* +X173797443Y-91714482D01* +X173753056Y-91691865D01* +X173710899Y-91672207D01* +X173707183Y-91668491D01* +X173706731Y-91668261D01* +X173634738Y-91596268D01* +X173634507Y-91595815D01* +X173630793Y-91592101D01* +X173611139Y-91549954D01* +X173588517Y-91505556D01* +X173587529Y-91499323D01* +X173582915Y-91489427D01* +X173571800Y-91405000D01* +X173571800Y-90205000D01* +X173582915Y-90120573D01* +X173587529Y-90110676D01* +X173588517Y-90104443D01* +X173611145Y-90060033D01* +X173630793Y-90017899D01* +X173634506Y-90014185D01* +X173634738Y-90013731D01* +X173706731Y-89941738D01* +X173707185Y-89941506D01* +X173710899Y-89937793D01* +X173753033Y-89918145D01* +X173797443Y-89895517D01* +X173803676Y-89894529D01* +X173813573Y-89889915D01* +X173898000Y-89878800D01* +X175098000Y-89878800D01* +X175182427Y-89889915D01* +G37* +G36* X112318381Y-90385648D02* G01* X112324015Y-90388275D01* @@ -15737,56 +16121,56 @@ X191662500Y-91001000D01* X191714736Y-91011390D01* G37* G36* -X174768381Y-89735648D02* +X178168381Y-89735648D02* G01* -X174774015Y-89738275D01* -X174775812Y-89738537D01* -X174801342Y-89751018D01* -X174866743Y-89781515D01* -X174943485Y-89858257D01* -X174973992Y-89923680D01* -X174986462Y-89949187D01* -X174986723Y-89950982D01* -X174989352Y-89956619D01* -X175000000Y-90037500D01* -X175000000Y-90562500D01* -X174989352Y-90643381D01* -X174986723Y-90649018D01* -X174986462Y-90650812D01* -X174974002Y-90676298D01* -X174943485Y-90741743D01* -X174866743Y-90818485D01* -X174801303Y-90849000D01* -X174775812Y-90861462D01* -X174774018Y-90861723D01* -X174768381Y-90864352D01* -X174687500Y-90875000D01* -X173812500Y-90875000D01* -X173731619Y-90864352D01* -X173725982Y-90861723D01* -X173724187Y-90861462D01* -X173698680Y-90848992D01* -X173633257Y-90818485D01* -X173556515Y-90741743D01* -X173526018Y-90676342D01* -X173513537Y-90650812D01* -X173513275Y-90649015D01* -X173510648Y-90643381D01* -X173500000Y-90562500D01* -X173500000Y-90037500D01* -X173510648Y-89956619D01* -X173513274Y-89950985D01* -X173513537Y-89949187D01* -X173526028Y-89923635D01* -X173556515Y-89858257D01* -X173633257Y-89781515D01* -X173698635Y-89751028D01* -X173724187Y-89738537D01* -X173725985Y-89738274D01* -X173731619Y-89735648D01* -X173812500Y-89725000D01* -X174687500Y-89725000D01* -X174768381Y-89735648D01* +X178174015Y-89738275D01* +X178175812Y-89738537D01* +X178201342Y-89751018D01* +X178266743Y-89781515D01* +X178343485Y-89858257D01* +X178373992Y-89923680D01* +X178386462Y-89949187D01* +X178386723Y-89950982D01* +X178389352Y-89956619D01* +X178400000Y-90037500D01* +X178400000Y-90562500D01* +X178389352Y-90643381D01* +X178386723Y-90649018D01* +X178386462Y-90650812D01* +X178374002Y-90676298D01* +X178343485Y-90741743D01* +X178266743Y-90818485D01* +X178201303Y-90849000D01* +X178175812Y-90861462D01* +X178174018Y-90861723D01* +X178168381Y-90864352D01* +X178087500Y-90875000D01* +X177212500Y-90875000D01* +X177131619Y-90864352D01* +X177125982Y-90861723D01* +X177124187Y-90861462D01* +X177098680Y-90848992D01* +X177033257Y-90818485D01* +X176956515Y-90741743D01* +X176926018Y-90676342D01* +X176913537Y-90650812D01* +X176913275Y-90649015D01* +X176910648Y-90643381D01* +X176900000Y-90562500D01* +X176900000Y-90037500D01* +X176910648Y-89956619D01* +X176913274Y-89950985D01* +X176913537Y-89949187D01* +X176926028Y-89923635D01* +X176956515Y-89858257D01* +X177033257Y-89781515D01* +X177098635Y-89751028D01* +X177124187Y-89738537D01* +X177125985Y-89738274D01* +X177131619Y-89735648D01* +X177212500Y-89725000D01* +X178087500Y-89725000D01* +X178168381Y-89735648D01* G37* G36* X185814736Y-90361390D02* @@ -15981,28 +16365,72 @@ X127425000Y-89575000D01* X127430139Y-89576022D01* G37* G36* -X134629160Y-88629600D02* +X134434427Y-88634915D02* G01* -X134653882Y-88646118D01* -X134670400Y-88670840D01* -X134676200Y-88700000D01* -X134676200Y-90400000D01* -X134670400Y-90429160D01* -X134653882Y-90453882D01* -X134629160Y-90470400D01* -X134600000Y-90476200D01* -X132900000Y-90476200D01* -X132870840Y-90470400D01* -X132846118Y-90453882D01* -X132829600Y-90429160D01* -X132823800Y-90400000D01* -X132823800Y-88700000D01* -X132829600Y-88670840D01* -X132846118Y-88646118D01* -X132870840Y-88629600D01* -X132900000Y-88623800D01* -X134600000Y-88623800D01* -X134629160Y-88629600D01* +X134444323Y-88639529D01* +X134450556Y-88640517D01* +X134494954Y-88663139D01* +X134537101Y-88682793D01* +X134540815Y-88686507D01* +X134541268Y-88686738D01* +X134613261Y-88758731D01* +X134613491Y-88759183D01* +X134617207Y-88762899D01* +X134636865Y-88805056D01* +X134659482Y-88849443D01* +X134660468Y-88855673D01* +X134665085Y-88865573D01* +X134676200Y-88950000D01* +X134676200Y-90150000D01* +X134665085Y-90234427D01* +X134660468Y-90244326D01* +X134659482Y-90250556D01* +X134636871Y-90294931D01* +X134617207Y-90337101D01* +X134613490Y-90340817D01* +X134613261Y-90341268D01* +X134541268Y-90413261D01* +X134540817Y-90413490D01* +X134537101Y-90417207D01* +X134494931Y-90436871D01* +X134450556Y-90459482D01* +X134444327Y-90460468D01* +X134434427Y-90465085D01* +X134350000Y-90476200D01* +X133150000Y-90476200D01* +X133065573Y-90465085D01* +X133055673Y-90460468D01* +X133049443Y-90459482D01* +X133005056Y-90436865D01* +X132962899Y-90417207D01* +X132959183Y-90413491D01* +X132958731Y-90413261D01* +X132886738Y-90341268D01* +X132886507Y-90340815D01* +X132882793Y-90337101D01* +X132863139Y-90294954D01* +X132840517Y-90250556D01* +X132839529Y-90244323D01* +X132834915Y-90234427D01* +X132823800Y-90150000D01* +X132823800Y-88950000D01* +X132834915Y-88865573D01* +X132839529Y-88855676D01* +X132840517Y-88849443D01* +X132863145Y-88805033D01* +X132882793Y-88762899D01* +X132886506Y-88759185D01* +X132886738Y-88758731D01* +X132958731Y-88686738D01* +X132959185Y-88686506D01* +X132962899Y-88682793D01* +X133005033Y-88663145D01* +X133049443Y-88640517D01* +X133055676Y-88639529D01* +X133065573Y-88634915D01* +X133150000Y-88623800D01* +X134350000Y-88623800D01* +X134434427Y-88634915D01* G37* G36* X129930139Y-89326022D02* @@ -24199,154 +24627,6 @@ X191662500Y-62401000D01* X191714736Y-62411390D01* G37* G36* -X180379160Y-60979600D02* -G01* -X180403882Y-60996118D01* -X180420400Y-61020840D01* -X180426200Y-61050000D01* -X180426200Y-62750000D01* -X180420400Y-62779160D01* -X180403882Y-62803882D01* -X180379160Y-62820400D01* -X180350000Y-62826200D01* -X178650000Y-62826200D01* -X178620840Y-62820400D01* -X178596118Y-62803882D01* -X178579600Y-62779160D01* -X178573800Y-62750000D01* -X178573800Y-61050000D01* -X178579600Y-61020840D01* -X178596118Y-60996118D01* -X178620840Y-60979600D01* -X178650000Y-60973800D01* -X180350000Y-60973800D01* -X180379160Y-60979600D01* -G37* -G36* -X182088402Y-60978874D02* -G01* -X182136814Y-60978874D01* -X182178615Y-60987759D01* -X182220630Y-60991897D01* -X182273411Y-61007908D01* -X182326212Y-61019131D01* -X182360000Y-61034174D01* -X182394325Y-61044587D01* -X182448873Y-61073743D01* -X182503100Y-61097887D01* -X182528310Y-61116203D01* -X182554399Y-61130148D01* -X182607618Y-61173823D01* -X182659749Y-61211699D01* -X182676674Y-61230497D01* -X182694705Y-61245294D01* -X182743154Y-61304330D01* -X182789312Y-61355593D01* -X182799009Y-61372389D01* -X182809851Y-61385600D01* -X182849961Y-61460642D01* -X182886126Y-61523281D01* -X182890285Y-61536083D01* -X182895412Y-61545674D01* -X182923680Y-61638862D01* -X182945960Y-61707432D01* -X182946744Y-61714892D01* -X182948102Y-61719369D01* -X182961308Y-61853457D01* -X182966200Y-61900000D01* -X182961307Y-61946546D01* -X182948102Y-62080630D01* -X182946744Y-62085105D01* -X182945960Y-62092568D01* -X182923675Y-62161151D01* -X182895412Y-62254325D01* -X182890286Y-62263914D01* -X182886126Y-62276719D01* -X182849954Y-62339370D01* -X182809851Y-62414399D01* -X182799011Y-62427607D01* -X182789312Y-62444407D01* -X182743145Y-62495679D01* -X182694705Y-62554705D01* -X182676678Y-62569499D01* -X182659749Y-62588301D01* -X182607607Y-62626183D01* -X182554399Y-62669851D01* -X182528315Y-62683792D01* -X182503100Y-62702113D01* -X182448862Y-62726261D01* -X182394325Y-62755412D01* -X182360007Y-62765822D01* -X182326212Y-62780869D01* -X182273400Y-62792094D01* -X182220630Y-62808102D01* -X182178624Y-62812239D01* -X182136814Y-62821126D01* -X182088391Y-62821126D01* -X182040000Y-62825892D01* -X181991608Y-62821126D01* -X181943186Y-62821126D01* -X181901376Y-62812239D01* -X181859369Y-62808102D01* -X181806596Y-62792093D01* -X181753788Y-62780869D01* -X181719994Y-62765822D01* -X181685674Y-62755412D01* -X181631131Y-62726258D01* -X181576900Y-62702113D01* -X181551687Y-62683794D01* -X181525600Y-62669851D01* -X181472383Y-62626177D01* -X181420251Y-62588301D01* -X181403324Y-62569502D01* -X181385294Y-62554705D01* -X181336843Y-62495667D01* -X181290688Y-62444407D01* -X181280991Y-62427611D01* -X181270148Y-62414399D01* -X181230032Y-62339347D01* -X181193874Y-62276719D01* -X181189714Y-62263918D01* -X181184587Y-62254325D01* -X181156308Y-62161105D01* -X181134040Y-62092568D01* -X181133256Y-62085110D01* -X181131897Y-62080630D01* -X181118676Y-61946394D01* -X181113800Y-61900000D01* -X181118675Y-61853608D01* -X181131897Y-61719369D01* -X181133256Y-61714887D01* -X181134040Y-61707432D01* -X181156304Y-61638908D01* -X181184587Y-61545674D01* -X181189715Y-61536078D01* -X181193874Y-61523281D01* -X181230024Y-61460665D01* -X181270148Y-61385600D01* -X181280993Y-61372385D01* -X181290688Y-61355593D01* -X181336833Y-61304342D01* -X181385294Y-61245294D01* -X181403327Y-61230494D01* -X181420251Y-61211699D01* -X181472373Y-61173830D01* -X181525600Y-61130148D01* -X181551692Y-61116201D01* -X181576900Y-61097887D01* -X181631120Y-61073746D01* -X181685674Y-61044587D01* -X181720001Y-61034173D01* -X181753788Y-61019131D01* -X181806585Y-61007908D01* -X181859369Y-60991897D01* -X181901384Y-60987758D01* -X181943186Y-60978874D01* -X181991598Y-60978874D01* -X182040000Y-60974107D01* -X182088402Y-60978874D01* -G37* -G36* X185814736Y-61761390D02* G01* X185859020Y-61790980D01* @@ -24857,150 +25137,6 @@ X191662500Y-59801000D01* X191714736Y-59811390D01* G37* G36* -X179680139Y-58876022D02* -G01* -X179724192Y-58881133D01* -X179739243Y-58887778D01* -X179761104Y-58892127D01* -X179784506Y-58907763D01* -X179801531Y-58915281D01* -X179813159Y-58926909D01* -X179834099Y-58940901D01* -X179848090Y-58961840D01* -X179859718Y-58973468D01* -X179867234Y-58990491D01* -X179882873Y-59013896D01* -X179887221Y-59035759D01* -X179893866Y-59050807D01* -X179898975Y-59094850D01* -X179900000Y-59100000D01* -X179900000Y-59700000D01* -X179898975Y-59705151D01* -X179893866Y-59749192D01* -X179887222Y-59764239D01* -X179882873Y-59786104D01* -X179867233Y-59809510D01* -X179859718Y-59826531D01* -X179848092Y-59838156D01* -X179834099Y-59859099D01* -X179813156Y-59873092D01* -X179801531Y-59884718D01* -X179784510Y-59892233D01* -X179761104Y-59907873D01* -X179739239Y-59912222D01* -X179724192Y-59918866D01* -X179680151Y-59923975D01* -X179675000Y-59925000D01* -X179325000Y-59925000D01* -X179319850Y-59923975D01* -X179275807Y-59918866D01* -X179260759Y-59912221D01* -X179238896Y-59907873D01* -X179215491Y-59892234D01* -X179198468Y-59884718D01* -X179186840Y-59873090D01* -X179165901Y-59859099D01* -X179151909Y-59838159D01* -X179140281Y-59826531D01* -X179132763Y-59809506D01* -X179117127Y-59786104D01* -X179112778Y-59764243D01* -X179106133Y-59749192D01* -X179101022Y-59705139D01* -X179100000Y-59700000D01* -X179100000Y-59100000D01* -X179101021Y-59094862D01* -X179106133Y-59050807D01* -X179112779Y-59035754D01* -X179117127Y-59013896D01* -X179132762Y-58990495D01* -X179140281Y-58973468D01* -X179151911Y-58961837D01* -X179165901Y-58940901D01* -X179186837Y-58926911D01* -X179198468Y-58915281D01* -X179215495Y-58907762D01* -X179238896Y-58892127D01* -X179260754Y-58887779D01* -X179275807Y-58881133D01* -X179319862Y-58876021D01* -X179325000Y-58875000D01* -X179675000Y-58875000D01* -X179680139Y-58876022D01* -G37* -G36* -X181280139Y-58876022D02* -G01* -X181324192Y-58881133D01* -X181339243Y-58887778D01* -X181361104Y-58892127D01* -X181384506Y-58907763D01* -X181401531Y-58915281D01* -X181413159Y-58926909D01* -X181434099Y-58940901D01* -X181448090Y-58961840D01* -X181459718Y-58973468D01* -X181467234Y-58990491D01* -X181482873Y-59013896D01* -X181487221Y-59035759D01* -X181493866Y-59050807D01* -X181498975Y-59094850D01* -X181500000Y-59100000D01* -X181500000Y-59700000D01* -X181498975Y-59705151D01* -X181493866Y-59749192D01* -X181487222Y-59764239D01* -X181482873Y-59786104D01* -X181467233Y-59809510D01* -X181459718Y-59826531D01* -X181448092Y-59838156D01* -X181434099Y-59859099D01* -X181413156Y-59873092D01* -X181401531Y-59884718D01* -X181384510Y-59892233D01* -X181361104Y-59907873D01* -X181339239Y-59912222D01* -X181324192Y-59918866D01* -X181280151Y-59923975D01* -X181275000Y-59925000D01* -X180925000Y-59925000D01* -X180919850Y-59923975D01* -X180875807Y-59918866D01* -X180860759Y-59912221D01* -X180838896Y-59907873D01* -X180815491Y-59892234D01* -X180798468Y-59884718D01* -X180786840Y-59873090D01* -X180765901Y-59859099D01* -X180751909Y-59838159D01* -X180740281Y-59826531D01* -X180732763Y-59809506D01* -X180717127Y-59786104D01* -X180712778Y-59764243D01* -X180706133Y-59749192D01* -X180701022Y-59705139D01* -X180700000Y-59700000D01* -X180700000Y-59100000D01* -X180701021Y-59094862D01* -X180706133Y-59050807D01* -X180712779Y-59035754D01* -X180717127Y-59013896D01* -X180732762Y-58990495D01* -X180740281Y-58973468D01* -X180751911Y-58961837D01* -X180765901Y-58940901D01* -X180786837Y-58926911D01* -X180798468Y-58915281D01* -X180815495Y-58907762D01* -X180838896Y-58892127D01* -X180860754Y-58887779D01* -X180875807Y-58881133D01* -X180919862Y-58876021D01* -X180925000Y-58875000D01* -X181275000Y-58875000D01* -X181280139Y-58876022D01* -G37* -G36* X122120648Y-58726620D02* G01* X122178896Y-58734289D01* @@ -29193,6 +29329,150 @@ X191062500Y-48574400D01* X191070648Y-48576020D01* G37* G36* +X167580139Y-48126022D02* +G01* +X167624192Y-48131133D01* +X167639243Y-48137778D01* +X167661104Y-48142127D01* +X167684506Y-48157763D01* +X167701531Y-48165281D01* +X167713159Y-48176909D01* +X167734099Y-48190901D01* +X167748090Y-48211840D01* +X167759718Y-48223468D01* +X167767234Y-48240491D01* +X167782873Y-48263896D01* +X167787221Y-48285759D01* +X167793866Y-48300807D01* +X167798975Y-48344850D01* +X167800000Y-48350000D01* +X167800000Y-48950000D01* +X167798975Y-48955151D01* +X167793866Y-48999192D01* +X167787222Y-49014239D01* +X167782873Y-49036104D01* +X167767233Y-49059510D01* +X167759718Y-49076531D01* +X167748092Y-49088156D01* +X167734099Y-49109099D01* +X167713156Y-49123092D01* +X167701531Y-49134718D01* +X167684510Y-49142233D01* +X167661104Y-49157873D01* +X167639239Y-49162222D01* +X167624192Y-49168866D01* +X167580151Y-49173975D01* +X167575000Y-49175000D01* +X167225000Y-49175000D01* +X167219850Y-49173975D01* +X167175807Y-49168866D01* +X167160759Y-49162221D01* +X167138896Y-49157873D01* +X167115491Y-49142234D01* +X167098468Y-49134718D01* +X167086840Y-49123090D01* +X167065901Y-49109099D01* +X167051909Y-49088159D01* +X167040281Y-49076531D01* +X167032763Y-49059506D01* +X167017127Y-49036104D01* +X167012778Y-49014243D01* +X167006133Y-48999192D01* +X167001022Y-48955139D01* +X167000000Y-48950000D01* +X167000000Y-48350000D01* +X167001021Y-48344862D01* +X167006133Y-48300807D01* +X167012779Y-48285754D01* +X167017127Y-48263896D01* +X167032762Y-48240495D01* +X167040281Y-48223468D01* +X167051911Y-48211837D01* +X167065901Y-48190901D01* +X167086837Y-48176911D01* +X167098468Y-48165281D01* +X167115495Y-48157762D01* +X167138896Y-48142127D01* +X167160754Y-48137779D01* +X167175807Y-48131133D01* +X167219862Y-48126021D01* +X167225000Y-48125000D01* +X167575000Y-48125000D01* +X167580139Y-48126022D01* +G37* +G36* +X169180139Y-48126022D02* +G01* +X169224192Y-48131133D01* +X169239243Y-48137778D01* +X169261104Y-48142127D01* +X169284506Y-48157763D01* +X169301531Y-48165281D01* +X169313159Y-48176909D01* +X169334099Y-48190901D01* +X169348090Y-48211840D01* +X169359718Y-48223468D01* +X169367234Y-48240491D01* +X169382873Y-48263896D01* +X169387221Y-48285759D01* +X169393866Y-48300807D01* +X169398975Y-48344850D01* +X169400000Y-48350000D01* +X169400000Y-48950000D01* +X169398975Y-48955151D01* +X169393866Y-48999192D01* +X169387222Y-49014239D01* +X169382873Y-49036104D01* +X169367233Y-49059510D01* +X169359718Y-49076531D01* +X169348092Y-49088156D01* +X169334099Y-49109099D01* +X169313156Y-49123092D01* +X169301531Y-49134718D01* +X169284510Y-49142233D01* +X169261104Y-49157873D01* +X169239239Y-49162222D01* +X169224192Y-49168866D01* +X169180151Y-49173975D01* +X169175000Y-49175000D01* +X168825000Y-49175000D01* +X168819850Y-49173975D01* +X168775807Y-49168866D01* +X168760759Y-49162221D01* +X168738896Y-49157873D01* +X168715491Y-49142234D01* +X168698468Y-49134718D01* +X168686840Y-49123090D01* +X168665901Y-49109099D01* +X168651909Y-49088159D01* +X168640281Y-49076531D01* +X168632763Y-49059506D01* +X168617127Y-49036104D01* +X168612778Y-49014243D01* +X168606133Y-48999192D01* +X168601022Y-48955139D01* +X168600000Y-48950000D01* +X168600000Y-48350000D01* +X168601021Y-48344862D01* +X168606133Y-48300807D01* +X168612779Y-48285754D01* +X168617127Y-48263896D01* +X168632762Y-48240495D01* +X168640281Y-48223468D01* +X168651911Y-48211837D01* +X168665901Y-48190901D01* +X168686837Y-48176911D01* +X168698468Y-48165281D01* +X168715495Y-48157762D01* +X168738896Y-48142127D01* +X168760754Y-48137779D01* +X168775807Y-48131133D01* +X168819862Y-48126021D01* +X168825000Y-48125000D01* +X169175000Y-48125000D01* +X169180139Y-48126022D01* +G37* +G36* X111030168Y-48030832D02* G01* X111064874Y-48030832D01* diff --git a/gerber/WarpSE-F_Paste.gtp b/gerber/WarpSE-F_Paste.gtp index 350f3e2..063fb0b 100644 --- a/gerber/WarpSE-F_Paste.gtp +++ b/gerber/WarpSE-F_Paste.gtp @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -722,8 +722,8 @@ X196200000Y-111400000D03* X194300000Y-111400000D03* X195250000Y-113500000D03* D14* -X174250000Y-90300000D03* -X174250000Y-92000000D03* +X177650000Y-90300000D03* +X177650000Y-92000000D03* D30* X185228000Y-54800500D03* X185228000Y-53530500D03* @@ -888,8 +888,8 @@ X149450000Y-67850000D03* D31* X165189000Y-95567500D03* X166789000Y-95567500D03* -X179500000Y-59400000D03* -X181100000Y-59400000D03* +X167400000Y-48650000D03* +X169000000Y-48650000D03* D42* X131000000Y-91850000D03* D43* diff --git a/gerber/WarpSE-F_Silkscreen.gto b/gerber/WarpSE-F_Silkscreen.gto index 626020d..d05e122 100644 --- a/gerber/WarpSE-F_Silkscreen.gto +++ b/gerber/WarpSE-F_Silkscreen.gto @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -65,24 +65,177 @@ G04 Aperture macros list end* %ADD43RoundRect,0.175000X0.850000X0.175000X-0.850000X0.175000X-0.850000X-0.175000X0.850000X-0.175000X0*% %ADD44RoundRect,0.200000X-0.200000X-0.325000X0.200000X-0.325000X0.200000X0.325000X-0.200000X0.325000X0*% %ADD45RoundRect,0.120000X0.120000X-0.420000X0.120000X0.420000X-0.120000X0.420000X-0.120000X-0.420000X0*% -%ADD46RoundRect,0.076200X0.850000X0.850000X-0.850000X0.850000X-0.850000X-0.850000X0.850000X-0.850000X0*% +%ADD46RoundRect,0.272412X0.653788X0.653788X-0.653788X0.653788X-0.653788X-0.653788X0.653788X-0.653788X0*% %ADD47O,1.852400X1.852400*% %ADD48RoundRect,0.120000X0.120000X0.595000X-0.120000X0.595000X-0.120000X-0.595000X0.120000X-0.595000X0*% %ADD49RoundRect,0.475000X0.475000X0.525000X-0.475000X0.525000X-0.475000X-0.525000X0.475000X-0.525000X0*% %ADD50RoundRect,0.425000X0.675000X0.425000X-0.675000X0.425000X-0.675000X-0.425000X0.675000X-0.425000X0*% %ADD51RoundRect,0.500000X0.500000X0.500000X-0.500000X0.500000X-0.500000X-0.500000X0.500000X-0.500000X0*% %ADD52RoundRect,0.200000X0.325000X-0.200000X0.325000X0.200000X-0.325000X0.200000X-0.325000X-0.200000X0*% -%ADD53RoundRect,0.076200X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X-0.850000X-0.850000X0*% +%ADD53RoundRect,0.272412X-0.653788X-0.653788X0.653788X-0.653788X0.653788X0.653788X-0.653788X0.653788X0*% %ADD54RoundRect,0.200000X-0.325000X0.200000X-0.325000X-0.200000X0.325000X-0.200000X0.325000X0.200000X0*% %ADD55RoundRect,0.287500X0.287500X0.462500X-0.287500X0.462500X-0.287500X-0.462500X0.287500X-0.462500X0*% %ADD56RoundRect,0.325000X0.425000X0.325000X-0.425000X0.325000X-0.425000X-0.325000X0.425000X-0.325000X0*% %ADD57RoundRect,0.117500X-0.117500X0.417500X-0.117500X-0.417500X0.117500X-0.417500X0.117500X0.417500X0*% %ADD58RoundRect,0.092500X-0.092500X0.442500X-0.092500X-0.442500X0.092500X-0.442500X0.092500X0.442500X0*% %ADD59RoundRect,0.117500X0.117500X0.417500X-0.117500X0.417500X-0.117500X-0.417500X0.117500X-0.417500X0*% -%ADD60RoundRect,0.272412X-0.653788X-0.653788X0.653788X-0.653788X0.653788X0.653788X-0.653788X0.653788X0*% -%ADD61RoundRect,0.120000X0.420000X0.120000X-0.420000X0.120000X-0.420000X-0.120000X0.420000X-0.120000X0*% +%ADD60RoundRect,0.120000X0.420000X0.120000X-0.420000X0.120000X-0.420000X-0.120000X0.420000X-0.120000X0*% G04 APERTURE END LIST* D10* +X169032766Y-88820949D02* +X169032766Y-88008149D01* +X169032766Y-88008149D02* +X169342404Y-88008149D01* +X169342404Y-88008149D02* +X169419814Y-88046854D01* +X169419814Y-88046854D02* +X169458519Y-88085559D01* +X169458519Y-88085559D02* +X169497223Y-88162968D01* +X169497223Y-88162968D02* +X169497223Y-88279083D01* +X169497223Y-88279083D02* +X169458519Y-88356492D01* +X169458519Y-88356492D02* +X169419814Y-88395197D01* +X169419814Y-88395197D02* +X169342404Y-88433902D01* +X169342404Y-88433902D02* +X169032766Y-88433902D01* +X169768157Y-88008149D02* +X169961681Y-88820949D01* +X169961681Y-88820949D02* +X170116500Y-88240378D01* +X170116500Y-88240378D02* +X170271319Y-88820949D01* +X170271319Y-88820949D02* +X170464843Y-88008149D01* +X171238937Y-88820949D02* +X170968004Y-88433902D01* +X170774480Y-88820949D02* +X170774480Y-88008149D01* +X170774480Y-88008149D02* +X171084118Y-88008149D01* +X171084118Y-88008149D02* +X171161528Y-88046854D01* +X171161528Y-88046854D02* +X171200233Y-88085559D01* +X171200233Y-88085559D02* +X171238937Y-88162968D01* +X171238937Y-88162968D02* +X171238937Y-88279083D01* +X171238937Y-88279083D02* +X171200233Y-88356492D01* +X171200233Y-88356492D02* +X171161528Y-88395197D01* +X171161528Y-88395197D02* +X171084118Y-88433902D01* +X171084118Y-88433902D02* +X170774480Y-88433902D01* +X173027218Y-88743540D02* +X172988514Y-88782245D01* +X172988514Y-88782245D02* +X172872399Y-88820949D01* +X172872399Y-88820949D02* +X172794990Y-88820949D01* +X172794990Y-88820949D02* +X172678876Y-88782245D01* +X172678876Y-88782245D02* +X172601466Y-88704835D01* +X172601466Y-88704835D02* +X172562761Y-88627425D01* +X172562761Y-88627425D02* +X172524057Y-88472606D01* +X172524057Y-88472606D02* +X172524057Y-88356492D01* +X172524057Y-88356492D02* +X172562761Y-88201673D01* +X172562761Y-88201673D02* +X172601466Y-88124264D01* +X172601466Y-88124264D02* +X172678876Y-88046854D01* +X172678876Y-88046854D02* +X172794990Y-88008149D01* +X172794990Y-88008149D02* +X172872399Y-88008149D01* +X172872399Y-88008149D02* +X172988514Y-88046854D01* +X172988514Y-88046854D02* +X173027218Y-88085559D01* +X173762609Y-88820949D02* +X173375561Y-88820949D01* +X173375561Y-88820949D02* +X173375561Y-88008149D01* +X174033542Y-88820949D02* +X174033542Y-88008149D01* +X174497999Y-88820949D02* +X174149657Y-88356492D01* +X174497999Y-88008149D02* +X174033542Y-88472606D01* +X174846342Y-88820949D02* +X174846342Y-88008149D01* +X174846342Y-88008149D02* +X175039866Y-88008149D01* +X175039866Y-88008149D02* +X175155980Y-88046854D01* +X175155980Y-88046854D02* +X175233390Y-88124264D01* +X175233390Y-88124264D02* +X175272095Y-88201673D01* +X175272095Y-88201673D02* +X175310799Y-88356492D01* +X175310799Y-88356492D02* +X175310799Y-88472606D01* +X175310799Y-88472606D02* +X175272095Y-88627425D01* +X175272095Y-88627425D02* +X175233390Y-88704835D01* +X175233390Y-88704835D02* +X175155980Y-88782245D01* +X175155980Y-88782245D02* +X175039866Y-88820949D01* +X175039866Y-88820949D02* +X174846342Y-88820949D01* +X175659142Y-88820949D02* +X175659142Y-88008149D01* +X176007486Y-88782245D02* +X176123600Y-88820949D01* +X176123600Y-88820949D02* +X176317124Y-88820949D01* +X176317124Y-88820949D02* +X176394533Y-88782245D01* +X176394533Y-88782245D02* +X176433238Y-88743540D01* +X176433238Y-88743540D02* +X176471943Y-88666130D01* +X176471943Y-88666130D02* +X176471943Y-88588721D01* +X176471943Y-88588721D02* +X176433238Y-88511311D01* +X176433238Y-88511311D02* +X176394533Y-88472606D01* +X176394533Y-88472606D02* +X176317124Y-88433902D01* +X176317124Y-88433902D02* +X176162305Y-88395197D01* +X176162305Y-88395197D02* +X176084895Y-88356492D01* +X176084895Y-88356492D02* +X176046190Y-88317787D01* +X176046190Y-88317787D02* +X176007486Y-88240378D01* +X176007486Y-88240378D02* +X176007486Y-88162968D01* +X176007486Y-88162968D02* +X176046190Y-88085559D01* +X176046190Y-88085559D02* +X176084895Y-88046854D01* +X176084895Y-88046854D02* +X176162305Y-88008149D01* +X176162305Y-88008149D02* +X176355828Y-88008149D01* +X176355828Y-88008149D02* +X176471943Y-88046854D01* X145880667Y-98993649D02* X145880667Y-99574221D01* X145880667Y-99574221D02* @@ -215,6 +368,54 @@ X151415447Y-98993649D02* X151531562Y-99032354D01* X151531562Y-99032354D02* X151570266Y-99071059D01* +X132646913Y-84963040D02* +X132608209Y-85001745D01* +X132608209Y-85001745D02* +X132492094Y-85040449D01* +X132492094Y-85040449D02* +X132414685Y-85040449D01* +X132414685Y-85040449D02* +X132298571Y-85001745D01* +X132298571Y-85001745D02* +X132221161Y-84924335D01* +X132221161Y-84924335D02* +X132182456Y-84846925D01* +X132182456Y-84846925D02* +X132143752Y-84692106D01* +X132143752Y-84692106D02* +X132143752Y-84575992D01* +X132143752Y-84575992D02* +X132182456Y-84421173D01* +X132182456Y-84421173D02* +X132221161Y-84343764D01* +X132221161Y-84343764D02* +X132298571Y-84266354D01* +X132298571Y-84266354D02* +X132414685Y-84227649D01* +X132414685Y-84227649D02* +X132492094Y-84227649D01* +X132492094Y-84227649D02* +X132608209Y-84266354D01* +X132608209Y-84266354D02* +X132646913Y-84305059D01* +X133382304Y-85040449D02* +X132995256Y-85040449D01* +X132995256Y-85040449D02* +X132995256Y-84227649D01* +X133653237Y-85040449D02* +X133653237Y-84227649D01* +X134117694Y-85040449D02* +X133769352Y-84575992D01* +X134117694Y-84227649D02* +X133653237Y-84692106D01* +X134466037Y-85040449D02* +X134466037Y-84227649D01* +X134853085Y-85040449D02* +X134853085Y-84227649D01* +X134853085Y-84227649D02* +X135317542Y-85040449D01* +X135317542Y-85040449D02* +X135317542Y-84227649D01* X118630723Y-108652649D02* X118630723Y-109310630D01* X118630723Y-109310630D02* @@ -1319,158 +1520,6 @@ X182653992Y-49349175D02* X183118449Y-49813632D01* X183118449Y-49813632D02* X183118449Y-49310470D01* -X132646913Y-84968040D02* -X132608209Y-85006745D01* -X132608209Y-85006745D02* -X132492094Y-85045449D01* -X132492094Y-85045449D02* -X132414685Y-85045449D01* -X132414685Y-85045449D02* -X132298571Y-85006745D01* -X132298571Y-85006745D02* -X132221161Y-84929335D01* -X132221161Y-84929335D02* -X132182456Y-84851925D01* -X132182456Y-84851925D02* -X132143752Y-84697106D01* -X132143752Y-84697106D02* -X132143752Y-84580992D01* -X132143752Y-84580992D02* -X132182456Y-84426173D01* -X132182456Y-84426173D02* -X132221161Y-84348764D01* -X132221161Y-84348764D02* -X132298571Y-84271354D01* -X132298571Y-84271354D02* -X132414685Y-84232649D01* -X132414685Y-84232649D02* -X132492094Y-84232649D01* -X132492094Y-84232649D02* -X132608209Y-84271354D01* -X132608209Y-84271354D02* -X132646913Y-84310059D01* -X133382304Y-85045449D02* -X132995256Y-85045449D01* -X132995256Y-85045449D02* -X132995256Y-84232649D01* -X133653237Y-85045449D02* -X133653237Y-84232649D01* -X134117694Y-85045449D02* -X133769352Y-84580992D01* -X134117694Y-84232649D02* -X133653237Y-84697106D01* -X134466037Y-85045449D02* -X134466037Y-84232649D01* -X134853085Y-85045449D02* -X134853085Y-84232649D01* -X134853085Y-84232649D02* -X135317542Y-85045449D01* -X135317542Y-85045449D02* -X135317542Y-84232649D01* -X179313718Y-64613540D02* -X179275014Y-64652245D01* -X179275014Y-64652245D02* -X179158899Y-64690949D01* -X179158899Y-64690949D02* -X179081490Y-64690949D01* -X179081490Y-64690949D02* -X178965376Y-64652245D01* -X178965376Y-64652245D02* -X178887966Y-64574835D01* -X178887966Y-64574835D02* -X178849261Y-64497425D01* -X178849261Y-64497425D02* -X178810557Y-64342606D01* -X178810557Y-64342606D02* -X178810557Y-64226492D01* -X178810557Y-64226492D02* -X178849261Y-64071673D01* -X178849261Y-64071673D02* -X178887966Y-63994264D01* -X178887966Y-63994264D02* -X178965376Y-63916854D01* -X178965376Y-63916854D02* -X179081490Y-63878149D01* -X179081490Y-63878149D02* -X179158899Y-63878149D01* -X179158899Y-63878149D02* -X179275014Y-63916854D01* -X179275014Y-63916854D02* -X179313718Y-63955559D01* -X180049109Y-64690949D02* -X179662061Y-64690949D01* -X179662061Y-64690949D02* -X179662061Y-63878149D01* -X180320042Y-64690949D02* -X180320042Y-63878149D01* -X180784499Y-64690949D02* -X180436157Y-64226492D01* -X180784499Y-63878149D02* -X180320042Y-64342606D01* -X181132842Y-64690949D02* -X181132842Y-63878149D01* -X181132842Y-63878149D02* -X181326366Y-63878149D01* -X181326366Y-63878149D02* -X181442480Y-63916854D01* -X181442480Y-63916854D02* -X181519890Y-63994264D01* -X181519890Y-63994264D02* -X181558595Y-64071673D01* -X181558595Y-64071673D02* -X181597299Y-64226492D01* -X181597299Y-64226492D02* -X181597299Y-64342606D01* -X181597299Y-64342606D02* -X181558595Y-64497425D01* -X181558595Y-64497425D02* -X181519890Y-64574835D01* -X181519890Y-64574835D02* -X181442480Y-64652245D01* -X181442480Y-64652245D02* -X181326366Y-64690949D01* -X181326366Y-64690949D02* -X181132842Y-64690949D01* -X181945642Y-64690949D02* -X181945642Y-63878149D01* -X182293986Y-64652245D02* -X182410100Y-64690949D01* -X182410100Y-64690949D02* -X182603624Y-64690949D01* -X182603624Y-64690949D02* -X182681033Y-64652245D01* -X182681033Y-64652245D02* -X182719738Y-64613540D01* -X182719738Y-64613540D02* -X182758443Y-64536130D01* -X182758443Y-64536130D02* -X182758443Y-64458721D01* -X182758443Y-64458721D02* -X182719738Y-64381311D01* -X182719738Y-64381311D02* -X182681033Y-64342606D01* -X182681033Y-64342606D02* -X182603624Y-64303902D01* -X182603624Y-64303902D02* -X182448805Y-64265197D01* -X182448805Y-64265197D02* -X182371395Y-64226492D01* -X182371395Y-64226492D02* -X182332690Y-64187787D01* -X182332690Y-64187787D02* -X182293986Y-64110378D01* -X182293986Y-64110378D02* -X182293986Y-64032968D01* -X182293986Y-64032968D02* -X182332690Y-63955559D01* -X182332690Y-63955559D02* -X182371395Y-63916854D01* -X182371395Y-63916854D02* -X182448805Y-63878149D01* -X182448805Y-63878149D02* -X182642328Y-63878149D01* -X182642328Y-63878149D02* -X182758443Y-63916854D01* D11* X112993600Y-76000000D02* X113806400Y-76000000D01* @@ -1811,10 +1860,10 @@ X195900000Y-113210000D01* X196830000Y-113210000D02* X196830000Y-111050000D01* D11* -X175050000Y-90743600D02* -X175050000Y-91556400D01* -X173450000Y-90743600D02* -X173450000Y-91556400D01* +X178450000Y-90743600D02* +X178450000Y-91556400D01* +X176850000Y-90743600D02* +X176850000Y-91556400D01* D12* X182753000Y-55415500D02* X180803000Y-55415500D01* @@ -8279,10 +8328,10 @@ X195012779Y-115660000D02* X194687221Y-115660000D01* X195012779Y-114640000D02* X194687221Y-114640000D01* -X178166500Y-63233500D02* -X178166500Y-62535000D01* -X178865000Y-63233500D02* -X178166500Y-63233500D01* +X173164500Y-89471500D02* +X173863000Y-89471500D01* +X173164500Y-90170000D02* +X173164500Y-89471500D01* X165826221Y-99629500D02* X166151779Y-99629500D01* X165826221Y-100649500D02* @@ -8371,10 +8420,10 @@ X103949500Y-110934500D02* X103949500Y-111633000D01* X103949500Y-110934500D02* X104648000Y-110934500D01* -X180137221Y-58890000D02* -X180462779Y-58890000D01* -X180137221Y-59910000D02* -X180462779Y-59910000D01* +X168037221Y-48140000D02* +X168362779Y-48140000D01* +X168037221Y-49160000D02* +X168362779Y-49160000D01* X131400000Y-94300000D02* X131400000Y-91400000D01* X129000000Y-94300000D02* @@ -8387,6 +8436,10 @@ X188820000Y-126660000D02* X190850000Y-126660000D01* X190230000Y-124340000D02* X188820000Y-124340000D01* +X168783000Y-89471500D02* +X169481500Y-89471500D01* +X168783000Y-90170000D02* +X168783000Y-89471500D01* %LPC*% D15* X112550000Y-76800000D03* @@ -9178,8 +9231,8 @@ X196200000Y-111400000D03* X194300000Y-111400000D03* X195250000Y-113500000D03* D19* -X174250000Y-90300000D03* -X174250000Y-92000000D03* +X177650000Y-90300000D03* +X177650000Y-92000000D03* D43* X185228000Y-54800500D03* X185228000Y-53530500D03* @@ -9274,9 +9327,9 @@ D40* X195650000Y-115150000D03* X194050000Y-115150000D03* D53* -X179500000Y-61900000D03* +X174498000Y-90805000D03* D47* -X182040000Y-61900000D03* +X174498000Y-93345000D03* D44* X165189000Y-100139500D03* X166789000Y-100139500D03* @@ -9351,7 +9404,7 @@ X149450000Y-67850000D03* D44* X165189000Y-95567500D03* X166789000Y-95567500D03* -D60* +D53* X105283000Y-112268000D03* D47* X107823000Y-112268000D03* @@ -9366,8 +9419,8 @@ X107823000Y-122428000D03* X105283000Y-124968000D03* X107823000Y-124968000D03* D44* -X179500000Y-59400000D03* -X181100000Y-59400000D03* +X167400000Y-48650000D03* +X169000000Y-48650000D03* D57* X131000000Y-91850000D03* D58* @@ -9385,11 +9438,15 @@ X131000000Y-94550000D03* D28* X187579000Y-50990500D03* X187579000Y-49490500D03* -D61* +D60* X190400000Y-126150000D03* X190400000Y-125500000D03* X190400000Y-124850000D03* X188700000Y-124850000D03* X188700000Y-126150000D03* +D53* +X170116500Y-90805000D03* +D47* +X170116500Y-93345000D03* %LPD*% M02* diff --git a/gerber/WarpSE-In1_Cu.g2 b/gerber/WarpSE-In1_Cu.g2 index 2a0711c..96e700e 100644 --- a/gerber/WarpSE-In1_Cu.g2 +++ b/gerber/WarpSE-In1_Cu.g2 @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L2,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -36,7 +36,7 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD11C,1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD12R,1.700000X1.700000*% +%ADD12RoundRect,0.250000X0.600000X0.600000X-0.600000X0.600000X-0.600000X-0.600000X0.600000X-0.600000X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* %ADD13O,1.700000X1.700000*% @@ -162,10 +162,10 @@ D12* X133750000Y-89550000D03* D13* X133750000Y-87010000D03* -D12* -X179500000Y-61900000D03* +D14* +X174498000Y-90805000D03* D13* -X182040000Y-61900000D03* +X174498000Y-93345000D03* D14* X105283000Y-112268000D03* D13* @@ -180,6 +180,10 @@ X105283000Y-122428000D03* X107823000Y-122428000D03* X105283000Y-124968000D03* X107823000Y-124968000D03* +D14* +X170116500Y-90805000D03* +D13* +X170116500Y-93345000D03* D15* X195961000Y-44005500D03* D16* @@ -313,7 +317,7 @@ X163830000Y-68262500D03* X162560000Y-69405500D03* X163830000Y-69405500D03* X165100000Y-69405500D03* -X165100000Y-67500500D03* +X165100000Y-67627500D03* D15* X135382000Y-100711000D03* X161798000Y-96901000D03* @@ -484,7 +488,7 @@ X150495000Y-76454000D03* X123952000Y-77597000D03* D16* X132207000Y-64389000D03* -X143256000Y-70675500D03* +X142557500Y-70675500D03* X129800000Y-114450000D03* X126150000Y-116600000D03* D17* @@ -502,9 +506,9 @@ X197750000Y-95450000D03* X198600000Y-119600000D03* X193500000Y-111400000D03* D17* -X174250000Y-92950000D03* -X175400000Y-91800000D03* -X173100000Y-91800000D03* +X177650000Y-92950000D03* +X178800000Y-91800000D03* +X176500000Y-91800000D03* D16* X132715000Y-83058000D03* X133985000Y-83058000D03* @@ -566,7 +570,6 @@ X157353000Y-126746000D03* X135128000Y-49149000D03* X195750000Y-86350000D03* X121793000Y-38608000D03* -X167513000Y-48768000D03* X110172500Y-120777000D03* X195600000Y-60650000D03* X196150000Y-58750000D03* @@ -620,7 +623,6 @@ X172593000Y-126746000D03* X162560000Y-58610500D03* X192659000Y-128778000D03* X157353000Y-48768000D03* -X170624500Y-92583000D03* X194050000Y-84750000D03* X127444500Y-78105000D03* X104013000Y-86868000D03* @@ -628,7 +630,7 @@ X148150000Y-119050000D03* X208724500Y-61468000D03* X169037000Y-70104000D03* X207708500Y-69088000D03* -X175450500Y-88265000D03* +X173418500Y-85598000D03* X122700000Y-59050000D03* X121000000Y-59050000D03* D17* @@ -731,6 +733,7 @@ X130175000Y-58166000D03* X124500000Y-80400000D03* X112800000Y-54800000D03* X211582000Y-122428000D03* +X194250000Y-65900000D03* X162433000Y-38608000D03* X201930000Y-113510000D03* X207708500Y-79248000D03* @@ -741,6 +744,7 @@ X131550000Y-119650000D03* X165150000Y-121450000D03* X209740500Y-94488000D03* X167513000Y-38608000D03* +X191300000Y-126150000D03* X179250000Y-85950000D03* X196550000Y-55250000D03* X177750000Y-95800000D03* @@ -846,7 +850,7 @@ X201930000Y-76990000D03* X211582000Y-132080000D03* X159893000Y-124269500D03* X124460000Y-70485000D03* -X133286500Y-97300000D03* +X133300000Y-97300000D03* X174350000Y-84200000D03* X127900000Y-101550000D03* X130600000Y-113200000D03* @@ -899,6 +903,7 @@ D16* X201930000Y-57630000D03* X201930000Y-104930000D03* X106553000Y-128778000D03* +X143891000Y-70548500D03* X180250000Y-112550000D03* X201930000Y-107470000D03* X209740500Y-99568000D03* @@ -916,7 +921,6 @@ X128400000Y-119700000D03* X195550000Y-65900000D03* X107250000Y-106400000D03* X107886500Y-61087000D03* -X170307000Y-88201500D03* X201930000Y-77950000D03* X177673000Y-43688000D03* X152273000Y-126746000D03* @@ -946,6 +950,7 @@ X188550000Y-110500000D03* X177500000Y-53800000D03* X189600000Y-99100000D03* X111633000Y-128778000D03* +X198882000Y-112839500D03* X182150000Y-89250000D03* X199050000Y-95750000D03* X124750000Y-86700000D03* @@ -960,7 +965,7 @@ X201930000Y-121130000D03* X201930000Y-62710000D03* X119300000Y-122700000D03* X178700000Y-114000000D03* -X194119500Y-67246500D03* +X194119500Y-67350000D03* X191700000Y-49099400D03* X104013000Y-107188000D03* X155067000Y-70675500D03* @@ -1099,6 +1104,7 @@ X142600000Y-118250000D03* X176100000Y-84950000D03* X104013000Y-81788000D03* X147193000Y-38608000D03* +X188468000Y-56451500D03* X137160000Y-53086000D03* X163766500Y-90551000D03* X104013000Y-97028000D03* @@ -1109,6 +1115,7 @@ X186750000Y-47700000D03* X170053000Y-41148000D03* X179150000Y-65850000D03* X109474000Y-120078500D03* +X199326499Y-90233500D03* X125285500Y-52959000D03* D17* X145669000Y-46482000D03* @@ -1249,9 +1256,9 @@ X190900000Y-111700000D03* X182400000Y-102600000D03* X190900000Y-102600000D03* D17* -X174250000Y-89350000D03* -X173100000Y-90500000D03* -X175400000Y-90500000D03* +X177650000Y-89350000D03* +X176500000Y-90500000D03* +X178800000Y-90500000D03* X144145000Y-48768000D03* D19* X112550000Y-75500000D03* @@ -1302,6 +1309,7 @@ D19* X118450000Y-61500000D03* X120000000Y-102950000D03* D16* +X169800000Y-48650000D03* X131100000Y-95450000D03* X131000000Y-93650000D03* D19* @@ -1391,7 +1399,6 @@ X120450000Y-65500000D03* X112400000Y-67500000D03* D16* X119200000Y-90950000D03* -X182399500Y-59400000D03* X187750000Y-126150000D03* D19* X118450000Y-67500000D03* @@ -1693,6 +1700,7 @@ X164465000Y-95567500D03* X115000000Y-107600000D03* X115900000Y-108100000D03* X164465000Y-98615500D03* +X166650000Y-48650000D03* X128050000Y-85700000D03* G04 #@! TA.AperFunction,Conductor* G36* @@ -9921,7 +9929,140 @@ X131328050Y-93888342D01* X131385646Y-93775304D01* X131405492Y-93650000D01* X131385646Y-93524696D01* -X131373063Y-93500000D01* +X131328050Y-93411658D01* +X131238342Y-93321950D01* +X131125304Y-93264354D01* +X131125302Y-93264353D01* +X131125301Y-93264353D01* +X131000000Y-93244508D01* +X130874698Y-93264353D01* +X130874696Y-93264353D01* +X130874696Y-93264354D01* +X130832908Y-93285646D01* +X130761656Y-93321951D01* +X130671951Y-93411656D01* +X130614353Y-93524698D01* +X130594508Y-93650000D01* +X126805492Y-93650000D01* +X126785646Y-93524696D01* +X126728050Y-93411658D01* +X126638342Y-93321950D01* +X126525304Y-93264354D01* +X126525302Y-93264353D01* +X126525301Y-93264353D01* +X126400000Y-93244508D01* +X126274698Y-93264353D01* +X126274696Y-93264353D01* +X126274696Y-93264354D01* +X126232908Y-93285646D01* +X126161656Y-93321951D01* +X126071951Y-93411656D01* +X126014353Y-93524698D01* +X125994508Y-93650000D01* +X103657900Y-93650000D01* +X103657900Y-92950003D01* +X119794722Y-92950003D01* +X119813761Y-93106808D01* +X119813764Y-93106822D01* +X119869778Y-93254521D01* +X119921424Y-93329343D01* +X119959517Y-93384530D01* +X120077760Y-93489283D01* +X120217635Y-93562696D01* +X120371015Y-93600500D01* +X120371019Y-93600500D01* +X120528981Y-93600500D01* +X120528985Y-93600500D01* +X120682365Y-93562696D01* +X120822240Y-93489283D01* +X120940483Y-93384530D01* +X121030220Y-93254523D01* +X121086237Y-93106818D01* +X121104611Y-92955492D01* +X121105278Y-92950003D01* +X121105278Y-92949996D01* +X121099207Y-92900000D01* +X129894508Y-92900000D01* +X129914353Y-93025301D01* +X129914353Y-93025302D01* +X129914354Y-93025304D01* +X129971950Y-93138342D01* +X130061658Y-93228050D01* +X130174696Y-93285646D01* +X130300000Y-93305492D01* +X130425304Y-93285646D01* +X130538342Y-93228050D01* +X130628050Y-93138342D01* +X130652172Y-93091000D01* +X137643508Y-93091000D01* +X137663353Y-93216301D01* +X137663353Y-93216302D01* +X137663354Y-93216304D01* +X137720950Y-93329342D01* +X137810658Y-93419050D01* +X137923696Y-93476646D01* +X138049000Y-93496492D01* +X138058420Y-93495000D01* +X169126435Y-93495000D01* +X169130969Y-93541035D01* +X169188151Y-93729539D01* +X169281004Y-93903252D01* +X169281013Y-93903266D01* +X169405971Y-94055526D01* +X169405973Y-94055528D01* +X169558233Y-94180486D01* +X169558247Y-94180495D01* +X169731960Y-94273348D01* +X169920464Y-94330530D01* +X169966500Y-94335064D01* +X169966500Y-93826170D01* +X169974185Y-93829680D01* +X170080737Y-93845000D01* +X170152263Y-93845000D01* +X170258815Y-93829680D01* +X170266500Y-93826170D01* +X170266500Y-94335064D01* +X170312535Y-94330530D01* +X170501039Y-94273348D01* +X170674752Y-94180495D01* +X170674766Y-94180486D01* +X170827026Y-94055528D01* +X170827028Y-94055526D01* +X170951986Y-93903266D01* +X170951995Y-93903252D01* +X171044848Y-93729539D01* +X171102030Y-93541035D01* +X171106565Y-93495000D01* +X173507935Y-93495000D01* +X173512469Y-93541035D01* +X173569651Y-93729539D01* +X173662504Y-93903252D01* +X173662513Y-93903266D01* +X173787471Y-94055526D01* +X173787473Y-94055528D01* +X173939733Y-94180486D01* +X173939747Y-94180495D01* +X174113460Y-94273348D01* +X174301964Y-94330530D01* +X174348000Y-94335064D01* +X174348000Y-93826170D01* +X174355685Y-93829680D01* +X174462237Y-93845000D01* +X174533763Y-93845000D01* +X174640315Y-93829680D01* +X174648000Y-93826170D01* +X174648000Y-94335064D01* +X174694035Y-94330530D01* +X174882539Y-94273348D01* +X175056252Y-94180495D01* +X175056266Y-94180486D01* +X175208526Y-94055528D01* +X175208528Y-94055526D01* +X175333486Y-93903266D01* +X175333495Y-93903252D01* +X175426348Y-93729539D01* +X175483530Y-93541035D01* +X175487572Y-93500000D01* X181994508Y-93500000D01* X182014353Y-93625301D01* X182014353Y-93625302D01* @@ -9973,98 +10114,20 @@ X182161656Y-93171951D01* X182071951Y-93261656D01* X182014353Y-93374698D01* X181994508Y-93500000D01* -X131373063Y-93500000D01* -X131328050Y-93411658D01* -X131238342Y-93321950D01* -X131125304Y-93264354D01* -X131125302Y-93264353D01* -X131125301Y-93264353D01* -X131000000Y-93244508D01* -X130874698Y-93264353D01* -X130761656Y-93321951D01* -X130671951Y-93411656D01* -X130614353Y-93524698D01* -X130594508Y-93650000D01* -X126805492Y-93650000D01* -X126785646Y-93524696D01* -X126728050Y-93411658D01* -X126638342Y-93321950D01* -X126525304Y-93264354D01* -X126525302Y-93264353D01* -X126525301Y-93264353D01* -X126400000Y-93244508D01* -X126274698Y-93264353D01* -X126161656Y-93321951D01* -X126071951Y-93411656D01* -X126014353Y-93524698D01* -X125994508Y-93650000D01* -X103657900Y-93650000D01* -X103657900Y-92950003D01* -X119794722Y-92950003D01* -X119813761Y-93106808D01* -X119813764Y-93106822D01* -X119869778Y-93254521D01* -X119921424Y-93329343D01* -X119959517Y-93384530D01* -X120077760Y-93489283D01* -X120217635Y-93562696D01* -X120371015Y-93600500D01* -X120371019Y-93600500D01* -X120528981Y-93600500D01* -X120528985Y-93600500D01* -X120682365Y-93562696D01* -X120822240Y-93489283D01* -X120940483Y-93384530D01* -X121030220Y-93254523D01* -X121086237Y-93106818D01* -X121104611Y-92955492D01* -X121105278Y-92950003D01* -X121105278Y-92949996D01* -X121099207Y-92900000D01* -X129894508Y-92900000D01* -X129914353Y-93025301D01* -X129914353Y-93025302D01* -X129914354Y-93025304D01* -X129971950Y-93138342D01* -X130061658Y-93228050D01* -X130174696Y-93285646D01* -X130300000Y-93305492D01* -X130425304Y-93285646D01* -X130538342Y-93228050D01* -X130628050Y-93138342D01* -X130652172Y-93091000D01* -X137643508Y-93091000D01* -X137663353Y-93216301D01* -X137663353Y-93216302D01* -X137663354Y-93216304D01* -X137720950Y-93329342D01* -X137810658Y-93419050D01* -X137923696Y-93476646D01* -X138049000Y-93496492D01* -X138174304Y-93476646D01* -X138287342Y-93419050D01* -X138377050Y-93329342D01* -X138434646Y-93216304D01* -X138454492Y-93091000D01* -X138434646Y-92965696D01* -X138377050Y-92852658D01* -X138287342Y-92762950D01* -X138174304Y-92705354D01* -X138174302Y-92705353D01* -X138174301Y-92705353D01* -X138049000Y-92685508D01* -X137923698Y-92705353D01* -X137810656Y-92762951D01* -X137720951Y-92852656D01* -X137663353Y-92965698D01* -X137643508Y-93091000D01* -X130652172Y-93091000D01* -X130685646Y-93025304D01* -X130705492Y-92900000D01* -X130685646Y-92774696D01* -X130628050Y-92661658D01* -X130538342Y-92571950D01* -X130495263Y-92550000D01* +X175487572Y-93500000D01* +X175488065Y-93495000D01* +X174975065Y-93495000D01* +X174998000Y-93416889D01* +X174998000Y-93273111D01* +X174975065Y-93195000D01* +X175488064Y-93195000D01* +X175483530Y-93148964D01* +X175426348Y-92960460D01* +X175333495Y-92786747D01* +X175333486Y-92786733D01* +X175208528Y-92634473D01* +X175208526Y-92634471D01* +X175105599Y-92550000D01* X191744508Y-92550000D01* X191764353Y-92675301D01* X191764353Y-92675302D01* @@ -10090,7 +10153,99 @@ X191911656Y-92221951D01* X191821951Y-92311656D01* X191764353Y-92424698D01* X191744508Y-92550000D01* -X130495263Y-92550000D01* +X175105599Y-92550000D01* +X175056266Y-92509513D01* +X175056252Y-92509504D01* +X174882539Y-92416651D01* +X174694037Y-92359469D01* +X174648000Y-92354934D01* +X174648000Y-92863829D01* +X174640315Y-92860320D01* +X174533763Y-92845000D01* +X174462237Y-92845000D01* +X174355685Y-92860320D01* +X174348000Y-92863829D01* +X174348000Y-92354934D01* +X174301962Y-92359469D01* +X174113460Y-92416651D01* +X173939747Y-92509504D01* +X173939733Y-92509513D01* +X173787473Y-92634471D01* +X173787471Y-92634473D01* +X173662513Y-92786733D01* +X173662504Y-92786747D01* +X173569651Y-92960460D01* +X173512469Y-93148964D01* +X173507935Y-93195000D01* +X174020935Y-93195000D01* +X173998000Y-93273111D01* +X173998000Y-93416889D01* +X174020935Y-93495000D01* +X173507935Y-93495000D01* +X171106565Y-93495000D01* +X170593565Y-93495000D01* +X170616500Y-93416889D01* +X170616500Y-93273111D01* +X170593565Y-93195000D01* +X171106564Y-93195000D01* +X171102030Y-93148964D01* +X171044848Y-92960460D01* +X170951995Y-92786747D01* +X170951986Y-92786733D01* +X170827028Y-92634473D01* +X170827026Y-92634471D01* +X170674766Y-92509513D01* +X170674752Y-92509504D01* +X170501039Y-92416651D01* +X170312537Y-92359469D01* +X170266500Y-92354934D01* +X170266500Y-92863829D01* +X170258815Y-92860320D01* +X170152263Y-92845000D01* +X170080737Y-92845000D01* +X169974185Y-92860320D01* +X169966500Y-92863829D01* +X169966500Y-92354934D01* +X169920462Y-92359469D01* +X169731960Y-92416651D01* +X169558247Y-92509504D01* +X169558233Y-92509513D01* +X169405973Y-92634471D01* +X169405971Y-92634473D01* +X169281013Y-92786733D01* +X169281004Y-92786747D01* +X169188151Y-92960460D01* +X169130969Y-93148964D01* +X169126435Y-93195000D01* +X169639435Y-93195000D01* +X169616500Y-93273111D01* +X169616500Y-93416889D01* +X169639435Y-93495000D01* +X169126435Y-93495000D01* +X138058420Y-93495000D01* +X138174304Y-93476646D01* +X138287342Y-93419050D01* +X138377050Y-93329342D01* +X138434646Y-93216304D01* +X138454492Y-93091000D01* +X138434646Y-92965696D01* +X138377050Y-92852658D01* +X138287342Y-92762950D01* +X138174304Y-92705354D01* +X138174302Y-92705353D01* +X138174301Y-92705353D01* +X138049000Y-92685508D01* +X137923698Y-92705353D01* +X137810656Y-92762951D01* +X137720951Y-92852656D01* +X137663353Y-92965698D01* +X137643508Y-93091000D01* +X130652172Y-93091000D01* +X130685646Y-93025304D01* +X130705492Y-92900000D01* +X130685646Y-92774696D01* +X130628050Y-92661658D01* +X130538342Y-92571950D01* X130425304Y-92514354D01* X130425302Y-92514353D01* X130425301Y-92514353D01* @@ -10106,7 +10261,7 @@ X121086237Y-92793182D01* X121074772Y-92762950D01* X121030221Y-92645478D01* X120940483Y-92515470D01* -X120939222Y-92514353D01* +X120933749Y-92509504D01* X120822240Y-92410717D01* X120810139Y-92404366D01* X120682366Y-92337304D01* @@ -10406,10 +10561,42 @@ X127911658Y-91428050D01* X128024696Y-91485646D01* X128150000Y-91505492D01* X128275304Y-91485646D01* -X128388342Y-91428050D01* -X128478050Y-91338342D01* -X128535646Y-91225304D01* -X128539654Y-91200000D01* +X128371721Y-91436519D01* +X169116000Y-91436519D01* +X169130853Y-91530304D01* +X169130854Y-91530306D01* +X169169164Y-91605491D01* +X169188450Y-91643342D01* +X169278158Y-91733050D01* +X169391196Y-91790646D01* +X169484981Y-91805500D01* +X170748018Y-91805499D01* +X170748019Y-91805499D01* +X170841804Y-91790646D01* +X170841806Y-91790645D01* +X170843919Y-91789568D01* +X170954842Y-91733050D01* +X171044550Y-91643342D01* +X171102146Y-91530304D01* +X171117000Y-91436519D01* +X173497500Y-91436519D01* +X173512353Y-91530304D01* +X173512354Y-91530306D01* +X173550664Y-91605491D01* +X173569950Y-91643342D01* +X173659658Y-91733050D01* +X173772696Y-91790646D01* +X173866481Y-91805500D01* +X175129518Y-91805499D01* +X175129519Y-91805499D01* +X175223304Y-91790646D01* +X175223306Y-91790645D01* +X175225419Y-91789568D01* +X175336342Y-91733050D01* +X175426050Y-91643342D01* +X175483646Y-91530304D01* +X175498500Y-91436519D01* +X175498500Y-91200000D01* X191744508Y-91200000D01* X191764353Y-91325301D01* X191764353Y-91325302D01* @@ -10435,7 +10622,161 @@ X191911656Y-90871951D01* X191821951Y-90961656D01* X191764353Y-91074698D01* X191744508Y-91200000D01* -X128539654Y-91200000D01* +X175498500Y-91200000D01* +X175498499Y-90500000D01* +X176044867Y-90500000D01* +X176063302Y-90628223D01* +X176063302Y-90628224D01* +X176063303Y-90628226D01* +X176117118Y-90746063D01* +X176201951Y-90843967D01* +X176310931Y-90914004D01* +X176435228Y-90950500D01* +X176564772Y-90950500D01* +X176689069Y-90914004D01* +X176798049Y-90843967D01* +X176882882Y-90746063D01* +X176936697Y-90628226D01* +X176955133Y-90500000D01* +X178344867Y-90500000D01* +X178363302Y-90628223D01* +X178363302Y-90628224D01* +X178363303Y-90628226D01* +X178417118Y-90746063D01* +X178501951Y-90843967D01* +X178610931Y-90914004D01* +X178735228Y-90950500D01* +X178864772Y-90950500D01* +X178989069Y-90914004D01* +X179098049Y-90843967D01* +X179182882Y-90746063D01* +X179236697Y-90628226D01* +X179240755Y-90600000D01* +X189544508Y-90600000D01* +X189564353Y-90725301D01* +X189564353Y-90725302D01* +X189564354Y-90725304D01* +X189621950Y-90838342D01* +X189711658Y-90928050D01* +X189824696Y-90985646D01* +X189950000Y-91005492D01* +X190075304Y-90985646D01* +X190188342Y-90928050D01* +X190278050Y-90838342D01* +X190335646Y-90725304D01* +X190355492Y-90600000D01* +X190335646Y-90474696D01* +X190278050Y-90361658D01* +X190188342Y-90271950D01* +X190075304Y-90214354D01* +X190075302Y-90214353D01* +X190075301Y-90214353D01* +X189950000Y-90194508D01* +X189824698Y-90214353D01* +X189711656Y-90271951D01* +X189621951Y-90361656D01* +X189564353Y-90474698D01* +X189544508Y-90600000D01* +X179240755Y-90600000D01* +X179255133Y-90500000D01* +X179236697Y-90371774D01* +X179182882Y-90253937D01* +X179098049Y-90156033D01* +X179031032Y-90112964D01* +X178989068Y-90085995D01* +X178864772Y-90049500D01* +X178735228Y-90049500D01* +X178610931Y-90085995D01* +X178501954Y-90156031D01* +X178501950Y-90156034D01* +X178417119Y-90253935D01* +X178363302Y-90371776D01* +X178344867Y-90500000D01* +X176955133Y-90500000D01* +X176936697Y-90371774D01* +X176882882Y-90253937D01* +X176798049Y-90156033D01* +X176731032Y-90112964D01* +X176689068Y-90085995D01* +X176564772Y-90049500D01* +X176435228Y-90049500D01* +X176310931Y-90085995D01* +X176201954Y-90156031D01* +X176201950Y-90156034D01* +X176117119Y-90253935D01* +X176063302Y-90371776D01* +X176044867Y-90500000D01* +X175498499Y-90500000D01* +X175498499Y-90173482D01* +X175495735Y-90156031D01* +X175483646Y-90079695D01* +X175483645Y-90079693D01* +X175426049Y-89966657D01* +X175409392Y-89950000D01* +X191744508Y-89950000D01* +X191764353Y-90075301D01* +X191764353Y-90075302D01* +X191764354Y-90075304D01* +X191821950Y-90188342D01* +X191911658Y-90278050D01* +X192024696Y-90335646D01* +X192150000Y-90355492D01* +X192275304Y-90335646D01* +X192388342Y-90278050D01* +X192478050Y-90188342D01* +X192535646Y-90075304D01* +X192555492Y-89950000D01* +X192535646Y-89824696D01* +X192478050Y-89711658D01* +X192388342Y-89621950D01* +X192275304Y-89564354D01* +X192275302Y-89564353D01* +X192275301Y-89564353D01* +X192150000Y-89544508D01* +X192024698Y-89564353D01* +X191911656Y-89621951D01* +X191821951Y-89711656D01* +X191764353Y-89824698D01* +X191744508Y-89950000D01* +X175409392Y-89950000D01* +X175336343Y-89876951D01* +X175336342Y-89876950D01* +X175223304Y-89819354D01* +X175223302Y-89819353D01* +X175223301Y-89819353D01* +X175129519Y-89804500D01* +X173866480Y-89804500D01* +X173772695Y-89819353D01* +X173772693Y-89819354D01* +X173659657Y-89876950D01* +X173569951Y-89966656D01* +X173512353Y-90079698D01* +X173497500Y-90173480D01* +X173497500Y-91436519D01* +X171117000Y-91436519D01* +X171116999Y-90173482D01* +X171114235Y-90156031D01* +X171102146Y-90079695D01* +X171102145Y-90079693D01* +X171044549Y-89966657D01* +X170954843Y-89876951D01* +X170954842Y-89876950D01* +X170841804Y-89819354D01* +X170841802Y-89819353D01* +X170841801Y-89819353D01* +X170748019Y-89804500D01* +X169484980Y-89804500D01* +X169391195Y-89819353D01* +X169391193Y-89819354D01* +X169278157Y-89876950D01* +X169188451Y-89966656D01* +X169130853Y-90079698D01* +X169116000Y-90173480D01* +X169116000Y-91436519D01* +X128371721Y-91436519D01* +X128388342Y-91428050D01* +X128478050Y-91338342D01* +X128535646Y-91225304D01* X128555492Y-91100000D01* X128535646Y-90974696D01* X128478050Y-90861658D01* @@ -10458,21 +10799,69 @@ X121086237Y-90793182D01* X121068367Y-90746063D01* X121030221Y-90645478D01* X120940483Y-90515470D01* -X120826871Y-90414820D01* -X120826870Y-90414819D01* -X132749500Y-90414819D01* -X132758233Y-90458722D01* -X132784509Y-90498048D01* -X132791496Y-90508504D01* -X132841278Y-90541767D01* -X132885180Y-90550500D01* -X132885181Y-90550500D01* -X134614819Y-90550500D01* -X134614820Y-90550500D01* -X134658722Y-90541767D01* -X134708504Y-90508504D01* -X134741767Y-90458722D01* -X134750500Y-90414820D01* +X120894458Y-90474696D01* +X120822240Y-90410717D01* +X120810139Y-90404366D01* +X120682366Y-90337304D01* +X120528987Y-90299500D01* +X120528985Y-90299500D01* +X120371015Y-90299500D01* +X120371012Y-90299500D01* +X120217633Y-90337304D01* +X120077761Y-90410716D01* +X119959516Y-90515470D01* +X119869779Y-90645478D01* +X119869778Y-90645478D01* +X119813764Y-90793177D01* +X119813761Y-90793191D01* +X119794722Y-90949996D01* +X119794722Y-90950003D01* +X119605492Y-90950003D01* +X119605492Y-90950000D01* +X119585646Y-90824696D01* +X119528050Y-90711658D01* +X119438342Y-90621950D01* +X119325304Y-90564354D01* +X119325302Y-90564353D01* +X119325301Y-90564353D01* +X119200000Y-90544508D01* +X119074698Y-90564353D01* +X118961656Y-90621951D01* +X118871951Y-90711656D01* +X118814353Y-90824698D01* +X118794508Y-90950000D01* +X111105492Y-90950000D01* +X111085646Y-90824696D01* +X111028050Y-90711658D01* +X110938342Y-90621950D01* +X110825304Y-90564354D01* +X110825302Y-90564353D01* +X110825301Y-90564353D01* +X110700000Y-90544508D01* +X110574698Y-90564353D01* +X110461656Y-90621951D01* +X110371951Y-90711656D01* +X110314353Y-90824698D01* +X110294508Y-90950000D01* +X103657900Y-90950000D01* +X103657900Y-90181519D01* +X132749500Y-90181519D01* +X132764353Y-90275304D01* +X132764354Y-90275306D01* +X132805212Y-90355492D01* +X132821950Y-90388342D01* +X132911658Y-90478050D01* +X133024696Y-90535646D01* +X133118481Y-90550500D01* +X134381518Y-90550499D01* +X134381519Y-90550499D01* +X134475304Y-90535646D01* +X134475306Y-90535645D01* +X134514901Y-90515470D01* +X134588342Y-90478050D01* +X134678050Y-90388342D01* +X134735646Y-90275304D01* +X134750500Y-90181519D01* X134750500Y-90170000D01* X138024508Y-90170000D01* X138044353Y-90295301D01* @@ -10613,122 +11002,11 @@ X151526658Y-90498050D01* X151639696Y-90555646D01* X151765000Y-90575492D01* X151890304Y-90555646D01* -X151999515Y-90500000D01* -X172644867Y-90500000D01* -X172663302Y-90628223D01* -X172663302Y-90628224D01* -X172663303Y-90628226D01* -X172717118Y-90746063D01* -X172801951Y-90843967D01* -X172910931Y-90914004D01* -X173035228Y-90950500D01* -X173164772Y-90950500D01* -X173289069Y-90914004D01* -X173398049Y-90843967D01* -X173482882Y-90746063D01* -X173536697Y-90628226D01* -X173555133Y-90500000D01* -X174944867Y-90500000D01* -X174963302Y-90628223D01* -X174963302Y-90628224D01* -X174963303Y-90628226D01* -X175017118Y-90746063D01* -X175101951Y-90843967D01* -X175210931Y-90914004D01* -X175335228Y-90950500D01* -X175464772Y-90950500D01* -X175589069Y-90914004D01* -X175698049Y-90843967D01* -X175782882Y-90746063D01* -X175836697Y-90628226D01* -X175840755Y-90600000D01* -X189544508Y-90600000D01* -X189564353Y-90725301D01* -X189564353Y-90725302D01* -X189564354Y-90725304D01* -X189621950Y-90838342D01* -X189711658Y-90928050D01* -X189824696Y-90985646D01* -X189950000Y-91005492D01* -X190075304Y-90985646D01* -X190188342Y-90928050D01* -X190278050Y-90838342D01* -X190335646Y-90725304D01* -X190355492Y-90600000D01* -X190335646Y-90474696D01* -X190278050Y-90361658D01* -X190188342Y-90271950D01* -X190075304Y-90214354D01* -X190075302Y-90214353D01* -X190075301Y-90214353D01* -X189950000Y-90194508D01* -X189824698Y-90214353D01* -X189711656Y-90271951D01* -X189621951Y-90361656D01* -X189564353Y-90474698D01* -X189544508Y-90600000D01* -X175840755Y-90600000D01* -X175855133Y-90500000D01* -X175836697Y-90371774D01* -X175782882Y-90253937D01* -X175698049Y-90156033D01* -X175631032Y-90112964D01* -X175589068Y-90085995D01* -X175464772Y-90049500D01* -X175335228Y-90049500D01* -X175210931Y-90085995D01* -X175101954Y-90156031D01* -X175101950Y-90156034D01* -X175017119Y-90253935D01* -X174963302Y-90371776D01* -X174944867Y-90500000D01* -X173555133Y-90500000D01* -X173536697Y-90371774D01* -X173482882Y-90253937D01* -X173398049Y-90156033D01* -X173331032Y-90112964D01* -X173289068Y-90085995D01* -X173164772Y-90049500D01* -X173035228Y-90049500D01* -X172910931Y-90085995D01* -X172801954Y-90156031D01* -X172801950Y-90156034D01* -X172717119Y-90253935D01* -X172663302Y-90371776D01* -X172644867Y-90500000D01* -X151999515Y-90500000D01* X152003342Y-90498050D01* X152093050Y-90408342D01* X152150646Y-90295304D01* X152170492Y-90170000D01* X152150646Y-90044696D01* -X152102396Y-89950000D01* -X191744508Y-89950000D01* -X191764353Y-90075301D01* -X191764353Y-90075302D01* -X191764354Y-90075304D01* -X191821950Y-90188342D01* -X191911658Y-90278050D01* -X192024696Y-90335646D01* -X192150000Y-90355492D01* -X192275304Y-90335646D01* -X192388342Y-90278050D01* -X192478050Y-90188342D01* -X192535646Y-90075304D01* -X192555492Y-89950000D01* -X192535646Y-89824696D01* -X192478050Y-89711658D01* -X192388342Y-89621950D01* -X192275304Y-89564354D01* -X192275302Y-89564353D01* -X192275301Y-89564353D01* -X192150000Y-89544508D01* -X192024698Y-89564353D01* -X191911656Y-89621951D01* -X191821951Y-89711656D01* -X191764353Y-89824698D01* -X191744508Y-89950000D01* -X152102396Y-89950000D01* X152093050Y-89931658D01* X152003342Y-89841950D01* X151890304Y-89784354D01* @@ -10904,7 +11182,7 @@ X138101951Y-89931656D01* X138044353Y-90044698D01* X138024508Y-90170000D01* X134750500Y-90170000D01* -X134750500Y-89408000D01* +X134750499Y-89408000D01* X152629508Y-89408000D01* X152649353Y-89533301D01* X152649353Y-89533302D01* @@ -10919,21 +11197,21 @@ X153363050Y-89646342D01* X153420646Y-89533304D01* X153440492Y-89408000D01* X153431306Y-89350000D01* -X173794867Y-89350000D01* -X173813302Y-89478223D01* -X173813302Y-89478224D01* -X173813303Y-89478226D01* -X173867118Y-89596063D01* -X173951951Y-89693967D01* -X174060931Y-89764004D01* -X174185228Y-89800500D01* -X174314772Y-89800500D01* -X174439069Y-89764004D01* -X174548049Y-89693967D01* -X174632882Y-89596063D01* -X174686697Y-89478226D01* -X174705133Y-89350000D01* -X174697944Y-89300000D01* +X177194867Y-89350000D01* +X177213302Y-89478223D01* +X177213302Y-89478224D01* +X177213303Y-89478226D01* +X177267118Y-89596063D01* +X177351951Y-89693967D01* +X177460931Y-89764004D01* +X177585228Y-89800500D01* +X177714772Y-89800500D01* +X177839069Y-89764004D01* +X177948049Y-89693967D01* +X178032882Y-89596063D01* +X178086697Y-89478226D01* +X178105133Y-89350000D01* +X178097944Y-89300000D01* X189544508Y-89300000D01* X189564353Y-89425301D01* X189564353Y-89425302D01* @@ -10959,23 +11237,23 @@ X189711656Y-88971951D01* X189621951Y-89061656D01* X189564353Y-89174698D01* X189544508Y-89300000D01* -X174697944Y-89300000D01* -X174686697Y-89221774D01* -X174632882Y-89103937D01* -X174548049Y-89006033D01* -X174481032Y-88962964D01* -X174439068Y-88935995D01* -X174314772Y-88899500D01* -X174185228Y-88899500D01* -X174060931Y-88935995D01* -X173951954Y-89006031D01* -X173951950Y-89006034D01* -X173867119Y-89103935D01* -X173867118Y-89103936D01* -X173867118Y-89103937D01* -X173840758Y-89161656D01* -X173813302Y-89221776D01* -X173794867Y-89350000D01* +X178097944Y-89300000D01* +X178086697Y-89221774D01* +X178032882Y-89103937D01* +X177948049Y-89006033D01* +X177881032Y-88962964D01* +X177839068Y-88935995D01* +X177714772Y-88899500D01* +X177585228Y-88899500D01* +X177460931Y-88935995D01* +X177351954Y-89006031D01* +X177351950Y-89006034D01* +X177267119Y-89103935D01* +X177267118Y-89103936D01* +X177267118Y-89103937D01* +X177240758Y-89161656D01* +X177213302Y-89221776D01* +X177194867Y-89350000D01* X153431306Y-89350000D01* X153420646Y-89282696D01* X153363050Y-89169658D01* @@ -10989,66 +11267,27 @@ X152796656Y-89079951D01* X152706951Y-89169656D01* X152649353Y-89282698D01* X152629508Y-89408000D01* -X134750500Y-89408000D01* -X134750500Y-88685180D01* -X134741767Y-88641278D01* -X134708504Y-88591496D01* -X134688380Y-88578050D01* -X134658722Y-88558233D01* -X134614820Y-88549500D01* -X132885180Y-88549500D01* -X132863229Y-88553866D01* -X132841277Y-88558233D01* -X132791496Y-88591495D01* -X132791495Y-88591496D01* -X132758233Y-88641277D01* -X132749500Y-88685180D01* -X132749500Y-90414819D01* -X120826870Y-90414819D01* -X120822240Y-90410717D01* -X120810139Y-90404366D01* -X120682366Y-90337304D01* -X120528987Y-90299500D01* -X120528985Y-90299500D01* -X120371015Y-90299500D01* -X120371012Y-90299500D01* -X120217633Y-90337304D01* -X120077761Y-90410716D01* -X119959516Y-90515470D01* -X119869779Y-90645478D01* -X119869778Y-90645478D01* -X119813764Y-90793177D01* -X119813761Y-90793191D01* -X119794722Y-90949996D01* -X119794722Y-90950003D01* -X119605492Y-90950003D01* -X119605492Y-90950000D01* -X119585646Y-90824696D01* -X119528050Y-90711658D01* -X119438342Y-90621950D01* -X119325304Y-90564354D01* -X119325302Y-90564353D01* -X119325301Y-90564353D01* -X119200000Y-90544508D01* -X119074698Y-90564353D01* -X118961656Y-90621951D01* -X118871951Y-90711656D01* -X118814353Y-90824698D01* -X118794508Y-90950000D01* -X111105492Y-90950000D01* -X111085646Y-90824696D01* -X111028050Y-90711658D01* -X110938342Y-90621950D01* -X110825304Y-90564354D01* -X110825302Y-90564353D01* -X110825301Y-90564353D01* -X110700000Y-90544508D01* -X110574698Y-90564353D01* -X110461656Y-90621951D01* -X110371951Y-90711656D01* -X110314353Y-90824698D01* -X110294508Y-90950000D01* -X103657900Y-90950000D01* +X134750499Y-89408000D01* +X134750499Y-88918482D01* +X134749845Y-88914354D01* +X134735646Y-88824695D01* +X134735645Y-88824693D01* +X134678049Y-88711657D01* +X134588343Y-88621951D01* +X134588342Y-88621950D01* +X134475304Y-88564354D01* +X134475302Y-88564353D01* +X134475301Y-88564353D01* +X134381519Y-88549500D01* +X133118480Y-88549500D01* +X133024695Y-88564353D01* +X133024693Y-88564354D01* +X132911657Y-88621950D01* +X132821951Y-88711656D01* +X132764353Y-88824698D01* +X132749500Y-88918480D01* +X132749500Y-90181519D01* +X103657900Y-90181519D01* X103657900Y-88950003D01* X119794722Y-88950003D01* X119813761Y-89106808D01* @@ -11099,7 +11338,7 @@ X121105278Y-88950003D01* X121105278Y-88949996D01* X121086238Y-88793191D01* X121086237Y-88793182D01* -X121053370Y-88706518D01* +X121055319Y-88711657D01* X121030221Y-88645478D01* X120940483Y-88515470D01* X120822240Y-88410717D01* @@ -18871,46 +19110,7 @@ X115813761Y-63343191D01* X115794722Y-63499996D01* X115794722Y-63500003D01* X103657900Y-63500003D01* -X103657900Y-62764820D01* -X178499500Y-62764820D01* -X178508175Y-62808428D01* -X178508233Y-62808722D01* -X178535479Y-62849500D01* -X178541496Y-62858504D01* -X178591278Y-62891767D01* -X178635180Y-62900500D01* -X178635181Y-62900500D01* -X180364819Y-62900500D01* -X180364820Y-62900500D01* -X180408722Y-62891767D01* -X180458504Y-62858504D01* -X180491767Y-62808722D01* -X180500500Y-62764820D01* -X180500500Y-62050000D01* -X181049935Y-62050000D01* -X181054469Y-62096035D01* -X181111651Y-62284539D01* -X181204504Y-62458252D01* -X181204513Y-62458266D01* -X181329471Y-62610526D01* -X181329473Y-62610528D01* -X181481733Y-62735486D01* -X181481747Y-62735495D01* -X181655460Y-62828348D01* -X181843964Y-62885530D01* -X181890000Y-62890064D01* -X181890000Y-62381170D01* -X181897685Y-62384680D01* -X182004237Y-62400000D01* -X182075763Y-62400000D01* -X182182315Y-62384680D01* -X182190000Y-62381170D01* -X182190000Y-62890064D01* -X182236035Y-62885530D01* -X182424539Y-62828348D01* -X182598252Y-62735495D01* -X182598266Y-62735486D01* -X182702430Y-62650000D01* +X103657900Y-62650000D01* X191744508Y-62650000D01* X191764353Y-62775301D01* X191764353Y-62775302D01* @@ -18963,16 +19163,42 @@ X191911656Y-62321951D01* X191821951Y-62411656D01* X191764353Y-62524698D01* X191744508Y-62650000D01* -X182702430Y-62650000D01* -X182750526Y-62610528D01* -X182750528Y-62610526D01* -X182875486Y-62458266D01* -X182875495Y-62458252D01* -X182968348Y-62284539D01* -X183025530Y-62096035D01* -X183030065Y-62050000D01* -X182517065Y-62050000D01* -X182531746Y-62000000D01* +X103657900Y-62650000D01* +X103657900Y-61500003D01* +X117794722Y-61500003D01* +X117813761Y-61656808D01* +X117813764Y-61656822D01* +X117869778Y-61804521D01* +X117918218Y-61874698D01* +X117959517Y-61934530D01* +X118077760Y-62039283D01* +X118217635Y-62112696D01* +X118371015Y-62150500D01* +X118371019Y-62150500D01* +X118528981Y-62150500D01* +X118528985Y-62150500D01* +X118682365Y-62112696D01* +X118822240Y-62039283D01* +X118940483Y-61934530D01* +X119030220Y-61804523D01* +X119086237Y-61656818D01* +X119104429Y-61506992D01* +X119105278Y-61500003D01* +X119794722Y-61500003D01* +X119813761Y-61656808D01* +X119813764Y-61656822D01* +X119869778Y-61804521D01* +X119918218Y-61874698D01* +X119959517Y-61934530D01* +X120077760Y-62039283D01* +X120217635Y-62112696D01* +X120371015Y-62150500D01* +X120371019Y-62150500D01* +X120528981Y-62150500D01* +X120528985Y-62150500D01* +X120682365Y-62112696D01* +X120822240Y-62039283D01* +X120866582Y-62000000D01* X189544508Y-62000000D01* X189564353Y-62125301D01* X189564353Y-62125302D01* @@ -18998,14 +19224,14 @@ X189711656Y-61671951D01* X189621951Y-61761656D01* X189564353Y-61874698D01* X189544508Y-62000000D01* -X182531746Y-62000000D01* -X182540000Y-61971889D01* -X182540000Y-61828111D01* -X182517065Y-61750000D01* -X183030064Y-61750000D01* -X183025530Y-61703964D01* -X182968348Y-61515460D01* -X182879906Y-61350000D01* +X120866582Y-62000000D01* +X120940483Y-61934530D01* +X121030220Y-61804523D01* +X121086237Y-61656818D01* +X121104429Y-61506992D01* +X121105278Y-61500003D01* +X121105278Y-61499996D01* +X121087065Y-61350000D01* X191744508Y-61350000D01* X191764353Y-61475301D01* X191764353Y-61475302D01* @@ -19031,96 +19257,7 @@ X191911656Y-61021951D01* X191821951Y-61111656D01* X191764353Y-61224698D01* X191744508Y-61350000D01* -X182879906Y-61350000D01* -X182875495Y-61341747D01* -X182875486Y-61341733D01* -X182750528Y-61189473D01* -X182750526Y-61189471D01* -X182598266Y-61064513D01* -X182598252Y-61064504D01* -X182424539Y-60971651D01* -X182236037Y-60914469D01* -X182190000Y-60909934D01* -X182190000Y-61418829D01* -X182182315Y-61415320D01* -X182075763Y-61400000D01* -X182004237Y-61400000D01* -X181897685Y-61415320D01* -X181890000Y-61418829D01* -X181890000Y-60909934D01* -X181843962Y-60914469D01* -X181655460Y-60971651D01* -X181481747Y-61064504D01* -X181481733Y-61064513D01* -X181329473Y-61189471D01* -X181329471Y-61189473D01* -X181204513Y-61341733D01* -X181204504Y-61341747D01* -X181111651Y-61515460D01* -X181054469Y-61703964D01* -X181049935Y-61750000D01* -X181562935Y-61750000D01* -X181540000Y-61828111D01* -X181540000Y-61971889D01* -X181562935Y-62050000D01* -X181049935Y-62050000D01* -X180500500Y-62050000D01* -X180500500Y-61035180D01* -X180491767Y-60991278D01* -X180458504Y-60941496D01* -X180453785Y-60938343D01* -X180408722Y-60908233D01* -X180364820Y-60899500D01* -X178635180Y-60899500D01* -X178613229Y-60903866D01* -X178591277Y-60908233D01* -X178541496Y-60941495D01* -X178541495Y-60941496D01* -X178508233Y-60991277D01* -X178500919Y-61028048D01* -X178499500Y-61035180D01* -X178499500Y-62764820D01* -X103657900Y-62764820D01* -X103657900Y-61500003D01* -X117794722Y-61500003D01* -X117813761Y-61656808D01* -X117813764Y-61656822D01* -X117869778Y-61804521D01* -X117918218Y-61874698D01* -X117959517Y-61934530D01* -X118077760Y-62039283D01* -X118217635Y-62112696D01* -X118371015Y-62150500D01* -X118371019Y-62150500D01* -X118528981Y-62150500D01* -X118528985Y-62150500D01* -X118682365Y-62112696D01* -X118822240Y-62039283D01* -X118940483Y-61934530D01* -X119030220Y-61804523D01* -X119086237Y-61656818D01* -X119094551Y-61588342D01* -X119105278Y-61500003D01* -X119794722Y-61500003D01* -X119813761Y-61656808D01* -X119813764Y-61656822D01* -X119869778Y-61804521D01* -X119918218Y-61874698D01* -X119959517Y-61934530D01* -X120077760Y-62039283D01* -X120217635Y-62112696D01* -X120371015Y-62150500D01* -X120371019Y-62150500D01* -X120528981Y-62150500D01* -X120528985Y-62150500D01* -X120682365Y-62112696D01* -X120822240Y-62039283D01* -X120940483Y-61934530D01* -X121030220Y-61804523D01* -X121086237Y-61656818D01* -X121094551Y-61588342D01* -X121105278Y-61500003D01* -X121105278Y-61499996D01* +X121087065Y-61350000D01* X121086238Y-61343191D01* X121086237Y-61343182D01* X121078213Y-61322025D01* @@ -19128,7 +19265,7 @@ X121030221Y-61195478D01* X120940483Y-61065470D01* X120898242Y-61028048D01* X120822240Y-60960717D01* -X120785616Y-60941495D01* +X120791357Y-60944508D01* X120682366Y-60887304D01* X120528987Y-60849500D01* X120528985Y-60849500D01* @@ -19152,7 +19289,7 @@ X119030221Y-61195478D01* X118940483Y-61065470D01* X118898242Y-61028048D01* X118822240Y-60960717D01* -X118785616Y-60941495D01* +X118791357Y-60944508D01* X118682366Y-60887304D01* X118528987Y-60849500D01* X118528985Y-60849500D01* @@ -19663,19 +19800,6 @@ X168603050Y-59674342D01* X168660646Y-59561304D01* X168680492Y-59436000D01* X168674790Y-59400000D01* -X181994008Y-59400000D01* -X182013853Y-59525301D01* -X182013853Y-59525302D01* -X182013854Y-59525304D01* -X182071450Y-59638342D01* -X182161158Y-59728050D01* -X182274196Y-59785646D01* -X182399500Y-59805492D01* -X182524804Y-59785646D01* -X182637842Y-59728050D01* -X182727550Y-59638342D01* -X182785146Y-59525304D01* -X182804992Y-59400000D01* X189544508Y-59400000D01* X189564353Y-59525301D01* X189564353Y-59525302D01* @@ -19728,19 +19852,6 @@ X189711656Y-59071951D01* X189621951Y-59161656D01* X189564353Y-59274698D01* X189544508Y-59400000D01* -X182804992Y-59400000D01* -X182785146Y-59274696D01* -X182727550Y-59161658D01* -X182637842Y-59071950D01* -X182524804Y-59014354D01* -X182524802Y-59014353D01* -X182524801Y-59014353D01* -X182399500Y-58994508D01* -X182274198Y-59014353D01* -X182161156Y-59071951D01* -X182071451Y-59161656D01* -X182013853Y-59274698D01* -X181994008Y-59400000D01* X168674790Y-59400000D01* X168660646Y-59310696D01* X168603050Y-59197658D01* @@ -22600,6 +22711,59 @@ X153333049Y-49111967D01* X153417882Y-49014063D01* X153471697Y-48896226D01* X153490133Y-48768000D01* +X153473167Y-48650000D01* +X166244508Y-48650000D01* +X166264353Y-48775301D01* +X166264353Y-48775302D01* +X166264354Y-48775304D01* +X166321950Y-48888342D01* +X166411658Y-48978050D01* +X166524696Y-49035646D01* +X166650000Y-49055492D01* +X166775304Y-49035646D01* +X166888342Y-48978050D01* +X166978050Y-48888342D01* +X167035646Y-48775304D01* +X167055492Y-48650000D01* +X169394508Y-48650000D01* +X169414353Y-48775301D01* +X169414353Y-48775302D01* +X169414354Y-48775304D01* +X169471950Y-48888342D01* +X169561658Y-48978050D01* +X169674696Y-49035646D01* +X169800000Y-49055492D01* +X169925304Y-49035646D01* +X170038342Y-48978050D01* +X170128050Y-48888342D01* +X170185646Y-48775304D01* +X170205492Y-48650000D01* +X170185646Y-48524696D01* +X170128050Y-48411658D01* +X170038342Y-48321950D01* +X169925304Y-48264354D01* +X169925302Y-48264353D01* +X169925301Y-48264353D01* +X169800000Y-48244508D01* +X169674698Y-48264353D01* +X169561656Y-48321951D01* +X169471951Y-48411656D01* +X169414353Y-48524698D01* +X169394508Y-48650000D01* +X167055492Y-48650000D01* +X167035646Y-48524696D01* +X166978050Y-48411658D01* +X166888342Y-48321950D01* +X166775304Y-48264354D01* +X166775302Y-48264353D01* +X166775301Y-48264353D01* +X166650000Y-48244508D01* +X166524698Y-48264353D01* +X166411656Y-48321951D01* +X166321951Y-48411656D01* +X166264353Y-48524698D01* +X166244508Y-48650000D01* +X153473167Y-48650000D01* X153471697Y-48639774D01* X153417882Y-48521937D01* X153333049Y-48424033D01* @@ -22690,7 +22854,7 @@ X106793348Y-47967728D01* X106646983Y-48155780D01* X106646977Y-48155790D01* X106533561Y-48365363D01* -X106459412Y-48581352D01* +X106478862Y-48524696D01* X106456184Y-48590756D01* X106416960Y-48825810D01* X106416960Y-49064114D01* diff --git a/gerber/WarpSE-In2_Cu.g3 b/gerber/WarpSE-In2_Cu.g3 index a38fdab..d309fb9 100644 --- a/gerber/WarpSE-In2_Cu.g3 +++ b/gerber/WarpSE-In2_Cu.g3 @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10* -G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00* +G04 #@! TF.CreationDate,2024-04-24T04:09:25-04:00* G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L3,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23* +G04 Created by KiCad (PCBNEW 7.0.10) date 2024-04-24 04:09:25* %MOMM*% %LPD*% G01* @@ -36,7 +36,7 @@ G04 #@! TA.AperFunction,ComponentPad* %ADD11C,1.550000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD12R,1.700000X1.700000*% +%ADD12RoundRect,0.250000X0.600000X0.600000X-0.600000X0.600000X-0.600000X-0.600000X0.600000X-0.600000X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* %ADD13O,1.700000X1.700000*% @@ -165,10 +165,10 @@ D12* X133750000Y-89550000D03* D13* X133750000Y-87010000D03* -D12* -X179500000Y-61900000D03* +D14* +X174498000Y-90805000D03* D13* -X182040000Y-61900000D03* +X174498000Y-93345000D03* D14* X105283000Y-112268000D03* D13* @@ -183,6 +183,10 @@ X105283000Y-122428000D03* X107823000Y-122428000D03* X105283000Y-124968000D03* X107823000Y-124968000D03* +D14* +X170116500Y-90805000D03* +D13* +X170116500Y-93345000D03* D15* X195961000Y-44005500D03* D16* @@ -316,7 +320,7 @@ X163830000Y-68262500D03* X162560000Y-69405500D03* X163830000Y-69405500D03* X165100000Y-69405500D03* -X165100000Y-67500500D03* +X165100000Y-67627500D03* D15* X135382000Y-100711000D03* X161798000Y-96901000D03* @@ -487,7 +491,7 @@ X150495000Y-76454000D03* X123952000Y-77597000D03* D16* X132207000Y-64389000D03* -X143256000Y-70675500D03* +X142557500Y-70675500D03* X129800000Y-114450000D03* X126150000Y-116600000D03* D17* @@ -505,9 +509,9 @@ X197750000Y-95450000D03* X198600000Y-119600000D03* X193500000Y-111400000D03* D17* -X174250000Y-92950000D03* -X175400000Y-91800000D03* -X173100000Y-91800000D03* +X177650000Y-92950000D03* +X178800000Y-91800000D03* +X176500000Y-91800000D03* D16* X132715000Y-83058000D03* X133985000Y-83058000D03* @@ -569,7 +573,6 @@ X157353000Y-126746000D03* X135128000Y-49149000D03* X195750000Y-86350000D03* X121793000Y-38608000D03* -X167513000Y-48768000D03* X110172500Y-120777000D03* X195600000Y-60650000D03* X196150000Y-58750000D03* @@ -623,7 +626,6 @@ X172593000Y-126746000D03* X162560000Y-58610500D03* X192659000Y-128778000D03* X157353000Y-48768000D03* -X170624500Y-92583000D03* X194050000Y-84750000D03* X127444500Y-78105000D03* X104013000Y-86868000D03* @@ -631,7 +633,7 @@ X148150000Y-119050000D03* X208724500Y-61468000D03* X169037000Y-70104000D03* X207708500Y-69088000D03* -X175450500Y-88265000D03* +X173418500Y-85598000D03* X122700000Y-59050000D03* X121000000Y-59050000D03* D17* @@ -734,6 +736,7 @@ X130175000Y-58166000D03* X124500000Y-80400000D03* X112800000Y-54800000D03* X211582000Y-122428000D03* +X194250000Y-65900000D03* X162433000Y-38608000D03* X201930000Y-113510000D03* X207708500Y-79248000D03* @@ -744,6 +747,7 @@ X131550000Y-119650000D03* X165150000Y-121450000D03* X209740500Y-94488000D03* X167513000Y-38608000D03* +X191300000Y-126150000D03* X179250000Y-85950000D03* X196550000Y-55250000D03* X177750000Y-95800000D03* @@ -849,7 +853,7 @@ X201930000Y-76990000D03* X211582000Y-132080000D03* X159893000Y-124269500D03* X124460000Y-70485000D03* -X133286500Y-97300000D03* +X133300000Y-97300000D03* X174350000Y-84200000D03* X127900000Y-101550000D03* X130600000Y-113200000D03* @@ -902,6 +906,7 @@ D16* X201930000Y-57630000D03* X201930000Y-104930000D03* X106553000Y-128778000D03* +X143891000Y-70548500D03* X180250000Y-112550000D03* X201930000Y-107470000D03* X209740500Y-99568000D03* @@ -919,7 +924,6 @@ X128400000Y-119700000D03* X195550000Y-65900000D03* X107250000Y-106400000D03* X107886500Y-61087000D03* -X170307000Y-88201500D03* X201930000Y-77950000D03* X177673000Y-43688000D03* X152273000Y-126746000D03* @@ -949,6 +953,7 @@ X188550000Y-110500000D03* X177500000Y-53800000D03* X189600000Y-99100000D03* X111633000Y-128778000D03* +X198882000Y-112839500D03* X182150000Y-89250000D03* X199050000Y-95750000D03* X124750000Y-86700000D03* @@ -963,7 +968,7 @@ X201930000Y-121130000D03* X201930000Y-62710000D03* X119300000Y-122700000D03* X178700000Y-114000000D03* -X194119500Y-67246500D03* +X194119500Y-67350000D03* X191700000Y-49099400D03* X104013000Y-107188000D03* X155067000Y-70675500D03* @@ -1102,6 +1107,7 @@ X142600000Y-118250000D03* X176100000Y-84950000D03* X104013000Y-81788000D03* X147193000Y-38608000D03* +X188468000Y-56451500D03* X137160000Y-53086000D03* X163766500Y-90551000D03* X104013000Y-97028000D03* @@ -1112,6 +1118,7 @@ X186750000Y-47700000D03* X170053000Y-41148000D03* X179150000Y-65850000D03* X109474000Y-120078500D03* +X199326499Y-90233500D03* X125285500Y-52959000D03* D17* X145669000Y-46482000D03* @@ -1252,9 +1259,9 @@ X190900000Y-111700000D03* X182400000Y-102600000D03* X190900000Y-102600000D03* D17* -X174250000Y-89350000D03* -X173100000Y-90500000D03* -X175400000Y-90500000D03* +X177650000Y-89350000D03* +X176500000Y-90500000D03* +X178800000Y-90500000D03* X144145000Y-48768000D03* D19* X112550000Y-75500000D03* @@ -1305,6 +1312,7 @@ D19* X118450000Y-61500000D03* X120000000Y-102950000D03* D16* +X169800000Y-48650000D03* X131100000Y-95450000D03* X131000000Y-93650000D03* D19* @@ -1394,7 +1402,6 @@ X120450000Y-65500000D03* X112400000Y-67500000D03* D16* X119200000Y-90950000D03* -X182399500Y-59400000D03* X187750000Y-126150000D03* D19* X118450000Y-67500000D03* @@ -1696,6 +1703,7 @@ X164465000Y-95567500D03* X115000000Y-107600000D03* X115900000Y-108100000D03* X164465000Y-98615500D03* +X166650000Y-48650000D03* X128050000Y-85700000D03* D20* X105283000Y-112268000D02* @@ -5149,7 +5157,20 @@ X187875304Y-126535646D01* X187988342Y-126478050D01* X188078050Y-126388342D01* X188135646Y-126275304D01* -X188141554Y-126238000D01* +X188155492Y-126150000D01* +X190894508Y-126150000D01* +X190914353Y-126275301D01* +X190914353Y-126275302D01* +X190914354Y-126275304D01* +X190971950Y-126388342D01* +X191061658Y-126478050D01* +X191174696Y-126535646D01* +X191300000Y-126555492D01* +X191425304Y-126535646D01* +X191538342Y-126478050D01* +X191628050Y-126388342D01* +X191685646Y-126275304D01* +X191691554Y-126238000D01* X192253508Y-126238000D01* X192273353Y-126363301D01* X192273353Y-126363302D01* @@ -5175,7 +5196,20 @@ X192420656Y-125909951D01* X192330951Y-125999656D01* X192273353Y-126112698D01* X192253508Y-126238000D01* -X188141554Y-126238000D01* +X191691554Y-126238000D01* +X191705492Y-126150000D01* +X191685646Y-126024696D01* +X191628050Y-125911658D01* +X191538342Y-125821950D01* +X191425304Y-125764354D01* +X191425302Y-125764353D01* +X191425301Y-125764353D01* +X191300000Y-125744508D01* +X191174698Y-125764353D01* +X191061656Y-125821951D01* +X190971951Y-125911656D01* +X190914353Y-126024698D01* +X190894508Y-126150000D01* X188155492Y-126150000D01* X188135646Y-126024696D01* X188078050Y-125911658D01* @@ -11422,6 +11456,33 @@ X188624696Y-112935646D01* X188750000Y-112955492D01* X188875304Y-112935646D01* X188988342Y-112878050D01* +X189026892Y-112839500D01* +X198476508Y-112839500D01* +X198496353Y-112964801D01* +X198496353Y-112964802D01* +X198496354Y-112964804D01* +X198553950Y-113077842D01* +X198643658Y-113167550D01* +X198756696Y-113225146D01* +X198882000Y-113244992D01* +X199007304Y-113225146D01* +X199120342Y-113167550D01* +X199210050Y-113077842D01* +X199267646Y-112964804D01* +X199287492Y-112839500D01* +X199267646Y-112714196D01* +X199210050Y-112601158D01* +X199120342Y-112511450D01* +X199007304Y-112453854D01* +X199007302Y-112453853D01* +X199007301Y-112453853D01* +X198882000Y-112434008D01* +X198756698Y-112453853D01* +X198643656Y-112511451D01* +X198553951Y-112601156D01* +X198496353Y-112714198D01* +X198476508Y-112839500D01* +X189026892Y-112839500D01* X189078050Y-112788342D01* X189135646Y-112675304D01* X189155492Y-112550000D01* @@ -17711,17 +17772,17 @@ X120682365Y-97562696D01* X120822240Y-97489283D01* X120940483Y-97384530D01* X120998830Y-97300000D01* -X132881008Y-97300000D01* -X132900853Y-97425301D01* -X132900853Y-97425302D01* -X132900854Y-97425304D01* -X132958450Y-97538342D01* -X133048158Y-97628050D01* -X133161196Y-97685646D01* -X133286500Y-97705492D01* -X133411804Y-97685646D01* -X133524842Y-97628050D01* -X133552892Y-97600000D01* +X132894508Y-97300000D01* +X132914353Y-97425301D01* +X132914353Y-97425302D01* +X132914354Y-97425304D01* +X132971950Y-97538342D01* +X133061658Y-97628050D01* +X133174696Y-97685646D01* +X133300000Y-97705492D01* +X133425304Y-97685646D01* +X133538342Y-97628050D01* +X133566392Y-97600000D01* X170044508Y-97600000D01* X170064353Y-97725301D01* X170064353Y-97725302D01* @@ -17791,22 +17852,22 @@ X170211656Y-97271951D01* X170121951Y-97361656D01* X170064353Y-97474698D01* X170044508Y-97600000D01* -X133552892Y-97600000D01* -X133614550Y-97538342D01* -X133672146Y-97425304D01* -X133691992Y-97300000D01* -X133672146Y-97174696D01* -X133614550Y-97061658D01* -X133524842Y-96971950D01* -X133411804Y-96914354D01* -X133411802Y-96914353D01* -X133411801Y-96914353D01* -X133286500Y-96894508D01* -X133161198Y-96914353D01* -X133048156Y-96971951D01* -X132958451Y-97061656D01* -X132900853Y-97174698D01* -X132881008Y-97300000D01* +X133566392Y-97600000D01* +X133628050Y-97538342D01* +X133685646Y-97425304D01* +X133705492Y-97300000D01* +X133685646Y-97174696D01* +X133628050Y-97061658D01* +X133538342Y-96971950D01* +X133425304Y-96914354D01* +X133425302Y-96914353D01* +X133425301Y-96914353D01* +X133300000Y-96894508D01* +X133174698Y-96914353D01* +X133061656Y-96971951D01* +X132971951Y-97061656D01* +X132914353Y-97174698D01* +X132894508Y-97300000D01* X120998830Y-97300000D01* X121030220Y-97254523D01* X121086237Y-97106818D01* @@ -19681,7 +19742,51 @@ X161999050Y-93964342D01* X162056646Y-93851304D01* X162076492Y-93726000D01* X162056646Y-93600696D01* -X162005339Y-93500000D01* +X161999050Y-93487658D01* +X161909342Y-93397950D01* +X161805422Y-93345000D01* +X169111159Y-93345000D01* +X169130476Y-93541133D01* +X169187687Y-93729731D01* +X169272468Y-93888342D01* +X169280590Y-93903538D01* +X169405617Y-94055883D01* +X169557962Y-94180910D01* +X169627992Y-94218342D01* +X169731768Y-94273812D01* +X169731770Y-94273812D01* +X169731773Y-94273814D01* +X169920368Y-94331024D01* +X170116500Y-94350341D01* +X170312632Y-94331024D01* +X170501227Y-94273814D01* +X170675038Y-94180910D01* +X170827383Y-94055883D01* +X170952410Y-93903538D01* +X171045314Y-93729727D01* +X171102524Y-93541132D01* +X171121841Y-93345000D01* +X173492659Y-93345000D01* +X173511976Y-93541133D01* +X173569187Y-93729731D01* +X173653968Y-93888342D01* +X173662090Y-93903538D01* +X173787117Y-94055883D01* +X173939462Y-94180910D01* +X174009492Y-94218342D01* +X174113268Y-94273812D01* +X174113270Y-94273812D01* +X174113273Y-94273814D01* +X174301868Y-94331024D01* +X174498000Y-94350341D01* +X174694132Y-94331024D01* +X174882727Y-94273814D01* +X175056538Y-94180910D01* +X175208883Y-94055883D01* +X175333910Y-93903538D01* +X175426814Y-93729727D01* +X175484024Y-93541132D01* +X175488075Y-93500000D01* X180794508Y-93500000D01* X180814353Y-93625301D01* X180814353Y-93625302D01* @@ -19788,9 +19893,157 @@ X180961656Y-93171951D01* X180871951Y-93261656D01* X180814353Y-93374698D01* X180794508Y-93500000D01* -X162005339Y-93500000D01* -X161999050Y-93487658D01* -X161909342Y-93397950D01* +X175488075Y-93500000D01* +X175503341Y-93345000D01* +X175484024Y-93148868D01* +X175426814Y-92960273D01* +X175426812Y-92960270D01* +X175426812Y-92960268D01* +X175421324Y-92950000D01* +X177194867Y-92950000D01* +X177213302Y-93078223D01* +X177213302Y-93078224D01* +X177213303Y-93078226D01* +X177267118Y-93196063D01* +X177351951Y-93293967D01* +X177460931Y-93364004D01* +X177585228Y-93400500D01* +X177714772Y-93400500D01* +X177839069Y-93364004D01* +X177948049Y-93293967D01* +X178032882Y-93196063D01* +X178086697Y-93078226D01* +X178105133Y-92950000D01* +X178086697Y-92821774D01* +X178032882Y-92703937D01* +X177948049Y-92606033D01* +X177860860Y-92550000D01* +X183644508Y-92550000D01* +X183664353Y-92675301D01* +X183664353Y-92675302D01* +X183664354Y-92675304D01* +X183721950Y-92788342D01* +X183811658Y-92878050D01* +X183924696Y-92935646D01* +X184050000Y-92955492D01* +X184175304Y-92935646D01* +X184288342Y-92878050D01* +X184378050Y-92788342D01* +X184435646Y-92675304D01* +X184455492Y-92550000D01* +X185844508Y-92550000D01* +X185864353Y-92675301D01* +X185864353Y-92675302D01* +X185864354Y-92675304D01* +X185921950Y-92788342D01* +X186011658Y-92878050D01* +X186124696Y-92935646D01* +X186250000Y-92955492D01* +X186375304Y-92935646D01* +X186488342Y-92878050D01* +X186578050Y-92788342D01* +X186635646Y-92675304D01* +X186655492Y-92550000D01* +X191744508Y-92550000D01* +X191764353Y-92675301D01* +X191764353Y-92675302D01* +X191764354Y-92675304D01* +X191821950Y-92788342D01* +X191911658Y-92878050D01* +X192024696Y-92935646D01* +X192150000Y-92955492D01* +X192275304Y-92935646D01* +X192388342Y-92878050D01* +X192478050Y-92788342D01* +X192535646Y-92675304D01* +X192555492Y-92550000D01* +X192535646Y-92424696D01* +X192478050Y-92311658D01* +X192388342Y-92221950D01* +X192275304Y-92164354D01* +X192275302Y-92164353D01* +X192275301Y-92164353D01* +X192150000Y-92144508D01* +X192024698Y-92164353D01* +X191911656Y-92221951D01* +X191821951Y-92311656D01* +X191764353Y-92424698D01* +X191744508Y-92550000D01* +X186655492Y-92550000D01* +X186635646Y-92424696D01* +X186578050Y-92311658D01* +X186488342Y-92221950D01* +X186375304Y-92164354D01* +X186375302Y-92164353D01* +X186375301Y-92164353D01* +X186250000Y-92144508D01* +X186124698Y-92164353D01* +X186011656Y-92221951D01* +X185921951Y-92311656D01* +X185864353Y-92424698D01* +X185844508Y-92550000D01* +X184455492Y-92550000D01* +X184435646Y-92424696D01* +X184378050Y-92311658D01* +X184288342Y-92221950D01* +X184175304Y-92164354D01* +X184175302Y-92164353D01* +X184175301Y-92164353D01* +X184050000Y-92144508D01* +X183924698Y-92164353D01* +X183811656Y-92221951D01* +X183721951Y-92311656D01* +X183664353Y-92424698D01* +X183644508Y-92550000D01* +X177860860Y-92550000D01* +X177839068Y-92535995D01* +X177714772Y-92499500D01* +X177585228Y-92499500D01* +X177460931Y-92535995D01* +X177351954Y-92606031D01* +X177351950Y-92606034D01* +X177267119Y-92703935D01* +X177213302Y-92821776D01* +X177194867Y-92950000D01* +X175421324Y-92950000D01* +X175374014Y-92861491D01* +X175333910Y-92786462D01* +X175208883Y-92634117D01* +X175056538Y-92509090D01* +X175029257Y-92494508D01* +X174882731Y-92416187D01* +X174694133Y-92358976D01* +X174498000Y-92339659D01* +X174301866Y-92358976D01* +X174113268Y-92416187D01* +X173939463Y-92509089D01* +X173787117Y-92634117D01* +X173662089Y-92786463D01* +X173569187Y-92960268D01* +X173511976Y-93148866D01* +X173492659Y-93345000D01* +X171121841Y-93345000D01* +X171102524Y-93148868D01* +X171045314Y-92960273D01* +X171045312Y-92960270D01* +X171045312Y-92960268D01* +X170992514Y-92861491D01* +X170952410Y-92786462D01* +X170827383Y-92634117D01* +X170675038Y-92509090D01* +X170647757Y-92494508D01* +X170501231Y-92416187D01* +X170312633Y-92358976D01* +X170116500Y-92339659D01* +X169920366Y-92358976D01* +X169731768Y-92416187D01* +X169557963Y-92509089D01* +X169405617Y-92634117D01* +X169280589Y-92786463D01* +X169187687Y-92960268D01* +X169130476Y-93148866D01* +X169111159Y-93345000D01* +X161805422Y-93345000D01* X161796304Y-93340354D01* X161796302Y-93340353D01* X161796301Y-93340353D01* @@ -20094,140 +20347,6 @@ X166060646Y-92975304D01* X166080492Y-92850000D01* X166060646Y-92724696D01* X166003050Y-92611658D01* -X165974392Y-92583000D01* -X170219008Y-92583000D01* -X170238853Y-92708301D01* -X170238853Y-92708302D01* -X170238854Y-92708304D01* -X170296450Y-92821342D01* -X170386158Y-92911050D01* -X170499196Y-92968646D01* -X170624500Y-92988492D01* -X170749804Y-92968646D01* -X170786399Y-92950000D01* -X173794867Y-92950000D01* -X173813302Y-93078223D01* -X173813302Y-93078224D01* -X173813303Y-93078226D01* -X173867118Y-93196063D01* -X173951951Y-93293967D01* -X174060931Y-93364004D01* -X174185228Y-93400500D01* -X174314772Y-93400500D01* -X174439069Y-93364004D01* -X174548049Y-93293967D01* -X174632882Y-93196063D01* -X174686697Y-93078226D01* -X174705133Y-92950000D01* -X174686697Y-92821774D01* -X174632882Y-92703937D01* -X174548049Y-92606033D01* -X174460860Y-92550000D01* -X183644508Y-92550000D01* -X183664353Y-92675301D01* -X183664353Y-92675302D01* -X183664354Y-92675304D01* -X183721950Y-92788342D01* -X183811658Y-92878050D01* -X183924696Y-92935646D01* -X184050000Y-92955492D01* -X184175304Y-92935646D01* -X184288342Y-92878050D01* -X184378050Y-92788342D01* -X184435646Y-92675304D01* -X184455492Y-92550000D01* -X185844508Y-92550000D01* -X185864353Y-92675301D01* -X185864353Y-92675302D01* -X185864354Y-92675304D01* -X185921950Y-92788342D01* -X186011658Y-92878050D01* -X186124696Y-92935646D01* -X186250000Y-92955492D01* -X186375304Y-92935646D01* -X186488342Y-92878050D01* -X186578050Y-92788342D01* -X186635646Y-92675304D01* -X186655492Y-92550000D01* -X191744508Y-92550000D01* -X191764353Y-92675301D01* -X191764353Y-92675302D01* -X191764354Y-92675304D01* -X191821950Y-92788342D01* -X191911658Y-92878050D01* -X192024696Y-92935646D01* -X192150000Y-92955492D01* -X192275304Y-92935646D01* -X192388342Y-92878050D01* -X192478050Y-92788342D01* -X192535646Y-92675304D01* -X192555492Y-92550000D01* -X192535646Y-92424696D01* -X192478050Y-92311658D01* -X192388342Y-92221950D01* -X192275304Y-92164354D01* -X192275302Y-92164353D01* -X192275301Y-92164353D01* -X192150000Y-92144508D01* -X192024698Y-92164353D01* -X191911656Y-92221951D01* -X191821951Y-92311656D01* -X191764353Y-92424698D01* -X191744508Y-92550000D01* -X186655492Y-92550000D01* -X186635646Y-92424696D01* -X186578050Y-92311658D01* -X186488342Y-92221950D01* -X186375304Y-92164354D01* -X186375302Y-92164353D01* -X186375301Y-92164353D01* -X186250000Y-92144508D01* -X186124698Y-92164353D01* -X186011656Y-92221951D01* -X185921951Y-92311656D01* -X185864353Y-92424698D01* -X185844508Y-92550000D01* -X184455492Y-92550000D01* -X184435646Y-92424696D01* -X184378050Y-92311658D01* -X184288342Y-92221950D01* -X184175304Y-92164354D01* -X184175302Y-92164353D01* -X184175301Y-92164353D01* -X184050000Y-92144508D01* -X183924698Y-92164353D01* -X183811656Y-92221951D01* -X183721951Y-92311656D01* -X183664353Y-92424698D01* -X183644508Y-92550000D01* -X174460860Y-92550000D01* -X174439068Y-92535995D01* -X174314772Y-92499500D01* -X174185228Y-92499500D01* -X174060931Y-92535995D01* -X173951954Y-92606031D01* -X173951950Y-92606034D01* -X173867119Y-92703935D01* -X173813302Y-92821776D01* -X173794867Y-92950000D01* -X170786399Y-92950000D01* -X170862842Y-92911050D01* -X170952550Y-92821342D01* -X171010146Y-92708304D01* -X171029992Y-92583000D01* -X171010146Y-92457696D01* -X170952550Y-92344658D01* -X170862842Y-92254950D01* -X170749804Y-92197354D01* -X170749802Y-92197353D01* -X170749801Y-92197353D01* -X170624500Y-92177508D01* -X170499198Y-92197353D01* -X170386156Y-92254951D01* -X170296451Y-92344656D01* -X170238853Y-92457698D01* -X170219008Y-92583000D01* -X165974392Y-92583000D01* X165913342Y-92521950D01* X165800304Y-92464354D01* X165800302Y-92464353D01* @@ -20476,7 +20595,6 @@ X121771951Y-92761656D01* X121714353Y-92874698D01* X121694508Y-93000000D01* X121099207Y-93000000D01* -X121100604Y-92988492D01* X121105278Y-92950003D01* X121105278Y-92949996D01* X121088808Y-92814353D01* @@ -20607,125 +20725,6 @@ X166785646Y-92250304D01* X166805492Y-92125000D01* X166785646Y-91999696D01* X166728050Y-91886658D01* -X166641392Y-91800000D01* -X172644867Y-91800000D01* -X172663302Y-91928223D01* -X172663302Y-91928224D01* -X172663303Y-91928226D01* -X172717118Y-92046063D01* -X172801951Y-92143967D01* -X172910931Y-92214004D01* -X173035228Y-92250500D01* -X173164772Y-92250500D01* -X173289069Y-92214004D01* -X173398049Y-92143967D01* -X173482882Y-92046063D01* -X173536697Y-91928226D01* -X173555133Y-91800000D01* -X174944867Y-91800000D01* -X174963302Y-91928223D01* -X174963302Y-91928224D01* -X174963303Y-91928226D01* -X175017118Y-92046063D01* -X175101951Y-92143967D01* -X175210931Y-92214004D01* -X175335228Y-92250500D01* -X175464772Y-92250500D01* -X175589069Y-92214004D01* -X175698049Y-92143967D01* -X175782882Y-92046063D01* -X175836697Y-91928226D01* -X175840755Y-91900000D01* -X189544508Y-91900000D01* -X189564353Y-92025301D01* -X189564353Y-92025302D01* -X189564354Y-92025304D01* -X189621950Y-92138342D01* -X189711658Y-92228050D01* -X189824696Y-92285646D01* -X189950000Y-92305492D01* -X190075304Y-92285646D01* -X190188342Y-92228050D01* -X190278050Y-92138342D01* -X190335646Y-92025304D01* -X190355492Y-91900000D01* -X190335646Y-91774696D01* -X190297586Y-91700000D01* -X194294508Y-91700000D01* -X194314353Y-91825301D01* -X194314353Y-91825302D01* -X194314354Y-91825304D01* -X194371950Y-91938342D01* -X194461658Y-92028050D01* -X194574696Y-92085646D01* -X194700000Y-92105492D01* -X194825304Y-92085646D01* -X194938342Y-92028050D01* -X195028050Y-91938342D01* -X195085646Y-91825304D01* -X195105492Y-91700000D01* -X195085646Y-91574696D01* -X195028050Y-91461658D01* -X194938342Y-91371950D01* -X194825304Y-91314354D01* -X194825302Y-91314353D01* -X194825301Y-91314353D01* -X194700000Y-91294508D01* -X194574698Y-91314353D01* -X194461656Y-91371951D01* -X194371951Y-91461656D01* -X194371950Y-91461658D01* -X194319140Y-91565304D01* -X194314353Y-91574698D01* -X194294508Y-91700000D01* -X190297586Y-91700000D01* -X190278050Y-91661658D01* -X190188342Y-91571950D01* -X190075304Y-91514354D01* -X190075302Y-91514353D01* -X190075301Y-91514353D01* -X189950000Y-91494508D01* -X189824698Y-91514353D01* -X189711656Y-91571951D01* -X189621951Y-91661656D01* -X189564353Y-91774698D01* -X189544508Y-91900000D01* -X175840755Y-91900000D01* -X175855133Y-91800000D01* -X175836697Y-91671774D01* -X175782882Y-91553937D01* -X175698049Y-91456033D01* -X175631032Y-91412964D01* -X175589068Y-91385995D01* -X175464772Y-91349500D01* -X175335228Y-91349500D01* -X175210931Y-91385995D01* -X175101954Y-91456031D01* -X175101950Y-91456034D01* -X175017119Y-91553935D01* -X175017118Y-91553936D01* -X175017118Y-91553937D01* -X175013274Y-91562354D01* -X174963302Y-91671776D01* -X174944867Y-91800000D01* -X173555133Y-91800000D01* -X173536697Y-91671774D01* -X173482882Y-91553937D01* -X173398049Y-91456033D01* -X173331032Y-91412964D01* -X173289068Y-91385995D01* -X173164772Y-91349500D01* -X173035228Y-91349500D01* -X172910931Y-91385995D01* -X172801954Y-91456031D01* -X172801950Y-91456034D01* -X172717119Y-91553935D01* -X172717118Y-91553936D01* -X172717118Y-91553937D01* -X172713274Y-91562354D01* -X172663302Y-91671776D01* -X172644867Y-91800000D01* -X166641392Y-91800000D01* X166638342Y-91796950D01* X166525304Y-91739354D01* X166525302Y-91739353D01* @@ -20931,341 +20930,6 @@ X166057146Y-91565304D01* X166076992Y-91440000D01* X166057146Y-91314696D01* X165999550Y-91201658D01* -X165947892Y-91150000D01* -X187644508Y-91150000D01* -X187664353Y-91275301D01* -X187664353Y-91275302D01* -X187664354Y-91275304D01* -X187721950Y-91388342D01* -X187811658Y-91478050D01* -X187924696Y-91535646D01* -X188050000Y-91555492D01* -X188175304Y-91535646D01* -X188288342Y-91478050D01* -X188378050Y-91388342D01* -X188435646Y-91275304D01* -X188447573Y-91200000D01* -X191744508Y-91200000D01* -X191764353Y-91325301D01* -X191764353Y-91325302D01* -X191764354Y-91325304D01* -X191821950Y-91438342D01* -X191911658Y-91528050D01* -X192024696Y-91585646D01* -X192150000Y-91605492D01* -X192275304Y-91585646D01* -X192388342Y-91528050D01* -X192478050Y-91438342D01* -X192535646Y-91325304D01* -X192547652Y-91249500D01* -X195365008Y-91249500D01* -X195384853Y-91374801D01* -X195384853Y-91374802D01* -X195384854Y-91374804D01* -X195442450Y-91487842D01* -X195532158Y-91577550D01* -X195645196Y-91635146D01* -X195770500Y-91654992D01* -X195895804Y-91635146D01* -X196008842Y-91577550D01* -X196098550Y-91487842D01* -X196122927Y-91440000D01* -X199729402Y-91440000D01* -X199748936Y-91625854D01* -X199749739Y-91633487D01* -X199809855Y-91818505D01* -X199809854Y-91818505D01* -X199879043Y-91938343D01* -X199907130Y-91986992D01* -X200034050Y-92127951D01* -X200037310Y-92131571D01* -X200194694Y-92245918D01* -X200194705Y-92245924D01* -X200372425Y-92325050D01* -X200372427Y-92325050D01* -X200372429Y-92325051D01* -X200562726Y-92365500D01* -X200562727Y-92365500D01* -X200757273Y-92365500D01* -X200757274Y-92365500D01* -X200947571Y-92325051D01* -X200947572Y-92325050D01* -X200947574Y-92325050D01* -X201105019Y-92254951D01* -X201125299Y-92245922D01* -X201125900Y-92245486D01* -X201147214Y-92230000D01* -X201524508Y-92230000D01* -X201544353Y-92355301D01* -X201544353Y-92355302D01* -X201544354Y-92355304D01* -X201601950Y-92468342D01* -X201691658Y-92558050D01* -X201804696Y-92615646D01* -X201930000Y-92635492D01* -X202055304Y-92615646D01* -X202168342Y-92558050D01* -X202258050Y-92468342D01* -X202315646Y-92355304D01* -X202335492Y-92230000D01* -X202315646Y-92104696D01* -X202258050Y-91991658D01* -X202168342Y-91901950D01* -X202055304Y-91844354D01* -X202055302Y-91844353D01* -X202055301Y-91844353D01* -X201930000Y-91824508D01* -X201804698Y-91844353D01* -X201691656Y-91901951D01* -X201601951Y-91991656D01* -X201544353Y-92104698D01* -X201524508Y-92230000D01* -X201147214Y-92230000D01* -X201265626Y-92143968D01* -X201282692Y-92131569D01* -X201412870Y-91986992D01* -X201510144Y-91818508D01* -X201570262Y-91633482D01* -X201590598Y-91440000D01* -X202269905Y-91440000D01* -X202290231Y-91633383D01* -X202350314Y-91818300D01* -X202445206Y-91982660D01* -X202755184Y-91672681D01* -X202818239Y-91770798D01* -X202926900Y-91864952D01* -X202968281Y-91883850D01* -X202660638Y-92191493D01* -X202734950Y-92245485D01* -X202734952Y-92245486D01* -X202912583Y-92324572D01* -X202912585Y-92324573D01* -X203102780Y-92365000D01* -X203297220Y-92365000D01* -X203487414Y-92324573D01* -X203487420Y-92324571D01* -X203665039Y-92245490D01* -X203665048Y-92245485D01* -X203739360Y-92191493D01* -X203739361Y-92191493D01* -X203431718Y-91883850D01* -X203473100Y-91864952D01* -X203581761Y-91770798D01* -X203644815Y-91672683D01* -X203954791Y-91982659D01* -X204049685Y-91818302D01* -X204109768Y-91633383D01* -X204130094Y-91440000D01* -X204809402Y-91440000D01* -X204828936Y-91625854D01* -X204829739Y-91633487D01* -X204889855Y-91818505D01* -X204889854Y-91818505D01* -X204959043Y-91938343D01* -X204987130Y-91986992D01* -X205114050Y-92127951D01* -X205117310Y-92131571D01* -X205274694Y-92245918D01* -X205274705Y-92245924D01* -X205452425Y-92325050D01* -X205452427Y-92325050D01* -X205452429Y-92325051D01* -X205642726Y-92365500D01* -X205642727Y-92365500D01* -X205837273Y-92365500D01* -X205837274Y-92365500D01* -X206027571Y-92325051D01* -X206027572Y-92325050D01* -X206027574Y-92325050D01* -X206185019Y-92254951D01* -X206205299Y-92245922D01* -X206205900Y-92245486D01* -X206345626Y-92143968D01* -X206362692Y-92131569D01* -X206492870Y-91986992D01* -X206515382Y-91948000D01* -X208319008Y-91948000D01* -X208338853Y-92073301D01* -X208338853Y-92073302D01* -X208338854Y-92073304D01* -X208396450Y-92186342D01* -X208486158Y-92276050D01* -X208599196Y-92333646D01* -X208724500Y-92353492D01* -X208849804Y-92333646D01* -X208962842Y-92276050D01* -X209052550Y-92186342D01* -X209110146Y-92073304D01* -X209129992Y-91948000D01* -X209110146Y-91822696D01* -X209052550Y-91709658D01* -X208962842Y-91619950D01* -X208849804Y-91562354D01* -X208849802Y-91562353D01* -X208849801Y-91562353D01* -X208724500Y-91542508D01* -X208599198Y-91562353D01* -X208486156Y-91619951D01* -X208396451Y-91709656D01* -X208338853Y-91822698D01* -X208319008Y-91948000D01* -X206515382Y-91948000D01* -X206590144Y-91818508D01* -X206650262Y-91633482D01* -X206670598Y-91440000D01* -X206650262Y-91246518D01* -X206590144Y-91061492D01* -X206492870Y-90893008D01* -X206362692Y-90748431D01* -X206362691Y-90748430D01* -X206362689Y-90748428D01* -X206205305Y-90634081D01* -X206205294Y-90634075D01* -X206027574Y-90554949D01* -X206027568Y-90554948D01* -X205907632Y-90529455D01* -X205837274Y-90514500D01* -X205642726Y-90514500D01* -X205638163Y-90515470D01* -X205452431Y-90554948D01* -X205452425Y-90554949D01* -X205274705Y-90634075D01* -X205274694Y-90634081D01* -X205117310Y-90748428D01* -X204987130Y-90893007D01* -X204987128Y-90893010D01* -X204889854Y-91061494D01* -X204829739Y-91246512D01* -X204829738Y-91246516D01* -X204829738Y-91246518D01* -X204809402Y-91440000D01* -X204130094Y-91440000D01* -X204109768Y-91246616D01* -X204049685Y-91061699D01* -X203954791Y-90897339D01* -X203644814Y-91207315D01* -X203581761Y-91109202D01* -X203473100Y-91015048D01* -X203431716Y-90996148D01* -X203739360Y-90688505D01* -X203665049Y-90634514D01* -X203665047Y-90634513D01* -X203487416Y-90555427D01* -X203487414Y-90555426D01* -X203297220Y-90515000D01* -X203102780Y-90515000D01* -X202912585Y-90555426D01* -X202912583Y-90555427D01* -X202734955Y-90634512D01* -X202734951Y-90634514D01* -X202660638Y-90688505D01* -X202660638Y-90688506D01* -X202968281Y-90996149D01* -X202926900Y-91015048D01* -X202818239Y-91109202D01* -X202755184Y-91207316D01* -X202445206Y-90897338D01* -X202350314Y-91061699D01* -X202290231Y-91246616D01* -X202269905Y-91440000D01* -X201590598Y-91440000D01* -X201570262Y-91246518D01* -X201510144Y-91061492D01* -X201412870Y-90893008D01* -X201282692Y-90748431D01* -X201282691Y-90748430D01* -X201282689Y-90748428D01* -X201147215Y-90650000D01* -X201524508Y-90650000D01* -X201544353Y-90775301D01* -X201544353Y-90775302D01* -X201544354Y-90775304D01* -X201601950Y-90888342D01* -X201691658Y-90978050D01* -X201804696Y-91035646D01* -X201930000Y-91055492D01* -X202055304Y-91035646D01* -X202168342Y-90978050D01* -X202258050Y-90888342D01* -X202315646Y-90775304D01* -X202335492Y-90650000D01* -X202315646Y-90524696D01* -X202258050Y-90411658D01* -X202168342Y-90321950D01* -X202055304Y-90264354D01* -X202055302Y-90264353D01* -X202055301Y-90264353D01* -X201930000Y-90244508D01* -X201804698Y-90264353D01* -X201691656Y-90321951D01* -X201601951Y-90411656D01* -X201544353Y-90524698D01* -X201524508Y-90650000D01* -X201147215Y-90650000D01* -X201125305Y-90634081D01* -X201125294Y-90634075D01* -X200947574Y-90554949D01* -X200947568Y-90554948D01* -X200827632Y-90529455D01* -X200757274Y-90514500D01* -X200562726Y-90514500D01* -X200558163Y-90515470D01* -X200372431Y-90554948D01* -X200372425Y-90554949D01* -X200194705Y-90634075D01* -X200194694Y-90634081D01* -X200037310Y-90748428D01* -X199907130Y-90893007D01* -X199907128Y-90893010D01* -X199809854Y-91061494D01* -X199749739Y-91246512D01* -X199749738Y-91246516D01* -X199749738Y-91246518D01* -X199729402Y-91440000D01* -X196122927Y-91440000D01* -X196156146Y-91374804D01* -X196175992Y-91249500D01* -X196156146Y-91124196D01* -X196098550Y-91011158D01* -X196008842Y-90921450D01* -X195895804Y-90863854D01* -X195895802Y-90863853D01* -X195895801Y-90863853D01* -X195770500Y-90844008D01* -X195645198Y-90863853D01* -X195532156Y-90921451D01* -X195442451Y-91011156D01* -X195384853Y-91124198D01* -X195365008Y-91249500D01* -X192547652Y-91249500D01* -X192555492Y-91200000D01* -X192535646Y-91074696D01* -X192478050Y-90961658D01* -X192388342Y-90871950D01* -X192275304Y-90814354D01* -X192275302Y-90814353D01* -X192275301Y-90814353D01* -X192150000Y-90794508D01* -X192024698Y-90814353D01* -X191911656Y-90871951D01* -X191821951Y-90961656D01* -X191764353Y-91074698D01* -X191744508Y-91200000D01* -X188447573Y-91200000D01* -X188455492Y-91150000D01* -X188435646Y-91024696D01* -X188378050Y-90911658D01* -X188288342Y-90821950D01* -X188175304Y-90764354D01* -X188175302Y-90764353D01* -X188175301Y-90764353D01* -X188050000Y-90744508D01* -X187924698Y-90764353D01* -X187811656Y-90821951D01* -X187721951Y-90911656D01* -X187664353Y-91024698D01* -X187644508Y-91150000D01* -X165947892Y-91150000D01* X165909842Y-91111950D01* X165796804Y-91054354D01* X165796802Y-91054353D01* @@ -21501,8 +21165,511 @@ X165211342Y-91069550D01* X165301050Y-90979842D01* X165358646Y-90866804D01* X165378492Y-90741500D01* -X165358646Y-90616196D01* -X165350394Y-90600000D01* +X165364792Y-90655000D01* +X169116500Y-90655000D01* +X169639435Y-90655000D01* +X169616500Y-90733111D01* +X169616500Y-90876889D01* +X169639435Y-90955000D01* +X169116501Y-90955000D01* +X169116501Y-91436482D01* +X169131334Y-91530148D01* +X169131335Y-91530149D01* +X169188860Y-91643046D01* +X169278453Y-91732639D01* +X169391350Y-91790164D01* +X169485018Y-91804999D01* +X169966500Y-91804999D01* +X169966500Y-91286170D01* +X169974185Y-91289680D01* +X170080737Y-91305000D01* +X170152263Y-91305000D01* +X170258815Y-91289680D01* +X170266500Y-91286170D01* +X170266500Y-91804999D01* +X170747981Y-91804999D01* +X170841648Y-91790165D01* +X170841649Y-91790164D01* +X170954546Y-91732639D01* +X171044139Y-91643046D01* +X171101664Y-91530149D01* +X171101664Y-91530148D01* +X171116494Y-91436519D01* +X173497500Y-91436519D01* +X173512353Y-91530304D01* +X173512354Y-91530306D01* +X173559379Y-91622595D01* +X173569950Y-91643342D01* +X173659658Y-91733050D01* +X173772696Y-91790646D01* +X173866481Y-91805500D01* +X175129518Y-91805499D01* +X175129519Y-91805499D01* +X175164241Y-91800000D01* +X176044867Y-91800000D01* +X176063302Y-91928223D01* +X176063302Y-91928224D01* +X176063303Y-91928226D01* +X176117118Y-92046063D01* +X176201951Y-92143967D01* +X176310931Y-92214004D01* +X176435228Y-92250500D01* +X176564772Y-92250500D01* +X176689069Y-92214004D01* +X176798049Y-92143967D01* +X176882882Y-92046063D01* +X176936697Y-91928226D01* +X176955133Y-91800000D01* +X178344867Y-91800000D01* +X178363302Y-91928223D01* +X178363302Y-91928224D01* +X178363303Y-91928226D01* +X178417118Y-92046063D01* +X178501951Y-92143967D01* +X178610931Y-92214004D01* +X178735228Y-92250500D01* +X178864772Y-92250500D01* +X178989069Y-92214004D01* +X179098049Y-92143967D01* +X179182882Y-92046063D01* +X179236697Y-91928226D01* +X179240755Y-91900000D01* +X189544508Y-91900000D01* +X189564353Y-92025301D01* +X189564353Y-92025302D01* +X189564354Y-92025304D01* +X189621950Y-92138342D01* +X189711658Y-92228050D01* +X189824696Y-92285646D01* +X189950000Y-92305492D01* +X190075304Y-92285646D01* +X190188342Y-92228050D01* +X190278050Y-92138342D01* +X190335646Y-92025304D01* +X190355492Y-91900000D01* +X190335646Y-91774696D01* +X190297586Y-91700000D01* +X194294508Y-91700000D01* +X194314353Y-91825301D01* +X194314353Y-91825302D01* +X194314354Y-91825304D01* +X194371950Y-91938342D01* +X194461658Y-92028050D01* +X194574696Y-92085646D01* +X194700000Y-92105492D01* +X194825304Y-92085646D01* +X194938342Y-92028050D01* +X195028050Y-91938342D01* +X195085646Y-91825304D01* +X195105492Y-91700000D01* +X195085646Y-91574696D01* +X195028050Y-91461658D01* +X194938342Y-91371950D01* +X194825304Y-91314354D01* +X194825302Y-91314353D01* +X194825301Y-91314353D01* +X194700000Y-91294508D01* +X194574698Y-91314353D01* +X194461656Y-91371951D01* +X194371951Y-91461656D01* +X194371950Y-91461658D01* +X194319140Y-91565304D01* +X194314353Y-91574698D01* +X194294508Y-91700000D01* +X190297586Y-91700000D01* +X190278050Y-91661658D01* +X190188342Y-91571950D01* +X190075304Y-91514354D01* +X190075302Y-91514353D01* +X190075301Y-91514353D01* +X189950000Y-91494508D01* +X189824698Y-91514353D01* +X189711656Y-91571951D01* +X189621951Y-91661656D01* +X189564353Y-91774698D01* +X189544508Y-91900000D01* +X179240755Y-91900000D01* +X179255133Y-91800000D01* +X179236697Y-91671774D01* +X179182882Y-91553937D01* +X179098049Y-91456033D01* +X179031032Y-91412964D01* +X178989068Y-91385995D01* +X178864772Y-91349500D01* +X178735228Y-91349500D01* +X178610931Y-91385995D01* +X178501954Y-91456031D01* +X178501950Y-91456034D01* +X178417119Y-91553935D01* +X178417118Y-91553936D01* +X178417118Y-91553937D01* +X178413274Y-91562354D01* +X178363302Y-91671776D01* +X178344867Y-91800000D01* +X176955133Y-91800000D01* +X176936697Y-91671774D01* +X176882882Y-91553937D01* +X176798049Y-91456033D01* +X176731032Y-91412964D01* +X176689068Y-91385995D01* +X176564772Y-91349500D01* +X176435228Y-91349500D01* +X176310931Y-91385995D01* +X176201954Y-91456031D01* +X176201950Y-91456034D01* +X176117119Y-91553935D01* +X176117118Y-91553936D01* +X176117118Y-91553937D01* +X176113274Y-91562354D01* +X176063302Y-91671776D01* +X176044867Y-91800000D01* +X175164241Y-91800000D01* +X175223304Y-91790646D01* +X175223306Y-91790645D01* +X175248025Y-91778050D01* +X175336342Y-91733050D01* +X175426050Y-91643342D01* +X175483646Y-91530304D01* +X175498500Y-91436519D01* +X175498500Y-91150000D01* +X187644508Y-91150000D01* +X187664353Y-91275301D01* +X187664353Y-91275302D01* +X187664354Y-91275304D01* +X187721950Y-91388342D01* +X187811658Y-91478050D01* +X187924696Y-91535646D01* +X188050000Y-91555492D01* +X188175304Y-91535646D01* +X188288342Y-91478050D01* +X188378050Y-91388342D01* +X188435646Y-91275304D01* +X188447573Y-91200000D01* +X191744508Y-91200000D01* +X191764353Y-91325301D01* +X191764353Y-91325302D01* +X191764354Y-91325304D01* +X191821950Y-91438342D01* +X191911658Y-91528050D01* +X192024696Y-91585646D01* +X192150000Y-91605492D01* +X192275304Y-91585646D01* +X192388342Y-91528050D01* +X192478050Y-91438342D01* +X192535646Y-91325304D01* +X192547652Y-91249500D01* +X195365008Y-91249500D01* +X195384853Y-91374801D01* +X195384853Y-91374802D01* +X195384854Y-91374804D01* +X195442450Y-91487842D01* +X195532158Y-91577550D01* +X195645196Y-91635146D01* +X195770500Y-91654992D01* +X195895804Y-91635146D01* +X196008842Y-91577550D01* +X196098550Y-91487842D01* +X196122927Y-91440000D01* +X199729402Y-91440000D01* +X199748936Y-91625854D01* +X199749739Y-91633487D01* +X199809855Y-91818505D01* +X199809854Y-91818505D01* +X199879043Y-91938343D01* +X199907130Y-91986992D01* +X200034050Y-92127951D01* +X200037310Y-92131571D01* +X200194694Y-92245918D01* +X200194705Y-92245924D01* +X200372425Y-92325050D01* +X200372427Y-92325050D01* +X200372429Y-92325051D01* +X200562726Y-92365500D01* +X200562727Y-92365500D01* +X200757273Y-92365500D01* +X200757274Y-92365500D01* +X200947571Y-92325051D01* +X200947572Y-92325050D01* +X200947574Y-92325050D01* +X201099566Y-92257379D01* +X201125299Y-92245922D01* +X201125900Y-92245486D01* +X201147214Y-92230000D01* +X201524508Y-92230000D01* +X201544353Y-92355301D01* +X201544353Y-92355302D01* +X201544354Y-92355304D01* +X201601950Y-92468342D01* +X201691658Y-92558050D01* +X201804696Y-92615646D01* +X201930000Y-92635492D01* +X202055304Y-92615646D01* +X202168342Y-92558050D01* +X202258050Y-92468342D01* +X202315646Y-92355304D01* +X202335492Y-92230000D01* +X202315646Y-92104696D01* +X202258050Y-91991658D01* +X202168342Y-91901950D01* +X202055304Y-91844354D01* +X202055302Y-91844353D01* +X202055301Y-91844353D01* +X201930000Y-91824508D01* +X201804698Y-91844353D01* +X201691656Y-91901951D01* +X201601951Y-91991656D01* +X201544353Y-92104698D01* +X201524508Y-92230000D01* +X201147214Y-92230000D01* +X201265626Y-92143968D01* +X201282692Y-92131569D01* +X201412870Y-91986992D01* +X201510144Y-91818508D01* +X201570262Y-91633482D01* +X201590598Y-91440000D01* +X202269905Y-91440000D01* +X202290231Y-91633383D01* +X202350314Y-91818300D01* +X202445206Y-91982660D01* +X202755184Y-91672681D01* +X202818239Y-91770798D01* +X202926900Y-91864952D01* +X202968281Y-91883850D01* +X202660638Y-92191493D01* +X202734950Y-92245485D01* +X202734952Y-92245486D01* +X202912583Y-92324572D01* +X202912585Y-92324573D01* +X203102780Y-92365000D01* +X203297220Y-92365000D01* +X203487414Y-92324573D01* +X203487420Y-92324571D01* +X203665039Y-92245490D01* +X203665048Y-92245485D01* +X203739360Y-92191493D01* +X203739361Y-92191493D01* +X203431718Y-91883850D01* +X203473100Y-91864952D01* +X203581761Y-91770798D01* +X203644815Y-91672683D01* +X203954791Y-91982659D01* +X204049685Y-91818302D01* +X204109768Y-91633383D01* +X204130094Y-91440000D01* +X204809402Y-91440000D01* +X204828936Y-91625854D01* +X204829739Y-91633487D01* +X204889855Y-91818505D01* +X204889854Y-91818505D01* +X204959043Y-91938343D01* +X204987130Y-91986992D01* +X205114050Y-92127951D01* +X205117310Y-92131571D01* +X205274694Y-92245918D01* +X205274705Y-92245924D01* +X205452425Y-92325050D01* +X205452427Y-92325050D01* +X205452429Y-92325051D01* +X205642726Y-92365500D01* +X205642727Y-92365500D01* +X205837273Y-92365500D01* +X205837274Y-92365500D01* +X206027571Y-92325051D01* +X206027572Y-92325050D01* +X206027574Y-92325050D01* +X206179566Y-92257379D01* +X206205299Y-92245922D01* +X206205900Y-92245486D01* +X206345626Y-92143968D01* +X206362692Y-92131569D01* +X206492870Y-91986992D01* +X206515382Y-91948000D01* +X208319008Y-91948000D01* +X208338853Y-92073301D01* +X208338853Y-92073302D01* +X208338854Y-92073304D01* +X208396450Y-92186342D01* +X208486158Y-92276050D01* +X208599196Y-92333646D01* +X208724500Y-92353492D01* +X208849804Y-92333646D01* +X208962842Y-92276050D01* +X209052550Y-92186342D01* +X209110146Y-92073304D01* +X209129992Y-91948000D01* +X209110146Y-91822696D01* +X209052550Y-91709658D01* +X208962842Y-91619950D01* +X208849804Y-91562354D01* +X208849802Y-91562353D01* +X208849801Y-91562353D01* +X208724500Y-91542508D01* +X208599198Y-91562353D01* +X208486156Y-91619951D01* +X208396451Y-91709656D01* +X208338853Y-91822698D01* +X208319008Y-91948000D01* +X206515382Y-91948000D01* +X206590144Y-91818508D01* +X206650262Y-91633482D01* +X206670598Y-91440000D01* +X206650262Y-91246518D01* +X206590144Y-91061492D01* +X206492870Y-90893008D01* +X206362692Y-90748431D01* +X206362691Y-90748430D01* +X206362689Y-90748428D01* +X206205305Y-90634081D01* +X206205294Y-90634075D01* +X206027574Y-90554949D01* +X206027568Y-90554948D01* +X205907632Y-90529455D01* +X205837274Y-90514500D01* +X205642726Y-90514500D01* +X205638163Y-90515470D01* +X205452431Y-90554948D01* +X205452425Y-90554949D01* +X205274705Y-90634075D01* +X205274694Y-90634081D01* +X205117310Y-90748428D01* +X204987130Y-90893007D01* +X204987128Y-90893010D01* +X204889854Y-91061494D01* +X204829739Y-91246512D01* +X204829738Y-91246516D01* +X204829738Y-91246518D01* +X204809402Y-91440000D01* +X204130094Y-91440000D01* +X204109768Y-91246616D01* +X204049685Y-91061699D01* +X203954791Y-90897339D01* +X203644814Y-91207315D01* +X203581761Y-91109202D01* +X203473100Y-91015048D01* +X203431716Y-90996148D01* +X203739360Y-90688505D01* +X203665049Y-90634514D01* +X203665047Y-90634513D01* +X203487416Y-90555427D01* +X203487414Y-90555426D01* +X203297220Y-90515000D01* +X203102780Y-90515000D01* +X202912585Y-90555426D01* +X202912583Y-90555427D01* +X202734955Y-90634512D01* +X202734951Y-90634514D01* +X202660638Y-90688505D01* +X202660638Y-90688506D01* +X202968281Y-90996149D01* +X202926900Y-91015048D01* +X202818239Y-91109202D01* +X202755184Y-91207316D01* +X202445206Y-90897338D01* +X202350314Y-91061699D01* +X202290231Y-91246616D01* +X202269905Y-91440000D01* +X201590598Y-91440000D01* +X201570262Y-91246518D01* +X201510144Y-91061492D01* +X201412870Y-90893008D01* +X201282692Y-90748431D01* +X201282691Y-90748430D01* +X201282689Y-90748428D01* +X201147215Y-90650000D01* +X201524508Y-90650000D01* +X201544353Y-90775301D01* +X201544353Y-90775302D01* +X201544354Y-90775304D01* +X201601950Y-90888342D01* +X201691658Y-90978050D01* +X201804696Y-91035646D01* +X201930000Y-91055492D01* +X202055304Y-91035646D01* +X202168342Y-90978050D01* +X202258050Y-90888342D01* +X202315646Y-90775304D01* +X202335492Y-90650000D01* +X202315646Y-90524696D01* +X202258050Y-90411658D01* +X202168342Y-90321950D01* +X202055304Y-90264354D01* +X202055302Y-90264353D01* +X202055301Y-90264353D01* +X201930000Y-90244508D01* +X201804698Y-90264353D01* +X201691656Y-90321951D01* +X201601951Y-90411656D01* +X201544353Y-90524698D01* +X201524508Y-90650000D01* +X201147215Y-90650000D01* +X201125305Y-90634081D01* +X201125294Y-90634075D01* +X200947574Y-90554949D01* +X200947568Y-90554948D01* +X200827632Y-90529455D01* +X200757274Y-90514500D01* +X200562726Y-90514500D01* +X200558163Y-90515470D01* +X200372431Y-90554948D01* +X200372425Y-90554949D01* +X200194705Y-90634075D01* +X200194694Y-90634081D01* +X200037310Y-90748428D01* +X199907130Y-90893007D01* +X199907128Y-90893010D01* +X199809854Y-91061494D01* +X199749739Y-91246512D01* +X199749738Y-91246516D01* +X199749738Y-91246518D01* +X199729402Y-91440000D01* +X196122927Y-91440000D01* +X196156146Y-91374804D01* +X196175992Y-91249500D01* +X196156146Y-91124196D01* +X196098550Y-91011158D01* +X196008842Y-90921450D01* +X195895804Y-90863854D01* +X195895802Y-90863853D01* +X195895801Y-90863853D01* +X195770500Y-90844008D01* +X195645198Y-90863853D01* +X195645196Y-90863853D01* +X195645196Y-90863854D01* +X195615376Y-90879048D01* +X195532156Y-90921451D01* +X195442451Y-91011156D01* +X195384853Y-91124198D01* +X195365008Y-91249500D01* +X192547652Y-91249500D01* +X192555492Y-91200000D01* +X192535646Y-91074696D01* +X192478050Y-90961658D01* +X192388342Y-90871950D01* +X192275304Y-90814354D01* +X192275302Y-90814353D01* +X192275301Y-90814353D01* +X192150000Y-90794508D01* +X192024698Y-90814353D01* +X191911656Y-90871951D01* +X191821951Y-90961656D01* +X191764353Y-91074698D01* +X191744508Y-91200000D01* +X188447573Y-91200000D01* +X188455492Y-91150000D01* +X188435646Y-91024696D01* +X188378050Y-90911658D01* +X188288342Y-90821950D01* +X188175304Y-90764354D01* +X188175302Y-90764353D01* +X188175301Y-90764353D01* +X188050000Y-90744508D01* +X187924698Y-90764353D01* +X187811656Y-90821951D01* +X187721951Y-90911656D01* +X187664353Y-91024698D01* +X187644508Y-91150000D01* +X175498500Y-91150000D01* +X175498499Y-90600000D01* X189544508Y-90600000D01* X189564353Y-90725301D01* X189564353Y-90725302D01* @@ -21532,6 +21699,33 @@ X193755492Y-90600000D01* X193735646Y-90474696D01* X193678050Y-90361658D01* X193588342Y-90271950D01* +X193512880Y-90233500D01* +X198921007Y-90233500D01* +X198940852Y-90358801D01* +X198940852Y-90358802D01* +X198940853Y-90358804D01* +X198998449Y-90471842D01* +X199088157Y-90561550D01* +X199201195Y-90619146D01* +X199326499Y-90638992D01* +X199451803Y-90619146D01* +X199564841Y-90561550D01* +X199654549Y-90471842D01* +X199712145Y-90358804D01* +X199731991Y-90233500D01* +X199712145Y-90108196D01* +X199654549Y-89995158D01* +X199564841Y-89905450D01* +X199451803Y-89847854D01* +X199451801Y-89847853D01* +X199451800Y-89847853D01* +X199326499Y-89828008D01* +X199201197Y-89847853D01* +X199088155Y-89905451D01* +X198998450Y-89995156D01* +X198940852Y-90108198D01* +X198921007Y-90233500D01* +X193512880Y-90233500D01* X193475304Y-90214354D01* X193475302Y-90214353D01* X193475301Y-90214353D01* @@ -21560,7 +21754,89 @@ X189711656Y-90271951D01* X189621951Y-90361656D01* X189564353Y-90474698D01* X189544508Y-90600000D01* -X165350394Y-90600000D01* +X175498499Y-90600000D01* +X175498499Y-90173482D01* +X175486147Y-90095491D01* +X175483646Y-90079695D01* +X175483645Y-90079693D01* +X175452235Y-90018048D01* +X175426050Y-89966658D01* +X175409392Y-89950000D01* +X191744508Y-89950000D01* +X191764353Y-90075301D01* +X191764353Y-90075302D01* +X191764354Y-90075304D01* +X191821950Y-90188342D01* +X191911658Y-90278050D01* +X192024696Y-90335646D01* +X192150000Y-90355492D01* +X192275304Y-90335646D01* +X192388342Y-90278050D01* +X192478050Y-90188342D01* +X192535646Y-90075304D01* +X192555492Y-89950000D01* +X192535646Y-89824696D01* +X192478050Y-89711658D01* +X192388342Y-89621950D01* +X192275304Y-89564354D01* +X192275302Y-89564353D01* +X192275301Y-89564353D01* +X192150000Y-89544508D01* +X192024698Y-89564353D01* +X191911656Y-89621951D01* +X191821951Y-89711656D01* +X191821950Y-89711658D01* +X191769140Y-89815304D01* +X191764353Y-89824698D01* +X191744508Y-89950000D01* +X175409392Y-89950000D01* +X175336342Y-89876950D01* +X175223304Y-89819354D01* +X175223302Y-89819353D01* +X175223301Y-89819353D01* +X175129519Y-89804500D01* +X173866480Y-89804500D01* +X173772695Y-89819353D01* +X173772693Y-89819354D01* +X173659657Y-89876950D01* +X173569951Y-89966656D01* +X173512353Y-90079698D01* +X173497500Y-90173480D01* +X173497500Y-91436519D01* +X171116494Y-91436519D01* +X171116500Y-91436481D01* +X171116500Y-90955000D01* +X170593565Y-90955000D01* +X170616500Y-90876889D01* +X170616500Y-90733111D01* +X170593565Y-90655000D01* +X171116499Y-90655000D01* +X171116499Y-90173517D01* +X171101665Y-90079851D01* +X171101664Y-90079850D01* +X171044139Y-89966953D01* +X170954546Y-89877360D01* +X170841649Y-89819835D01* +X170747982Y-89805000D01* +X170266500Y-89805000D01* +X170266500Y-90323829D01* +X170258815Y-90320320D01* +X170152263Y-90305000D01* +X170080737Y-90305000D01* +X169974185Y-90320320D01* +X169966500Y-90323829D01* +X169966500Y-89805000D01* +X169485018Y-89805000D01* +X169391351Y-89819834D01* +X169391350Y-89819835D01* +X169278453Y-89877360D01* +X169188860Y-89966953D01* +X169131335Y-90079850D01* +X169131335Y-90079851D01* +X169116500Y-90173518D01* +X169116500Y-90655000D01* +X165364792Y-90655000D01* +X165358646Y-90616196D01* X165301050Y-90503158D01* X165211342Y-90413450D01* X165098304Y-90355854D01* @@ -21606,35 +21882,6 @@ X152093050Y-90408342D01* X152150646Y-90295304D01* X152170492Y-90170000D01* X152150646Y-90044696D01* -X152102396Y-89950000D01* -X191744508Y-89950000D01* -X191764353Y-90075301D01* -X191764353Y-90075302D01* -X191764354Y-90075304D01* -X191821950Y-90188342D01* -X191911658Y-90278050D01* -X192024696Y-90335646D01* -X192150000Y-90355492D01* -X192275304Y-90335646D01* -X192388342Y-90278050D01* -X192478050Y-90188342D01* -X192535646Y-90075304D01* -X192555492Y-89950000D01* -X192535646Y-89824696D01* -X192478050Y-89711658D01* -X192388342Y-89621950D01* -X192275304Y-89564354D01* -X192275302Y-89564353D01* -X192275301Y-89564353D01* -X192150000Y-89544508D01* -X192024698Y-89564353D01* -X191911656Y-89621951D01* -X191821951Y-89711656D01* -X191821950Y-89711658D01* -X191769140Y-89815304D01* -X191764353Y-89824698D01* -X191744508Y-89950000D01* -X152102396Y-89950000D01* X152093050Y-89931658D01* X152003342Y-89841950D01* X151890304Y-89784354D01* @@ -21852,7 +22099,7 @@ X120866582Y-91450000D01* X120940483Y-91384530D01* X121030220Y-91254523D01* X121041303Y-91225301D01* -X121071001Y-91146991D01* +X121075246Y-91135798D01* X121086237Y-91106818D01* X121097852Y-91011158D01* X121105278Y-90950003D01* @@ -21889,23 +22136,70 @@ X121086237Y-90793182D01* X121069264Y-90748428D01* X121030221Y-90645478D01* X120940483Y-90515470D01* -X120876427Y-90458722D01* -X120826871Y-90414820D01* -X132749500Y-90414820D01* -X132751664Y-90425698D01* -X132758233Y-90458722D01* -X132787922Y-90503156D01* -X132791496Y-90508504D01* -X132841278Y-90541767D01* -X132885180Y-90550500D01* -X132885181Y-90550500D01* -X134614819Y-90550500D01* -X134614820Y-90550500D01* -X134658722Y-90541767D01* -X134708504Y-90508504D01* -X134741767Y-90458722D01* -X134750500Y-90414820D01* -X134750500Y-89154000D01* +X120894458Y-90474696D01* +X120822240Y-90410717D01* +X120810139Y-90404366D01* +X120682366Y-90337304D01* +X120528987Y-90299500D01* +X120528985Y-90299500D01* +X120371015Y-90299500D01* +X120371012Y-90299500D01* +X120217633Y-90337304D01* +X120077761Y-90410716D01* +X119959516Y-90515470D01* +X119869779Y-90645478D01* +X119869778Y-90645478D01* +X119813764Y-90793177D01* +X119813761Y-90793191D01* +X119794722Y-90949996D01* +X119794722Y-90950003D01* +X119605492Y-90950003D01* +X119605492Y-90950000D01* +X119585646Y-90824696D01* +X119528050Y-90711658D01* +X119438342Y-90621950D01* +X119325304Y-90564354D01* +X119325302Y-90564353D01* +X119325301Y-90564353D01* +X119200000Y-90544508D01* +X119074698Y-90564353D01* +X118961656Y-90621951D01* +X118871951Y-90711656D01* +X118814353Y-90824698D01* +X118794508Y-90950000D01* +X111105492Y-90950000D01* +X111085646Y-90824696D01* +X111028050Y-90711658D01* +X110938342Y-90621950D01* +X110825304Y-90564354D01* +X110825302Y-90564353D01* +X110825301Y-90564353D01* +X110700000Y-90544508D01* +X110574698Y-90564353D01* +X110461656Y-90621951D01* +X110371951Y-90711656D01* +X110314353Y-90824698D01* +X110294508Y-90950000D01* +X103657900Y-90950000D01* +X103657900Y-90181519D01* +X132749500Y-90181519D01* +X132764353Y-90275304D01* +X132764354Y-90275306D01* +X132808353Y-90361656D01* +X132821950Y-90388342D01* +X132911658Y-90478050D01* +X133024696Y-90535646D01* +X133118481Y-90550500D01* +X134381518Y-90550499D01* +X134381519Y-90550499D01* +X134475304Y-90535646D01* +X134475306Y-90535645D01* +X134477419Y-90534568D01* +X134588342Y-90478050D01* +X134678050Y-90388342D01* +X134735646Y-90275304D01* +X134750500Y-90181519D01* +X134750499Y-89154000D01* X141834508Y-89154000D01* X141854353Y-89279301D01* X141854353Y-89279302D01* @@ -22064,67 +22358,27 @@ X142001656Y-88825951D01* X141911951Y-88915656D01* X141854353Y-89028698D01* X141834508Y-89154000D01* -X134750500Y-89154000D01* -X134750500Y-88685180D01* -X134741767Y-88641278D01* -X134708504Y-88591496D01* -X134688380Y-88578050D01* -X134658722Y-88558233D01* -X134614820Y-88549500D01* -X132885180Y-88549500D01* -X132863229Y-88553866D01* -X132841277Y-88558233D01* -X132791496Y-88591495D01* -X132791495Y-88591496D01* -X132758233Y-88641277D01* -X132752422Y-88670491D01* -X132749500Y-88685180D01* -X132749500Y-90414820D01* -X120826871Y-90414820D01* -X120822240Y-90410717D01* -X120810139Y-90404366D01* -X120682366Y-90337304D01* -X120528987Y-90299500D01* -X120528985Y-90299500D01* -X120371015Y-90299500D01* -X120371012Y-90299500D01* -X120217633Y-90337304D01* -X120077761Y-90410716D01* -X119959516Y-90515470D01* -X119869779Y-90645478D01* -X119869778Y-90645478D01* -X119813764Y-90793177D01* -X119813761Y-90793191D01* -X119794722Y-90949996D01* -X119794722Y-90950003D01* -X119605492Y-90950003D01* -X119605492Y-90950000D01* -X119585646Y-90824696D01* -X119528050Y-90711658D01* -X119438342Y-90621950D01* -X119325304Y-90564354D01* -X119325302Y-90564353D01* -X119325301Y-90564353D01* -X119200000Y-90544508D01* -X119074698Y-90564353D01* -X118961656Y-90621951D01* -X118871951Y-90711656D01* -X118814353Y-90824698D01* -X118794508Y-90950000D01* -X111105492Y-90950000D01* -X111085646Y-90824696D01* -X111028050Y-90711658D01* -X110938342Y-90621950D01* -X110825304Y-90564354D01* -X110825302Y-90564353D01* -X110825301Y-90564353D01* -X110700000Y-90544508D01* -X110574698Y-90564353D01* -X110461656Y-90621951D01* -X110371951Y-90711656D01* -X110314353Y-90824698D01* -X110294508Y-90950000D01* -X103657900Y-90950000D01* +X134750499Y-89154000D01* +X134750499Y-88918482D01* +X134750051Y-88915656D01* +X134735646Y-88824695D01* +X134735645Y-88824693D01* +X134678049Y-88711657D01* +X134588343Y-88621951D01* +X134588342Y-88621950D01* +X134475304Y-88564354D01* +X134475302Y-88564353D01* +X134475301Y-88564353D01* +X134381519Y-88549500D01* +X133118480Y-88549500D01* +X133024695Y-88564353D01* +X133024693Y-88564354D01* +X132911657Y-88621950D01* +X132821951Y-88711656D01* +X132764353Y-88824698D01* +X132749500Y-88918480D01* +X132749500Y-90181519D01* +X103657900Y-90181519D01* X103657900Y-88950003D01* X119794722Y-88950003D01* X119813761Y-89106808D01* @@ -22288,34 +22542,7 @@ X153163696Y-88777646D01* X153289000Y-88797492D01* X153414304Y-88777646D01* X153527342Y-88720050D01* -X153617050Y-88630342D01* -X153674646Y-88517304D01* -X153694492Y-88392000D01* -X153674646Y-88266696D01* -X153641427Y-88201500D01* -X169901508Y-88201500D01* -X169921353Y-88326801D01* -X169921353Y-88326802D01* -X169921354Y-88326804D01* -X169978950Y-88439842D01* -X170068658Y-88529550D01* -X170181696Y-88587146D01* -X170307000Y-88606992D01* -X170432304Y-88587146D01* -X170545342Y-88529550D01* -X170635050Y-88439842D01* -X170692646Y-88326804D01* -X170702435Y-88265000D01* -X175045008Y-88265000D01* -X175064853Y-88390301D01* -X175064853Y-88390302D01* -X175064854Y-88390304D01* -X175122450Y-88503342D01* -X175212158Y-88593050D01* -X175325196Y-88650646D01* -X175450500Y-88670492D01* -X175575804Y-88650646D01* -X175577072Y-88650000D01* +X153597392Y-88650000D01* X191744508Y-88650000D01* X191764353Y-88775301D01* X191764353Y-88775302D01* @@ -22595,14 +22822,17 @@ X191911656Y-88321951D01* X191821951Y-88411656D01* X191764353Y-88524698D01* X191744508Y-88650000D01* -X175577072Y-88650000D01* -X175688842Y-88593050D01* -X175778550Y-88503342D01* -X175836146Y-88390304D01* -X175855992Y-88265000D01* -X175836146Y-88139696D01* -X175778550Y-88026658D01* -X175751892Y-88000000D01* +X153597392Y-88650000D01* +X153617050Y-88630342D01* +X153674646Y-88517304D01* +X153694492Y-88392000D01* +X153674646Y-88266696D01* +X153617050Y-88153658D01* +X153527342Y-88063950D01* +X153414304Y-88006354D01* +X153414302Y-88006353D01* +X153414301Y-88006353D01* +X153374188Y-88000000D01* X189544508Y-88000000D01* X189564353Y-88125301D01* X189564353Y-88125302D01* @@ -22628,37 +22858,7 @@ X189711656Y-87671951D01* X189621951Y-87761656D01* X189564353Y-87874698D01* X189544508Y-88000000D01* -X175751892Y-88000000D01* -X175688842Y-87936950D01* -X175575804Y-87879354D01* -X175575802Y-87879353D01* -X175575801Y-87879353D01* -X175450500Y-87859508D01* -X175325198Y-87879353D01* -X175212156Y-87936951D01* -X175122451Y-88026656D01* -X175064853Y-88139698D01* -X175045008Y-88265000D01* -X170702435Y-88265000D01* -X170712492Y-88201500D01* -X170692646Y-88076196D01* -X170635050Y-87963158D01* -X170545342Y-87873450D01* -X170432304Y-87815854D01* -X170432302Y-87815853D01* -X170432301Y-87815853D01* -X170307000Y-87796008D01* -X170181698Y-87815853D01* -X170068656Y-87873451D01* -X169978951Y-87963156D01* -X169921353Y-88076198D01* -X169901508Y-88201500D01* -X153641427Y-88201500D01* -X153617050Y-88153658D01* -X153527342Y-88063950D01* -X153414304Y-88006354D01* -X153414302Y-88006353D01* -X153414301Y-88006353D01* +X153374188Y-88000000D01* X153289000Y-87986508D01* X153163698Y-88006353D01* X153050656Y-88063951D01* @@ -22898,7 +23098,7 @@ X132865723Y-87478050D01* X132914090Y-87568538D01* X133039117Y-87720883D01* X133191462Y-87845910D01* -X133254031Y-87879354D01* +X133242986Y-87873450D01* X133365268Y-87938812D01* X133365270Y-87938812D01* X133365273Y-87938814D01* @@ -22906,8 +23106,6 @@ X133553868Y-87996024D01* X133750000Y-88015341D01* X133946132Y-87996024D01* X134134727Y-87938814D01* -X134138213Y-87936951D01* -X134222401Y-87891951D01* X134308538Y-87845910D01* X134460883Y-87720883D01* X134585910Y-87568538D01* @@ -23249,7 +23447,20 @@ X153860500Y-86193992D01* X153985804Y-86174146D01* X154098842Y-86116550D01* X154188550Y-86026842D01* -X154227703Y-85950000D01* +X154246146Y-85913804D01* +X154265992Y-85788500D01* +X154246146Y-85663196D01* +X154212927Y-85598000D01* +X173013008Y-85598000D01* +X173032853Y-85723301D01* +X173032853Y-85723302D01* +X173032854Y-85723304D01* +X173090450Y-85836342D01* +X173180158Y-85926050D01* +X173293196Y-85983646D01* +X173418500Y-86003492D01* +X173543804Y-85983646D01* +X173609838Y-85950000D01* X178844508Y-85950000D01* X178864353Y-86075301D01* X178864353Y-86075302D01* @@ -23560,6 +23771,9 @@ X195875302Y-85964353D01* X195875301Y-85964353D01* X195750000Y-85944508D01* X195624698Y-85964353D01* +X195624696Y-85964353D01* +X195624696Y-85964354D01* +X195575250Y-85989548D01* X195511656Y-86021951D01* X195421951Y-86111656D01* X195364353Y-86224698D01* @@ -23608,10 +23822,24 @@ X179011656Y-85621951D01* X178921951Y-85711656D01* X178864353Y-85824698D01* X178844508Y-85950000D01* -X154227703Y-85950000D01* -X154246146Y-85913804D01* -X154265992Y-85788500D01* -X154246146Y-85663196D01* +X173609838Y-85950000D01* +X173656842Y-85926050D01* +X173746550Y-85836342D01* +X173804146Y-85723304D01* +X173823992Y-85598000D01* +X173804146Y-85472696D01* +X173746550Y-85359658D01* +X173656842Y-85269950D01* +X173543804Y-85212354D01* +X173543802Y-85212353D01* +X173543801Y-85212353D01* +X173418500Y-85192508D01* +X173293198Y-85212353D01* +X173180156Y-85269951D01* +X173090451Y-85359656D01* +X173032853Y-85472698D01* +X173013008Y-85598000D01* +X154212927Y-85598000D01* X154188550Y-85550158D01* X154098842Y-85460450D01* X153985804Y-85402854D01* @@ -31226,19 +31454,33 @@ X126516492Y-70993000D01* X126496646Y-70867696D01* X126439050Y-70754658D01* X126359892Y-70675500D01* -X142850508Y-70675500D01* -X142870353Y-70800801D01* -X142870353Y-70800802D01* -X142870354Y-70800804D01* -X142927950Y-70913842D01* -X143017658Y-71003550D01* -X143130696Y-71061146D01* -X143256000Y-71080992D01* -X143381304Y-71061146D01* -X143494342Y-71003550D01* -X143584050Y-70913842D01* -X143641646Y-70800804D01* -X143661492Y-70675500D01* +X142152008Y-70675500D01* +X142171853Y-70800801D01* +X142171853Y-70800802D01* +X142171854Y-70800804D01* +X142229450Y-70913842D01* +X142319158Y-71003550D01* +X142432196Y-71061146D01* +X142557500Y-71080992D01* +X142682804Y-71061146D01* +X142795842Y-71003550D01* +X142885550Y-70913842D01* +X142943146Y-70800804D01* +X142962992Y-70675500D01* +X142943146Y-70550196D01* +X142942282Y-70548500D01* +X143485508Y-70548500D01* +X143505353Y-70673801D01* +X143505353Y-70673802D01* +X143505354Y-70673804D01* +X143562950Y-70786842D01* +X143652658Y-70876550D01* +X143765696Y-70934146D01* +X143891000Y-70953992D01* +X144016304Y-70934146D01* +X144129342Y-70876550D01* +X144219050Y-70786842D01* +X144275782Y-70675500D01* X154661508Y-70675500D01* X154681353Y-70800801D01* X154681353Y-70800802D01* @@ -31264,19 +31506,33 @@ X154828656Y-70347451D01* X154738951Y-70437156D01* X154681353Y-70550198D01* X154661508Y-70675500D01* -X143661492Y-70675500D01* -X143641646Y-70550196D01* -X143584050Y-70437158D01* -X143494342Y-70347450D01* -X143381304Y-70289854D01* -X143381302Y-70289853D01* -X143381301Y-70289853D01* -X143256000Y-70270008D01* -X143130698Y-70289853D01* -X143017656Y-70347451D01* -X142927951Y-70437156D01* -X142870353Y-70550198D01* -X142850508Y-70675500D01* +X144275782Y-70675500D01* +X144276646Y-70673804D01* +X144296492Y-70548500D01* +X144276646Y-70423196D01* +X144219050Y-70310158D01* +X144129342Y-70220450D01* +X144016304Y-70162854D01* +X144016302Y-70162853D01* +X144016301Y-70162853D01* +X143891000Y-70143008D01* +X143765698Y-70162853D01* +X143652656Y-70220451D01* +X143562951Y-70310156D01* +X143505353Y-70423198D01* +X143485508Y-70548500D01* +X142942282Y-70548500D01* +X142885550Y-70437158D01* +X142795842Y-70347450D01* +X142682804Y-70289854D01* +X142682802Y-70289853D01* +X142682801Y-70289853D01* +X142557500Y-70270008D01* +X142432198Y-70289853D01* +X142319156Y-70347451D01* +X142229451Y-70437156D01* +X142171853Y-70550198D01* +X142152008Y-70675500D01* X126359892Y-70675500D01* X126349342Y-70664950D01* X126236304Y-70607354D01* @@ -32991,17 +33247,18 @@ X158829158Y-67574550D01* X158942196Y-67632146D01* X159067500Y-67651992D01* X159192804Y-67632146D01* -X159305842Y-67574550D01* -X159379892Y-67500500D01* -X164694508Y-67500500D01* -X164714353Y-67625801D01* -X164714353Y-67625802D01* -X164714354Y-67625804D01* -X164771950Y-67738842D01* -X164861658Y-67828550D01* -X164974696Y-67886146D01* -X165100000Y-67905992D01* -X165137832Y-67900000D01* +X159201922Y-67627500D01* +X164694508Y-67627500D01* +X164714353Y-67752801D01* +X164714353Y-67752802D01* +X164714354Y-67752804D01* +X164771950Y-67865842D01* +X164861658Y-67955550D01* +X164974696Y-68013146D01* +X165100000Y-68032992D01* +X165225304Y-68013146D01* +X165338342Y-67955550D01* +X165393892Y-67900000D01* X166444508Y-67900000D01* X166464353Y-68025301D01* X166464353Y-68025302D01* @@ -33108,15 +33365,14 @@ X166611656Y-67571951D01* X166521951Y-67661656D01* X166464353Y-67774698D01* X166444508Y-67900000D01* -X165137832Y-67900000D01* -X165225304Y-67886146D01* -X165338342Y-67828550D01* -X165428050Y-67738842D01* -X165485646Y-67625804D01* -X165505492Y-67500500D01* -X165485646Y-67375196D01* -X165428050Y-67262158D01* -X165415892Y-67250000D01* +X165393892Y-67900000D01* +X165428050Y-67865842D01* +X165485646Y-67752804D01* +X165505492Y-67627500D01* +X165485646Y-67502196D01* +X165428050Y-67389158D01* +X165338342Y-67299450D01* +X165241291Y-67250000D01* X184344508Y-67250000D01* X184364353Y-67375301D01* X184364353Y-67375302D01* @@ -33129,37 +33385,34 @@ X184875304Y-67635646D01* X184988342Y-67578050D01* X185078050Y-67488342D01* X185135646Y-67375304D01* +X185139654Y-67350000D01* +X193714008Y-67350000D01* +X193733853Y-67475301D01* +X193733853Y-67475302D01* +X193733854Y-67475304D01* +X193791450Y-67588342D01* +X193881158Y-67678050D01* +X193994196Y-67735646D01* +X194119500Y-67755492D01* +X194244804Y-67735646D01* +X194357842Y-67678050D01* +X194447550Y-67588342D01* +X194505146Y-67475304D01* +X194524992Y-67350000D01* +X194505146Y-67224696D01* +X194447550Y-67111658D01* +X194357842Y-67021950D01* +X194244804Y-66964354D01* +X194244802Y-66964353D01* +X194244801Y-66964353D01* +X194119500Y-66944508D01* +X193994198Y-66964353D01* +X193881156Y-67021951D01* +X193791451Y-67111656D01* +X193733853Y-67224698D01* +X193714008Y-67350000D01* +X185139654Y-67350000D01* X185155492Y-67250000D01* -X185154938Y-67246500D01* -X193714008Y-67246500D01* -X193733853Y-67371801D01* -X193733853Y-67371802D01* -X193733854Y-67371804D01* -X193791450Y-67484842D01* -X193881158Y-67574550D01* -X193994196Y-67632146D01* -X194119500Y-67651992D01* -X194244804Y-67632146D01* -X194357842Y-67574550D01* -X194447550Y-67484842D01* -X194505146Y-67371804D01* -X194524992Y-67246500D01* -X194505146Y-67121196D01* -X194447550Y-67008158D01* -X194357842Y-66918450D01* -X194244804Y-66860854D01* -X194244802Y-66860853D01* -X194244801Y-66860853D01* -X194119500Y-66841008D01* -X193994198Y-66860853D01* -X193994196Y-66860853D01* -X193994196Y-66860854D01* -X193964376Y-66876048D01* -X193881156Y-66918451D01* -X193791451Y-67008156D01* -X193733853Y-67121198D01* -X193714008Y-67246500D01* -X185154938Y-67246500D01* X185135646Y-67124696D01* X185078050Y-67011658D01* X184988342Y-66921950D01* @@ -33175,18 +33428,20 @@ X184511656Y-66921951D01* X184421951Y-67011656D01* X184364353Y-67124698D01* X184344508Y-67250000D01* -X165415892Y-67250000D01* -X165338342Y-67172450D01* -X165225304Y-67114854D01* -X165225302Y-67114853D01* -X165225301Y-67114853D01* -X165100000Y-67095008D01* -X164974698Y-67114853D01* -X164861656Y-67172451D01* -X164771951Y-67262156D01* -X164714353Y-67375198D01* -X164694508Y-67500500D01* -X159379892Y-67500500D01* +X165241291Y-67250000D01* +X165225304Y-67241854D01* +X165225302Y-67241853D01* +X165225301Y-67241853D01* +X165100000Y-67222008D01* +X164974698Y-67241853D01* +X164861656Y-67299451D01* +X164771951Y-67389156D01* +X164771950Y-67389158D01* +X164721413Y-67488343D01* +X164714353Y-67502198D01* +X164694508Y-67627500D01* +X159201922Y-67627500D01* +X159305842Y-67574550D01* X159395550Y-67484842D01* X159453146Y-67371804D01* X159472992Y-67246500D01* @@ -33216,7 +33471,7 @@ X138430000Y-66904508D01* X138304698Y-66924353D01* X138304696Y-66924353D01* X138304696Y-66924354D01* -X138262090Y-66946063D01* +X138265142Y-66944508D01* X138191656Y-66981951D01* X138101951Y-67071656D01* X138044353Y-67184698D01* @@ -33826,6 +34081,19 @@ X190105492Y-66200000D01* X190085646Y-66074696D01* X190028050Y-65961658D01* X189966392Y-65900000D01* +X193844508Y-65900000D01* +X193864353Y-66025301D01* +X193864353Y-66025302D01* +X193864354Y-66025304D01* +X193921950Y-66138342D01* +X194011658Y-66228050D01* +X194124696Y-66285646D01* +X194250000Y-66305492D01* +X194375304Y-66285646D01* +X194488342Y-66228050D01* +X194578050Y-66138342D01* +X194635646Y-66025304D01* +X194655492Y-65900000D01* X195144508Y-65900000D01* X195164353Y-66025301D01* X195164353Y-66025302D01* @@ -34107,6 +34375,19 @@ X195311656Y-65571951D01* X195221951Y-65661656D01* X195164353Y-65774698D01* X195144508Y-65900000D01* +X194655492Y-65900000D01* +X194635646Y-65774696D01* +X194578050Y-65661658D01* +X194488342Y-65571950D01* +X194375304Y-65514354D01* +X194375302Y-65514353D01* +X194375301Y-65514353D01* +X194250000Y-65494508D01* +X194124698Y-65514353D01* +X194011656Y-65571951D01* +X193921951Y-65661656D01* +X193864353Y-65774698D01* +X193844508Y-65900000D01* X189966392Y-65900000D01* X189938342Y-65871950D01* X189825304Y-65814354D01* @@ -35509,39 +35790,17 @@ X106259992Y-63119000D01* X106240146Y-62993696D01* X106182550Y-62880658D01* X106092842Y-62790950D01* -X106041559Y-62764820D01* -X178499500Y-62764820D01* -X178504698Y-62790950D01* -X178508233Y-62808722D01* -X178535479Y-62849500D01* -X178541496Y-62858504D01* -X178591278Y-62891767D01* -X178635180Y-62900500D01* -X178635181Y-62900500D01* -X180364819Y-62900500D01* -X180364820Y-62900500D01* -X180408722Y-62891767D01* -X180458504Y-62858504D01* -X180491767Y-62808722D01* -X180500500Y-62764820D01* -X180500500Y-61900000D01* -X181034659Y-61900000D01* -X181053976Y-62096133D01* -X181111187Y-62284731D01* -X181175736Y-62405492D01* -X181204090Y-62458538D01* -X181329117Y-62610883D01* -X181481462Y-62735910D01* -X181535547Y-62764819D01* -X181655268Y-62828812D01* -X181655270Y-62828812D01* -X181655273Y-62828814D01* -X181843868Y-62886024D01* -X182040000Y-62905341D01* -X182236132Y-62886024D01* -X182424727Y-62828814D01* -X182598538Y-62735910D01* -X182703219Y-62650000D01* +X105979804Y-62733354D01* +X105979802Y-62733353D01* +X105979801Y-62733353D01* +X105854500Y-62713508D01* +X105729198Y-62733353D01* +X105616156Y-62790951D01* +X105526451Y-62880656D01* +X105468853Y-62993698D01* +X105449008Y-63119000D01* +X103657900Y-63119000D01* +X103657900Y-62650000D01* X191744508Y-62650000D01* X191764353Y-62775301D01* X191764353Y-62775302D01* @@ -35646,113 +35905,7 @@ X191911656Y-62321951D01* X191821951Y-62411656D01* X191764353Y-62524698D01* X191744508Y-62650000D01* -X182703219Y-62650000D01* -X182750883Y-62610883D01* -X182875910Y-62458538D01* -X182968814Y-62284727D01* -X183026024Y-62096132D01* -X183035492Y-62000000D01* -X189544508Y-62000000D01* -X189564353Y-62125301D01* -X189564353Y-62125302D01* -X189564354Y-62125304D01* -X189621950Y-62238342D01* -X189711658Y-62328050D01* -X189824696Y-62385646D01* -X189950000Y-62405492D01* -X190075304Y-62385646D01* -X190188342Y-62328050D01* -X190278050Y-62238342D01* -X190335646Y-62125304D01* -X190355492Y-62000000D01* -X190335646Y-61874696D01* -X190278050Y-61761658D01* -X190188342Y-61671950D01* -X190075304Y-61614354D01* -X190075302Y-61614353D01* -X190075301Y-61614353D01* -X189950000Y-61594508D01* -X189824698Y-61614353D01* -X189711656Y-61671951D01* -X189621951Y-61761656D01* -X189564353Y-61874698D01* -X189544508Y-62000000D01* -X183035492Y-62000000D01* -X183045341Y-61900000D01* -X183026024Y-61703868D01* -X182968814Y-61515273D01* -X182968812Y-61515270D01* -X182968812Y-61515268D01* -X182888146Y-61364354D01* -X182880474Y-61350000D01* -X191744508Y-61350000D01* -X191764353Y-61475301D01* -X191764353Y-61475302D01* -X191764354Y-61475304D01* -X191821950Y-61588342D01* -X191911658Y-61678050D01* -X192024696Y-61735646D01* -X192150000Y-61755492D01* -X192275304Y-61735646D01* -X192388342Y-61678050D01* -X192478050Y-61588342D01* -X192535646Y-61475304D01* -X192555492Y-61350000D01* -X192535646Y-61224696D01* -X192478050Y-61111658D01* -X192388342Y-61021950D01* -X192275304Y-60964354D01* -X192275302Y-60964353D01* -X192275301Y-60964353D01* -X192150000Y-60944508D01* -X192024698Y-60964353D01* -X191911656Y-61021951D01* -X191821951Y-61111656D01* -X191764353Y-61224698D01* -X191744508Y-61350000D01* -X182880474Y-61350000D01* -X182875910Y-61341462D01* -X182750883Y-61189117D01* -X182598538Y-61064090D01* -X182582450Y-61055491D01* -X182424731Y-60971187D01* -X182236133Y-60913976D01* -X182040000Y-60894659D01* -X181843866Y-60913976D01* -X181655268Y-60971187D01* -X181481463Y-61064089D01* -X181329117Y-61189117D01* -X181204089Y-61341463D01* -X181111187Y-61515268D01* -X181053976Y-61703866D01* -X181034659Y-61900000D01* -X180500500Y-61900000D01* -X180500500Y-61035180D01* -X180491767Y-60991278D01* -X180458504Y-60941496D01* -X180453785Y-60938343D01* -X180408722Y-60908233D01* -X180364820Y-60899500D01* -X178635180Y-60899500D01* -X178613229Y-60903866D01* -X178591277Y-60908233D01* -X178541496Y-60941495D01* -X178541495Y-60941496D01* -X178508233Y-60991277D01* -X178500919Y-61028048D01* -X178499500Y-61035180D01* -X178499500Y-62764820D01* -X106041559Y-62764820D01* -X105979804Y-62733354D01* -X105979802Y-62733353D01* -X105979801Y-62733353D01* -X105854500Y-62713508D01* -X105729198Y-62733353D01* -X105616156Y-62790951D01* -X105526451Y-62880656D01* -X105468853Y-62993698D01* -X105449008Y-63119000D01* -X103657900Y-63119000D01* +X103657900Y-62650000D01* X103657900Y-62103000D01* X106465008Y-62103000D01* X106484853Y-62228301D01* @@ -35798,7 +35951,7 @@ X117794722Y-61500003D01* X117813761Y-61656808D01* X117813764Y-61656822D01* X117869778Y-61804521D01* -X117959516Y-61934529D01* +X117918218Y-61874698D01* X117959517Y-61934530D01* X118077760Y-62039283D01* X118217635Y-62112696D01* @@ -35813,13 +35966,13 @@ X119030220Y-61804523D01* X119033434Y-61796050D01* X119050898Y-61750000D01* X119086237Y-61656818D01* -X119103424Y-61515268D01* +X119094707Y-61587063D01* X119105278Y-61500003D01* X119794722Y-61500003D01* X119813761Y-61656808D01* X119813764Y-61656822D01* X119869778Y-61804521D01* -X119959516Y-61934529D01* +X119918218Y-61874698D01* X119959517Y-61934530D01* X120077760Y-62039283D01* X120217635Y-62112696D01* @@ -35829,12 +35982,39 @@ X120528981Y-62150500D01* X120528985Y-62150500D01* X120682365Y-62112696D01* X120822240Y-62039283D01* +X120866582Y-62000000D01* +X189544508Y-62000000D01* +X189564353Y-62125301D01* +X189564353Y-62125302D01* +X189564354Y-62125304D01* +X189621950Y-62238342D01* +X189711658Y-62328050D01* +X189824696Y-62385646D01* +X189950000Y-62405492D01* +X190075304Y-62385646D01* +X190188342Y-62328050D01* +X190278050Y-62238342D01* +X190335646Y-62125304D01* +X190355492Y-62000000D01* +X190335646Y-61874696D01* +X190278050Y-61761658D01* +X190188342Y-61671950D01* +X190075304Y-61614354D01* +X190075302Y-61614353D01* +X190075301Y-61614353D01* +X189950000Y-61594508D01* +X189824698Y-61614353D01* +X189711656Y-61671951D01* +X189621951Y-61761656D01* +X189564353Y-61874698D01* +X189544508Y-62000000D01* +X120866582Y-62000000D01* X120940483Y-61934530D01* X121030220Y-61804523D01* X121033434Y-61796050D01* X121050898Y-61750000D01* X121086237Y-61656818D01* -X121103424Y-61515268D01* +X121094707Y-61587063D01* X121105278Y-61500003D01* X121105278Y-61499996D01* X121086238Y-61343191D01* @@ -35895,11 +36075,38 @@ X170496069Y-61755004D01* X170605049Y-61684967D01* X170689882Y-61587063D01* X170743697Y-61469226D01* +X170760839Y-61350000D01* +X191744508Y-61350000D01* +X191764353Y-61475301D01* +X191764353Y-61475302D01* +X191764354Y-61475304D01* +X191821950Y-61588342D01* +X191911658Y-61678050D01* +X192024696Y-61735646D01* +X192150000Y-61755492D01* +X192275304Y-61735646D01* +X192388342Y-61678050D01* +X192478050Y-61588342D01* +X192535646Y-61475304D01* +X192555492Y-61350000D01* +X192535646Y-61224696D01* +X192478050Y-61111658D01* +X192388342Y-61021950D01* +X192275304Y-60964354D01* +X192275302Y-60964353D01* +X192275301Y-60964353D01* +X192150000Y-60944508D01* +X192024698Y-60964353D01* +X191911656Y-61021951D01* +X191821951Y-61111656D01* +X191764353Y-61224698D01* +X191744508Y-61350000D01* +X170760839Y-61350000D01* X170762133Y-61341000D01* X170743697Y-61212774D01* X170689882Y-61094937D01* X170605049Y-60997033D01* -X170518630Y-60941495D01* +X170523318Y-60944508D01* X170496068Y-60926995D01* X170371772Y-60890500D01* X170242228Y-60890500D01* @@ -35913,7 +36120,7 @@ X152728133Y-61341000D01* X152709697Y-61212774D01* X152655882Y-61094937D01* X152571049Y-60997033D01* -X152484630Y-60941495D01* +X152489318Y-60944508D01* X152462068Y-60926995D01* X152337772Y-60890500D01* X152208228Y-60890500D01* @@ -35927,7 +36134,7 @@ X145362133Y-61341000D01* X145343697Y-61212774D01* X145289882Y-61094937D01* X145205049Y-60997033D01* -X145118630Y-60941495D01* +X145123318Y-60944508D01* X145096068Y-60926995D01* X144971772Y-60890500D01* X144842228Y-60890500D01* @@ -35941,7 +36148,7 @@ X127328133Y-61341000D01* X127309697Y-61212774D01* X127255882Y-61094937D01* X127171049Y-60997033D01* -X127084630Y-60941495D01* +X127089318Y-60944508D01* X127062068Y-60926995D01* X126937772Y-60890500D01* X126808228Y-60890500D01* @@ -35957,7 +36164,7 @@ X121030221Y-61195478D01* X120940483Y-61065470D01* X120898242Y-61028048D01* X120822240Y-60960717D01* -X120785616Y-60941495D01* +X120791357Y-60944508D01* X120682366Y-60887304D01* X120528987Y-60849500D01* X120528985Y-60849500D01* @@ -35981,7 +36188,7 @@ X119030221Y-61195478D01* X118940483Y-61065470D01* X118898242Y-61028048D01* X118822240Y-60960717D01* -X118785616Y-60941495D01* +X118791357Y-60944508D01* X118682366Y-60887304D01* X118528987Y-60849500D01* X118528985Y-60849500D01* @@ -36316,9 +36523,6 @@ X202055302Y-59784353D01* X202055301Y-59784353D01* X201930000Y-59764508D01* X201804698Y-59784353D01* -X201804696Y-59784353D01* -X201804696Y-59784354D01* -X201763211Y-59805492D01* X201691656Y-59841951D01* X201601951Y-59931656D01* X201544353Y-60044698D01* @@ -36866,33 +37070,6 @@ X169734069Y-59786504D01* X169843049Y-59716467D01* X169927882Y-59618563D01* X169981697Y-59500726D01* -X169996179Y-59400000D01* -X181994008Y-59400000D01* -X182013853Y-59525301D01* -X182013853Y-59525302D01* -X182013854Y-59525304D01* -X182071450Y-59638342D01* -X182161158Y-59728050D01* -X182274196Y-59785646D01* -X182399500Y-59805492D01* -X182524804Y-59785646D01* -X182637842Y-59728050D01* -X182727550Y-59638342D01* -X182785146Y-59525304D01* -X182804992Y-59400000D01* -X182785146Y-59274696D01* -X182727550Y-59161658D01* -X182637842Y-59071950D01* -X182524804Y-59014354D01* -X182524802Y-59014353D01* -X182524801Y-59014353D01* -X182399500Y-58994508D01* -X182274198Y-59014353D01* -X182161156Y-59071951D01* -X182071451Y-59161656D01* -X182013853Y-59274698D01* -X181994008Y-59400000D01* -X169996179Y-59400000D01* X170000133Y-59372500D01* X169981697Y-59244274D01* X169927882Y-59126437D01* @@ -38574,10 +38751,23 @@ X179689342Y-56779550D01* X179779050Y-56689842D01* X179836646Y-56576804D01* X179856492Y-56451500D01* -X179836646Y-56326196D01* -X179779050Y-56213158D01* -X179689342Y-56123450D01* -X179643319Y-56100000D01* +X188062508Y-56451500D01* +X188082353Y-56576801D01* +X188082353Y-56576802D01* +X188082354Y-56576804D01* +X188139950Y-56689842D01* +X188229658Y-56779550D01* +X188342696Y-56837146D01* +X188468000Y-56856992D01* +X188593304Y-56837146D01* +X188706342Y-56779550D01* +X188796050Y-56689842D01* +X188853646Y-56576804D01* +X188873492Y-56451500D01* +X188853646Y-56326196D01* +X188796050Y-56213158D01* +X188706342Y-56123450D01* +X188660319Y-56100000D01* X193844508Y-56100000D01* X193864353Y-56225301D01* X193864353Y-56225302D01* @@ -38828,7 +39018,20 @@ X194011656Y-55771951D01* X193921951Y-55861656D01* X193864353Y-55974698D01* X193844508Y-56100000D01* -X179643319Y-56100000D01* +X188660319Y-56100000D01* +X188593304Y-56065854D01* +X188593302Y-56065853D01* +X188593301Y-56065853D01* +X188468000Y-56046008D01* +X188342698Y-56065853D01* +X188229656Y-56123451D01* +X188139951Y-56213156D01* +X188082353Y-56326198D01* +X188062508Y-56451500D01* +X179856492Y-56451500D01* +X179836646Y-56326196D01* +X179779050Y-56213158D01* +X179689342Y-56123450D01* X179576304Y-56065854D01* X179576302Y-56065853D01* X179576301Y-56065853D01* @@ -41317,19 +41520,33 @@ X162671342Y-49096050D01* X162761050Y-49006342D01* X162818646Y-48893304D01* X162838492Y-48768000D01* -X167107508Y-48768000D01* -X167127353Y-48893301D01* -X167127353Y-48893302D01* -X167127354Y-48893304D01* -X167184950Y-49006342D01* -X167274658Y-49096050D01* -X167387696Y-49153646D01* -X167513000Y-49173492D01* -X167638304Y-49153646D01* -X167751342Y-49096050D01* -X167841050Y-49006342D01* -X167898646Y-48893304D01* -X167918492Y-48768000D01* +X162819803Y-48650000D01* +X166244508Y-48650000D01* +X166264353Y-48775301D01* +X166264353Y-48775302D01* +X166264354Y-48775304D01* +X166321950Y-48888342D01* +X166411658Y-48978050D01* +X166524696Y-49035646D01* +X166650000Y-49055492D01* +X166775304Y-49035646D01* +X166888342Y-48978050D01* +X166978050Y-48888342D01* +X167035646Y-48775304D01* +X167055492Y-48650000D01* +X169394508Y-48650000D01* +X169414353Y-48775301D01* +X169414353Y-48775302D01* +X169414354Y-48775304D01* +X169471950Y-48888342D01* +X169561658Y-48978050D01* +X169674696Y-49035646D01* +X169800000Y-49055492D01* +X169925304Y-49035646D01* +X170038342Y-48978050D01* +X170128050Y-48888342D01* +X170185646Y-48775304D01* +X170186803Y-48768000D01* X172187508Y-48768000D01* X172207353Y-48893301D01* X172207353Y-48893302D01* @@ -41489,20 +41706,34 @@ X172354656Y-48439951D01* X172264951Y-48529656D01* X172207353Y-48642698D01* X172187508Y-48768000D01* -X167918492Y-48768000D01* -X167898646Y-48642696D01* -X167841050Y-48529658D01* -X167751342Y-48439950D01* -X167638304Y-48382354D01* -X167638302Y-48382353D01* -X167638301Y-48382353D01* -X167513000Y-48362508D01* -X167387698Y-48382353D01* -X167274656Y-48439951D01* -X167184951Y-48529656D01* -X167127353Y-48642698D01* -X167107508Y-48768000D01* -X162838492Y-48768000D01* +X170186803Y-48768000D01* +X170205492Y-48650000D01* +X170185646Y-48524696D01* +X170128050Y-48411658D01* +X170038342Y-48321950D01* +X169925304Y-48264354D01* +X169925302Y-48264353D01* +X169925301Y-48264353D01* +X169800000Y-48244508D01* +X169674698Y-48264353D01* +X169561656Y-48321951D01* +X169471951Y-48411656D01* +X169414353Y-48524698D01* +X169394508Y-48650000D01* +X167055492Y-48650000D01* +X167035646Y-48524696D01* +X166978050Y-48411658D01* +X166888342Y-48321950D01* +X166775304Y-48264354D01* +X166775302Y-48264353D01* +X166775301Y-48264353D01* +X166650000Y-48244508D01* +X166524698Y-48264353D01* +X166411656Y-48321951D01* +X166321951Y-48411656D01* +X166264353Y-48524698D01* +X166244508Y-48650000D01* +X162819803Y-48650000D01* X162818646Y-48642696D01* X162761050Y-48529658D01* X162671342Y-48439950D01* diff --git a/gerber/WarpSE-NET.xml b/gerber/WarpSE-NET.xml index 12251a2..791d92d 100644 --- a/gerber/WarpSE-NET.xml +++ b/gerber/WarpSE-NET.xml @@ -2,14 +2,14 @@ /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/WarpSE/WarpSE.kicad_sch - Friday, March 29, 2024 at 04:01:24 AM + Wednesday, April 24, 2024 at 04:09:27 AM Eeschema 7.0.10 WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 WarpSE.kicad_sch @@ -27,7 +27,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 PDS.kicad_sch @@ -45,7 +45,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 Power.kicad_sch @@ -63,7 +63,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 Buf.kicad_sch @@ -81,7 +81,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 MC68k.kicad_sch @@ -99,7 +99,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 RAMROM.kicad_sch @@ -117,7 +117,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 Control.kicad_sch @@ -135,7 +135,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 Clk.kicad_sch @@ -153,7 +153,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 ClkBuf.kicad_sch @@ -171,7 +171,7 @@ WarpSE (GW4410A) Garrett's Workshop 1.0 - 2024-03-27 + 2024-04-23 Prog.kicad_sch @@ -460,14 +460,13 @@ 9d3d51a8-d1d0-4ba6-9980-26c7d1d1c409 - AZ1117CH-3.3 + AZ1117CH-3.3TRG1 stdpads:SOT-223 - http://www.diodes.com/datasheets/AP1117.pdf - C108494 + C92102 - + @@ -680,7 +679,7 @@ 74738587-597a-4345-b77a-c048cc62e87c - 0 + 47 stdpads:R_0603 C23182 @@ -695,7 +694,7 @@ e6ca25b3-6096-4167-b6eb-48b986840096 - 0 + 47 stdpads:R_0603 C23182 @@ -898,7 +897,6 @@ MC68HC000FN20 stdpads:PLCC-68 - http://www.nxp.com/files/32bit/doc/ref_manual/MC68000UM.pdf @@ -1063,12 +1061,11 @@ 39SF040 stdpads:PLCC-32 - http://ww1.microchip.com/downloads/en/DeviceDoc/20005022C.pdf - C72257 + C645935 - + @@ -1077,12 +1074,11 @@ 39SF040 stdpads:PLCC-32 - http://ww1.microchip.com/downloads/en/DeviceDoc/20005022C.pdf - C72257 + C645935 - + @@ -1207,7 +1203,6 @@ XC95144XL-TQ100 stdpads:TQFP-100_14x14mm_P0.5mm - https://www.xilinx.com/support/documentation/data_sheets/ds056.pdf C45126 @@ -1274,7 +1269,7 @@ - fcedaae2-d11c-444f-b015-f8191fedf7b4 + 85b79bbd-e87c-4cdb-b3be-0f19bab36f14 CLKDIS @@ -1287,6 +1282,17 @@ f1f5ef96-c7c3-4c7a-89e3-e67b510a4fe7 + + PWR + stdpads:PinHeader_1x02_P2.54mm_Vertical + + + + + + + e0f35a4d-57ee-4e42-b380-84d6e41a5cf1 + 47 stdpads:R_0603 @@ -1350,8 +1356,11 @@ 1k stdpads:R_0603 + + C21190 + - + @@ -1374,10 +1383,10 @@ 50M stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm - C32526 + C717684 - + @@ -1386,7 +1395,11 @@ 74LVC1G74DC stdpads:NXP_VSSOP-8_2.3x2mm + + C503431 + + @@ -1395,7 +1408,11 @@ 74LVC1G74DC stdpads:NXP_VSSOP-8_2.3x2mm + + C503431 + + @@ -1492,27 +1509,39 @@ 1fc41112-0e0c-4d25-806e-cd201d34d6d8 - 74LVC1G07GW + 74LVC1G34GW stdpads:SOT-353 - + + C455045 + + + 8e283ed3-2e43-4805-98c9-249330b515d6 - 74LVC1G07GW + 74LVC1G34GW stdpads:SOT-353 - + + C455045 + + + 1ac086f9-0fd4-4cd2-9413-c0a2e6cd9192 - 74LVC1G07GW + 74LVC1G34GW stdpads:SOT-353 - + + C455045 + + + @@ -1625,7 +1654,7 @@ JTAG - Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical + stdpads:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical @@ -1638,10 +1667,10 @@ microUSB stdpads:USB_Micro-B_Amphenol_10118192-0001 - C404969 + C132564 - + @@ -1652,7 +1681,6 @@ MMBT3904 stdpads:SOT-23 - https://www.onsemi.com/pub/Collateral/2N3903-D.PDF C20526 @@ -1668,8 +1696,11 @@ 1k stdpads:R_0603 + + C21190 + - + @@ -1680,8 +1711,11 @@ 1k stdpads:R_0603 + + C21190 + - + @@ -1690,13 +1724,10 @@ 0ce51bde-6f85-43cc-ae3f-6cff79c26dd7 - 10k + DNP stdpads:R_0603 - - C25804 - - + @@ -1705,13 +1736,10 @@ 00000000-0000-0000-0000-000062762b96 - 10k + DNP stdpads:R_0603 - - C25804 - - + @@ -1722,8 +1750,11 @@ 47 stdpads:R_0603 + + C23182 + - + @@ -1764,7 +1795,6 @@ CH340G stdpads:SOIC-16_3.9mm - http://www.datasheet5.com/pdf-local-2195953 C14267 @@ -1780,7 +1810,11 @@ 12M stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm + + C9002 + + @@ -2228,15 +2262,15 @@ - + U - 741G04GW + 741G32GW stdpads:SOT-353 - - + + @@ -2593,6 +2627,7 @@ + @@ -3129,279 +3164,284 @@ - + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -3479,6 +3519,7 @@ + @@ -3517,8 +3558,11 @@ + + + @@ -3531,23 +3575,18 @@ - + - + - + - - - - - @@ -3858,14 +3897,5 @@ - - - - - - - - - diff --git a/gerber/WarpSE-job.gbrjob b/gerber/WarpSE-job.gbrjob index 8f5e19d..f7e1376 100644 --- a/gerber/WarpSE-job.gbrjob +++ b/gerber/WarpSE-job.gbrjob @@ -5,7 +5,7 @@ "Application": "Pcbnew", "Version": "7.0.10" }, - "CreationDate": "2024-03-29T04:01:23-04:00" + "CreationDate": "2024-04-24T04:09:26-04:00" }, "GeneralSpecs": { "ProjectId": { diff --git a/gerber/WarpSE-top-pos.csv b/gerber/WarpSE-top-pos.csv index 5e5e38f..99c58af 100644 --- a/gerber/WarpSE-top-pos.csv +++ b/gerber/WarpSE-top-pos.csv @@ -17,7 +17,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "C16","10u","C_0805",123.952000,-75.780000,-90.000000,top "C17","10u","C_0805",149.352000,-75.780000,-90.000000,top "C18","10u","C_0805",197.167500,-98.210000,90.000000,top -"C19","10u","C_0805",174.250000,-91.150000,-90.000000,top +"C19","10u","C_0805",177.650000,-91.150000,-90.000000,top "C20","10u","C_0805",194.754500,-51.650000,-90.000000,top "C21","2u2","C_0603",195.000000,-61.500000,0.000000,top "C22","10u","C_0805",197.167500,-44.616000,90.000000,top @@ -66,22 +66,22 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "R5","47","R_0603",130.550000,-89.850000,0.000000,top "R6","DNP","R_0603",165.989000,-100.139500,0.000000,top "R7","47","R_0603",197.200000,-57.850000,90.000000,top -"R8","1k","R_0603",180.300000,-59.400000,0.000000,top +"R8","1k","R_0603",168.200000,-48.650000,0.000000,top "R10","1k","R_0603",196.450000,-107.950000,180.000000,top "R11","1k","R_0603",195.250000,-109.650000,180.000000,top "R12","DNP","R_0603",125.650000,-96.750000,0.000000,top -"R15","10k","R_0603",117.913685,-45.650685,135.000000,top -"R16","10k","R_0603",106.500000,-57.550000,180.000000,top +"R15","DNP","R_0603",117.913685,-45.650685,135.000000,top +"R16","DNP","R_0603",106.500000,-57.550000,180.000000,top "R17","47","R_0603",197.200000,-62.500000,-90.000000,top "R18","47","R_0603",187.900000,-123.200000,0.000000,top "R19","47","R_0603",194.850000,-115.150000,180.000000,top "R20","47","R_0603",183.934000,-56.451500,0.000000,top -"R21","0","R_0603",165.989000,-95.567500,0.000000,top -"R22","0","R_0603",165.989000,-98.615500,0.000000,top +"R21","47","R_0603",165.989000,-95.567500,0.000000,top +"R22","47","R_0603",165.989000,-98.615500,0.000000,top "R23","47","R_0603",109.350000,-57.550000,180.000000,top "U1","XC95144XL-TQ100","TQFP-100_14x14mm_P0.5mm",119.250000,-109.100000,90.000000,top "U2","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-98.725000,-90.000000,top -"U3","AZ1117CH-3.3","SOT-223",114.950000,-87.600000,90.000000,top +"U3","AZ1117CH-3.3TRG1","SOT-223",114.950000,-87.600000,90.000000,top "U5","50M","Crystal_SMD_3225-4Pin_3.2x2.5mm",126.950000,-87.550000,180.000000,top "U6","74LVC1G74DC","NXP_VSSOP-8_2.3x2mm",130.200000,-93.200000,-90.000000,top "U7","74LVC1G74DC","NXP_VSSOP-8_2.3x2mm",125.650000,-93.200000,-90.000000,top @@ -102,7 +102,7 @@ Ref,Val,Package,MidX,MidY,Rotation,Side "U22","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-62.325000,-90.000000,top "U23","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-80.525000,-90.000000,top "U24","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-71.425000,-90.000000,top -"U26","74LVC1G07GW","SOT-353",189.550000,-125.500000,90.000000,top -"U27","74LVC1G07GW","SOT-353",194.900000,-64.150000,0.000000,top -"U28","74LVC1G07GW","SOT-353",194.900000,-57.900000,0.000000,top +"U26","74LVC1G34GW","SOT-353",189.550000,-125.500000,90.000000,top +"U27","74LVC1G34GW","SOT-353",194.900000,-64.150000,0.000000,top +"U28","74LVC1G34GW","SOT-353",194.900000,-57.900000,0.000000,top "Y1","12M","Crystal_SMD_3225-4Pin_3.2x2.5mm",189.749400,-46.350000,180.000000,top diff --git a/gerber/WarpSE.4410A-gerber.zip b/gerber/WarpSE.4410A-gerber.zip index d1b1f02472ce7002925cfe2f4ab215ae66c5a017..9277ca80486fb48c5e8349afa88aaac958ad8f14 100644 GIT binary patch delta 1141592 zcmb6AWl$bZ&^HR>?(P>Zf#B{M+>_w$?iyfmcXtgM+}$0526uONKm4EPuD9;D&Zo1r zQ@t}a{hRLI?w+09*+0ipN6pg6O0tkp2w?vmjAW^C$i%Q*owTN(C60vv<+DXS1>}=H z3=Ib6LXcn&gB53k2K-M4Qx|tcFmR|la4;|^tWWl=RC!fG9<<<#7dGx+-z~jQQjwr3 z327$qFybR3AY2!)iBvRH2Hsz6EXr&6Es>*nlpr}2n>0ON#Aeq%I9{A@n6*|{ecGO0 zd^q(sbVBs>OxJd1|IR*Ny;!$&wtejU4oX;pztnpK+H@R-?naO(nQfpCyyo2}n|Q`)L zQYqO13ich{hu@|O)A8Vi%Odkp7{$lNE*xbI>VuOP z(!hb6h2qwE;0ThViaA%rw-#h z>YY=IxSCoouA6S4>b9He1a%OMfFEA|2l@z}ot89@Q6NSOjHUpKN*+-PLr`I zmGRcG&>b8b{;QOz(4zvcd=zqFqqf8sra4C={HnM>f7TpT%DlXBnxC#Eae=}Arv~Il ziXTM~NQcsDef^20L57`|KYoDqS-pYR{y8g$PClBcknfC5(C)9_8jQg@+M$pq4pOyM z5;z`?<0;Dz?~f5kF)V>z^a;8SA7wLvk4G&);$%Ok;Ms>m=BfK5&P{JEnbu2DNETsK z9z)6Ll_%PWl}qi3*NEOljEcqC3zV!FmQn7Te#L8MQ6mb$+1uBTWt?EetdPRoj_c!# zBGhz6C#TBNshblsJ$Ev*QxJ`v>klcjh!S^^yix86@i6CIAmRdQ(Hu6vT zL8A0LbwgV7hgXC^DFh0w5;7f=-ktE(ZoWwfRFzzHg$`8hZ%yvs9nb9#0YVQOAka_u zkshM^d=aEx<I!hBCH< z3Hval-!$z@y@ZT%zsK>u06XrA+vRUIlNp57N?Icl_qde;;}?yrNQ_Bj`4MWB=xKCR zpk0 z>4nYe8p#skSPo=EEY0n~S~<_0ua4QSLoqwPdzA&d<&UrO)3DFJ0Rx7SNu?P^C0tWj zKZ_V2`s}rZLjP2cp3GaF1};o_g?Tk1 zO{Wt?TJGsGt!qh-n-egwcioqd?p2uT$=Rb`ZQ)tq%9Uyq*mzO2Q_zJ#*7=N3^7lSJ zPEObLAQr*0yd+oUCoq5`&Ga=?=f>kOR_Np9;b`BWAv34)H(IN5#N7in!l8zBz`$_| zez{FvSWoB6zuuM~#b4j=-aTucu^?UP+s*hR%#kCsFq%`^hT=GWrwoy?0izVfJ5 zV!!n;3boq=Y8h~?mW$c-M@G*a3+?-|{Ko$9$8PVBNU7*grv=`wBZmxpt}1OZ?B&OB z{hCl%fht3d-<#uZ#LYZ(%_B?0u4rm+89#m3Yh&zcm}BS>mBbbtSQY?pjJ{>9ZnqwYClDUo>LUuRiC zg~FNe&y7Ofk9Hd#l_BYFR7k6m;g!-tW&;|zO}zL)+=3Y_R?bZnw%o68rB|{pI=Rs?llk0!r-K>jy3{FmC{z`lOY1h8xkMV4q_2{te^upFP01ZO90LIzAC!m9bI z>|W=OC#{`29@sM^Ao6U!rMC)=&zCD3#Z|CdYCW~yljrY3YFQg^_4;*pYByvc!iFW!YOdlUF_4@#&kFR8*QSgW^yRfhScY+&1y zb;s!ZG^Kvj*6PC-lcPY9%!N}4X@U2dkp(6UfM3{CAcs+oUOi3avW3lY zQ~&QwD#5U0EQBKE9-))wk|9s9puxY{ z(lmgg$*Z$il})CQm`zC4KQJVP(%r^*6to1qx4V0aOk$>h{DAcmNVM(2t3j%0g|0!z z_Q-Lo7}UL&_M%*QJ^am_6fi=xe^7@#Hu$fci*(7>KyYDTX7&Z1-5_*AdZ|+eyNM*% zncp9gBzG`JzX2v)t^04E8WM|@^9AQ#5r?8E6={XAHfGV{kDhW@Gr}#^&nspyO;w;t^x@3m%!S=vy0ETyfQpdr4Km!B1wjyv=YD|$jy-4AgOhJzRt}cKBfDMit_8ajt~x!@ z(N3zliFETiRweX_lka|%q3Qmt>EWVk7>A7|ql-bT8i!FruF_@0R~mqwDgtr0C)=3c zI$RNWXPbA+TbX6lPB3}o62rx>(Nl7l3U6P2Wvxz$hp)f+p49n<`oAItij7utU z)-DDVdCN`c_4Z`r<9=x4 z?JVZw@#W+FB**9R{^M#z@BNu;c?%)$1Fh5_xJ$3acxXaKhEvyz5WGWnSh6nSEi4vjIQ@j+g;o%?yvzL zA)kiZ&jH?0h29>kHeP4#KJFe0{JI_$KlX-%UeCFJ50mk?oHxP;x9f644&kvkXE5a5;Eka3N#b=M_b5Ye*Um@zv+}l& zdzPVhYxjQA)b+ft_sve|eTOTo@fx@5=`UB;V9sFIQ}M^uhR^F7*T?mz6dnqOT~8q3-fpJpedYFyskf;sbmQIdHLmMT;^`juZbh%&4w!zpIIn++5qjS$ zwtL@d5_;=<3}0E#e6{oW0NyTr5z-oI$J^pO5#D zjgJSA&tulQn|=Gun@cpsZUnTbsR$`xZTj9Z0!oUAx=W?}azRpPO|SD8z#EX&_w*h^kX|h2G(}La zr2zYqav}J9)Rq$adV3Rs^DobPYVKt=Wak|-7$*t(&EBuGem?N>0QKup`#n&o+jR{M z^iHu7r-#g?>YR1G<_x7jDsHT82tLCDPuo*IPdh>%V=-MXTW(z-$W<|V>v2R^a! zqhSo-^)Rgn^8aK%W*SP)Iw*dBEwwv+d7Tp$9KLG=49jF+Z)uP!*eaVlQeIMoyqARN z0v}_1?x*}!P0wU!ujWdDwYbo^dm02HIYzvd;OCVf2qX*FxUE`AwvyHNZTr%aH& zjj7@#Civ|pWboFty`$Jo_~>l?{RkK=cd;>lpNzm1YZKby76hJ;Vn~*mfY-H)K~kUB zBipp;H%FvW&T0go`v-|4gEtnI3s5uO{eK3j&+++`kGCE#BoU|DSu3JqT8Q(O_{}Qd zv}(405=77xc@Y>m=Icg)CU<~%nsb?wBgC#_*JS_mUMAvg){SZF1F3_!t~OWCq@b#L zH;0+MvdIp}X;#_(Pi)YeI2_PuLX@_SVy06`B0_BRaZC32X}q@s19R=U#`ni3t0^JP zfEpf(zEmM^H(=K1c}YxmT)eYw?2Tf_G2?&Qp2Un0UTZB{jQaODf#-9o*Bu@;d;--? zwS^I(&X>bt)E*%NbL9;!CEr5Jr}$)mJWxohL`7xy?N9zI)#<@xdR})IJDFbE>Ss5J zs2|>ByEk)idy^o|A97OU4*lyK{|7Y3z_75WWVPztTyKf^BQURK4XhxY3nAVA@u=-( zMN(*sN$8jPl6SlETU>I_Bo|I%o`;LMo_g&?C+B$;%Gt+fNa;l-LvnusY&b8ShACnX za^$mp+h47(`5_a?-sG;YC5H@yiytzCe2bg3N`Twb5u9a^0Ay{@yCjpJd7hk^%aVXl z9rVZ8A3?wrF#+{0hc$R4{7TV+3|uJinWjD#@Ida!S?bLhPbl=E#d?T*5_$)1TsZAt zkfCmNiI#gRtXFmZ`SiHk2Sx>b3lnJC2nW4f2}t4aY$OEm%@Qczg8c4>bw~o4oV;Z{ z?*s4q#Ax!;)KFIcs08X%_VwRa)KP2}nG!p#pQrS!T)OGZ_k^N!_JQAY)bgAb za)S2MAnpXz%n{h=>z)TeIc|_CF?~(>yjb%q6*h}S{(o42{wLUA#wVBqbyS_XSChFH zmqq%2V3z-ZrGA2qP)CixuJ1zHUHfr8brX73H|*dIWeSc|K+xgD%cUF77$;C?{NF)2 zEXw|`9L@A~YmS?m0RO%~1eh}#YAH{zJwr4j$|5}9kar2kZmhQsL`*B<1?05Ez zXs{ac%ML|M;;9tEtVa<*g;#7y|9=B}Y=g;8m=5<45nw zswLN0Y<(jhG(KBCh0l1UUt|(%ELIeNj$~pR*?p%UslWJj5H48WB(l54JDaqF&l{}6 zxZ{JQZQc7p`l6$u(rsPlAD>;#Q5F~Ic0w&Z5GFC#P1k6eud1(C*>9$H{mESFqP@S| zO}EkS0j!0p<0dm>-6LB0BJ%>aXMh7;MW378IEYmACmgvkG&&tU6nd>F8W}y~C-o0a z#P)~NAPbHCkF?!oYyEv=|EFOOBEgfCMyl3ZOyWP7|2NwIXD})t zxAwZj4j63DTVEiX;@s|avB$scxbQ`RJoH;yeD;Z>6Arb zjTACCZ-y`eo@O<#7~)Sm^PXemuj&DL&{pjA`cc6+65OVmPH-QpTI39BN zB67H~m;TlY@lvpyFPDU``6)1A*bKD^k^(nVayJsfomBuYR$Y`G!v+Hn93>T=rTeWZ zDoo1?@jZYXuP^C12@Hnj=NN6RpZR#@VJ4W@|7EpP;_mB2`((9KQkPu`XHlylP-)Yq z$7cTB=ptsR#r3<9Pt39n6(;BcCdkBg8O$ymBG?qIk804Biya6^#eiTJV+*yQ5pH1c zwz7~fWNLzkz^kKgBG=Ze@j%bT$1)5MC&gQqwC#mc4{kw*63Z7qfZFV%0{aj~fXWh; zBL`3K2{X)t(@(S1pVyM&v}U~sH%LV8uO59BWLStbT{MAYL1MdT-J%%IL=VRMb{i6E zpf`rD^c$Zu_Z3hHzg)_bVi^~1LBJXbCVT9$tSTvv#j;Hq5qwA5l}PU8N~?kd zDOw33QEMRTRlQtf>wXI_Qfn|Of*}-EX>bSi zC-cB1K)f5t+J8y1JIWGRey@-!J91)SM8_^*!|;?}97TnDS6{=ONkLrlLoqdi58?)b z=MdhB#Z|z7F}RFoeBkPgceDL{FVE#|Ozi7(3I%C=cQqZj{{Kpoaot(s@T(Rn-8!`J ztA*HL|2s{F2e!BX;%#Z3GE}pND^Q-X7kzTKLM?|~o+|rvqO%(hSL@Npv;yas(ZS9; zr1*8&Pa@X@MvLnkRqOQMq4GpVF2rJUy5Z@z@wfb)QWyUE+^ub9FMlq=8_qGQz=dHE z@gf^A&=u60zM{6oBDbZ7s2PNIx8n9EpwKF*&VeU)3Hwe1VKf_wDRLD*pklJ9_=c({ zUiI`@svv%I{KFl#64A|l&WE%qY-#W2qy~|;1i|Smnjvufb3>seHH`xE%7*V&3kI_Y zs?zn{3sWNPXHiO`bqR4QuHY8c_P7?3TAoq!E!ht zES~-$@hcQSjSYxx^+C!+hreG z=2h5K=B9O=^nZ>2f7o-t!pApp;i>z1V!N|A;8#78yLIs4R~xXvDm$SVyQDT9Q>obk zZCb|Bd?yLO5BUMm=s)(a7fir$UwZDmM3e|D0?ZpvtmUjIldNbN5iBu#bAsC1WsVz7&MxDQ?PBj4l_y7jk94}UV(ek6baC-<6QvO zwhOP0E6Hy7O2F+;XUdo~mROrzdMSoMA|%D}RLJlAsE#gk0kILmSWAv-+TYbuC^9K% zds{+D{ck==5_x7HU2ma3xpq7)d2K&jFI6(R_9!}fxF48y5}GeP2*Tb)0<3ZqPWZ=m zGM~0uo>?3=rG1Me0FkmqY47nJBBg@Lo=W5#SIs@}KBtm-y}~B!DW1*G4lPHqFm6)p zm-076iErV{hcd!MKIczRL!v*RHp3V@Jpv%W6++KJ>0gwgf!B%ts<uD0Tz&mBp(7IKfsDXW-;14atLAkz2y@pw zOd%hJze-|cAIEJhz)ly3&bse2>k?{i6!_ggRJ1Yk++^^7t5&F2U~~ceA>fgI5A!Rs zaTzxi!A+%1p}P@XT+E0|zX2}FvAm4}DbX@#{> z?2=bV0yKta5gB^tyr$QayrS0{i&*MWI}@WXg2i)XWx?8 zVa2(N;2z(LSt5g~huYc$ct$wpz1F2%-4(rR5k-RPhg_2L!=6>DoS^P%FsAASM(wKN z2u>NF7W3|Pa8ZHwIZV}Es9gBCJE^NLM?n_#%bPFd-;fYw+Y>o`{ujSAPXR zJ*m_-Vf4!v08_JHbs+s&^SsSA`)DUN0VeaCvKb3IpPve2i_Us7G^$Bb%ld`>;k?A} z_3Y-GFwJnXkRktN2FTAhw5ITXhjCC_ybHi``#Nybl?(E&RRWxlOpddNjE}S97WC~PG@a}^vN4Id&D#tF9XFjjuRID|IgJ$2n%SbVm(4iW6#XJ4%Gh{U9pFDbx=s zCJ4<(-G|glZA6w7I&f*}(p{h?n2`E|hGPi;H4DK|a7Ee78gQIfL=KDvz#WMr?R8o< z=X{#qDU_XbW~_!lcBkmYraCBz(#4mhh|1DtoT99%y_V6Is4+QyhAnz^Ec*{yQI2by zlZOM~M89`LK(k)q@Nc)27b>LJtU|QZOE;rRHqV1{c!3Vk`kNXiX9s-> zo`0RvAi_wB0uxM^6tkb31NF=M&<7PrKWIxF5U{&ex8@yqJRlgz=G4UdY3%cYSznfR z0B@RQtk}2MFuSfk>bO>Q^WAV3Oho|emVNrM0Xiwam77Tq_`c_0&Qtp*c00X2hDbC@ zQ}y>TwOu&npr-F@bl%|H77C*JMW!+HjiA&7+nG@nHA2d!OY3-=9*Zz?J_9vSp%C9j zGpdV*n5Ar?<8GaU8aeP zn`$9{Ou)q`@=#vtRz&9&ni8ldBPp=^OJyWT!pX4&n4QFTHcJ-`x5>X(|}8LM#Ic@uDprZp@2ccq+x%Xh45yVbRcWa#3F z2gCPlJk>sERl)`b8I@JU`H+;ovd-*~2&~;h<$)+2e1(Yo=tnz0@<@JoB!kn*3DL5k zsYU@rCk;yoUX|==XqCL&4AW9}n_t?rR`eC82+mYuds8K`J<0@?HldkW%^!X?G%kzc@iZ zcT<_wVNJ*rJs#|7MOG`4wk!?WZ8gK9s(F!fdnX3_ABjWV{~q}myL+uf;$v6 zwWF%Oo%(cP^(0-0nAne_j+V7wMc^jo>!Sllrw$HTa^w6{QzhRzw37doG`|T9uVqmB zzpMtVMxBe;Rv(L;1B5K7j-W7)*cX}cfK@X?N>K`yZH+#kn_g{C$U6)3QOpuWjVKVD zFJ-P6Lalm{!E2^I;b~D~OtiPEP9CA$A>J1m(Vn9Q_K7Jw-8Li_!+dUzWc7&_Ly76( zFEKMN&qaycCiXZgVWoc)*hhYNDia{1GH212`f=^ERSnLZ1JtBg&tdTIGQYorBI0)? zoj{d3^ec5bHkeYIjIVJgoa))5cNN2=ep+!N5KZI(P_{g9@YYD?3P8mpix3cZGD;8ODM%o{G$&xwt2YpC^F@oyoHW9kWDiau@?{E^2Ywr` z+%GS4+_mWJK4}^Jcbqnx2;rpTxhCo7uy>&|*2=v|VQT0*X2ASLtkG}leyz~8MIOh? z)2W|<+BZ(QHERHSDji+H&C60_cjFDlX6OyJF==`#4s0)AbYR<|>{feZnXeVd+th@_ zOg%s}$UG>sQv_qx7~;4Q^_^WA6WLU6@@6Xp2$Wb>8qiQAj-DUh_F%09So)odrAD?m zL$f4Hj|Z0~t!i~av(0l{k6GICjc;R)HO#k_G^W_1Lz1M9a^X7b`FT?1+Wd8c;m=I3 z;F0<53)n3*qrM`g82`FW9WIX*zZo`#CQ;|x(ETEdHuA1ZVz5tNq#bS!RCH_vatR%R{!Q$6^5@PGm&Y41;mrAKLa zfeH*N5TtU8+`qUq*}US8l|%bU52Pn7dy$giO<Q@l~s8$4s_-_0|6@49%>mBFXCBvwTPrG#cKJHGdyr@Gnv5r z%1ah&#OQNMv4u0Qt7JChji8giCL~>`TmlK;3uLXZ-a#IoCkbT>$S^s>O{S8qVo&=p zdmY#i3=N2a9Tzw*Qgq9D2Xq|3yaOE8YozM${2<+c99{AhSvV^;_&UXrVO$QB~ngzcgt{Nyn{N0Tv$t(dg5zFL`|pCcQLPe z^g1QUst~r!PBE5(CAkW)5dI=rQUWQdh-?-%#S0|qzskD{gKWuqO^*8zp-nhv9X6Mr zTKuCb8y`-SphmaFFj@7EsnHx&F?ggO-SxY(!kr71_9A@JVhziosd>|;sa(F4lJ#PH ze0lSsi~%JGcT{663rrF26OEjaD(u_GIbWzA&bSurzLH?CIX6X=S~F8A=>R`O!@oQ{ z=>%Cy|5@NEy%Uw?iaW9BLng&-mwFfc122-AAM-UTEMld&r?AqhRq;T;vrKdg&zz4% zDMWxRRPpg0wfA!;@t;@4gM3w=a_>Pqc zoB3UbM^M#~UG_L#*@Xdx#RDMQvN~`|ky3nQNn~YuN6Vr6jx@-27BRDXU?Opyq~7(T zgvWXA=^E|x!_DaaNSraW&t~Y#FZKB`d}bW`y^f}&aE{_XQ;OnOxSYI+WQ*VtLqDpX z>Bw4w2i#Jl1szNr(Rbu0cbbxV=RNXHSEt*gQx5qe&e zgLS=&UpwTZJtnmM8Qz~!FwBPActcS&jsh$LF{|Aa2QY*ym7F%1a1 z6i#fe1y4*s+}A#oBl4G?5w<+8A0uC%pGMK`iS96+7StAKQF?~t|H8t=-|3g)iiuCz zo6=@{}o>-0y+lPw+)mZ`}(;c2^CWm&bhg+4m zzPt>?^Wl|f^Vg|wgpc&d(3L!ucPLrA*Q^n43wGZ3nLVS44Jw(_@IpzV{_Y88ticlW zixMjgkwO+#hR%Mn^{5Zw;g{!9SB{m9Q?xiZ2{c1a+fN&W!dR%^Vy7CJ1*#6=-4X-O zc5e`3t?Ry1XmbKor|4eGWPbm6qlVYJ|Ij{R@DENB@+piz7&_98+3-m8eE2$TCE!LLeb?6&_*DR{=y?-n|DkQcdUj^UZh6g-tD$HO%Ot?Gc}>B zs`29{!PzZgDA4wt?EDuW-GHmjS%E@LEf0s*MghKUhXgl(sr$OgT58-B;;G1iMJ)ej zJF(-;Ie-tbj<@$t>_H-oC$unl#2?`cbNMJf@V5C>f3_q)pAqYJV9gDVT(M_X7>z$fGspe=Tb zodrT&#Of9R-aaR$bK{Ui+o0|KO0WV8?KG5brz-CZybf%+{=01wZfX>JK0-zAfyxMq z9r>z`P zCrQf*_{GpX1NQ4L*-()>UDQgOq7}LevOG7GzbkJ;xM6KU30It)P5%2P)?jV}KN#qK zc_uIuxvp!)@33*sXOd1R#ip2aue|}Dz}vE21h+)=R99b!0fZ-WcqpLhC2^XrG&uG7 zLTP3LUlmiku4DBN$c{!GtI^}sOu4SF^Nl)@K7xO%w#g~p4%c788?9AG)c^Zy>=E#N zSZ$OnJz@`>?Q*=lkTPX z{t^erq9{TNsgQRq?^9cp_AT}(L#UjTrv-aJ`o|W%gDMMR< z*wwmGXXCp;jQ%u(Hjmqm2-*ID(7qiD^1wZF>=xGogQyKyL9V=_jlcU;2BOGSkIoc+ zNtQCn?L&Ii5*M#^ZbYsmT*nQf`w%j%Ir2*cv$Jafw<=T}LY^Ldy`X5jI3{`! zhO&N4w&l;sdU4mi%%D1By>dzfj-3`@e^Poa*1O|imV5sfLyN@=AmrH=INndNWUi$Tf?<*Vn;Te+yE zxq>I9$?=E;F)zJnMSCh-*5(`Gnf*tN3wQ^GrA0at;rfhDa^x|r2+tWnc(aAB z#MA>kMZv3?CO>X3bjJxta zv~T1_k?qleh8NwU=W9Ib5b{?HPem{N)ZNk9Oc@c_e+ifz_jKdvxg&b~AMi=%MmvV# z$O}w&KgG^p!=aFKZXgBt<??GnWsNOqCA?n>%z#E(5gZv`2g#wV#)qLL|#ip?2vHV)P#r)Tlp5)El_ z0M%Y`rgd_nubST1eVF3Vkjx#gz2~btRF8E z;JE5){=m6sD7pQ^nTT1f{7RSUCQ5Hqv9s)WC2DWkEOq)^M&(1?_Q)ngZ!G9^!6BkiT9zl1QqU-6kGcsv3() zzYv9fXmUeoZ`(JPk`YVJ>**Kx9b*^lC=-I_vL?aWXCQ}*FV3EUSZc^ETC-+R=60y#nRJb`oT&PWL8tZeT2q z@J~82LEAil;Z95hvi!e>Zwn{j>dv&Vn&cX;BY%!E6IHyMPgLK&Qjf)Sny@UL9*v3b z;xSbc&Nw$aG^1EGU*~lgw4IBK-cM~zBkzu|)^@l3VEA|6juF}r&|rGhW@=Zr8>V{I zeONI$=-V_diWrASy{jvfRfqYr>jnFd`7dfPL_j4EFyuPti8Y#fglS*QcUUfkJdIu> z{j;^+PpvG3OD9gJ3nho}lLJRCz=(I0x30##yL3dlY;dqYnpe6(K~v{xg2$8yx@Hd(ki%RGY`0v=0u)>Fde>+Qo=Eo3eLn8L_yKbv{-o1~M z#OiVsGsC4Z1ES|(rEMR!I2-K)iRsuL&B`7&K$&kEK1GQI055vo|gZLos6hsik}m@mIrYjS0< zdAVhuRf~0tjd4}G(qWU9c(tt=N~fpY)c<&fdNLD=YxNUJRqlkI$uK9cS<9+D{+1yT<h(2zX{ zhn3cKT1~g~heGOok~?bVM&HU)FU_1-ry5@Q5A)+M+77Lpda!!G``oR1pgAx7g5}ZmEY6P`fy$?C|C^uRQ<#E z+dPKV?=^#ecYHm*9AycC#qRw|_ zFBx-FuwUjUOCt<#1^B4MOlm^F1-D+E=wjz%KaIc>ABp3I#l_X7yBrRpcs8s{{q!bt zR>}gpG+%`iFLSnTnmpAiWv7AFY%9GQ^03-Z@5bjVQz}svX89@S?LLot0l~BiWf}!R zcZd0HhGi3cIZ{m2EKlpGwimGzBk@Mwe)|0ge|9;#6j!b`_H527-09=j>S|dhs0u)DC8a=!HAI9XVv#<0jpv#>O7oa<+GHmwpoRx$6p6k z$$<+9wk`Fn^gJ*r?l>nrY2|&mZ1r_BQ9W1etvtj~Nzn9VFd&eBTcuG2(zZANXl#@(hjhAtye#~1mcJRYtA{e?z z(0c3`sBy8yw8kI6nnXwK)K@Pu-Le3Tv=?YFA3KQI@&TMh5yRf({|+ zxS8LPp2JtQA|QkjSgzdjzB6FAfW8Jts42+{d%<#2?IWBxg}3y6fh7`-OV*@i+;CmT zvFRrn+ztno$lH!=Z8h!Y{_&@RjRVifp#>{{q3$I9bDiqDl(?IaKgL@c(hpv1gdldV z{lia+kW0N9_`1%w^j3(S5!pEG>!I~s)Z?0}(~A@bk*mwBFSKpOdN2aF%|?R$1QQv$rS;(p5c29uJ|7)%=Y1hs|3mxOWFkE0 zf_$q!vch;{5ey|4)8heS$(vQkq&RzWhL;^O{IYRp5;vBgu!MUcJGf)TFyfX3)pT(< zru{x)D!}+it;NHHI;$C6cyN#4SML?cw{QaUNBdBs1#kTc65L7C9&)G2)g5t8D{_PI zBi||+py_Ft!yTex6>w9zt#;vQVc&pcPRD6*RZ}EUC@x)~I0q|>c zfQ9K;TV;7gKFn`DnsPiADHyZHNZScKwkW?RjJ!l{e{`E(MC5sq4$Tg<>Op2@dr!8S z^&+#fg9}T)8x&o1yTG!Pv~eo^8!78_0HtNU4ZI!K+3f86sSU%Neb^pcP?vEC`wWv- zr7b9o+++R9;_vII)#zx9#gC8IDW%D4z&D3)tL`q_ugFY*Njz9H;dAfvc-)?;-(>2- zC~B9|p*TlDMGv;tpqXKx8;3#Tm213?O;s>81>i;CDU zS+&u=O<-5eEaGtsQ#jCz$%}}Os@C?9I}tFhlrbmL zjap$52$ACMBF+H^Lly%)rNm$MWVFl)dMc~zoBA9Lv{D4z#lqdc(F(4&>XZ$(tMPuc zX{h=`Byjhq7+H3n?r>7kUP1(&0u&P7-xphQNgIE~4tEedPic!IMgAs#ya1+gxn78(d^g(!3AAUvsoxT%L`|o&I)tOEDO{(Kp!0v841dbmy-gA}Q+mm1@r0 z;sf(%b66Riu-d@izgM;#?ue%G27B62!*C;1cs!yer<#B}8--N&^jxiEDA3)(;YU$F zx0Qto#r`$COtBhMmzn%#dY_Ffnxv`X=x_^bsLl5yHUCy8{-;u;fMPKz^kb*YR^9wi zv6Zt21URdUJ0LaA4W8=j&cJ?jfe{=Oh?_=ni$TJ9*&6u7vPED-dU46#s5W&_MVBY& zMK<7XB2Zeqo=R>MO0lZ83&`!Ux2G*9(%m{Egk-7s$9T_m`gZAtuO$AajaH_W7r^Ys z{b^yT8hIhuU9B0>RnRItLHPI^wXjs)p{RB}LL(!e-eoAXPiPG`tQu|lU{ zSyQP#hl#!8US|1CYR^u_zL-Y=a|&02{m}q>;vSu;eKw8`0qucmF!0`a-0qB{JC=lH zr<&lu+PsIgRE+T*0eTUOiqqx$UA9Y@@)p%{l~2eu@7*%`xy>B}2U(my7|Z#1WR=P; ziPa+n^m?A8{@*!)b2Kcd&4Oo$AiN}b2fK*~WrO{&T+$zi?jVqo^V?Y0RLG+vgD)v< zHM{R&Uy-nI`RBuqCg8hz-MR&3OK2OEXDiUN8r*fN@uM$TQrPonc=ls=_P@>rKvXPt z)!WI7+CFmT`!`=t`4|oHnHzs`2#3^-_dpu=gFp}eoCnG5fxGdJ7vJFD0!rza{7;$a zX~J*H!Rn?)w|vJ#6U?~C9{5cxTrpGnqD%Q?VaK>nk-_|X2Efd4je(H0b&lN-Woa#o zhH{*I0LGH8g7rgE5xGBllLXZ35Ehs8!x$EnIj;n^p^M}X)mH*&@aF~EbGKA)cJz?$ z+uhX8Z+^m#yb`!I;86J=nxMzyVva9MLxsYdnBgyb)ItA9qQ2+v6C6Mi7++M)qEX_# zN@Z`vy^&tyBLhB@3l?zO_%V6&*nh&pHOr|TYIs1Zxj+;|4Av~JWRUN`(E5YvUk5uq zLR=U`hA=NrvOhD(Yu8h;xF?w`L)R}?CF`2lE%(Ml*ss^%$RZ3rae88|7EakYK5$V2 zoYSb}*7Fn6GXl8FtshfcElR;noHu6(F{UKpO{EeJF#te0ARzth2$E5aAfGQ%?Y}Eu zUy&@+_;4&Nm58&t1Xe$_q?Px+-h&hF(LSZ11brXM`2Ns}JJ%F*;moCJWw}g#;*P0j zdMY=Xn{LY3p{&28{xDD?XnljF!;J-{??%@4JC}a_BC%ybDE(b9nT3&)ABsS&@vcbvERCze{HOXen86)K> zxdfY%l5I6(IDg3_hTw1UhA2$6j${Co-WiVOLJ@6_8+jK95o62_9$AqSQ%iPSrzM4g zG0MN4J#LnE0WpBbUtscy+#g!TJ5KRMgfc<(2@^kWU2!?ALFCh|I>r0EIpZ~{@uC6p zriPH<2Ql>NNu}mCL}Yg@92d1bm`RX>`hPgO%BZ%QEeaHOx8lX!rMMLh z?k~p5SGH)PEfnP$EVA=6@IiVGoS_mvYE zy<1Ors5>L(4<{~I+Z$X?B^Ct5X^Ziw8$b7mA|wvgTmn~((K)q3h=4)9bVD`F3cj?s zUw7hc3UA_#H$&}iG_tDxr{$P=OF^zmZ%5W7QyJMI$#u60wURk58rZ||rT7fL)f%xE zzJ0pb=9&|=u2jW4Akgn~x4kEu2s|{f&QV`z@lCE=>6Q2IU$h|ooJiCg+J(Jw#voTH zm}s6Wj+@-C2hV+Xf~!w3KaWPuvlL01!`FKHug4m1%iq6x_a)P9a{i<(1JeapoRVVy zRQld^I}I;y$xjb2E3&*X{Lto^(QL|a$>=3szwlMfApd}D@JTjjc&fp&=}1!qsC(Q{ zDH|CIFL^~fi_hy>d}fYkN{20S5WsLvU7ppv8Y$G!e=E|wtgSIb`BTCBB%Rsev+c|w zfuy~jM*jIrFrr@x-$9U%zwfqys+;e&oXx%*T|rSwYi@Tx9;GPM&YEBVGcpOR%C98~ zG4tI5aN(PU2wSb`;Sp0grSf4m&^@7sF5yu!B!oYym?CwajI3DXbQDNx06mWI$nk`< z=kN6cstuI8vC=H5wVI8S-#1WQ$fw@7@BpPB7=PHeoIVY|Po3}J>8bsv`Yw&91bK#= zNZu>a9?AghbgFI7P=itT=~ZWKm@DJ!Gbt+N{84}R&v?_fl4WfN>`zx!z=yPo3U1go znF}zb+K0+_GG96j>dhafpAa6Vo@A=TmjB*d2wW~}w~&TJpV5EHMZ>?KvjHX25BLX! zuYVZbdK&LhAoA!c$IpG2b}fBTQq%&N=LAOcUK;bYHjx%=>A7bdIou_Y2fFOMGqVoj zX@&vOo?71XmQ(J|Nyfz~f#?PtmGFB{jsP#Cn`0TaGh8y{88Wy*w%}o6%}=zF{_Ky0 z?0KDHxTb4=xjx1Ibiw;%&mLFc!4lWc^E+uy94g2mPM`Iag@tJ!8`?56n@6PPQfEwz zHVG<$JI*$SF8OKuwcdI9G>PXcc4||58r1t2BCLzFog}-BNWLY50wARd%(?{rh-!<} zAwm8WDJ_iik<#^xQ>!ZxTTUG=84Rv86=07rC`}mY#9HfilWe@ZJuB>ng0h1xOD|SH z%$UcmndY^Z)UP=N3ux%*V&q;VWjR`C9q@qzy?q4t;87t|dja?)<%jQ`p}W=X(4P3? zwF9y7dWtY>xz&yLH?T;tK4R3L%|0pBw3Hj#Z?x6d9*fHAP}Ohvj3feX}=tzJ062S~Cve}(=s%j5NtK%`%; z7ophg-;<(YsqP{e5jh~N3PX>TevLKY0{Y9**Ch7W^4smV+J{0Aw;BweZOWr{y3P&? zXCk=(lOVk=tA_aFa~DO*5}aF2N0%#%&4qYVU_p$P!4K-z<(QX#Nfeq@Nb5Hm&3WMrxP8^qT)a`kL@q+w0rdm8WYt?C|A^-%FUfrzTeqYCywm3_k^+2 zus&89&Vip%l6`y3UxP~cQwKN#x&xPS4c(^^Ma%697n$B9R-&QS`Tp%sBtWgHt{*8e zr_RPp+{n+2=%WweAN!t1kh}ZSJS0hp{7O`cY!9N!nX{y{KuM-8q5d@cv)(|~X<~%>57@tN$OMc2gBIvbpu6g9{HYCUHqeVMGuhg)=T(`0 z^T&ScMnG+(3-Y=23)`bJxlSJLzLsFwj8U=iEBz+_5$>AnE%{@CFz3I~!ed++|MUyb z{9qaNrXPPJ@oXPTyR_rM)~F2vbVBigI7|;Hw~xcMv*gnPT^L!rMvecz|8|Xhi80=0 za&-bm<3wy89^km-94dq?lsF^7dRKIRW{5EUtcMkU&O2<*zO(sjuT1_lir><9Q)V*+ zDok48HU@xPJ>m)N2%SZ=c6;~sR!9xcdND-NJD<@bp=xB#iF#^n!5w|Q!zFtY&5V2+ z&HGy1tO-5~d2Dr&{q9;vq+}gc_xR-J?pF+)qW?#g1jS6xZt+Xqs#oiaxUuFleG@*POn}nyc~4ZL|J&A{DX~F=oNm8txKGW+xSF0 z85!BGX(C3s5OzK4i4|zaMt(0bUT|w<2bP9fisl9Rc4xH!-?eW<{0TyTVQz2k8c+gv zW3R<_>kdw^5y)iM)xP`BKI!6gXC&6TGRR#V8C3G%wTVRuu+wOsX1CGPdlsJHdGEY0 z#fk;YRXT!@& zqx^pv8(Caz{7K2jyhp9a(3(zAcLM`4wD9n4wJw$;$?PR1 zjfIoi0U)=9*JJtjQ^Q97Mzm;(p^98~`Mrdh_=Y@5y81dQcE+O1cG&6d;Usw72m?I>o5dqFTkcWepKC?_1%3|A=6;y~ zy_$(;wgr5X%q94DvO?dVimEBP>#I0)2aPtBC5(_ndooH%F5g`M)!I^$H{J{q#v;Cy zFI5V&x4N`V+g@p*Bsi*FdBzX5-_x@hZCPZAT1SOT@ZN~Ucxh|-Dtb`|?D=F&+d#i> zurnaMI~NTmd*_N8wrNWnO>f`VqwT8Rl39;Vuaws1|I^(@{tr4^U5oz`6mSo?hbAz>owfcEyg>#9VY__k2S?dIh(%%i%ds2a&QRqt)L zCr?6Z<#ilJRZtUV@Ci)FCB>66i5n@8t*PEX*9yK%r4Km$UU+x+cCLn+0#72F-lUB#iI z5Vks&Nu3v~C0wNU8-nWv(98Ar&(23j^lW|y+o$T5V%y->0+$stuosH1`qHc3e#DQ@ zqt}rr?dAWDL)S0Ifs9Y-N?|Gl_Z_Tt=+msL#QT$eHw{w+(z}1ijcy6tbRL^IGH#H_ zKY?Bl1zGyt8=4=78(wL5#GlUH(N?($-Db&rdn*AVdYW07x;cp*tJz^olla{H?F}CM z;$B$4%DT{cuH>Rua4lQPM@q##yRIZsiErlW(5h~($AcY+fn};6&25sV-2FY@Z=waB z?Xq82-X7>M`mnZFHS_L&OeydYUFtfYgULA^3)wSi3IumVbcK_&Cq(~Ve>&XHJw*tX zJXvw3q*%_hU}$-R44cNL8=5cYS?`hq$q!9S5%RK2b~^J<1@aUI*hJd$EEivn#!w;} zK=fq6Fwo-HKse#Ez3FU(nuhL5tgnI%J}2M(A!sJ#k|d1)fLgOFz1Tel*1!R}$EI%t zhdLV`-2&(3y}bk3m}I-{UTvcIdMVYyVIfix0+4wwE$|`nPgx~5{ ztYH`ql(_rhXa59O52F&ywpUvVTF#->dO2T@V({t-X4C7Nw=Kcx2D2RY&rp6SO- zb%f)IPez7TwLg?aT1!che7?J+UcYM4)@vvLEpRp`Yd5y`uWWO^Y%R>M1~f#RnsXGT zY&l9iRFBhlZn+V>!+aR&4C?z)^-ykNi&8y`t$U8`V!}ZW71O07{VTsmW>P&L_tS4- z-(I9ON}5fQYsZ2!hrU|-XE~s69KIEV*7{X*Z)mo~J(NhqAPOrV4kf-h416t9)@14j zwASzLWml9laC2nR@fBeIi{Z(EB+(RR{q6n=%6L{48OP5QrwX)~n+J&5I3{R}r+mGG zA7}y`?OCTRg&^X8$=~p#rBzaLo5lTelsw(vc|$cMXKFiod4Y84KSZ`WjG*9(3GN>z z0CSw*d=yehij8tErsOSlO44 zAQS|!^tXR%`r(%;zxftm&otHs)Hd$2F?F41;a$Z2ge8nF0KX8G{`8oOY6ywyNHuirlVX#q-Rd`<4`O@RwT@3Ud@tXp2;X9#>2) zLbdPe5uwGO2^i1lkuFeoRCzA%E4Ku9YzSjpF!Xc)P^pLe8M)5MstvQ9r9WJEaTHAOiQw73vgBj!|}-UQ+jYJ z`Fy6&%Ql`!mVh=J#nA~-Du=fGQQwJQeC4r)1^vulWSibn_NZiFA|CFP4-<4;gA}4V zLayqypuPN2^zJu`gYp*^EsRGKh9NkqSgjHUIp-`rrZz6CzABw*vz-rlWWzb!&4N~S z9;>D$-hWBjHZfIju-Au(yuLd`b%cKw9|!skCpR{}5jFGaqa2nK0HSN`iZd9NSQ_iw z-K>JkXUm!&CU}Z~v--)d>`h-9e>5$97adT!vjdm|6Uy@Dk~T5QOdAJq4C{j1CT3I; z8$~=1o|27ozV}?w^-NsO>Z4fNUOtXgp|LegX6ds20LJsQXr4;z=S9P|Bfq_9V9;ApFW}sS^ zisvO=u4KgYUtpIh>Rpzrd8N z81u724C*t}!#7{mLmC~|e|`!FW7#oJR5C#QZ0FF*%Phn*3rZetlG6jKoF0i95AuoM zU5h$(-1ciuh2GjVIyq?jNcHOX?!4-FkmqVC(unb2ikvI=9I1q|jlzX- zww%FfKWTcF)SK`=Fpt@v&2CkH=1d07mWO^#zPY{Z8bLxi6RzIAn#FUT4WWq4(9r=J zJu& z3&6pyjU^94o4=I|lv>Bjkqu#>^B=$-U!5VzT5Z?71Lk$cPF#bvh0>osHmOX25(YDd}yIfgCQy+WEP4;1*#jf&Ir9fQqD-Nsu%C^sYTd{|qfMM@>Uj_yFVU8thf zZwEj-Lrv0j&g~ybLv2l1zE!>)tn?2W+{m!OJlo9)`*3C-KP$_48u-nV_{OPY=hiL| zR%>s%Cd=#2O0Qd+e>;*{gXH3{O1zxlVMK4lwQj`Y=OU?g7QSLZGd7G-t)%peD3gcX zO54EB3pZqSQIB-2@v(Kj!D^*zgqsa(=n zRHcOMA5Rt<_r&`1E8u8^q14hij9ibt=Ul66$7Fj;a_pcpBgwlgDzD1JJ)dBdu+AvA z*IRWxvF+4SYXnPZwU7SJ0rG@i$FOs53eZRz0Xz1DdG!*_`Y!VWoLuY=fo_%H@mE!SF(U z7E&Jy;~mcfKho83%Pyx%z6rmC2J$m(<1*I$;5!@lTr3g0Y^D#)53~c7t5QI|D{cHt ztw$HctbtXA{0`k^Rmq>GWdC^0O9M%R99KlYUrNX7CR}>PItmcU{K#5bD`v2;uodSA z4mK8~8aym2v?=j`D{vD2vYgF?k+UM9`P|*Jqe;GnNcjwLS@zt*42~&ccEBaQAw#GAEcu)E=tdB{ED!4*0p6mEne)YwTLxhl1rjr^)VGxD-~H$ zWCRUR<^(-y!qz%P@crQaRrNk`Z`l3=2)JZCt55VBw2F-lk3qIP$gbi~m$_`rfIQE! z@TX=RsIHORQ}tQQVDH2yfQ@aR-DDO>_#KtoT!a$vSsy} zcg+t`!tnQM60R^WoK@GHu>(wHuKIenWAL_XNPfi3pbO!jjtYJmQXJL7lk!JkW)1lY zVs5|%Vwb>)NlVMiD)b+s2os^NdQpE*J}JLccyGLA%Q93xD<#mNxStE-nAUSu|B}Uo z>^QB1?!S;_E-2ANmo@eE&7F z?v4y5rC03|Lo3+U=hPMNR&U87U-haG;WQYwW0vUSQfw-vru#Z9fTb|ZFJIu!`YazK zZ!I4eRW`=W%+AKR_XVgDo7_N)4d*N@B|`NaXL3i0{J@lh(v5w8wOWq)+!olHiG4%) z+6Gv35Jp~Dz4(@Cqc|mZ6l>e|n(&JLvS(mOQ74pKa10=={1qd^ZOrQK`*FeOFU92rO_r&vV;VRQGPJGsE7-60ZPNxsWd4b>@vef#DkgVD+yf`cka4$ZBmY3TOY^-3 z6?lpVy&4`dN)G_>6IsfRb*#beRG5fZY)3%d^ZYJr+GHJt8(W#DtNR^#FXU}l1wUQe z30K#!qJzXlBXgVFJSAFC&XF_6z=Y}~bMMjpE%ZQZsGNQJHiPAqCFtv+>5#H3in@+6 z+i2*b@@t{|kzm}W@(`#%5 zbEFVjO(vDK%-$M z9;srr2EcJ7nr~7OrNYQY3EsPeT}r{9ep>Fh^l?(@iBgagZE-oYUO8bFxgDP#vHc23 zf_KkZvdDqO+0LLMuA?9Gk4jQc0X76Pa0!-U_EGho8$c)-!+>EGneAVh?UgS`I{k8K zSi)J|)hc0u{BTh^9Wj3}5SuVp%Z(^>AS`V6hq~U;&A4=;;+u=!8Wz2S9H`IfrMz3w zIY=-vnaam=YMqpCcTXwGWTY7Besk0=w2Kc~4Kv=Bi7PbC;C0(iCO#M{JOK_0kBNzPBhcl>QdaXX(~ekNA|no1FKwaqV9{NU zrs}FRbvxBM5vq6R1_o1sx925=xENsdwuD+VX{;`IV-Hm-L7;Yrs+*UIJQK3mQ!fXy z30K#Tg}Sx1P1*~RhyV@Xeg>bBD>J&}O?EQ@E9_c~kE;juWrVl7HtVlO*Xs32N|4hQ z)~DG}@2nksJipo+J-(I`|KX~us2&zF zec!Y&JkX1k9V`~`fRsHy)%yw~#8Rsyj+J%DFp3pMW>Re!7mL7(Lt`wx(GaQH&qCHF zP(>ar+Kc{g=jFB)S67#^re6?$>}n`q{JTG}8I>!_X#lloO64X37{>`Cw>QMl@=%gD z0Zl4Sa2r-~_0wza9@V&*QugT0&%AOox|5};&bIL|kgEy!RdCwi7Fqs;QD|!br)5!< zjo1&#;L1%AW1B^+&4uT>Sv4@6N+0*l=|DtP_t{pEn1TUWU(Q$&O@$e4=E4?s?#+=~ zH+Rx$2yHQvggQPepAA0N34j=zKn$@iG8Q6=`Z#=8%3Ns#CR0A1&~bjEQj++cok1Bd zyLVQrG|mELu45)bjv^WvVFkF&2T zyow3*I~wdNu)*{{2AaJbK?1tb_+QU9}m%0{%Ds1 z*@3<6A6MV34#Yc5rig!6s)lq}?&)=5@R0=k0|i5F_la4Xa{txj3zdFx$Z{(*tQT9c zZy)Da;GWzj@9-hZEU5tuU<9b@gfvWaW{+#!%^a(t-LP%s{rt=CbF?_bMeYH`=7D(aJ#u2ur{ z(ehJ{n4N)ID+faW;MCNntqq5Um@_~dTHyY*G%$Zw?@y->Vpwe9Wch!vm1K8v5&f{7 zFW%Bo$9j#?j%FsOUdGn=Wh8i|s2R(T3W7p64cZ|Bf*stSU?M@RNg1MEC0=5vW`v59 znEv1?ZTB0&M8*c|5e6g_*WbSKK&sTQF#RXATm6;H*5nMYn8nMXl`ZX#$tIOKvoX{~%Wm+G;fnvGqp(s6EXgv7HToH4j@u{mni6->Ntz{63QI}gjt%HMUcr4` zh|mcb=*+K?cUj%eSf~U}aUG^hwa)S8HmJ=WRHvEG!JmOjgBMe{9WLp{90`82>`;BU z(MbP9pXmPVQ_Ao7m&k%PWOa>;w_v^*?@z;7G^IIT|2?q>a>Ie>YyKQ+S^v3S63g7( zU{l^ToC#@2q1^4%WNtH|J0LoC6m2;zpsmUk2K%iKw=!cTgy98<7%Y&tnVn@4CpM+0 zhv4VAwD~uMWO9-i#Nfyx#!ly&Sgh$Pn_+3@d~2hjVQ<)~z+cMkjWyYOxE9W}V(! zDRd{Dc)};MwSbzUy5CL&y;9Nx&|qeHb_Is?FraF6uq=RB+NuNIZ22?$Zfe-!TN0&1 zK$6BCgN{eG#v;5!%&X3rA<|!S|B~Kkc*CQED(pcQQK$71&IK3bEE@8({ZOpX#7LD4Ei4JUfkDGR3lj4p>O;Mau#REF-lZ53FkFtt8Qx62K-)KfaIs0*|cS!^MPYWYqPSxUW6&k7Q-35`0c%D-ANmTX`;*^-OD8GvpZ;p3>tXG*V)IC4 zz6Jlz{S+0!p22%^PRq=v>ulV-a~;7YP9`rBYZ_|O?-beK?cY;V&! zVqptC8~zgeN1FBMC*JZzmMr`7YSt~bV!+K({=uVy_FMT2%zgY{k}ctUI-jf16QHUJ zCU}(L_#+)KK*yB>B=m&VRe~8+U%BVFM6hpH)?X@M0IS zw3K<+)Q^Apu)o%R=bx2?3##u-{*PHOLa=Gpp`rQe@VTGhBKU794a_>T=@}KTYd`LU zfm)(DlmRtTm9hB4oH;Uk8BjG5oUjktSG2xT`NW8u&}JVYi~#M0f|--j5QW*($lQK# zzOE1Of1othk+){b)5*HS#>C|P3s)>6fm{&paHK}QQ*P&}f5MgZo zTzL!r#8TJl+C#qi)@I$Yj5IHx2NBpVE}ct=T-JAnkT@?7oi<+y9WF6_40xTT#7{&f z=~Dr&zN94FM|;B7IT~D@RB*orr7JfR0Z4Dq=O_M1UkLo8`+{Q{+sw`g-cN z27J$*x16ApK=7h3gnO*o)karL*8!cnqRp&s4V@Ap+&U-WcN~}eMkR#I52isr zo9kE9QcL$ogX&hD6b@p7kqBIHz6D;o|FWTZM1-WN{>=vi&hP03g*gUNIa7nDHDwp+ zVkK;C{v_(0{jN8YgLq&H$2)=@)&D&4tM(Yi?3ElBV($PlF(KMOR)0!7#19S(;#r~& zr93W1F2u>elGn$GtXpjQ4O=8D96mm^>UkXY9dYppcaGjCYi*(}`>hPBWNE?jcN+S% zGjd7Aq+Y9^rXf!V8&@{~DJpm98DjOR``KB|^vK(+d%Co~2f2>#76+k`t<9|*2YpH@ zK3rr#v{^a9-?vyeG(@e-tbX9SSn~xrao>%et;9e1*w~kJF7{mT2rMz|PtUoITuE`L z9V<!@rUZX zUrS|It8j%D8LK5ykwfPe;vU!MYcjuT_Wu7iy5_W2G`4Q>ph8Ul;WG7v5EVlo+BaHx zvy?YP4zrz?%Ri}D4=wf@De9a}-yu1K=$2J@vfI(f&FH0ZQU=WGH%III11`n+Qhv;tPLu}hL$ zNki|Mp))-@p%9`8l8EKfgJSXqkC=+d5hPiFbc$&xy;7~Whmz55lBCYVLW>Ss;(j4@ z0K0tdE^Ogt>(ePggr5n^nc%m*&!f<7aaDB!^{W!zMD=>{I~-S?*v{EU9Qlp^%8I@{KFp-+jfbAZD>d!P=R$wzvP*zKWwo z*FTK<;SF{^>ic&`x)C0<3=8?Rog#W%ZI#C&IL zqW9&+tD#VQo$PkPA8`I+g+H;)K7L7jM}$bJ?Z~FaD@wVfiCfa9$!fi3}M{ag?-&IC1%a!aM0l-2gvioRim z^=$Ii;i6xBr32G|F!3%z5$Kr?uBH|N;wYw&RxC);5iWf(lGs?}V2KxjSR3 z8<00%eMr~9{Za~SmFsl)A4r;XiL0YO(4;Q&#cQ&; zOm>qJv5t&H#r7@p&yQZp#z5OOu4i;qXbyHRnqVp+weW*TDK^+`@ZkELpe8J4sJvB7 zuh1^uad zS-)f{hABjz`xFWl7gKg-xy&&Q9@$E!MYF+3u^hR^e<%Uj%UtGWoKQU9DA4x(=sbxv zsDDBD@8#Q1X!`jWGFuwOqPk=tpDz2a#=S`_*yP1lD!xTU&P7H2c)>uT-KUN1SAzw( z;yFw{Z8;Owb%ROJqPhZwmq+lfT91JWSM+xFjXbA09JKD>a}&))0?Pz5k5N_aXk`0Yq?V2{h}5F>x=(wfmr!ksqN;*yA|d~0=lhU)jfI&C&IVVsmN+I9oU@YruZnSQT*mAf zbzFBrQz45BU(6QQjlKLo-!O8qMXFyyOC2@)$QxvkG_q);2DOiv?w_EeHTo_r@v4_* zU9&BR`NI zU99H04wJYzxSLvd5f*M8A}Lfq-ySAFc(R~1ttb9e&PA9=_T{8bcJ!HC=aFY>>HH`u5+Z3e|6u=D9YT6_FOS2 zYg!%0^;F0!Q5y}>?*&de;&2r~{3$n1*Z(F08VKE8ras`@xNC9vMnz^TUE;ee+eH`; z1$^OHFC(`{F6qFfJWVA1MUx$d_n_iqqqx@8(zUuYAI(qM9WOV~nG~})!l3BDZA-tY zZR_u3$3_uxlvNOQR*t?zJSoIv@VQTrH^68Od@sC~?&As@UAi>~<6`&p%X-_I@8nF8 z7`W`%Of6p2(qd&JNK{03>Nj5w@^)S5%g&K@&C@u(899fF&EvD_omC~k^zRBR-hL2s z<7Z^Ge;itwG6t^mjnefhuUzs(-Ty?(0A*=WVkcc2Dt#nblrQ`3u$9hTXG6nOlb}K z%xrmu&*j$;{Jn-hlrwVLfCJec+cS{kOiepsZqK^#)=4i~>LJa~a@^OMK&a&v6RHPA z$?PV9`Pr*EeVwje;}f*JDyTxLe@E!_~|3DtQ_eON7op<;seKm z?Bw*3IDt(%3#Wr%0m04vgdxEaqj!9mW@T#{AEWiiCCwwa0u2W5{jxe?pwz*jNk9c3 zj~#o-U=|ngMCxtnH$Cpj9CMk1Dh;&HiZwom=TlPyMQ^$+slFlYE_|@BXO?~IlU<|N zeG6lo**PW`im$#?B;UyZw;!s zTOpvkF%1-1`p|G^C?wDc6eE9~4P$~BA$)Dy`c4d{91i^H=ey}M@x<~GmC0@_XZo_l z5%^lB2c*r93IgzbOd`%|+cW43abwpq)Agbu!<7a6cY4e&gP;1`$V}Yi62@5+TPK`F z9EJy0HnO{lqtrT2Hgb;?RAGNN5$r1#;zJR5d64q|sczEd#!9#Y`yb$N{d0zaNav)u@^_hfl>% zJNg9(@})}<)x5wj9nqQ z%_1pN;6;tP%p{<3fT+q}1m?QX{SdXE1*NDIR-^XbgTmRG|W*}`82KnGOP8Dt960Y#7-+YW5pV71oM z^$cV)89(aRQ<($p$p3U!vd#;>z+$HJ1lN`^D3iu}MK7 zCieiGEl|FT=iE&A#Ro^PapafZ-RCzMu@mh2i0FV(Aid{|!j%DK-}OG)`E zfhO*d!=VIJnI!-E`JSI^fn)4EQcGb&yY5T4fi&9e@Y4@#O~y_WPDAOk8Us}W#(Nu4 z2XRlUm@uisuyG<#-SXVA7(x9-=J#X>5wAh8+eDY{M@DlPs1TD2My^&ZU^M+;PpPxD z^!uZ;(vU|KMa?jZY*ZRr6v{~ZZhAtKHOA7MPqcq9&$(Lk&6tf;^o^cfx%6kzR&gae z;G0`Kp^-$i8B3(zFFykWg&GQ&e)D#JS#Xsb>no;@_J4hpXR!eeYx?gJht8!e6lOyh zt_-a?|9n7=KP>tPVK3a^BrT`{Bz-zcNKmAf`LZvVQc5e`$(E`4kq-wWeVpXifaGGf zv&&KD569S8mAKmJIT^aCNjRmSg8dvdG zDni2s^yonIxF*F3(n*l`I2zquD#W3HnHrE3*r>C5Vs|h2;*hqIlH*2sr9C9+oRQH>qUIor`c@u=8(Ik0#hvDY} zBhDBKrNM-n0f9r>d>CTMLD2-jpe??O;;?}|Xy?qJK#Sq~0w7jDFly?MP)4Pa}BqX2JVWkz3X2@P@2RH-};~>3BOd!;jzr_jTLW=HPAr zn?8M+54G|sL|>AzLuvL-I(o0vB1BS3$+j~7bhb5hosi$>v^xgcvffn8oGauksrO!D zk`=@I-5iuFc#-Qtw&nwMUModG7I)Vm8TH4UvM;YHnJ?cpJAvU&v#JN7DQN zOkcQ(%euwn{Dcd)QmKJAI{w&=)#lF2PFPoku2|uX%Wv=$6C*!ooCsGM3dudXq`pyX zLH2S4cw6iPpYIMV3@9|!C36}0G)s^p5B>8LKr^WS`D`-u6_8(LyHEQ61gz5Okkh-# zIj>7}cfdjyC`3g-Z%dl5i?XpC>*6Cubd-9KKfV+n7lpN4A_Ak*ORxxeX%`EL>%J`x z)Q?DEnbW5WKof$NUlK0;nE>Z(kIMbO3wu$_yD&hm0-b)tv!#Y=#VWz_eV!!M=#dTuEQfR#jxsvT# z%aj9XMUIH-31I77O1_uYmA%chgV2tZfVQK0uteGq%}l*UK`E~ar+U{kGO$l&Jgz+= zZz_EWWJM|~N43&Ug~__nI_i7rWeIuwN^>cB`i9dT#U~tjt2uznUFCo0Tu9x zU{6GP{dUOpN4lGXjETRu`wJ32H0&i6McYOx{i9cgE3gxC{e<-z?q+%i)!tc5z{g@H zHtvAWJvDk6kNf`KzQq-nDTtv02M(g-6t*qmNizub7k1%pUO9U_spDg*L0X$T{PHj1 zrt#$YAcc5k{MzRh=(>Dq8BpMu_mq7;!t<6+3H z)z5(W2ZGx8(zl_jH1g`nFen||x#PNK>qz&pN5y8LxL9ShfnH~l(7}uCtzR*g5CR&4 zM<9iaqTWfM3;Zv5Dev(vLR^lxqgFC(m2!uzQjN?cw;6H+IVi=6-lVaHAc5$8}Iy*ZzE!FVEHQuXekwzH;X zMHV-g<2Deg-hPFwmNSJc{2wM45Q%x4P6yJ-2|Rh+Jf`&vsf9qOeu?f9Sm^~ZTX~g~ z{lBDypo(TP@cE0rms6W10r}Kt6vFyY-&MYl-Igrj7-e@+*rEr8`5`&^i`SV1PP22C&#-!G?;qF3p zh+v^4)u%XLrxc??`4R*`3)rCufnqU!yQq;9ED`EQ*+Zj@DiBQa?!K za_F%0bjtN)q(q78(IlxWNmv%!-AA(P;B2GiCeQk)Ii*?$o`8+#FDj;(c_J=Sd79r7 zPo!+1K{11i$Rf#0`pYjMw{YyA zPa4nXa!Z>_DS)V=}PE|RR|x)EhQTztZE#9S5j`#~TGb)r)FOP<;b8$E6{)nFHtY;dLiEslKj z&8pZq4Lhi%=)N8$=?UJdKR1P=mk1Z~J$%q|htJ+N^Hfth`Q%<m$6H z9xH1}74dW|F0mAq{}9^aaLd`nYu{=aL6^sI@U~5r-B(7+ju7{zuQ5*iebv}Qo^ti3 zbbBmVRSRCNfgQs&yCDc-Q`~U+W@O~vhH;f5i+VnTAK4-c$>c4n|KJa%!J_%??E7<6C*J_#N=WTJRC9Q7Qw+9-r7|`l&#!=hr6D_{3hfYm%L7N0E z1)2ndj5!M1GgO$k10l6?OQc$gFyLoQY#AMc(7O9_;o*~>ltjjZdH&ZLvjA`4RM|I-u*f2=N>7}CKIo(=OJ^;vQ~w42OaBk zlGMy{La>RzIcLIthw3R;ZR<3g2#H{@Q~w6)%r~)jt3Rvk^qK(kd}2kc>nps=WLahm zECy~RdC7%^Eh`ko^5#mzso8%Bc|O|+>!LpK#3H$&vLi&5Oa!w~sbo7FI@J2RC-&t$w^T!v)mzoS^dk_oM46TK94M9Ikyz!{Cztc zNIXS%ccPAzoZJ0-VgLgUy2X2?;Hw4*?KECc#+fXtN#{$IWlvqvo5qO??Vk&-#atI0!SO0Qb{%Y2o8XSTu^>gv-+I^o*V_v$p(Ai~{l2Mn+F( zDUutW?_=jevt8_bdS!6^nw(lFm^#qah$OiT3CJ1f%4>qd45ga~Q5ewSq-!$O=9F>M zNfVevH*qrIltO;U_i%amFueado~kP!p-ORQoAJ{`Lqp45$K8OBD{2HpqZZN4x86O8 z8odaIplcY<_~C9jH2xgUVx8&Q`(?>)BWSU9$B;LPp7m^_fjWog@%8@&jo4-QJ-6!}gg zQjo;cQ%Sz7PQ8s?F2&IQb#p=*wR}$J>6?>Z&Gj3}VJsW9ii?*%wv=K7$5zvBI$I5I zs`S^}E+^439j)n&BN??pT$>dA%DK8Sy_mFasP;``umH69n96vBx43r03RqPe#5YWn zQT*$~9@e-`_wPFK&Q;NC*#{Ibha6)BJ?U*#s_fuIdee8T_Q`vATx}l&trwqQUw#GCSubAWz%JM1 zvcz;VBB-+tQQUK_a|_tEC0 zPhQjCR}SVI?yv)QfUsGh{(z%Q219OK5Q9pD;wMuLeD)G~{3ANRI}F*ZgYat<5XN|t z1s|&MSSq^{4yaVTfl=pu7(j}$h#yx6+e)U6p$r0mI*rwK?1;h*#u8}#Km}oF>F8%r zL%6qGTm&+KQuuoMF+zeT>NDTP{YAcuBn;T#o6j4E8O=#}2@5OX&UqZ1qr#kD-XA45 z{EiQG{bv$ODVsh#Q&~Nqp&4#SKvYp$!}0ZtAHN;@j#eWs;@lN=3g_aVd|P+Sx^e`d z;uN4l@9I`;S-)hlN0X2fM|`&AkD|lLO1ps>wsZbzxVl(Zt$+`MN3kCjXKcRdnic@{V0y(JrN%}-1blOVpd@bdpA1p){v#o6n@OxmmpmHH5 zV<+uV4bs%|t>jtBnK_X%2(*?vNczB&teRnK$cM|nC#jZz19?`7U}khYbyaV#tOYtI zT3_9$^nDDRFQe6_Jgdd_e=ZPNe(dvP>ukCv=A`gc!pq-oqzIH=aeGILEAiF8MeaHo z+MZV*eNLNeG1e=%`WdvoIV|ky`P(J4L*v^|H|^z8B))1byI?2c+WPDj3>|LaTr>9$ z`2qFy=X{JGZ*iyI-LJSiCb{WVJx)lM#Rz1W8QLul)Ddd!;o@&|9L&I0`0h-3BTr0~ zg+;4qeu-IEL>$@`*JbhP=^1gcJa^f4L*u@-EhHg3FYRQ_uyXN{kDutA_V-!cc|J~Y ziH5WScYaS9H`TUlvTAcOEH9*rGUjYb`7^SCSf(4w9#=@@(D)m1D8ZHt;|3L z9N%>9YV+C4u0&NNt;t|23S@hEtoBa{_4W_YhL5B1^J zJnz^G|4RI;ichzrs-5^jjsM`|*BvaCr)YhfgnwM(#M_vA};HT@?3t>C1j$euZ# zEq|k3+%5KTb~JD*b@fJKr$Wh!6#9_}DI3?rM7N9Nk0|*ZC`|80*yKuh*Wfu|{81z_ z@au5T7zJrry+QohIX(K5med~1t>=c|XTN&l8C$D3s)t0+<+K2MOF&+a;w_OJO5v%G z6Vt7y6z$84Y5cLc*dL4E)(WqduaiRUYOPKqAElu0rriU-yjg_zMqk6{+lR&>-)VY? z0&ulrkCEu`v|3>F_%6E)x3q8vh{{ii^$-Kw54feg64FsGAGVY7yE>gHw2_ZfnS%LjHZ%$eb8J z#a4p3NJCEhrBLKWEaH;e-w`S?qPxtScqTm<s5VwHj1f(Qp z1A&g&T#YOd{*wL~!#P&4WBoVocPD-~`de#76H9k;wa+2#)5}1D%E+twZB|n^MjD^Ec76J`*w$4hf^-;g7vQH+<>XpP3H1?V)H5ndRUXo zb7?6Nc9Y@O8?J=(8m$(LX>DQNEp@E&jV%_HFoo%VbX$%?_3KF4nhIGXckIOVSoM55 zuU(U$D{cBNM?mZSr}<8_M+9D^fn|s6Cg-CB-yzHO1tHowsf38prc##}U;pot zw+Yj=hk^(#4V2We{+?NkvB~=d3{X!s(k{l>?UWHmIWxY}#>|B^1snS^Zd`NgzoM72 zAGAmdxv_kgb7c;#>jXmj2)AZu@X2!dD*W6%oA<`PcQCu*7_O?~5dORu4nV}I6$u1(AEITW^Zvjo0c|#MrHnv;x zzE}v0#%Q(Yg*4Zc2NN5;|JnSbv|ndsQ7YwK01kPpg)rvO?*;on8{V%=x@!E^O}$IH zi?J0(wzW3SD_K}W&#*~JCEw~&w%x@v&;3c3ubUzztoQz3tf#YVM}HBy|Kq>pFi*0O z+@Ok~on`&{i^jRsVXz%zh+h&Q5kChklC~Capl%^I85QY|p2Hf7nu{=&{1-%}jk6KU z;7MI6!(>0nu^iz*(r2&kAYXxBznPXmT(p@6&tWeg?fe4JU38dC4JuksW4GOIBR8^L zOEbx|b1TG1d+qR-i>5~?m2HMEQOxMyveK0pUhwRtV zz}jCN1VDpr=G;?l=CACVt})XMtjI8lptCkQN!qV;gNtNlTtdRctykxWcM9(^tbF^0 z7ST^KcdRFpMy%z`I7>M)7cvxV6w0{Ys*x5m#?Ghw19O*hWGxUY+Q2C!BNfv_6T!?g zL^2J0NnKKDjL-*ETh2Txi z_+d$Z$X=zUsQ{r?@31FQ(m*5jTSdvC8&C1JZi5K+e8O+c{!vjYW$l|SI3+7e?jP_g zzCja(-i}^wrSi5o?8}JKQgK3Q$C#hk;De{Sc#xf?lHPr_U`ML{C2X+`x0GPgj|sO{ zTicQy8iPGS#yBX85>*fvY0T?@iQ|YFhiPVAIxN^Mr7+kSYg7H%D=Uck@5I@<2B zN;JW^k#QeLQR`&zhA1y_!NsUrWVTRyOtQY$UL(1yCdrQXvAqgx_ZQxx$@`ywt)bad zjP)E}(YgGg8TjG#S2p#^GTG-Lk7t&@D*~TNXN?qpYT%W=9Hr70oiXt{r@KAt!W*-I z*^SeJGP)~HcP!uHmn|_UC$&cAJk?+K{Nie`HLYq3Pq=FAyqXAcNOPh{89}0nNUGvu zoA_VkmEcNI}$f!54u89GmFhv~#BFCvqF_MPh}S5f)~(lRS1Z z4z|AXPun_ZGi%5litY6`F-d{giYCqzgvFI2MUBU7n9_2}Y{$=`5DbA_r-}+!v?#Xn z(0ELNRBndyN?q5iEV^>of+kz}x{hnsF_yR9r07KDCQgjd(sRQiifV4Y*= zl0h`CQ{@THi|HwR337l>;+bGUDKy4z?`YT19p%mb#0QKJ)P-}nQC%82Ic!vKp8IrF zXG7@gPOTw&6^;hY_}I2F7$;E8YBOQrz!8#iZEIDRsy1@Juj`|o>!sMKS%$JY(ZU16 zdUXZdY86IDT5q58DpR>uC)}|*+Vo|lN|?BG!!+N1qiNyPQEPk$!m>f#0!YZoI?%vv z0b=pUnu{18&=8KSa@S%4`!EYsRbQmQS<=4*Qdk)1GvU>3xRYJV@Svs>ze4rb*~;wG zrQ32JUfM94@lYx8)!IHYZVsnhnohKpi2lPR`d`G8!=fvr$w1+M;pKk>{}Yx+_`gJ| z88=}fwiBK_7F}6Q28!!-W%eJ&QvX4qJP!^6yhow`!A#?3_Y?BTsr=% zC;vg*cGJwnMb7@0=O7#U|4DBgZMTg7x29*iIT`;_0?dXd-@i>m|FQi~KmO0>$hVDt zCvIXzvq)MwnNMKaOwcZ#{3Yb8VnH@=^~HX6?+erBJ6Cfu-9?K{LHV})Mgx(c>v;<@ ztXTK;G?Zfr`8LWd=1o@wh7WftVyO-&?H5g$!9)gaR@!o@m*<2PO_&kgY@5dFXHA$) zvgr=y#`Xqnd`07J?dEz5b3Co)WEd0%ZT&d`6bGk?Ha?5Z?50b_^}qz?|3b*gZiD|D{RKNUQkY!wTm=R6jlw#t{-4UQ zp8gL*f*DP(=D}MlA&i^-DVN3*p6nKa&ZtT}?Wx%h)Q8OaYqcNOBg9AMcyV>@B?7-N z+r+bC6cd*s;KpRD^>U|nG*^JDGc7(IPYU+?y^TG;)pHJ)b^h`Up-yymGJWoY8tEi( zUtPd+gsjz1)qY&8>FFxtAHZUog3n1WQqiLpU?x5DE0I<^H$jVWvDoZeokSnYV@?EGSek; zt}rdr(dmCq?Vsv(3bSbh+OP#qpE)P$(REU|)J%x($*P7(w4cTb6N_@zi$IDP96#0e zjFI$bD0^$@liWkHM)li(<1BY}?P{UOmD1bYjqt~dU{+NUJ=giU;&Ey5__w zvY~#(pA>)dZXRb(G;)dR5XTeTb?#i-2@&Fr}H zyID&-T;)xU3+e+SL}F>L2^}4$1hE5&%LW^-qKrRk+|*P>#9#*v`7LZfXF@2)rmVm; z70jD~;5WF}I0Bt7h9@qmPrRWK7~g8^?nxHm45&G|^*3Z{O7erl>f*A%o%G(RaX$|i z^1c=F%G1AMh+Dd7L@-?)*+1+|lAzo{Z+j*W-7Fqp^zY%Y9&^gu=9dpG`9ngh{?~6s z_g%}RuLIuhF_lTvtMWj@ZbXgq?0UUwDYAS%R5Q}ZJSeSF@LbIMVcSC)VZ_UR3hPdv zWZ+t{)$N1#l*b(`)l|30JTK=FHjfe6q|pjFL^53t=Irq#1g0i_d>B~XW&IGl`Qy$} zl^rJ@<>H&q;`xoz+sr_lm00c9pB&r4SDb?q2DO->A~-M4E6{Rp8*oaN@`qMD1P>u0 zdhyqh&nPwu{Sv>4K=kcz5no7NDQ-r-HBzLKcD`P{{%B_R z(DsMXCwgodx$>5nNYXpzbIS_s5EE2CTVv28kXUa+ZmFo3=9Sm_mmx$GaQY@BtTFmb zc1W+##zvd7_5A*9%1f%(5J${}wlf!O2T?Owi~Sj!(5ofps};NwQP@cmfUq?h*+) zk3ZJZ>Lfyj4qIL9ws(DZ7JeBmH*nV*Q)Ov2*xE^cr^gv;UvRPKw{7riw^{;I-p5TV zc6r4cvqNc6TKz`52{8BFE)@hk%5r?wlzJG;|ED3Dt{4A;->WI*GM3MzBnQ-!C+F9b zL$AtbHk3`W7hx+WxJwUe(Ip3V!x#B)j`0VEac1GRYbVZ6D?Lh4!k}15H@qEg40M^f zX@bhMpL2hR-+!iwO?11?NgB# zkaS>L(ZbVF(g8w2Hoc!E_xp)Fp7CwpilH+XuOcZIf=gYJf2PHQsC_BHTzf*IX&@8N zLmxt}m{@ctphbW>d1zMYJEi%unP6#zV$XjQ3uas^adgFHm69^Z`bc zcpA|FZPUoU>;@tePZZ*@D0V>vGyN{HTHI+G4F^e8jJfps|&jjP1JJ>Y?wR z(?)cbd>|T2zHiLc9D=Ix+A}<&xD|LczSth|xtm>FN9;IRC%^RXxa10gt&sTQ;|vh1 zJ>wJaTPHDkulDmW;BYtgy`voPpjlh;Tl9(I2EMn#!`mw(c}i8v4@=@3V-1gW9)gKG zgHq(Wp>y$d(gFi^ZpyE1_e!Txg?<5c5m&kKWmR9i*6Jg!wxi1Ye)QW{`sp>fJAF>O za_C>^nb!N#?j!%eDX}y+x&o}X!Fq>tZIGOMKHvDmzzwPl_1X|dp18swfmReaUd1Wd z&R}C2+%{tC4m~s%1a|BB*bJ62j9W!}FK<|p@q}+=>9W=JTyFVZbsU_yiXDdBwriv!#C~fT+Hf;2 zh&=#L<0Ouv95Rnzhs}Z=1MSwM`b~Me5`L4(TjXtgbd0edoan_*K{^Rfa~*(!9n+0N z4O6a*y6NLL?dFG-d4atm%eQ?6D!Es|i4WQoI~>O?S+2T@0<~p1nrcebjOEKIi?$Os zJpTo=mgNwtDWT$?6pHv{pXrhbnfZcOH&DWL$Sicps-dk@HL<`IsdW^0@#oH!ktzF* z<<&jRl8u8hSqI|3QM5AkdNMyVY7xh7(0PGQ@N{tq5@X%5B)|L+%v^*?$U5Aa@AzWh z$(SP?e)H6Httz!xnB9{w!WP>d$inU)iH#u`z-i$nkBY_Da>g=7ulCtB{mxkEAdqmh zBKrpdmWCctn=O8yJaD~n&tfJYkCKp(+u*Iqj>kPjaaG!JGUrx7#WlMigM0YY!u!_s za&t!c0X5OY{(94piy6@-;zZ`N-*z|M9;njAZ7eip@0YOfhi!U^f~m{0OeI|Dr0B5y z?yHyB#Q-t$9X1Uq03Gq{tH7r{#Tgwi_O61Ww=&de=X@H!(vP46lZQz=PM$eZ*qG;`%oE#5U?`Az;|AWWWVb<&gP?Pn~VVw7EQ=Vn_!gh-2&C)JdmgD5N&og42)c3g`=cK-0Zgq)ZW9q1h04JzA zll6tgWl+BI3AoX9M#SJfk(Z!U@_dwJI-B5l?1r zWl4s#5Pc?hKU_kjSwu0 zyPxqa3OW9Re(iLK{#m+v2T0JTJP67aN^gLU8dWgLx#W@Qv=GFI98V9%1v_^n5dP?m ziHvNE$mhZdv_)jB5fz?AGuE(cm$Cd(yy}?vXuKUzC=+3&$)LKo|0x`yqa(yDl%
    Z%Powx*?f9eTku7uOU=VDb~l~ z$=3nB->2GJEaM!LqeCUoDGwzpu!ol;U0%l zJa=E$=`Yfraa5G<#+G0CjmaPf0raihO}oTlAhpm$3+R{b>0EnY5Bxp&25Yfbdi<)Q zfB62#_UHyNxjqW8s0~Nj&>9+Fc;D@WHY4cuSUSJKWA>$7c9T|=HNx+c)y@m-CwBIU zC(^yJbWNi@gnttkBq+J&mN2lr&2zo(OSstC?rQ4{=jZvq307M_2G%hCx_% zRluoK!yB5uvQya1(9x3~Idlg*Pb+ui9eOaW*iwU;hOdy9^C+7vj`vI;O`=_6%N-E6 zi&db$Id2i_`QS?J0LAX|QP%sM+q(@{Oz|@N-pkT!O^YK`aq&zZ>@19yx2ZoiwZF&x z;whNYts$*adrdNF<_}e#I(eY|Asj?2fol}rgyW5oeMM}cK@&_QjQ2@zp!URK8NyHp z${MHYaz$MAhcw%{3&{vr{l;?R&17o-hpO|13mvK!s{eZAK&a_y_unCA(<`je1Sq+;hY$ z!h&X4#}Zju#Zq-e3fzvBh&G$WN;@cuA!_^nUPX2C@tH@+ktqEhziCp7{prUn1}*(Wc3MY;1{lcF19Ubz6Zf*`Yw31{zs{> z&rDeT-DS3sQZW?O{$1g#_tk20LA*I<*QaSH$idQK8iL_!{yivH^x(g9Z1vy_&X29^HGY4 z|8Eq=cBYpGy&YdN%9Uj?r5PXk^u~1G=A2iv&sNcuWj}Q3Pyf;%IHq-T(--ldcKM59 zxRi~DRCivjNPKI8qH>on628Q}A|H>o#<4&3KDYLSxP!KhP|Ci76riFD1^*DLj6Y)R zui?he=!Vbe?UY}!dQuvFe$^4YQq1lQY{)Ewa^B1ut`u=G**FtW9M$DmIr&X5*Ewhf zgcTJ^KneE-{y0v1H2nn(+A{;NmJC?X=%1f7brwO3Vn4FNRY6p*`kyDICl7#MrP@3E zTUgkfbQ5-3z&$pi?`=fII69kfF0vD^UCI#870_X^pCw!#QU7eKqnY0hr|w-&p84GZ zaymt3^eL_C;+t2HnasX@Yi15${s!M3`SThYys`ECfHCw)@ew)KKmOawbBAN?EJ)QX zfVZgTpDM=CZwkABf|G7o1jBR3RgAD5cq9+;`rVlyA^16uXV+fkf#nA;!hFL)E^<-o z@FqxN^aJ;{H(AJcx&uk{roZ1GI8ub=yDR&xlW-16qi_ygSxGtAqFOIF*j77M)1dp| zUz5~J1_lqict{UJ0t9^lM~9T4>b}}oT$kgHR#9uFc3znesd{AQ_OQc|A5%LJ&4((Q#@rLe_~)Jg z`&X(n!baAL)VHsgv|{XbR8!Em^xbNm$CwWbykBK$<9yIxN@*;U2T7pLWG1{%kT{m9 zlAHm(NxM3o`_@=>i5tHK1GPWC1jYLc{AGJ}Hs*F&p+7E&$Q3T?105uM?(Gadtl3T2 z?umA&L+{a}C%1Vp?G@Gfc`SHd`>=cMNY}HLyheQ{&6FtXNm)jTnZ*gc;aMF$B1Wwcb84Q*#1EBYk%?S(Z1-dfDg#& z74s-Z2qP?42*W&I2q30R!KuE1E}0qXf6X_LDKuGLae8Dj#qfFg$S>Zt1}NyGEh80n z_83E+-x*i0709pH34IVdMw#f5XOcF!dR5DSuRBz386!xnm9&;# zmftjoxL()Dg-t2ptn2cxCY9wTBSX%{;Zsx$>9K?6V3mvW$XuZ#UnL4aAw712Z02Mk zjH>u-U24%&zB#zgu2hX2+V5tQxB!v&7mJ$;)(`T|@`y^Van~4fgO6pL_tsnCPB$c6 zPsi+8zl{<1`v`j3>zX6DoR!>%xRAw27vT)X&HCIg7I@kpaK8-inn)z!c`lhIWA+e1 zpXt_@e*Ako7a(yBv=NEQkoe;I;FomH_jb`qp=>u}>R$Hbnbs{JsZ(TJKi|AgnRAss z^P5@TmcZT7OeVe8l|W}#U;y{4@4u@vLY;0WAg>0fA@C-@4R;ZJ{kR<#D;!&J*EtN!2bI8 zGX5(~pAq}srV-2|y!(5fGVt%A@*E`d(yJq#V$|Ag^2U51BL#r@7&{`w{0P6X-BZ9W z!e1xe1-$O0uPIa4rKsBnMzH3iBorJS0`^=Y8ehLE5+HlgH7GzB9ln?!Qg&&&P$08+ zP$?Ln*if_6BJzHNi-BI|0B_XAa{(nG9zYBm1Hf8@_9k46a|24B3TjKt2*Lp(8k}n| zmnQ!Mxls~o!-6U^?LLC;X3^m16FijV`2|80^NuyqAbn4@!Iq!me~(LANoC=D_B3MCX&*hGn+}pc7w|@%1s@y$(bSDeU%6x>y`rO&mbr4 znI0gTlKy1`V5sKc0>DI`aIA^8CO8Mg&6Pi{xk{Y_z48Rd-B1!B@0mYCqLsv5ut0^m z=!k~4UL^w?|LVuzESm6Bns}8QL>E;WTtQR_kM>Yx{eulR4LLCvONd>#|LB}RAdg1j z5$<_+jV(8PP*?m8Q0CM^qml$rV$NN9$8pLqgHUlNk@M3fjW9YM<0TB>XY)B==>xEzmbk~QN8i;(NC=S#Q zq2)g19fCx>uAxkK#>1hQWi)cs*w=EjKslB(FSR!7ToYdMWshk)EPHy9^YW-*v1|D_ zLH%NF4>@Tf0E9oZT)r1tm=cvn1UOXL-z$xHK*fQn@DD0W^&21CI1UQyZDK1-=F?(Z zPMG&Y`vb_vRRZ7Obh!0A2kWw?h>!Aq)$+406^r?#Lu1>nnWIaC_H1Jt%Wz8543%!g zT|$nszg&s(O;n?~AlZ}kK@GG9zkH7i z+S<>Ex{fk7$nm_=#Guu{^|O5l{1oRc(Qq}X|6Z8NXRY6O`;H`~vCk@wLMmhd>UFNy-?Xuz!GSd7+Wkm)>*uYLi0 z_l&CFDj@pqlltZ|n44ClXx1sA_%MCogv6bJFhMmy6Nygf}9Z-zz$V5DG8awCge z$XnOO+8yyl@;aPf5T3z!LIJ?^yhy6yaVvf8@S9mWs38RT%@y}N0R$REDI%)kfBoGCKv8mPXpr~q02}N+dQJ4THax(eOg5XCtZ0dMfh?Oetd>>*Gkem{3Zj_(WL3%<)hVn?vY3pf&6i53aqq+m)3C+AE_mT*Ci z;{Rj;|F)x0Dk!Pn2MA7_V8dI}q*<#g!3I4nBa@H4#Y#cnqZK3YZO^(LET&Z~0c1@Ac$U90E^0@&Wp;!5?&`VEr8#1@Jt&Es@Nb9 z4`%h=Tq7RJ`2)}^who80 zW*LLaxyL;}=|h1&_ey5@sOmG$ZXF3hEtQB zs{FnNC811%h96p#;<5?RLB_JB%lJ9q79S4S_Q@e*FpL7hsFScNX7LwcAuTvYs_m$6G1S`%sNyAL|@u5|_4#yY0# z<+*^+0H}Wlx?~n9@MPOyVv?s zWF&>nc_%dGpgYJJVBVpF&f#b<@P77>JDVM?SkcyZa$BmxGQ(2zL)H44yX9Lr=g^uP zk!4&f8O*^iiv*7E>u0Qh#Eflgn*PL|@Y=9RI~0CTqwf8$?UWPe{T1xWVS(?rI*g8v zl8D>C^>RKs8WP+JYO2wBG>K|fY_<0m;~Q%tl;L&!(lvHMw7#Se2A)lREJ`^;w>AL~=gi+<)t1C3@l zKSG~pBz%I>;wKooan&)UZ4o8c)6?>1nm=sqtfiJFU7>llg&@vcq$>&wkxEY*T%yY# zYUOn%M?CTK)$0%^l$@3rOcjL=ZZi(oS-=5UE#MTVRSEa}7Uc-(F69VS5+ewk7*rm- zBBuQII^&J6XZBhU3W%?lw1jVRWIiYZ389d8a{V@K`RH`|K}+(L)!4|1erPqxGyt$` zJVbvPcR$rflf?!21kBJ{d#y@E8D7+>l(6=7F}0fCS=y*d;SU-?qig$uMhD!p$(3jz zOJDj$(n%lmq3{IlT_Y9yA}Kw<334bY%3o@5;sZ~X=`Lbat)q(m$rB|=tZ+ zH@Fh!)8Nzm5$4kn>ORDaw)c^A-2n6PTG_B<;-(W7We+DW0UR$_!sZ=PEbnyvU5BnE zdO*v96~dqZYqZYiJD^88AY8RJ1zJgz@D5V<_ZnExTmdk#jY5A{R+m#5Y3%q9DeU-b zdNGmdE21hy->6jf)+@;CQW@YKoNe%Yhv<2%46ueJMj-Gf{PW#8PKFsV#oz%3uPXzz z5rcisc2Z{sYfLKO#2Y&$`|))!OqiQCGO686w0?$=aXKG;?yI@t2SC?a#y<&@%dtpv z-22e~M2r~C7}o>U;DvZFml0;>akP7l#6ddV@Kxph^Je0zwd8uOu8qKlee$ zkvMqoE`}8|NK;Q6(git$z}{K9t?oI+8GLb95|4Y=l7I}NiP+pL63h7pH!enS*oDqO zW_>gnDW$kbuCOPGj5LK&j7rOSNutfB1V~E8gIhquq8-)eWH8aWuth^l_-O3BctO69 z>4MnLlMy@uop+&P6udcm5;DFhc!AFx;-mqSRS+KqZL`@&gT{k@HE4=4el{hJmf!-g zhfXr>y$ez>*fWIW0;)f8e81y2ivRXa!$djgOHGW98wLUABd5ccfN?G-V0VWw9WJpO ze@_=Ty5)WaL~}JO{!<9W2!Ip0TM`F9nzvdV$^!Ns=mPVWG7Y}jn0YaWV?rd~ zxfY2SI;^nV@{MKEFij7#ewfCv;cx1oNDxgu)M0Qp+NYyx7sURFu^Y4dyibcO z?bJcLG&WU>5{80GG%tH=l~nsKKu|N-rs*aPy0U@Hr5`N{@T`}_8QGCbGFQ`%%pHuH zsYx>o@wIcSe*<4!JRvCdfp=fZi5>)48OH<9w5YOUGF6fS<8G!>iGyap!|xZg_G%*8 zL~qiSxH*o4f;L6W(6)KCBhHg#uiSrb79$USmN-KOJoMH{Mg+w4msCE7VIpfCRB25? z`>8xl!UrN`r_f%GGsU^!WSUFbVed%1>zhQ5Gw^@=sTX2nA&1W`NwKYK1+`Nb_n7ON zG~-rd9ex5@(Dym(IZ=jlBZ_$X2qGIhBw(wU=}@AOSPne}U;5i3jj_>ns-T|{frn4_ z6^FzVNlUf)Bu|gK1N^xC})<-)#p;c z4A2+V8)*wYWLM3hf3qK^cjO(#9aOmV0*qL~jcyd5F;)zFVd`*W0~^4kDWE|OXLDOz z9}oc@QnNuMKe7Oo3f#X~+65_JFi(6WWIQTA1Iuy&hac47!Z^5SPX!0i$?swiFTx11 zYQUo`U{ch%0kmrbZ^Vcjf~_LV>UcnVIZlww)KtqQNQ7<|&T?EK{>>&@qa=~aI|x%r zf9kA&zW1l_8smsnOUqMM7bx1AjphXt3xM&hKzEc+3TH=G(iks8&K_($KH=FAfe*?! zN7##_teoMUs%>gA-bdnKy+@KWgG&&X5BkD##BRrxv}T7UxcCbW3}^~c3Z2BE;L{Np z@yHm8F@+*;ouxv$0Xy>H0-wH3?weQPfNPUG*r!H=4CmRSe#=!DK%2?<_ufICiS>CE zbLRGD*aAjOgpuC|?L|={`pgA#z)PIHhuf3PA1}gG04$7V6m)0VI;;Hz4hG~73-Mn2 zVwIp9tJ&BGs=*<2G#|*Sfq1p??*cyn#Vu-POwi650f0Zi$77oluu{x|4Ou#oyjD&ONS=i7%BCm(|DYz|dG~|UYg49Nzl#XBh+A@}x><7sCHLqq-peko$ zzV-txl}rMH+l=z}MqqNlmhZ@Y#UROsB4#{Cb2If<UvXp%3n>`dL_a)+NPtf(G!dE_{5>{&ig9E*7~QE8ra0jCB*4P4kQG;& zgw}Z!T7V!>AS3lLlq;mOEFevnD%T8wa~{Q|8z_*n{Rz^h6rfar;6j}cCk2M% z$B~hSDVicI{G&?`Jhw)`oncY_r+Ng0ZXl8(Kf{f=K!tH+P%@HG%n{`aSwKlYKGVT3N6I|d>}8cg07`wv0b zJeL6D!CD+hlTbHzGqa|ygy0Gox(L-m^g_U8YAMj-RzLUz5pxiL7Lh#1LTrP+0(@?M zN`VcEamF^B5L{PUZV_PZW=NJ^*ddn!XI|K0(If!-P)cBYDlb0RIS9D`1^-3hc8XBY z!bktbI^h70M}Fe~Rsxt1rND1uA-qsn0~S%t(P1j@VCm#X(Xm1d@Sqq+20i{iO+3eIAbz234_~T zaK<1s344*9fyJRmx>E{7(EEBc%05)Zne74{h(%wt&h2Y)DOof5fxKxN>vo0(Gq>eB z=Lid4tj@sZ0=?zA&6F#E&+^=Q%5_KAh(n&C1wzcWn?Xko!81tWu*{?;BZzn_9IJy1 zsAEW~IZyz`a$5=nd2nF^V+Y0G7JuQ8cTArv%b*h%v}(hK>u5!QiW=}(u1DiElLt{W zhe5JPo5Ql_Y^R#~5iE}9x_J1Db^#sk2tn;3X^Wt756IYeImZ|N+Ie(_;>kmTkGcH( zCieJ}h#RWU^RpWWC>ZD3=sfa=`{AiN_ZFQ2J)n23gS8vS(gGb%;%pH?!EHh^XEhvs zG(ld6Li{e1lsP2OXndK;zkne9;^56>kKy`)4KY)QNRV`8xUXqpPK7S*>Lp?Vu}U!# zV6c`E?pGNk&f%lE<}Q`eqyy08OmsHb9bi6BBE>>BMl0Y7oFoi4E$V;@Qj9R>i|w!j=SDQX?hD z6)}-+qjc!0=$dwARD5fIgvG%GM>(u*ga51&eK;x-TLIH4nETTXN_!P<9 zGx9esGWrCPeP!%T4I$h`ZwQB{uJn~6q;4~9gWUm^3d;dep5?b1^wDJk*2YR%0%`DzxD-?(^ zGE#>w&nogmEaqv0oZ(!xC`wFc2)bPeK20zP3UKy)Eq}O*Tze7F=T9i1!>VHo4l({I zA_8~F1T*kp3 zJFKloI{a`Li#Gp2~xbcyOiQm zw73*04y8cR-n{+&?|trvIh&m`p54u6_Uufq!pjExKELqTY{LSjZ{{?dW%dVFz$r3_ z!a&JD0=HB!a1(~XsplIoj#h`ZlF{`uG#9PmF&rzG799(nN;KKixeH#~8H|=)p8(Xp z>6uB!65o3=rN=a==}FW%cZi<>@l4UhBpND{%~-0xjRy-8P(22(;~U14%L2p;m;3#; zhZ@LRO2Xl5)Kdk&B1o}m5R8ItKwWPj$tUl{_N6JI1Q=TQX=DF@QiaEN29Di<*`ruA zJ+`XeV~q^kB79l8kDA@>K0DB`=3@*NJaJkXjz9o~uJNx;RNqcEsCvjVEg~%_z}5r! z>DNbVyHzS2gzp9}#>nHZUaIZ#xs0;!O~*odmIY9gb{fEYQ4#-o4dMh1bNl=|yFh{; zZHTCq4%nNVjVnVXjn0YabUU1q)EI#E>Lxvu?bJ{m*#??gb|A7g3+wszRH6)%pef>H zO2-T?1yFv9OQvP~XoY`fPXLUaLr?%pe6f4TcxGDVot(hYATn=tRAHf!2lyA+;BYY3 zNyUZnZX`fKzj`8WPvZgrz{ct^{mu%09(?Q!bNUYZxj>=v)3SZ(Pr(%Sz<6c`mx3T< zBqdcYjXuGy2dHfBuFPP;X1tW~gBKTTlE6M|OTcV=Zt(0L`(@N(muNMk1B;C6)7%Vz zYMg+#5ewAYOEB~vJ*|*18Q;P&jJ!EYte#~p?!q4XAGt)_{|EF*Jm znT$Ol3oW}|?t!Ek&7nkaG$%KXQME)3R5laNa^L$o_S152J~Ix?invmyT#7_BrsI7M zIp*-U=xX=ePv4Cw5WGDcSC|q2CQP8ST-AgST{koTeb;Ib*!I~QEb@F;`#&;yMt$|=O z-yNGD-P`F&>1wh;Ao_D34r=#1xKKe>)Hmu~$SxxuEm$ZW17lY*NtR#g7Kk+H@xI)g zux9O<=%I+^-*v^Cp|0sKO_R=`9&I3=Fa-UVmDF51S9 z(#9%$CFL68@F;^RF*L5KVy#HgSgn_p&d;|I^x0MH$;JZ}97|Crsn2sk;AU(R5@3g{ z8@ClFY`*d`yts%clt_sB#9WS_@kP)aAfDw*6_MR@pa8hAUZ)mekAQs;{Rs7^g^*`F z1j*im;IP)yJWxm3u%XEw9{Z_hf2e}djWGqU{!uVsQSPq;K`^BLOigEBr^pWM!f7Tw3tr>D9RdbZ8; zg*|UCN=bVsvbW4O8(~kq7lE2@_8KN_+#gGqS9DncJQ{Bp5?x5Va(lMYa5hfx1G4X? zWqFBsRgWE=Nr_&jJ(R#5aXE+h+8=B4vSqqIWyePU1K zMZiTM#F)??_@zT>{vk(APym`HP`rQ6s)ildve6^ZSbmEnft8fsLLf!uvQro32Or_Z zxAwwnFT+Tu8nhaJ>oOVmT>d#?O6O|uC=`y2+P)z+x zAhKK*E!0bG?EeA~s-LZaVa564WhV)en$rwW`r8enN7LQ+(2p7TvV|N6;9!Vm@N({e zE`1(`!VIyBYR8s+AlJ+WSRahG^9t=@wXXKQ$J0%}7>T2;(qvR8AQ34PN4%S%eIc4y zK?pS4ygzuC@iyLB742mzN1=QUdl#s&^pE#!`>QH!p|eGi)-T&!XlLY#LTbN>H>SV( zhSOD#w%Sg00#Z#tj-IY&WEfUxbsQ@Y9so@KPv-GNNHskmgLga7%43&s9&u9f$J;k>z$}#@%>~nEui<(LH2aW@%Cz#kLIC*y z#F_-qs9rsyb(dVz*QvH7Kh;5C95;ld)wT)l51iJk63T0fjASnr+!!8f;m~Eq(Ey!Y ztog^7%xup+!4XC`!M`wO7SCdFfN_JH+6^eDbXjv0On$qTL(8!4Q$&RovMj*~YE(0E z($MCoUZquV4l#F%!JHY{S!SJEZNCuvc>n_MD>ob9TQ7!oB9;A(J^KhoBa(RNP{y=W z5)3m?;_Jctsq+ zHzlD>2)~iVbEHICm)Ck%yit4GO((6MMlogemytxo$OZJxCX|w4=ei9!A1+;s7rQ@dR60nyMAzurrW zp$7m&VvNH0T73r7k+lh@0hMzAs(~vf;yCkhgVxFyp-BDdU9Jey4f2SMa0eA!1n2e; zCp79M{^zpZ492QWgWx>UqYGn3|2gI_8M50ef9A-@NMeU zffo4g*;EK{6D{XTfNMcP;7C(2T4irw<~b!XJe12IA4gV33&5F73iv{5Pif)g8fYQ) znEo*#iRVjiYG9)Dkx%Ij9@L(#FdB`w{Rd+jy5f^_w3s)}Xr8`C!z^G1P)3e&1q-SN?AA`{z01adMabkYFa9(IM zUIhe`yejkUUcjb>N*39M-4sX+5Qzp|Tb%Wz(QYWdCCb>ZNSMgy@7v-MFl#xo+-M+7 ze50cYqZ19Bkm&Mh1b6^k^Z-)|9z&Vsr}Dj@JB4#v8XH(}7%y*zR_!r$r9b@+B9^No zBug{6!F??MPsAXK0@d3iMU&C&~k z*68xP?+}N*{iDVlzpm$vS0>ltgG)`!d1=2*0OARK_7Fg`;Jo~&1>W9I!PE2C(33CJp~% zn__=)F5LcQzj}q}CA#pxeP!tP*$R$gi!jiCZ00mPv4CNGZSDL^CD2gKgrqzOb%>Kmy7#n2fGDn$-$ zOGi@qD9!NcI^Ip8ouIw_If#Zj<|q#J!X)bHKH%5}07E^{s$id6IfKg+3F0|=edtJu zGmraICqZ>=JV*9VgF~Q=qq5ihzaQ|8$JAw69kZh- z32p$(HrKBm%0CBYne{H-1;g#=sHgrk@ElVp{@2AEocLl-5)FVqs43DxrWlwoDALkk z!&UIycL?96y)Z6#NVcAYmI1_zu!t7iu1_=NjajV)qquBYL?kbZ0yy?C0(EIAt3IV` z5N~SoVC)Y6ln!Oo%tm)~Bq=8XB6i>Vpg|utaC*r7NV3MfDDtBdWBS!upNKzRXZZ(i zvl7E_OPSlUyiq+vfbwRj|CK!PnCgQh#SMVxBP?i$k~IwYJJSSDpi|psR1{ZdHb&1u zDB3nC-=rTCt!zu6%`_$h6Tp{AoH*)v*1?o>g@^x%`dpEC1krA>4w|B}pR2$b3!%5w zV*|a)WqSL<-cRBgAAeqTyM_{2@6#LnNg0^3o!(7uMZzf*iBFnlRxsST&EtIWl>=zd z_tgME#$F#N{WDGd^h*SshdNr?xK9@F8v`n%_-iBs?~c2%k2u(>XSN+ls`@R}s0W#m z6UB{*i*J#Qk2NQh@I(aO(NZ*Cw}1`c)0$ft{2-@`#O;vFp#+@jF#+~1k(<(bzA(rW zBelFB0KnJ8nvOHS1w>@{4BRQ0w4v}2*Snqxyg>K?ZPhsSOiZDE+hL8kcIk#MYYNlS zcXr$B@f>pPcy`J7+qZ=47k8fJ7>u5c!Cf{gED-&x)1F z`rkZ(neVcaWU7VJfWj@QanQwEXF%oQo@+&R8Op5ogx9*-3{`&OgVB(-wJ*(Y7$Ja?MGP@? z*b{Yby7&ZpAvBfgo#@OO0B{G4pjpbd3RA2}t-~NAfEN%SNxPF^c+Fd8eort6nLQ(9Mtn~FY* z$j`6$(D&~##D85uv&hFNnh6`VS~{V{%Cfpi5&_!GZu2u(SCRWnJyfTzxCa8-5IO46 z3R}+>zwf3lGWM~AsA7kIdG-|ErWPUe^6Bo-F{?$T6JA&?jmhA`iu0st}kgS~Ik<`S!*PXNXX54{BwQ zXGxLi5C+X3$TY!vV@v9OTAzCWRN=NUsOO~67Jn6m*A4Ph{sbfOg{8fb{GoHJ0%rWgA(g)q_e+jjaSUPdav5NHC?hPstv}>5`}} znJ*wp%V6zrBVCcnqW%8q_Wbm(k2c-L9J^;5Jhlsr06Q(iSEoeNYV7{TT{+)h6f}Bn zkr;6Di)X^nseZpD9o06n9UrYMm7RIr3t&l=Vt<1w`6_f2jXg$bPSLZKpnY$5^W^E2 zy}T4!?Nv^tqE80(9e&1g4th_W(PAEB z{arSIJBH3)_y%on&WRLZ31+K!0@8X(U_rqjQGgGlJwPWeGTyM zEnCeVS}2VD)??yxVgmlCcrCF>lXT$23q6;Ri`CD&=!#?%5dpTi$EAzv<&g?b>*tZO z>*e`$jpyHFlTL+B^tQB3N&v_AqS?ezXxUyA8c-_BHUvNL0Yg#3J`v3A(ZNrA(2%g( z?0VVST^~*8g#_1%0E$<#OZ!p+3wf9A$)k8F!BrWx>tj%pCY(pgfTgBv_$M@)(Do=dC7joAUy^JrzCNSspMDl!q ziIWoCo~wIwNriXW8#JY|do`H8dG())@3OV^%q}JJzZ4(%h_=)g-DG#YGIU(EM^xHrq^wgi^!iJc6}m2Ww+S`41ecB zHP5(F{&H6Qzs}+E=hgQPH|_fLC1f7>$j2DX?XmoSXV+P~pyzAW<5B3wxK*oF^EzxC zo+O!I)*i8(HOT%-4YLhfzZJg$up_7)hjw;n@hudKJc1@2jSBEitOFX+b5Q_ypjF*J zZ@}e}x7b0j>r#Z~YVla9(3B~_m@Kx!XU}qPuUw?Wh0x)HyQ^r(cS2~|!fsM0F=j6g zFH+0xjCVDih2^a;Ydl&a43jk)>0#$-*K<89tyPaTI_IN|1P~3ZiuU}z+Fe02UD;wE zww=i5Ajs9I*QuhcBw1|b;iJ{nHWO`8ktQoMABFr=ixor8{0NSh* zHUHRxB!v3sEtQf{vmI&2)f#qe_AOWSqD!$hkYW*AZbC$MD!YVar%(|Y`p-)O0;xH1?nUKCGKhfrPA>L zmLKZW+1}4%@#3tVfHt8;7uXAO0Kg<`P)s!)w`?K}_Td3tZaL!{bKg?MXA3qA-*s=! zE{fXvq%Kn(zx9e#x%Q+!gaUWa-UGZi0D=7?Q#x`D&oq%!hGyxru&UyoZ9+N~N>BU@ zbs6BVKPpjmhe>D$qdz>Y6|^dR*ca8|EvHh=nAJ*p;AlKWv~5Y$(j~+oq15)^b{)VZ zkPIFeq4Mr=uAs;AeX_H!(KL-dQa|oCblL}$@JC#s;&X}O#^#}b`!A&O^$ZspGEMJ> z+ScbIQ+>Ktv1&IE_MD-BePeeQr)P&|`kl+gTrQ4Yo18Mg zR0}dCiLgpn$3uc`_=#7;UncmEb#;%`;EIV{j4ZG!i{*bkxK^G{bC*rk{sxtSb z>}(brXMU{w?j>fNj$FSK^4&b9F*6=^1pK%dt)2G`)Od#-wq86^DVZ6#7ld}<^gE!% zZc&a8;8cCUB5(9gi%EK|32?=`n6pX_vaOeTg7x`qTU+Nq6gpshEdp0VXc7A)Y08!vU_g!eXDUM- z9=bu)NL|7JvzHrr7Z$r~`WAclNl;Oh#;RC^@puj(b z_=0`>Tz1~H&r>4X+V{cfL-Ir=>l{O=Pt+fJfED8jh7w926e(v09u7Kv=wo(Zdx-nPFWn!TQ=m zi7osR4MXucXafv20_Z);S<#H)#UItqV=7qmXs2wiE|@%^ZrY$kp5+Tz@U!7t==X_Q z4#F(g@i~5-1e3@=L6++RTo3$65j=KEM>-W41raZl_bqteq6078Z~sRtycMG-3WE~B z)v+@w8v*(TaBhcg0d(VgJX!#)5S#sL8^6sjI@k~; zq&M7Z=M$+yA=}e4`BUi&5)xcF{h(j**4K|@92dODLBQf<_Xm7`FYUO zqqGZl{vUgy!1uF=7yr4PGRe6OL(@cx6>x9f?S@TAc1Lz-fU$_IjRh6NrsZo&zRuT$ zkziHnD1xDbUj?gU!N|U(S#-GK9~}GvRSUakac|!?C%C8rA7)7^WiMyz`o;yW=+h&Y z3yKKcJDDIytA4lTMni`kP39j>06S>5PLr8uf|D0`+))-1+!nlWZzFD4C`g){VhueU zdG?HmhyhQt;LOW&>7!7w`5&DkLJxH*X~}9$sSaACrqp-XK?5H&)4w#aShHQaP)zHR zZHf?jDB0hzRy?#5fZmk_wBAww?!;ohHT*r|rO`Jzm7Y&!oQEjKxHR)U?fE4r5F0p- zX_shkq^gGK#kVuOL6fkAs{0CR^xx=IS>Z9iA-)Sd$m!x+&OA}7N`z~LJz28t zLz1^r`UGBIR-gluNie<+=qNM0PB;CvAY#}=UA6eI!n%H!*s%1O_cStD3kzQ$eF|9m z$5L4M6*{7Kc{BW*i6NHgs@Nly-WNP7=(F1(zz)#t!t9-qp(-a?nm!g}>nn6ATraGV z<&B!7@U9@uw_@$=ZI-H)_ds}OHd+yB2I17osLl<~Df}3=Ot0O#E-L7X*xLdU5EAj5 zOYl2rjEqW^by4zL^bk;f!wJILGwlHQx+ak4o94VQL*H}KA?&=~$D6W%ux> zTZFMrMV6a*#|x-;2~Wf&&^@<*El_x-lU^(21Mh z8sE6{OoXWkOPjBB6W6kjO<#LMQ(lgF=pT=>bepf8P;yMLbd#%qc;C+m4)d|jb=~W_ zEc4cmF2ie|vFx$&k3OG1uJoEd&gRi<%c&n!ZHD=zi0@xv1!9cSc}??xtlf{D&o6)RAx{Z4cKj2ka;qLV?PY5%YD_mY_rG9L2iF0_#FuzASc5 z!f!%=X6x35UoUt3hs}I;L=_&iPpV>WR}8`8{c!(I3u`DTclz7@syG z7Jz7L=v#3^Dxz-ee)#<_cKn~pez8D4WJ_=(eH?Hdtwsu;9ZH0WP817VHu0h&f>L32 z0Pmn*bPM<)aDIH1D+f9lX6WI`2|om+Lsj#cCJqQhiL9&n!H)#UQ6b>s_}^6q2>Rjd zO8EV7kJcabnCL+!RG2JoVqj2{@fJVCx1S$B9(MpG3rdGs#KVBn$~p5tt%?h=s5vmc zHC0W%p(hz883Y?tV5dlh>6&fG5DldDt}5V1lEJPqt$SjxXi(}KiQ|C7WUu?-ug5m{ z@xT9+jYpA+46=rldH$KSwAqa)C8cXhj6Rb1D z05gx+a6y7ZF+dFLJ~$e>H53@h51|XSra}lUp`5+|D*i)lj6yu){?WitL_M*}*eLxc z7NRYvF=HvYhlM95@yw*BCiTuKz%td%t~Ka z9Ev3O7iw0Q6(1CNh#GK-qJdmXwJ2-Rw)i(jlYHWUGDM`iE7m>f{Ci+fn`L%eX~mkSNLd> z&D+46FxRDOe&n6fV+QPMly(PxBXFEPI9mLGVlp$ghJIY-!vCW|c&W+&4ZTand{b9GZg3&O zmM)L{mcZ!ULxS&EyM!0yP{*$Md+UE7NR(ME0{bVb5jCX`?+N{U(;xbIzdw`}B5FBo zA3wg3B|G*X7}m(d?z(6^+2wk;`yR@3*Z&VbdBl$!0?{ts!~cha{l|uZJ+@GX;`)C< z@Y&b`3Jl*d#_YOeqHLr7A8^sE#r`u=?bgh-OCE$jZrCRK>Z0*C;s2lkwf#RJ|74PX zGXk~k!X?V6MnnI{WpWUA^B<_S^Ze6kh{<#T`_Bb(2*0IjMAEpSHVkDl$NvIhGJ>N1 z4}Qhna6+xRKA=MI9~8{lJN}c(8kSN0|A!*MFS{K=Y)A-O zw&rpPTBcevWc_E3%Ni;!=*Es+uz&NilEVLj(1CK={e&X3+N1r;a_D7GzGUG%r?90Q zHc?jf31tcI!J3zIHKy4uFQ=dU1-YMC{y)nK5Uj$M1TABRA+vV>EE8Pm32h|oI3fh` zCJj72uk&(U;=7o8V1lXN*#${#REjGOq=s&68I>-x!XT30B!^ISPuDPu0_eAwM}K2M zUfZcP9(_q)Hj^7?*KJxXvC)sC%|6Q}uVu0GOgI{*?c|yBE9pSQX8;tx}LxH-H&hnKK{Myeq8>0^X!pO+G^^D zszZT!F2r80Rfod8C=$z7sa4xZdm8Uj3Rf-MN!u6y)?(B>FVg;Z)Hti{7*qKne0Z3L z9-XJ`FPdW?Zr$dAMctx<9Cks&o3tMmlS8~el#scaMq3;FpoH1L)Yy^NBSS+!c0Ph& z(@r6s@gi(XzLd=BL`)kCL$|p(>&w3NOJ*07*p3NeZOPWN!rz8QC&3(MUwW|r^x~`L z%t7QmuR9J(n2wd!lIh(aERbw~Okc#2SdB4)3g4D{hD*>Lj!&$+q68o|LTQ|XE2R?^O#Y$jf+)ns<|KYPhIBrM4~#1b#tX5vx`hn zo7|c?#;}SQ=GPBgp%T@@TG)yv*3m~w%pa_aE;x}%@i`K2rZ%-w9+QciY^n2MVY2ko z#=4}sSU6aJh0Wa{i>?OpUpG?Xpq#2a*SQfXSdmtDE!S4kFA?YkouvDGzixlQA)-Ur zX3^CYBjYM9A8_MO>p@>q&|zv=nDdtal0JbH)&&a`4i#}MZaIdw!&p+HS$#1xjpj13O3_JG88!tfn- zLC8DTjopBuBzQJI?Hf|&_opDp>MvLXLKfN0sN^X8rjn9+cPbXu)}$ZC{atX(`2g`W zjpN7t$-!6U!}=r$0lqfdw1w?bIPK5Dda}j-oS9x?SGliLK@#YgO%U7Pe%HdsfjY;r#|J#67|Np_?Q2JDurGqR>OSW~nCpj=t0LuX6fn&bZ)sZ$ z=+U$q#(pw|9Qsu?YyM4v9j@?8;P^#@jgLwlY%}PmwNDGhxSdi8*HuJpY30|a$Qcj0 zX?0Z%_oy*uNX8o#a1)i$fu@#Hi1)IouT;c}!0sc1*ct_Q1mk|wC8>~L)?fv#Qej`P z{q--bOgp<+?6>P2k)*$ww6o%v5YQdc*6^ABb-fJt+g$zCQd)VK(d2atZGtIglS%mV zPHnq2lXz}D&_11O05cB}Xwtzy+Zpdx%jAef%C;`eeMr9PJrheIe!kT0V!}_(hZB0e zuO2DKzH{B)WB z3Jh05Oqql=(|DiV^{fox-BX6KD3!N@Yef_29ifQoJbGB2KAS^gj8k{n*|^?nVU-tS z0sEUO_4L0r%V|WqX^CU(I2*EVV98Rdoe+r7DjmM|;K74vGr29uc)vAd(=OD{TUX&K zd~!cwY;oO3@+g}`zTJ55mZ}#sBs*K81%Krl-uSYwA=$P4VP9`&F?SuAa4DJUJR}-T z_Q-oh*9-&ucgu;a5fzEKY^Y$De!FzCS;Sd$<(|BU6fXjSWp9~;FHXdYTAtEh`U1sj z@cdhUL~wiLoyfnWXXYunc3Peg{e|j8!G!I0bS>NmKJ|VJD)Wydr)HFwa@aX@Vki3i z%*Li_Z-Q)_xGu9>7jf+xrN(|d;Xr$;I`e>uLkTk;6uk&(ih#=HoN{K^*i2(s=2Fwo zN$^9My=RCKt>@Wp5UZikfT55k>cy3y?9}sIx4<#Eq)H!nxZo9S+MUEc-G&C(>Gh9| zj_N%W5u*gF%F>+-Ud-P)&R@brgku3j(FRLCeDYN{KzKwN+@(c1{gl03C& zyKNm|qrFrYNWzD7o5zpqRCJv1>lWVTza~pZ=X8$g_g^_`9s>CQkiu<(GyswoXu>b4 zW10tE9Pv3D-7LruHLRg*x-Xzz_ppW;XGxbQrkw{=4auq<6*7a`vXa2ioTCC5$cjM{ zU>jS9MgIHCIJg^d44juTv=!&Mn*3&XdI0_d|BCmXC|u!U0{HW7r%&l$p}iGQGG!@v z=;$NJs!9TY6fec?$dv$yXn0LES*J(&E9X~uFSo`TVaY@f0F3;|1X$TvguirfmfI=leWuc}F| z+&-^9pk+M;{meLi5>u>m?``(2kOulSWNY#B3gR0;yUrIl(v(hkRX!|5LUN#q?0`x} zPyia97l#Jze>hMBWbt^cc{;SH~RN4lT_+(usddm=d$G(iSDuGu3ZR-lh5S4DVfURp zOEFpKpdx9KPZD^=6SoKiCDMV{-LrUOl!=`%!7(SI2WLQI1>f7&G< zd0^t+-y6F5+{-thT>~b=I*raZIB}wbv3kskt2o$9&7Vr#3y{C({Jdt9TbMSIoJn+&S50~aj z-WmnOUkC_He-TBC6m13@AB3qL8aWYlR$T96$!+NG^#tWXl_rms$rt;pa-ti2a+-6J z8!9~gJ*Qir&g3sPX}A@olFMb(wO@0)!x7&olURP%)uyKKhV6Px2?8lW$WPa{WUDp>J>`1}X|D~eSM$7|M8x%zht)$RG` zTIU5&tY)xsBDca2E)kA z8xsAJgArYq4k?wYeNZ--St8u>!;!>ODB%A7(yg6zWg~ZX{U}Sln2E9YMFUOJ$2ut= zJD&4;@@d<(lLGJXwrpq^tT<|P7J{`MJ^pQ)`z(_pX({-MPgE(3I^zctrF3yvKSbtc@uq>jxKe#@eJ)aePY{?j zLFS%MV^GKHDI_XSNBmI<9u(c6w)>(qO+57WJ0}gsjf&*H5sm8JyuW)D#xSGcoYbEr z{MSXGa}>9X=5kbvisX2K^7Q`X;@~KT6QeFnIBnu{rx{C*u*2)3bsL3J1OK_#WDR{w zx1WYj%h7Hg$K-ys<5E7>S4{Da`;)g-OSj|7VK3`{TC7rAJmr*IO8VZxD0_dzN%8JP z?6k_H6wUH$OMjN^W^|F#?{@gp$*h8x8eqssIe*MqBe!4G_BA%U zV(xjg$^xcb+qyp8B*$%oGNqvi`i)Xsv53^9w{oS`zDM1~smIwE<>G=1BU^c1cWPJ- zQ9}-c@hyXV+D((r(}k?DpRWqOInJsu!MwFVqp#BWl=_l`3LlQtpSUd}B(~Q7%AsA} zot9l=Xb#VPI2h`7a`hF_Cn!RuAMgt#-4hW6rr8T*3!1b%`%cyfwhNj;;84bJCag7V zt;AoJMs^zi%7n}jh0tUzT^gz$?P61~(Ej2i!+4O^Cm!veMFPB-p+B{A=dH}$VG2W` z?}-HI;)eB19f_6Rf0^Lu5pB{u$YcKiVk8J53`G`*+O~izWIi*U@9Qhaaqwcyc{2UX zHv(S^(<~W~FL8Ys^gzlQG~-?|uqVtteEq5%z`x7+hhcjd{BmfOZYouMhHk=rO+~z+ zIpZk9#WtRA*~2|-B;#iMILtsw26kX$`k5oD?VvpagVY@->=&-RNeOX-bw^{|JmB0 zaCauD?5D;$Ov~|tf<4US6}Sa#-N}PX1S}e9=_T=U}OSnbnmTKdb!ntmgT}bM~J&|WH)}7AYAzv$Q$U?MN)IV;N z%|#OL^P4|$pp_{?JkEeR$!B66dqAQx!zg=i+}0;Td~oc`7M+1Q_XNzCi(o*auFb}4 z!rz;H;?trP%fzN-{D6ey`qwSG2WOO?VzF9r2Q4q|3DWDWlrKSBT`Q^Llq@8BII89O z|NF40k}qEJ3>JS8J-?qXt`#2@yG56|P%FNd+n+DqO-#Dy=`gS*%@|0VcoD6K%Eiyz zhR0vH_-Bjn3Bzu*v)P*Rn7w#aSSI+;ca+-`4RiV@PD8TAwN?taN%j`NUR%;$Vg4)= zq#BOw6JBbsye8PV$o4{M6uiRotp3spJ0*RQSSQODf97WJS4PD(`JdDO85nvUyJdz@ z$^Mb@<{z%xE+N5ZA{J*rf{}w_k0`2EoUI6k@=}F+!h?mR8TK-pO;5)wI}z;uNWAAO z7V+Zw#>qg?mNI)J#P%>nT3l2d2Mfr>)8tlK)kW^W3-G8*d-LIy^9i@!;qG&T_tUOOF!2@7ui(T_#BKt?ly>(*m*Z_^>s25;#El_ zm?f?rfova(7)qi1qG{t)KNNn5UCVdNf&E+Cdo%IVLMvBAp!c+C{m9Z`0S1mrM2yj3>qz`Quv# z?OrQyiQD?hO^;)#itl5EtM?7s8Dlw^d)5Lf#+wu<&@nA#Qf-I8#0?m}>-_@iauiLe zjC5~o(dmm*uBZMQMFz$u|Bxw)6E{9ZSbS^lH8AC7P=@hSH81fv39t~c*AqsneeWx~ zDV4vLd+|<7joL+-?cFPqFtUy-r3vpOHrLyzcWkbb6Ez(1Y{IU=ui@?`nJh;NO(TNS z>}>(opc0}WJRq^*sfTqT(;^WUXGy=Y_#D>jwx3fbWtULRmV5hx-1YYk)jY#{)I6)7 zKQmDC{jPxJcS}U-6Hr!(o5O|Q+7mGLQ^*iFx#j4ugrJMRcq!kuP`dvVvsd}-lPly; z&~jdU%;d#_+4a51LZqS(fe!Vg$RgGgpVyix=Vr{*GP#FcUAr{oP3LBBev2&FZiJ3q z4q5s?Ny`XY0W|x+5Lb8#g~-2!?EojCIXJ-#x6q z?cc9kga$v2^Ohx~I>$@(iZ=;jL2zrscpOaEeTvBh1ev2f5=j_C+mjv9lN%goLk&Ou zd~qE9cCof((Jg4avEAB9V-xZBI^gs=j3C-{5cViNJ{;qsk;C0OKRcXv_~P4L)bM%lHFBzJgie^~KpbZ%y#c~s{yds&8<$5xoahU-aMkfzKlNPVc5@cHk50_H^l901 zR6S6v-}tIja+japr@Oo2aq!uF89kOu;tSX!lqV#j$9e4)7PPOfKmF3@1+S1I;&VIa z<^k+th$899X18?%XLo!UVVCl0PV&khZ>9m%-NQjsoiqXU=MR#2>+gy3k&Q1-c~gln z4%$MrEMG&I<)?O(yM>|3e;PEea>jnjpw+c!MSrc*VXl96sNogvc5>^|tXR38dOo;>KYW6z;Kd)FcXBWGrc!ll(Mzk@ zut5HwcTWhFSL3jvh-(iqylic&v0zaKt0DY9x&9A7N~~}HsXt0?=tnQa1vT-X1f+~F z1HN8IDOMqBhEYY(Hg0IM7qWeB)!-ZWkAP?IQ05I*;ZA?l=K5Pg!r13Vc%ICGGE|e9AYgBUk0FGwUiPykb=!b4Kh`g;TtnOjjNKy1 z6i_euV8e#1=L~ePT@6fCtB*sw<5E4*%N(t#hy*V!SbUz*r>g%zur?$P?GdIH{dQl8 z8DUEjI?so!vPuAqt^R1=)K?NzKyGDR7xb=ke~-*WR2>pW{%Lx_&$eH+Bw5}S7AcX? z8K=n~VK~NkZz%WQ<)X)q`S9(OeWy5jbr^zq7?zv7YAkP?9#@?F@o?!x>D#C8@aUdo z5%g%E^0=w6^%dEl3MxWVOhv7KoPeO+sS;~Hw>QO&Z~p`!;<8paB0LIEdCBJcuE_7~kw`VS5XDbIdQ(mE z=)RHtPGF>mj;-q+M?}Grt+LQGWBWMJ{8d{;hKy^=dPf$$DpTo;ShDc}7$bV*ylq(2 zQywi^T33Ar4nZlX8C}k2;@06*p<14HoI9mX*OtwaJBICE*D#vJP=8bbZ08*IEFTj# ze@BuWw4llp;obBUD8k9oJr5J7o>n|h< z*F*L~y6uKP+o6*gJsqb?y?dSN?f}+!z4P>W_lBDfe6ZwmH??C4%mLfG$aW32EK}+k zWXD7@5*OT0Y8?9=8%ij2>7-8Ss$wc%jrf1)`s=u;o;Pq5myl9ArI!Xl6zPVgq`N}_ zk)@^kDBUawqDx432rS)_BE9620@5MUCHMIL{9fPRy|4Saf1KGlbDnwT$(h-6=9&2{ z4YZu6^iM#iTWdGpNs8QOJw$a*jw>q5=e@IT05B&;V)=q6%B^+0P|bV{fR|wl;S8RQ?}Ar z;+IJluEY?T;X@0LhPnK=FHXNRKJu@jsV*8IU#aT*5ZQ`8*36cDkkO+)vXP$z31Mi6;WfbNlefZEA$Jy4H!nPyd;X` zJ?&=k)Z?xE-07EhjSzy|Wfg}1_G7dZzC%Xu+A6Th8s!b?$MW7e!;|Yqebmfvjar_0N$S_C`;|u1mQEm4#{(;Ikpz%mm`2C|DZ#+}UrviiX=Fv%p zll@+PUgWX61Iy`T=h|8Dl=DRJ+&Y zKq2+#%cOU!B_hd3I*i<`OF^uU4%fs^$w5~3ep3}NrPvpY2xti|>E(G3}umgS5!xJ515TSazNvto}x z6309pgYkI&^4i=pXwfV0u5W4pq9AzXgLtwdKMxqL39Vm=rL9QWtF?SozM?@merk^W zmUHd&Vd}s>swUvKRHo(gn>k_Z2Nc2FB~O^F(AQTNy4Zfw?G$ZhtNezQ5zT%1V`K_sp*n>iwM9;h9n;#PG{eL;2PerE@4$p?8Cu4{$V|QMVSV zeTldc7jvD<}rh3?<((Rr=#rCX~qd2Or@Nm}duPM{WFrIQe$Frm2abHnJ zA)~R#ygtgiXFEq88-nw;Azzojl&2!K8S{U(DM^rK@~-lS$HjDb{;E1PxpWK~^Aq|z zVbDH}+r+S$mJ9pLytqjSgxI#GriFa#HNXAWwxZvk{ z$c6io(dXFHQI!}aaB5cj9MOdZ8Sb*jNu;?$C)l}N_&=I(SONl#6ZG27g}8n-_YnxA z^z{=ZpCg*eIc57!mP5}aA2r!z1DldcPbnVFqkkp+_6^$2SIG3AqI=9Nbvf3%kuDbU z?jpww?HV(BF0=HZjrdF7nO(vdvS}!(E`5rB@2!2q{xCghewIoC+`)^ig#qCc)YdP9 zXI*h6SQCqY+y|tvy|9O;V%&;;wVz5YFrCX82As=n{`H*}EN#2a&WnuR51m??I#`J0 zmmmCTB76R+vVBX2`2pYi!RSw3_8#x1%d}h`7kM3kpPdDq4%xPli2L$(sb=AErDE06 zqJnF7$ZrW0VczCmkJB8IPcaHY6d6CB?cY9kAMVNm`)}Y&guCG|=bd8xM#XdMH$>+; z%V$!zvI>!uJtmRlA5JACwrbK-Bi1r>W{W=Yn%(Ej6jQfx+)2tBQu~@w)C0NmU6S6s zB9=G`I2X^quN6xo6QYG3?R<0|$hwJ2cyy~oFcH2PJ%oGo4Bt{rOlC|cqbefljOF9- z${9wh;`}^-JY4#zX)v<1am!)p(0qSbd~$LA&ZhQQD$iTf75hyKJDr>aT%534&swDS zR?54@+=E$U;;WzI_|i`Uyd=ktphJa76<9^f8q*{5e57#WZEVUkx;6f^Tpm9+hFdm} z?GO2T4;1S@6Z1LB-1d|lLt@z6NYER6eJyQ{Es_K@uL;z$@BDa-TQT^${6)U;QYa4H zoB$g43*RN9;HI|_G)iUWZk9f9l&*hihMMK_D5Oz%DPY*miR^atOzO!SI@CY+M6X?FMbn}x$Nofe&jV^@~~zF#ta)t zJ7mCM2k6zrm~8YgVe7q3PWqSedpZ})8h@WYLT=44v%5a2#l?T4m{gMiq&V&>XkmLL ze^B>)K@4lc@2Oi@Q2&tXh28#(12Qz28|B#4)V09EC-U4V?UN**dSo8!Z$6QvWr>1c z90+paFWYJM*U^-S7}uugR%GsEa>>QVbUNNKRngk4e`Q0<=BM7=ATD@is{MeN4W)|Y zB?UgI5^&rmM#IfeXG8hi`UuqB6Lpi+KbzaREKB72oxW$x&BH34wc z9gCPg7Rzt=cApoLg~ie_gjbT$O8V=ylFF}Alc3L}x?(0=8)gMTGR|!Vo#%MdW@3Sf z{vA_&#$v#zeDxPz1!Rh(G1r-1i+Zj1^pg%PHTBeWhqPr<_+S8do2My}S@+{Gjm%x& z`++Xu+vA8EOF!b7H>n;zYtTcNAJT@}+dA=G?>z#{&Q@0uKx071p`+=3 z{&9ru3B2qVwcif9Q4cmSm8;S@g_->QQ(3t1QtuR|o-3Y!N>M$}egv(kG&@^o5EXxY zCD6l_lv8hhi)qQSzwoHU!_W46rDX+riU3leO-1^VpYhD)J5 zsx9RAVf?G5^W#X5ZB%F6FT?k0?)T+xCIBhtj(|7rhilXrq3#o;LwTl5fkEODTR!$j zFSp#udN;xXjl>pUYbT`xvhjan$083)&*=gUE0f4B9-SV9Tg@EZAFFxTw#J!@BH9)g zZs%J(V6bX<4eZJr{CyZH=>%kBpIqM~H@apWHeYY)pv-H?I3AGJ`f5-ZBU!t6F&Qg1tw7?ZqAtyK1V7^`BqI zWSku`b>x-OB#Oc$A9}8=i!MD^^9zPda9ri&-;$9#FvnC4Uz%O(adK|vEg>k&HRe6Mg`RL16DerLC*5`eFSy#H;;>cv8q@00ShpJGW zZ;(eNV=hK>C~el2&x$Vj_w$r`<&HI$|8!5WL|KKW8L)mS=ltDJSX8*%81Pd8rsdq* zRrzfIkAzH=*hnobZVQ&5DrwF)gV$+!_cbdckK=UzwW?T${(^+ir}1YNQmC$}RT4|F z{)pFFAEvUp@G9HZl;Z716Ur0;xOLQ9ONfo!zFuSRK5sUDnIT4=uCK&Wl_oCqPzT(f zj&U2>=9j-eiywh=Vf@nb%diR2dK0&HqM$rBWZ^cNzT>-vYue|Gg~Ggdea@r%s}A|^ zc~fcFXo}Kz`)&^XJJ|bW2pBnsPwzc*^Ky)#!fcj~R~SE0nQ?Gi_&Rb0bgt(Ay~sif z^1YND;Oge!GFAsz^XtyfTz?#O)L9~Lu_VudCiGh%R&EK#qlCI9^sqngO4Vs-r=l%`JfKI|F}j*@2*Z8MUFk zTX8^w{8uF>zfo(>cBlho6|SmX`kgM)A(`JI`|zztJm%T`GWy!v6{sFd8Dv&gkSrnHYYOzpFz2QS7i`;J{`P`<>XJp^At56TY;NNnF&;hH? z1}MHHBDx@D73tjewTi22a^1$MT&R6_D;4nku8w(*gpO{fIsAxWS&!Gq;iVN`fW$_& z`1ddeQ>h}hP4kUbfEh(%qf^*&A=b6HDMnHwggy^lpW$R1h=F@}+g)=GoHCBx;+I{; zdk&P61rf83(`VPp>$GHT4jbp-CXbX3*B$AOa&qMCqBL!B9mSWq7TSm<|0KTI^iaC{ zO5Wk)8eA}B-i6YA*GPJUQ6hbH*s)1GaEiQCNV^MrXxkqTyrkqX_R;`69&@Tl7^QE< z3)66CT`oYNN`$fQ87-ywx?MV?dkS(BO#!=rlXv@@$&u~w#@e8GS-AbAd!+5Pu20L# z(j#Gs8NQFsO4rfg_xhVkaifEPj@ws>;}mdV}M|ImlgH+^dO#A-zP z!NbuTwv^Eb+;2R7*-GCeYn`ybwEk=0R?aeE;R`8PB9j*^<_@$^-V6#zoCzN5{)oe& zZ#w4*yl(BdUEczC;` z0mWhqk)dUNd%FK@y$FL$|DX|rOjl>y-Vr8uz#1YGIsApQZ|MzC^cVdb-NZ0$W+@GJke2R@daq!*DTV{>?yvUy|wj)HX_o{d399TP?f zz~A){!r{{tBC^)HEnhLnd_7k|vO^N+m@%U1nC}ljxgM}Nz3Z8peuc%x_M?~ZKlp!j zDDY{Sb2P!F8wvx;SZvI1vDg$ta8&RxK@hx4`*+wb-OD&CHPQpiPt#I_WCz_OCFJM$ zOipA~M7VwoO%I!#Oi=POXaKsxZ^j#Nw=GGb72jjv%~>IlsYKTO_)F2J3FvVwO}4q= zKSQUN$b4{C?f&C|So4A4Z9-2Uuhpp56$4!R=9Z;nb=x&YX2$9%8Bm#d z$o|({Vp;8`$-6!6!ec*>|7a6^>5cvSn|FJN!hRrRef57C9l-2S!!aeB!=}W}U;E8i zhk?dSep}sz5$eZu4ae-yuE$5x9&>ejx08H+E3piAYR*Jb6imUuCn`J6vBj;4W^#3aXsDMh1Ey#Mp zbgsys`s3aJkg&$|HShKlspl@6u`E9tGY=Epf7c)1Cu=yq)sbKFZkLIPtqX1=@dnCF zMd;V6T{p{0^+4=PkO4uLW`f1nBux9RCVmg*FD*;69cv%Qyq+8}nw%`oJoE}#bR|-} z^iAYi*O9Q&In48JcLK=5_5<&~z-6%;f2BkZ3JS$)Cb2wkUM@WVRD>#TE!fQrb9bP_eWwV%_q&Jl>k}oBCATw9Ya+(i*j?1Elg`U@iU%%Ux`PLR1 zY?@oRbWIpU@NvFvZdguyME-mLg%dYAikH>*=E?p`YX6Gr zN#&ly$=-Nke+q3#3jeJjqhiA%!KZ!LD}NpHzZd^WZV}8;KY5`Wdd8Qb$jF3y1uRO+ zW3=0*#EaDW0xjHQ1RC3TJkMJs1BwJfBarq=j(ie8ynX2Ox*&&OV>QY+{NORS?w%l{ ziZX4l=i&DnVv<&Vj)oT=_aNy!RQa|1kvFCEfKEY z-6TCiOtLb$u)yN~F7nsWIkaKrDaM2dz&wzqMDBS!*bEEwseb5%Fu@eF;Bdke^9Tpw zRQ9XeXZ%8V46Qao_Qp&jYJ`6VnxwnMJuMx^iZ7vrEEU&KLif3}^Wa*i2{l6Z%knf@ z!HeeDN#=7Tc+1*2$t<#?Mo7k{8@fQhAab8;UtVZn_|%J4Y`wX6fydl|Z z$nZ{CPCS)CA0AVK)jsxTqcK~?2}sEdWBl67nn;!me@_dsLGGn82u_l}j?@e~9UM_g zH|}LhDv@G?{DqMNL&eVLoGuFrX76v#Y>6x=7(cy0)|>tWR=j=C<{%+MEl^vWptk&3{vkMPSKf)HJ7ou+ETmboM7-hD*BVonHq-*8 zvO3CIw#QsmnQ7IV5(x`uv-&kxiXG)|H);pX@YjK6>g--F59oMOMNGnP8)5St>u z%hHqjoNY-o^3Z+@h!(EdfB2>)` zv}WV2efZ(Z&I9J^03CDjTa87j3Vj1D?VQCMRGJ~>RBx`E5h zNme4*GDDndmw1yikzJuvK5;LaA1l(F8n0~=S}?az$Ds?81;k0q1V1$x7tHYi#e(Ts z;2lwuKB#fE0#Y_zn71PnPdun5Yfwwp(V&)K+6q)g3+S5o?tl%+_COhr&iHqe7o_Jui zWFnjGxgl(N2;_%3NtIP4e}cDtiX|1mQP+CA0?XO-qw!_=eoN|W+PWmSQj&Am$RlBI zwxVgnax0&jInw4|rp(Bm&)XlmPnzNr@EVt1Fn4e4?!a>Hv%S@yV*2loCEg4C5lLkj z3HZ2aGqd!bbK_fDr`|7@pH$Bm^C=vTo`@%R;I`O^cX#S3bJ)}PVv^xz;c>rR0q|AI zaWJB%m__?-gtn+br4`FD@G|7kPBvwW>4wUlk(9~Bg;7T-kEr1uMo(oE{VCTb{8nru z6t&5xAF4uCWlYFo+vfmR))X3$+1C%Hz@KEMH3PdZnH@deOMWTE&qJE|I9?Pxkq&UH zaJ?bMfgGG?WTkSl)8k?PFk$jfds;C7z+0$1)rFdfaG>@QI^W715~9Txejmu4fbKA84hr! z(-ItRkf&gxgY*!WB5lGy9zn}05ep5UD!!HAe3u5ICYj^J4MW9wtmTF9YRSvw`dx{H zwtPo(a9$BfPiAW*iy4N3eIK(Nix3{021u#QrFN*WI1uSTqHz#O+tW3qO=vwLsT11x zy`@BM#Ys=7Sg5&Poe=X+F{eFrk|p0o>&5b?aOjVh4Mq8B9Q(IAN;MB&C^`&U8H8T> zssQ+nTE`VQr*lj~Q6<5=cH-NZ+E3(vx6XTyF66W7hH7unl*zdrfc(Z(e|u@5JRMLz zal`yefhDyt|1xKSg6&>7IM@;O@Mu&^tIxb%f$w5sJU1_&$d=PDpBO8a(Wgxr=;I;W z`C*f)bP>*)+6PHfUCZ(=5e!k_(OBAr0tIjL+7;riC)9Y4_QH5HzJnd_+cLLk^~4Mw zLOAFnMxa}Cxy$dbqQU%-6 z)F_{@%^@Jtr)92IT}$!^+L8hXWR~k{)zFkBN%>=>lfSk5eP*4JNCUCTxxHt!im68Jee*Cc^i~?VuRuMB5 zr$MQF!V~XGyI@;>hM`#SWlgjJt?fskM9|sJ0LG&#k)aBuO#n&-DfxObRZ*5%B+WF1 zMlky1MxrkGGsaYow+!E6J=w;=Pc+yAkPe=HD+3su|ACk)If0iA6Rie@6|*MZ7G>J_ zuXmOtbXo7SGQYG4>KeMCX})^j!P)08NoO5(t?`=(>-JFqZnUSVo$pNkC&ziMJ)v%2U@)?tkZrnN%H0H-7i2%* zm}=vke$YegOy{6p?o1t3uMNy^H1})ISzWaiAPW}GI zRs9`dQxHx)L0bLrHS=UWMN%e!&u$@-&M9Ts@vB+ORI0273-`C9@+!y_6kd{3IIa5anf2N{qJpLp@q-g7&3{R#t}`~HV@Tk33270MC#O&I->c05o-jbUFN`0 zXIEoL@PICaFoX%=4K)kZM!A$LDuCG?*lJ1KQRR&I3piQ7bn<)He6KK#T3PD4Kfi~gnZmykE_|GP837c;3gEmq4&nA$dO=!@~ zSd_!oSV8P}8yUAgPdW(9pF>WRTUJG{?CpQSm@hzGZapMUNI~b9H5Z% zS=EKZDSttUk2gXlkfa9qLi<^7%3TYoj7eK?P^d zy=T>+bK0*4{v!)?7#QmSog>=zU9dfRs|tkJDxd{#vjBTE!^p8V>L7!L0nGN?fY@06 zKv4&e!6*OhC~B`4AuL~2T}W73Z;Y}_{;G}oD>oRefDlG}=|c$5e2+wUFYo@7<`1q& zbNBeA;~d!eA}D9ODSRR4A;7F0mUnJl4)YO@tuEY$tD(HLS_GZtSTyJ|i9vP8Urhvp zb3ZiMsAe(D=Y$=s(?F2Mv6-azpML&Pu=SGyWGJ9V8R4xQnFOjk`ZNawA011J}XAbWKF0GQYtQ#+#Le^`RN zzu}(#XW?hD3p`9nH0Wewb*vf^+TnMFg^O>lLkkIYN3~IfGJS}%PMJ7CXZ_3w#96V_ zG*Vfux=>11f(C{T>{dqo#gzGvgMYUF&zkKez`kLnHc`mglPz9o|9t_m5b#gb>2T01 zqxnFGoZK@;F>*-~2stC0qlKI^j*L-C&(FcKz%pfoFj^MWXy#Y2FUb9AUJUzZHCj*Q zBK&5CxTzh6|NAHHn3cgrzqqZwOyV&7@@rI`9dmW-+X41Ga1LPlyt%Z;^mzS?qUm!Q zQkx3DngC%1} zoO$zdA*|>+yTpuLtdS05$38+j$6+jB4wf^m&h$4&C~;f{X@>|U{;z?SA`8=6x~>AD z79A_oTIQtby^WP-?lC0yG-C1pHX{)_md2~IQ~7M{$T<5O#8Z2mBXqr-VOk4L_=QmP zf%d~&lN64_;3Ca`I3!j7jvePPaLbwk5%aaJsol@yKyX`Nu_QQhE>S@Ur21Iom(N95Pp)NrzVs_<1d76+( z#3nCTAG4gAYP42uAWw+DIi7y>$?W2ZNd601u#^r#1n zu$J<~360@rED1~Twu5;XuIr*Lq$gnU*6mvRn^xMV=!1vn$V>aqf!%Wo2M;OQrwj{w zf-j$zm*J>KN=XoYf-7Tj1zj>Zv#FKU*@a%RVdMk$Zu%}4|UGkDh+M5M#jO(M-;^_wVH@H4|?VfIOEDQ_7 zUCtGwiQYWHm9ABtH}*xVMn5OI{FuVTMc2*CLqCk&jCH}hr9Iv5()Do955>IPPGC`9 zzs01{C0u0ND7hci>hzxHRQyBA=RgrE}+sNf3{3@8}B9N#m5 z7tcMe{MI;E#;j926N9Hb`(KfxqPW`Z9_9P`#<|B)(yMk=gjsc8=NvO{^X497^1iJ# za*OI21}y2Qsg#E;&E}bgEysPiT+U*q6W-R&;EaP!I3xG|Wuf9uXM?2aVBI(2rCDVa z1S(hdXFMvi@-#hXuWF6t9KHs~DUpf^7IZp`q0elbbKHFLRj1Zy45;cEwyc_aJ8X%6X@3?Y{1T)~F4wM#I;1E2 zDDEAR?O;Ufuw^wdNd62FgwUr%0x@ZUC~_E>r%&}vOSG%l1N$oAuP1T~(@CV8u$nz&%iOJSvlWsYxK%!4fDCR*>-KH5mlZMo<$1291%; z?4^dyDA&%I{!p$p5@!RYL3)*#-bVIkeDCyMpqNN+(=H)#<-S=4t6|YNwJv+BDCe9a zryPl?DX{(%i&qg21~xgcN!o^Tm_F>lwp|u#A|W?^wNQh>y+~q~(K`M!CTTHoUv2>2 z`>Lm&g3;&~JVb7H5s2{1V~aZRQR+PXu<<_Sltrv{;r>hykPp2=B|kOqbMpJarclmsz0_;W14@+e;IJG*^kTRaOF9!Uf)$^VT`TBPGL)Sg#f!v_IV5|zgH@IRAhXK>~F6kR__FrOS^}8BgqJ1pJLQ$)=iUtRO9`(Z zB?Op>$ulOBL;MWWu_#iugQPw?-71Qwlyc*JsG!5-{}W43wzfDUGf?Gw6|hPicXCTi z%I>xhNm>xUD;C8y{>7h0hvj7iDSL6uD}@pdH`XXF(y{I+vQJ{&QOOvOCJ&`|F`|@G zb|mpVfB3Sf0$fus5-b~sICB-0Jxvwx2gr3;2w$3jH4Re~Qh2sF=!Jw>_Z8_Yc(byf zF>8O9DAn_Q$d;=RD-w|h6hesOF(5k9u91?}9P)@>#LKSsY7T8raa|+rS$l9|w;yA` zGI`dAfQuQFLYIumEnKJ^F`EwQ9CMG?tTo~-b6ElA7c03I3|Lc6k3Gpe<_rUIRq72O zLO))c@g+<|59Js&IhBRid_PnDE0nH`tjy_DQ28sx_WM_Tx5&lvUiq^M`I)Rl??vz> zzFm}5g-25P7AZ2`92r~go7|Ag7neCyq;f7`IvuTf&w(>NRH*0*S1q#euXKD|LvHZE zu1Gqd@sq4IvVMH5nAYKCO$B$R;N&cxK*XZA?A8a%o<&kRpZXm}ks3MU{KJwby9s|K zbgyikkmSQ<_%m(d3k0;1&BYpkV{p>^dLA@S@`!t#`!Z_6UMsMaIm-4Kx#kYtzTBPz zo!g#c;7%ZV;Nzpz>0*CGtoiBFSu%i+273Z_u}mg6_}8L zN6Q@^QY#0hWI8+x13zx;pSfZhv7NJUP)j|u^*oYoY>Yai*1!tZzGbKd8oeRlB@UI z?ZkOgW1+cx4V)9^pQ%F!1RccuO(SIVg@(my7Tf54jw{P>q<-)9X`;*@68dfqa0-Ag zC{~#~Ijt2E8J8AsBc7C09cX?GZ{vIqP`;KC{w5d=0XG#A$L=wRVebAx(yY&vj;mVH z^8Gr?zn}l4$cNPAf)LA5C5fKJzK?#^FzUwogY=oPPc2r_vJXjJZ@rL=4|huq$Ym)$gHFOpt4f5kX?lWHOL**gT#)uHih%QRe#)l%G8H+f6VlZ zkH{nJS;bJ;3FIw1Z()>yt*mcXu?3lY{ExgYzmH#B3cWyD)OX!Onm$ec!uDwYvowH3YMgD z7X`qQXl|llIjQROsAhAYgA7X*HkZ3B(SB1W8rjw-WfrUShiqlqYhsR{MK|Bp7p6O> zIWMvP4PmD;Q6fN>)xAy#KEZ|D4&Ox()jHJ=$8mE0!DFHxegEuX%~u-8nvvqe*4#ys z=AV&$@0c0;Vm%Z3f23(rH-(64wy4%iY{P+)Srok7qKIXF&y~4$tD1#vf14!v;Lugq zyJJpqAJV!RkH)K6_owVpdOAH(O!&ER$~@N-$_n`!j>oqasH8o}s{X58>kw}s4mp?O zp>v99Vvg^OSEy{1us9cyt&wA?&&*m)x{`%%cE^$?gsP5=I-v4h=`jA#pOPu27X$hX z^4=ecY2AKOMj~}4n^iv*Q$ap+^7zwI?D@qrmyrqFB68zo!!ZR8uZT9XUouq$4W;*) zG=8xW+c2%T93+;WQEn5L{N$lwu((Prdj9_E`$gVp8%mz-RreOkT=6kU%8ZnX24jxi z1xrEGF;umoPy4gI;1`L%myF^&!(V_e742GAy3LbX>zBH-4~RcGN-{i$)HAi7Js;2F9eTgJI(uRGZ?SMIF)L176{$SDA@t@i)stT zNy;47cW~v>0~q9d422>1ryC)*dc2QJ%qZIhcEA-KIznitAGr>;W@(&DIKfL;kF;9j zc?qdqp$i+)4H(-llzVCb+-m%iTJd>F;4g`#zF~?yUpfq-goa_yTo?828BOneXB2h+{0d>hn)48fv~Ru>LEb-)9lh$jvJ$=K zoNop6%r~MX;u;`jtO*Seaq!`+7G2-`p8=*LVR`9QXY(VHzIk)~ccz(xeF@ zcl}67ow_iIxhW!u{4|jIo3&Ia=9ShsK~KcrDjqCcs>uut9^~AWseie%GT@$Fv?_8ZAeoI3?O79#>jz z%A_>4G8~oXK%ar1=ivD&=zmbhFlkJWgidAW5uIxqcp!|z07~2-TIxN*W!|kq9Zx#x zFE!xn1MusWv)}6KPc|+yzc$Im^rX?&w8q=FWT2DBt(v4$IsFV}NIh9Ig5t`fDp)%$ z3?9xEr&HOgqcm~1xf-)6Aek>|zF{cHjqMM%7v=p`QP!Bb_3V&aeRrVK^XUT=(MKa- z5$HHyygfAe=6oX2(nO%MpX&+D*D7&v8#lH)DxgO#Sf06KI<)b0`}gbLmzRp!vs)+x zDiK$nij0`OXa0w5O%L>^3(Y1a%2YRh^3TQ)^aw_FMPMeaQmeOk2gpbESjYW`;aZE;Q3|c@@goEr&YspYMun+ zDbNg^SSU2|YjWFzLq9IyEv_YsbR9AznIs-YdAkz+qQA(`$ndC1@{~5ZHvmyb^gi`?_J_sAb8)Rnm6-SuJO z{=tt?W^D6eetk!M`9cF4Zb9-uq48PVeI<^bXywpa3!Cn2JctfZ$9>6~&w^(f*bXRyu0EyWCy(Z!zbFkc1h)vya} zE&op>U&frcL0X-ECy{&sL`kUFd+u1YSu<7AS~ZL(3Z~%S%Ob)fOpGb7d=6mwa~1I z>z>u|Ea8>dr1TKp-%=l-g-e5B**wD}llOkaW6y*ye$78q|E#lsTcDrL@NMoC9^6(Q23oCMw5aCR5}F>2ujDQGppiW{pO2zeR!7iN~C zDo+B`IYng8g~Z((A3!H8Q~043#)6eu?=7Ey!U$mwx6-4){eed%V84LSJVWv%C$L2J zZzFtvS|mXOGAi-s2dKr6V1Z}o?UF&qK-J*Uxjroy>Y7;72pK?}S&7uLE_$3fGrMi7 zl#p2&P9(eK$yeL2Y1B+@Q}oyZzZ!LV9|+EsaYc(^YTl?o>=ddy;8jiU6@sz#;eAN>D{LO$J%Y$HeriPav7 z>hSTtX0}}@cxd5Ok~DEfq@TE;Y4p~M-ItMgqO`wGRYWy9voZ!-(?}5$D0;l;E#%$I zGEFXFfp5a3Ig_Hh=h+6>^ljZTdNi+ECT3PP&Vb~y=Acfg{W2@Akb zsN8007ASIT9;hDLMi73|5Awqp+JfIMz@Q42@iqQ|zUKRqi~(L)sO)HSw}TRBez`6E>DaA#qLq+A2vcNaTQ&WB&LC0>M0?hqQtsvtK%uIpGDaw9+})Z7z0~UH zC1nU0F*#n-QHgb{8FgK1fB@!9hoLk^R8*O%Ie*7szJFu#Hk?)fUd4?UVdsOlnhgyi8K`86fRFrLxSUV& zcXj(MDSR#4ArfHvdZvzr1TSJN^9hw*!Hr$_;X|OU)nonL6$-0{@xVnE(rS_hFb6iE9%#Oe$i*?Bo+GCgi22NlFpV%K@ z-~0&4j`cp3c)KgjRT8wP^Hg8oCs><;Q|rgP4wQVtjxHu{r+{Noxy@TKIrbTa0QD(H z)c|hh!Oc^-DrPry0T|G?Y8UBUhhC<9?HP=X|Ah@NBo;T>6o7A>2`xiP#qw>ixh$Mb zPT_XZi?W6F<)u;YuUo+LRY(@|@(-rBU0w_L7fDwf9E{M%j45QX1lBH8%KtPm2)QQ6 zMIRd)>IO~{%sYg#Tcy3y#h?J>eLisFc?j$(HNHZjXtI5yU23X3FI}w=6$+2m)gGry z){*_Hxp;Cu0Buq8F*bt;$olMg5@^GvPud$*88G|bGw09vq+>NNc^Y-epdzj{40v8I zj7X0pe_!}839g_CwL_CJ#(vdj=b{7DI;OBu%N0su$A>QW8lg+tCc)qV{-{nuE9r z+p`@Vvlg~g5KjYk=vHvh60~oKDJgGc@_vx)uF$jDm%>Epove!gUU)Kb07f47Azs2V9FHML>Cj}4Ehb61 zwxxpthAX99TT5b`J|34mnHd~ZqZ}b@{HiA_!t$Aah)&aLpAt~o&&xgw;eyRI;k3jg z2|n~2#gw1ar78jg#t9XtMqR(I?Zci@MM|(A%U=1Co)=&RN{UNJH1S4-5$2wAS+ut_ zSHBO{cZnJF%;(ev59E;&6|Z0Vn98&VKM|}gk)(n87Ki-CrH|S&i2F3jR?8-(LA3j) zfrk6%CnY&wcS7K2Nm@$`^}51fY-_Lyv$3@tq9avr(;0&2N(J?OjQ@>pPvKAK1;d2j zkHN(sbaNz28>1C_>%ol$jn{E)I}=-%s&K+;IM#N;`bI;wWnR z#iW&wRV4_HNC<+n7gY^y@Zop(aKxtCcW6*4a_SP!ZMIKy zMv7yXV}~Gjc#V*X2rU%1U8x5r&G+uoSV;Kd?S=(PgEmd3NadwYJ{Zh?f@pZJ?`3)q z-_*BH0oXO~-F8)3@Vg0o6#SJDBI?eUsp3c4u}10|e1n_4DU;+p@KDL(g;&Y&vi0#1 zXI2&y1%czkdUo$qU$(QSe%RyGJ|S|{9LXO8JT!dzKip=w%dOY(H%J#_!%5#w^dvS@ zo+_FD6=A#Er}W$CbT*l~^S-(y6VK1@bZk8P`y1TjP=MgR-<<1CbQ};e8?bWV*P3k} zd&TlxrbZ{Q^iok43AFMEqmy!-qXARhUx{(4tHa-AIf7>E*%{h0?K}1 zkUQ`?tnK-KQSLg}(!JvA<}`Q6RPW#=RIsZ!~%u_tmQmdo{?|1|vOahG3qBuJx7vMv zJad(Oq;peH8(2a72R*`HkU7voohf6kaL=l=y=Yq^kbe33?hIlg{N%I%j9q`>8x*vC zWr}KQ2dB=Jn`skPzXF8a!*390yv;OZifYbX=WwFCqXIXjKC9-P8ARn-U7_GWBEQA0 zqlOXCF+=f_Mv-0v3xKJbDq`Rc#I(N&tl&0c3b!I?|8}AFO1a-km1?9vEksM;ukKkP zla=^sdj#FwHKuV|^71>4$(z7~0BxjySqCCmtX-FcZc8_Jh=NV4ncxLa0?bJK!U>(Ah^3raMvI~?*5hdS|-2u|J3B}7B~l;GHBkY zDtu13j5P5CFzq#X(h{SCN{%6om&@yky2CCutlHpwZ zD2kF8~l#@ zH}Y;jjT`WqKvS$Z3_i*yWi8zUE}0*(cjU?6x^gayb)eIEoHn25*`p>Dez7*1hejxA zt@uE?{f}y()^R8$s_GP;%({_=GMka3*JW>_oIW6P$ocrry97EfBXlqOyubZ8 zlc9?v=GP%&=s(fxlmF-PygpVuuOO^79`Vv!roOpxZxJN&#PzxKSqfbnJGE=T21hJb z{lkZ^6UQjo-dHrzBesBIBKiozIyAkv?bg_k%}Spxfwv(@mtV$W(56FFaI8fBip5=0 zyh~8J@I;e`B{!Ct2OI?DI$w$16zqKrPe<=UaTU;6~>928+nsd$jbDC(V zcb8$v_5KOE=i+=vVT6C#z?+;i@+@r?>X+rgRuRuz+T&duQ!s`8T9>#fog~Rfjp@Y! zar;*|O_r;(G2@nIR@#|Q6F=p1Ui*Cejg{?Gjt-dgI0c zpRa|RNcLeu21arfQ_%31H6v9+-!t--)W`QH8!}P#pRL-z_o!_)u&>>fy6*^}YhzZj zq%idDao#d0vwL@w{x84BxT||`o}tyeraF*%GJxqV!}DvDLp$j9=%{8 z7Vq70Dy4W?u80T|s)j%&Fw_H%IcR#P?z#Efw0NL5&-Io+r`4B9GTHOQ)XZqx5#lWO zc+o0c}`!>S*mPKchhO+yt(6`TRsu;TU|eRoTi)IRXK5?G;=BcubwN zZLWAl3fb>P!}1=-P&|GM4juyGiF(bM>m_}0eUI};u^Z0Sr&^`r?d_%q_DXvCMqs{v zLd1OC^hzXqAwna4IYh|g?UfA3>%)9?JBB|T+>?D_7dU^DvbGAAWTc}Ywg_lsFB;5A z*Fu~Fn6INpczjO`b6mFf3d2UD7X^jdQSdpRiTR&;qlx&R!o6=G;aigKb5ea5+=`1r zVZA1Jz1_5Uy|4X8Tcj`C?{Nk$Am0Ooqh90YdU>DBtEuxvRy}=lo&JzmVZLfwe~5xq zT)^!~xC-B*0WN24z3#o^je3p97^3Ta9jk%M2+_oS2$xJA{UK!(Yt+MPH#l;^9nS+- z@Ixkx!Q_}<9D)*Q*GHnB9kcan-EuklZ#a{H=jqKqb=U>uKOoPESI-UwzbJ$#hz%z? z=}Y7xtJS?eBUMa;xW5nM#07+(ovim22V8&0mwv~Prv7Mn#umbb)g?=>j< z6G&g^kl~gh=3BvC-TZ6(FhO?v?|H1J=r?*5?sTNt8=Vm~5NK&ib*n@Bj7yEMc&?(=3k2laR-oq5&eylSv z;(Zz3VM5|2B~lwFYE~O3f>Vnj-QZz5>g{G)$fw`RWq(d>LgLT9fYgReX+m<~QH3eQ z_!h2nTkTX%x;74;<=O@dw5r9BE&EW5(PD2^8`n2Cg|~o2C%)IF$++2eFGmfjg}ZbC ziQ$sUgFf+io5a6`s}@hVHtsl(a-m-k1%)4XVnxVf67?fC-&4VR&VBgst-A>cnyH~o zZQMs1$`eJQD>qXUu|9XxLF$ASt_yMA1vzOfuR-r3MVPlcgZlKe1zfNB&Q=@vJS*!M zp*yyf%Yxmn7Q-kNzMTjNKiodJ1^scW%3e%s0y}Ns2j@X=JW>+Kl#ddBO>{{;(;4EQ z1d^t4Ei2Wq&hxa?t)Hxa4v4KX#gZOMFH?*}Q)MPQ6!5LCrH=|_j8aGH8Or{uWByYq zD}q9W#F$|b%?AuT6V`}C4EaCxV%eC7Ss|GI>G zW<88b{-K+MBL2g89X$%%d>O&U6eRTVH$q% zKA$i?_n6-?o~NR1r`+M;2I%ZJYxLPMlBj)&+OHEqp*Qt(Q5Kw)p!)+ zP01c(75bFvV+qWJltK499PmZk!c2}!22U=t5qSULtag~@&W#FNNx=giW9y!$Rn!Tv zzbmnBgQlo8b>~vF4Hb00<2~Gblvk4oqdRP#ZZz-GL^MAJku+UR;{Yz3ZGz)UA-HLc zLtV&mK0oesXHkZ+ig-)pVyzudO2&mD_8LK45{brW`F$4sas${vT`cO4;6z{9OncEmwC`0{goDP zg^)j4=-^>!iNoR8ZPU)$_SG33oLrQ0S602&i5c^cXPa@|@DaVgL=`UVPoL9`O$8K5 ze|N+|knErn`omdM60E7}FOJ(b9d~OY2SUVJNZC`)Y&b^2!1t39URv`GK852$A9JAS>Mr4o&uHU!HbSj0 z@_0$9_uO+K8Jdf*pXYbR3%|)vOa5`^R&vD-dCxvEc)UwUs%9&Ahp>>G;SyaPQF?k{ z7{Bq(b1djnEe`7{{lACly|%?-r}s0U17-Ct630*`Zd6pI44F3KJ4oJISrV&4m`n7a zECX`z#8kPrr+6r>!p7b&{ZF+Bc5A}c9hRay7x(1}eEAzU@26R&C6ae>ts7-M6TpGN z?*j!=Rc$W1YWcvENgGKOKGni=M#{0%s5P(| z@q%PIV9}EBC$R@@^&bRI+XuN1ShOWdh$6Gp#>R^xgJQ*y87wG$F<<2J6MOuOR(Z3M z>p$=?z(r}{lJdV2d!D|+JO2?^ITasx=xC_I$4%AFI6PyS|e(OfO9I8<*x^B6>UTS_gO?ZlXC+FxlF*WsY z+EdNIb6j5plkV*dxntHJy+$d0Y-rdS9)=ni8hY5+e0%tx9x0ivkkJ-O^rv+}wOWG+ z*Vo|11Ecp*KM~%)&oF64uc>)+q+A;T*~S?ZLD81GI!`)wIOcqVk@QSB``EzuQ-j8k zFUar2GK%`|-c#gNBE#iB_qDRr0LW#IMq(2PN$Bu0HRdde;6Q zxQ67`@ba&4;Q4!yho<}e`2)z~-PptdP15ejFyR-Rl+`?g^#(p$XNN=CWk}pC*-5xa zkW%`FSmVE9!Zp{c{Iii^ue-mmizh)76?roh-y8VIq{ML9-VpSu$F33H-7TJaj7z~c z%J<*v|43%~v!#CUdEfU91k0USBT}^SjB+q388xxI)y^eg{181td^)9^&`u$VxKfbI zK49O1d7P9aB5~1U(6xdE^16Rh#pt=E(aHXAk*1Sf>G1VHZ%OGPAyAM03-g(tQrosd zow8K1S^B{{%DXCS#uL3IEkBySRg@NdsDXNX_uqRjylJWGDPT`A4&;D9*yCg65$wH?<5*%8-s73ye;Wdi2Ke7X7bo zYx14!NU;HWVR@WqdSw4WEa)?#r*502gWoTH!*BLk{g#qMeOIMQDgQY@kN%bEOb>16 zL~-a=kyl$V956Wb4IlY;IAtgM$h=v)BGOo50x9W&R;<4|`QJn`ax zl1w}4g9D#)yd)9e#Y%D+qxTokhu+KJ>0Zc9Gvw5%>G;b}^T3;DDTI zz14PcEzRyG=Me%viT5)r8=b4x!sl%(BrwUZv!H~ATix`DM0T%?0>P^#fC!7TY_^05UNGx7IzP&?k)!$quq`h#O zzBf8pj1PTA*o&#&OkqWsTv#5Vsbda8EYe9Ov;RzWyH? z-_|~BT$A_*WrGtVj0I{!k{Gr!k{@E@HA>-CTX3)A_4>kZgqGNXCflSSx+RL=GTPcK zi@phA8TQQIScD(^III}?(j``KW7<|ZezSI2dhP)sEa+Ovzgg?6ZaovfRoaN$xj1Y` z5;%Gk@S5l71VA!xqb=wjt;HzMyMl^-y$SrTn~0AF~W|!(x5>F+g!hLZ#OV zr=*Ko8_}ulIxk~E#>fp`%IWcpRbA`1KZoSt9tsJ{yG+46+&yjbcR^J5XNdrX7FN1DCX`Z?KX>48^R4_FcB5U@buU`((B{Z`7YL#Lq) z;-adO1^mlS>kwV4gl>5FN1|)6@0(o)Mz!k?0j1I%s(1O#1XQFn8Dlv_51}irYSF5w zG~z4y@{W^VXS~+g^;5-m*vh#%W}Rthvl?l3T4b*FPg5o+*vdB@?o1=`cJ_q^p5+5{ z^mi*7LRL-40Pu1-m4*YNrZHa zeCzoNEC^f&cPgLnCVjZSy=#X25R`lL3JD8m>~vli&9c%R10Tl2zj-|T4pK064h{f$ zmv^CvqeaUc)QP%A*L5~OXwuO8%Z36a# z`xJ=eA<{p^n2>I=8VFL$Z)E56gMZB`G|Q&&?H1iUNrezKbeCUH8Cqy%WT>=tH8hz_ z-==@vO{uNp;LQ9PVB^qQdi+LZ-SD(WWxGCH^iI5?DRF0uLFHJnO#)6b z?*2#m|3}UqF{r!&7ndG0sHmjWmT*97JP{@SN6zE>_<3v6{4b8059_rYoPI&qg_i`4~@>6Sr4<%`*;qGl2{KP+Z0UU0(Wht$70{+=WoXQe!!`~g};!MyvvVD z3$bJ$OG-bMw!p1po&S_t8|m~$)KlN(i&!}ce(Xv&%lP5sY5d2Oe;-q7;(E_kg$VM~ zmJ#Llqh22oh>i*n5|7j89WshxUWC=2;esLP^||eKzkK_-YUJ+h14~X2z?`_S#eGCS z$oHp``56wv1ar!Iav?JW9x6x?SJ-Ve1!!>uLR-UTjW?}iAJ{}-z|DgVc&I?giU!56 zZ%?v71!z$U9U?nc8}Y0UpsUYFV2$5F<4s#S)IXwbf6_yn#@Tfcd{5k9R;GwxjTv$D zrV&g5N>`kuO)><(^B(1JM&FFYZ|jWUOyu);c`G`}W5%G#kHY|e z5b3HNPDRcoft+|nxAx+K;vncGzE@3SQ{f^EOp z0Z^PWbXh*!@T7kS?P_nov5eF}%6;{6xdjI!@R$cLqf4p~7$I0oGS_L?OG$9AIXN=p zJU(tE7mqV)Lt@(gDWB0)$lqm^Jk%sm$l$uPxS+a#6K;!GDsy(z1(WHD-lUiZc_!MV zoiEYPa0TSLQGTuGd~e2Ox?|LqrtamXWhY{6vsbI2py6F#?os~`P-=S~u>Zo7LI@8h z!G<`jhu+IgCiN!(kXzg0Q#8n|WhvH#nnc_;!sjI)Aruje(K7ZLKVe^FQB6q?y^Xwu z9M3{aQHRug1(Ki2vh~%RTW*WKn%7ZGGF?pbfwo0!B8qIjg-?Lv|<`~^N zHKxw)JhFL$6)JID+|aBeu@QXw*Kq}i?i`63o%I9N8kjCBPSe_eqBnj1Z<{tehOt>s=8-k? zw1tG-KPkw)HSx6U{i3Db1FkyzR4M~OZVLo>wYKJbgfTEb7)Khf>1bB+1YL$HX({BuomI(&* z#2$JtTM^K%RfMVtXtS+qmlO0PZl@ZR* zvIa%myA?&*n_v>uHejicFwkCFp77S{DK>aQ^}C=JyEQn|L3O*;pqOn@>B+w(pz z^e1rzYCopD_M3ekiyy@Rp`$nTKglN+f*#Er>%%36$Mj5(c`rTPyTOenyxnm)o-*yZ z+y0b;WMtU(qNgB8M$11r8X1m<#C70Lf+Ci;?ix%_1Ry`2ZMBcJV)E zxVI$zfewe~9}c;(3y!xR8z7bcezy1~U0w($l@t6TkVxQyP|wcDb!;k;zdm}im;C-t z-F1Mn*m~q!$TR*x0%zl0{Fxtz@XAp&GCSfZ!SB;2vlu_Qt}eJ6*+X>&T?X4&l%?;y zV4ES;fNH9bmfE{>h_remR?28XXc)u@)nso5kcN_IFsr9bZbJWx?bo{elxt^_^-N*q zcHaJ3#;plS@nT2hS?|dI^{}Vc_x5ya)=5{<9)E(tR6+t1HAHo(Scu0qfLhM63Z$qX zKy9Oh2k=p+ch}U{9Y(vsU6gZaIo1-aV;1cdtYRT}BGJSLAecnc45&A{|4`Tw@ZwP! zR104bE-mZP!v5m$w(6GNiX17#-{CD5ed$-cO{=dEr2zhWKt2&!E-zYx&%q}hbBWlj zyJ5FTObdp;yF@0BxCpEniwK2nb3h~C?-n&=R0)7Xr0R|^72%y>0}0EGPZA-3wAiK% zUq#E<2&BiKiO#izHr_XVf=6*IYLsVQ%RyXZ#*KZK7;VeJ>@AG33}zO1dIf0MM+bZh z+_3MEmJ{mR(wLcGqh$(9H@0mryVQnVD2rT>$JD^fGk7N-TVGp7XWCzUFG{ffiSev# zV99@mkd-^>IlwE~(E{ZDtH5iYz}HRB7KhnRnQLM%|!#;v4kyP651hb0#yXQ0M!fsXtkt}9h4RsbCTb^c+KM&i8pRBt)5ATwmaWQ>*y+sn}ck^Y_ z3W5st;z}*^^dv#?_eiA4aAq)w?#H-f9YV59SiwogEn+R^VYAW=*LGQk@V-Hd7BA5* z(KM&|uO?}vkVbT(do}h_EdAPCh%}+jP;7DRiyGUi8;U$xq}!Wlv`9%nSMCPyRCD3n zMynOfj=*Z^VSQI|=_SucR=LV$eJ=3yV4j~%^K^* z;Dx)3D?NjzgDRBmC{GrF7|#=m3(k_f2faHC_fyCckJ;Yk@yB%{u{@)N0GU*&I?55p zN_TS!+}<52QFfF+k5P1x9I5fP14)Gghi#!VLn#mWrBZ853gfhycK}6KES&2mANPDZ zTl2M&d`z*WVyR!7ohuvfB*cZg55oeGA&p4qe@;T&%>ZXqK|@bv=tse~Ic%&#CP}On zFxULeV3sb2ushC2TeNk^U2V;#w+_6X*xj1TzoQgn*Qia~ehEcf#=^*l&?F1X09qjb zC^jN4Gs-%cmgzMgcYH9zKKSAf$}e0LI;tZ6Se3f)-^bpObc+V*O4W4G46Fmw6INW2 zY`YwwUWumR6lk;M=7H+X$Z2ZhhwjP~13U_pS9Y;N!C>9mTEaF+*raX-ajjFFcCpBt z7Js=aB2OK?8x`fN&=MSmHUM;89J9$%Bpd*%>jMb0L;)>$ED9OGlT=BJ{4q^k4r5SK zT$xKveR$M5!l*bxuZLM?A0_M6;vg`(ibK!0<+u9A|V zk%r;*8s{RWQawbZR27im+SV!^F7ePmN~~E1+#2!IwRSds*P24!!6Yma;W-NQihrOQ zd|ku_tP$goJoLIomLi-2&Dx0pZA%#S@w>=i{S-{UGv{-z@s$~cAMpHVMTbT78=*GY zqp4r>*3iFI&ca!Xzv!mE5Ra_$7EN3+g_|VrtoJ;e1VIcFO>=^2*-k-ZAc`(nb~b3U z!m7}wQTvUjqxY2WkgP|b5S{hN!Zg^9Lu|Zzq1U`4SU5qAxK4p<-mtWmvZC1?rd8i; zl7SYG3L3P}7~zxB5VQa@Sr^)35QFU1X!OSV(E(eY`KC!}#EqYlVwjJmJX>o-3z)S` zEm>OwA*R);C@bY=TfHXLfb1RBt!W{WH>u;q?%%1Wp=3_c6}ghIX+=RsbG-~
    aFbObVF6O4RMVK6YVKxl{cxch}A zJ-?n&Ig0Of7gZA3-Ug|{dU$b+XHrM+tnW0%7+{@DgJ|$WYcI{NGYsZrFEEYJgu>I! z3K5_jf&w&3Y&tEHKUsHrjT?KOvl=#-iN>q?CiC)`!B(T((2k<6(@z14gnCGcwoqf` za5Pp$ApwAuOjKY~G9j%3j5X!y2?QffX)TUhQB#t!^w6RGVn@M7+fEm=7XQxe$! zDVqPaBW#RCgSHHY@Y_+uDD@%Iy6ew>JLIQ55*e+?Mu0A%#`}F)|K5*C+5$>|n3G8u z@&e_NIg>%dA8eDG=ZJRU|@eZKrPJ57-)3n7U7N+(v`Zl1Jb zy%wEDTRKAqjotSxBq(wgzM)K4Q+tctM1!Lk|CR1_+)4e8b()MLdA1w!&Rf$%#6n;R za}r*q4(y?_wW%UkUEj391{8b#-sB%EbAWQ+>hQ8Ix0gQL@H%-%2|tLjlsIJiv6Qna zgT`vMGykrh9a6^(1jKBnvw6)%Yuag!kFhIx48cP49Aq&9Bcj`8`xI>3MYe3~2!zPsSsJUMRs2}a=lE@j|=$+Yl ztuAIHs=#UO*7;i?4pUYj&fc4Bnj;Dp0^AU1-sLKe8R~#Evj)gU2*f!L1dsLf(KK+l z?2%(B5~IcBWP^lb2)|4^BY~N}Nd*laYR*T1cm~iV{6?CfLcwP$t+eYe#mSG@`XNHEYq{gggNx z!;V~sr7*~3Jth&3Gbx*3k4z|>^&vx2aYknUhh=aCXFUeMSqpF$eMdCqP0zLr_9N_( z4kZ};m=rGYGk8#nMpAMIvdN8$IFBPT*d4P|2zh`HTRh|XzKFR%-+I%cJe!z$B-sjO zgugw7D~fE}Ddaq5LUHCd*&atYro$bSIv_2gY~q9~!l*X?|C&QGtK#l?O|ywrP2(|% zGQW~2mg9QRY-y2~BPADlYb@yZScrfMSt)#}pmDW$es8c|e}c3lkfb^e9_K`_9^tyA z(u|%R22_mo=Sh`RBWHNeUH~1|i?uACmrsdrGQtTE=XWuYLvN3TSGa32VC!_5s<;N3 z|JYHhudzsw=8;elju-Om;p4y6|?3GQsV6@WH-9*kdD9-sOt5wuc`;^nAry z(c;nqLY&5Q+FzH-7PO@RqcoQUJ{SeK1<q zZ*0Ma9iZV1uHYt>fW~ci-3KvgsNjebtE zC1qYsU9?Tsl^pUViPq`s_Ertc?=46_;)AZJfUr;#U=@%v?x*geaXvN_>6v@7)bA37 zlu0NUMY#(bs=@;S5WZe5&A!m~oE-#S_^WJ4F$dM56q;jOP=o8Z>oK8!4CDm|J3>_M z9g*uAf<$79#5MKPm1N6gya9ZOq*+6^lGRDMI>7xll=`d=D)7XL_@}c$w)3psA8!~f z-0DyPGukg`w1NgCjxGbceK1yT9;*8OWNpnqF5Wlke*+-g4NW&&?**`@R^vKAj^Pws zzL*5iw^^V`iKNg^?IX0WJjlr>8#mqg%P3X%g``odt~K+bTs6oTPE=N`*Tdu zr(b0fndM}ENC1{u2%6cT>}&v1@SD}BH@T+$fIBn+3&nO}gh_3i05Cl>W(yHw(hP_+ zLG|kdZXP5Xdg}LveQ?yKcTOv{ASF^$40I5AP=-hj4H9uot^)nMNGkH_X!|NB_6{Bd z^6||Cd+Tt9``=*=eyy*Btj8jP2efU%LjHtEeVg2A=*w`zF3eF)N6U;yu^>U3935c( z-hfXFh$siSeTAph&{hI-wHPu(JcGC}tFHhH+>rOdz&k41zCW$f^C}*_RGPX-q#324 z!cY7JREVisGm~rsWFV+tD};~{Ps574>vtZoH3M~UphOYof<&o63Z>VlsnS%w0?%d6 zJRc=WnI4G200D`aAl6&ps(bALHOwwaz3|&F`8l7=vB~do;((b?p-9p$ATR@6x54CR z2xZb&YLunfIoFmNYeb~@hyGXTkLJnCSyegTIL9P_@p`M73@vQkP@TF!@YPleo&V+M z>}^G<1$Ri~OvP1-Ld~|{7Hm}58XqOh{DrC|m27b-{mUOhRlFNeUfnZFSR*kEaiqi? zXDpQswd+yPoR$6ql+d@kj(DjiXvnP?(iQkHs`Qr#wRk`R0I$yzDF*4OA_vW3`8rk3 zm7hjl2LeRde~wdvbBrLN@&IhGV-lciG#A@$AG>()s~X!wP7zwSDIJ<#ZcDhZWu`B1 z%+0rZP|Pzv9~wYu_cI>p;74mFe{>h%pmdwt`g;V%0Qb`x_AoKBqc@=n<0m(W*$dP7 z?kUaOV6GqLx^~`9#Ibs{?#-ZE*w^%`Zc^(3F$d`H!FMJ@0UlQEl^4?JB@{%1nzP*_ zUx|>DdKk(uIapXE`G%q%5Y+u_5;Y{D`pf|YOg}v6=S4%G5MHR%g6jaQ=*2|<^R1I) z&wYkF_7f$5j|Vwf43oF6qTUr`*wpcAcakjk?g84CSE~=`FX@p#DL>NXnH=|=YOfcrd z02mPna}^GocZO|EW5yhqX;c8~#&IejC@oQ z2W#|{ri9{2;IT#F(7z1?d+d&285gd;1gI23UU{C^!O?OV3fB-qX+_0WWPoa^RY331wr+?pnpg@N5m8_$Q+0gb%w7n?5)KC%+dxg zB#iD205iQmncTnwVy}5B)7mXPG+Q8|tD+o~W9uvBDD*RSDSOw?=Gmy&i^NgLCIi|y3l z;E*i=GNq*?0FFTJHnjK{xWD58Xz*eBg(2aCLJNfCEQDK!ehf#V#_9A;wzT@Iuoou% zfMl;<4#bit2Qjp(yDK)RD(M`T@z*DTzeN*J*^GTdras|HAz=H*{OQW7D+ri`bPlDT ze~Vf5WtH24bcB(ssh)+vLCacxO)xW`yjF2AI);!uKY6z7pDD326sqU}HXcbbfH z#Ip|qw&8L>_VD=pOD%)Q%{p7PvmhIxcZ|qzOQjj-Z&NUBuq^ju;$9m%y+ftLvcQ$R zlLJdN>KKUDp`MDT|0@dYGdlPi_ar44-zD!xweMef|q8#9{ zD25{r}n6l66((Jia?nHx&B~}QbBTy9jaoyX~!+vuzNHXTq!g@WqhD{RZ zTo5{N5lhkNEQTk5cMec&RKa_^J>E-=TSpF1m_p_@Q+kZsby5eA51Ot}xVM%7 zv`WcYs>Q$#rdu>aE)H4_92pDQH95TKagE;TG-KYR40H@@19Tl_AA3<~k4G@ruwEb} zUn{eqyV985-_Li4kIE=b0~O4!!0(ArzO%hvv6}=CNsx8#-LU@c zHO*#%GBr=0_2 zpP|6r3JzNj6MvD_sKguGor0^YX}aa-)0un)swlK)lVz0`AeeETje{ps03E6;s)P0W zI8AQpM{|ef=Q3UaeT+hR6WnFKzzY;Vj=?v|zri`&n%IT=sD3BQZ+r%rJr*Foy1tq9 zTBZU)?>QRHM=!__B&T|x?!rsNOwr>Bc_%pr8LD>3W%hcjq zWqUJ{H~57Gg}z^I0lx`uxF8o7?{N;qltqq8%r?hIXk!VGIw^`9v?j%j?z>y+_?%y> zr?gQ^{gFF`meuNGF`IG_*kCV!sOMrb9_nt^<+$aqIG+$LsgXBz)rE6V;@VR_=K#%W zX6XST+DmS5WGEeZ$_gE*W%}J0s?Xbu3ggz7pAp)RKv{J-U1jW3Um@|-p>J1}eKp=& zv@`PzZf?IHiy1H5rqN3t;sE;^Ap>=0s$ronw#W?@r5J=ji?_T`-9*mw!cOB=lwZ4a z7)UezRNyZ-o86Q{yn3PEv1x1ewKDAUEcLMRWJTlq)rL~Ac>s!TZRdqD-)~6PZb>YO zbfwu)QL>C9z7=@!(?S}{DZruypXiOqJRy9vcm(6HPUv5NORr!9Uz9a=sp;xE6M?4V z5c;D-cF2#ZT-9s9r1l~f$=rK-X5}lL=>T&4isDR8f=X680%m&zMdP2X2#syItR^Kh zoglAW_Ku)8#Ejo-E=$dY&5`xBiPaXfUfk%Bc?9}DwED>Ann3ET0*Og{!o(aLexj1# z3m4ku`Lwt@Eh{xTm{F=(w(}|DP(aiASb4q~#ubT+F^KcY#k^GD&nYlb^EnjS zDj;;r3_H-6kM>jZ$4d-eRlt4Z(ZMKNFVe1>JAe%!*YtJyxdfn#lzWXSUt4M`bLnxa zh{~EWZNm6;3V~Mg1~Af5e$Jl$jJXy*@7BxIt}-qXG<0R5}mU_Wweqd7JwuThJRf zo}%?ly-6+b+Da|LLXbatzf$Qf_ABP0l%#vX^&@X76%@EpY{{DV*OPLIxXtLW;fY#VS#i)xA<<%Ubt zo8^nZ>d0Y}vMMR&<%?TJX*qH6{93yrYzi|*j%7Xg;hU)M8POp?JMMl2CE!czM~9DE z1g6k2NMwk}?t5w^_Vq(9YvCcLDs%UVmJxhTDnwGQ4TVn`yIOgf8`= zW(lqIp|w3vS8(VH=^%zTce#qeTgsS{+@BGAI3mIzT?2LbB4V`};!Vsud=^0@+i%gV z$|+pPun8j5V0sah*%S|el|RtltY3@8LaMa`La>5lhW`SK{Mj=C3a}|W4m13P8FPU! znU^0kx>F1t(fdH#3HCiVs1W@CP&rx*?A*(?_*$dmR|rr{AkaQ66Evx>0uVrdYvBTM za0^5J{hl*NsJ(=7Tq$*1KxMKzR!+mn_$><6p{|~ux~_ODCp!1-B!HDo>TT?xkQqAk z9A1`t)fo`=&jZn0pr8nA!6ua;RFJ#GGL;-Uv4}35DfDR>Su8JUdduh(s;w(L1SEXL zW=(u#ZQHK)T+8RNv#E`8y^I~NPWb|9B}U&7zmWAy{-DFK=~k*ZkSvLixnVc=Ig1B% zvp4IaiiX$Hg_q)?juPrZS}X4SBg^fQ*YaXpoOkQ!!!LwU6oXw1;Sk<$2olJxRs9h; zpV0yf|7G!X%Sd-`zIbwCA5%QaF+^(@S#s@+VliW}U%S}$u0f|?f#(~hkNp2uKLUBLI-BOc3>3UgYj<7!IdRui} zMf~V2anoM)Ki1SfMuoJV)KWnsv;i&Dg|}GG9x8c%w8$TsV38p}`-4mX$)gSU8qkLG zybcYVrFb;$jsA~M0{ysRv@yw@J$DiSxP6Gkc%J_5FAeE7IXP=9=qlRy5p<9#!|MU8 z-j(UDn3xWz^0iVbjP@PV%l#`AlcN4YmcEH^9gZPrBRpWCm?nk`9pD_G4B6F+uB zLWTYt`A_g?h(Hj0_H~iDuCRE~#-2>~Abartcz#M?5AA=};r~xp@BztPAHib{{5+vi zA>ZJqruiK4U&}RuD62L%H621U_C@%LY|`KX?U@*^oxd3FWcV!1B7RAKva{i=73uCu zD$e5nHU&8#Injpu{$cVwqBc`7qs=rZNwlc#sN;(6Nerbr&&5K;2|WPi}}q;OQ@llbxH7L z4m=K;*wPN0Fc;Ply&yQYgoxCri9<^vWQ#W0Ud$Hnm>!x!e#()tUL&n?E7KS;!q7gj z@R$|)gC|fxE-j^eG4z<>9j#j_L|=Q6?h;+k+xots8Rfha2S3&VY{Z6QYkPDs@HT0h zh^#$Ckq%y$G8szb1gx8K8#~1&gH#EA8}_^{bgV{QKDq$|#TzNZx6;Hebgk7O=`5EA z6f0$w-jxUXduEBBv~~r--wYOxeG|%_BrM2pu~Mgy;(?k9e?hn`B7lt-(pjTZU=+$h zR$O<)PPDPq%?+nfx^#BeaonncwtRG`oKPXr5~i2UWZr3r){ki8Ex!~BE=tdUf0NGJ z0hFlaoRJP-N! zW5!_o9$4S!i301+J-i}2@N9iMF5C#m7cnf%F`Xq+0f%M`HA|jt0w=2=HCvALs0X6@ zx!>lgz&^?E(05F+0TYoyw2FMI6-P;CUKidcJA3FT*j%d&Zbo3-9ccrci&rf^tFz+MQYoC*X|kQ z)-?SC0g|&JYrfy>%5{P`F2!08a!9fh3Mxub>u-rdb%ogmRc-==+9&G--f8CTCpH2E zTzI6&-2fg#h2?5>EcMid)SvMOh>OWQTW$e&^@xNA{~A{GD>3j0DrG$vK$E5(8BzG) ze`;nSvLOK7A$^f=sz7^AzArv==Y0Z^_t7OPA^!>~V&0EfDLp}?iH5j~WEL{am$e1( z6-Yw60m7!~K*Cd@?z&Pr+e1{Nd(OvFLa(%_e*{PkD9HE*=aflv8VMq9&f_Azx)80W zyhRFoFCh5Vy$#23P^Y({1$~t<<*LeiW$?u-RgwIB671q($pSF`Rxe_B_v ze+{&}Ls*&En`b0&zcTz0K+y-(Sw<${F^zV?zZo2}20Ve)`-@0ES!^}PmxnY}VIrUk z5|;mKdbtSU7_9)og6qJd(8?xdB-wOqXpYGh$5iCpAtZM4P=Kwp^@fr88M3IDF=7W3 zAt(VtC21@MLPA1+)~I7lXh+2E44(RkJ$cKCXxq07k9pAH7J~nN7Uk#HSOFWp;{wb_ zBo4FqH$3BMwbl3IYqjyz$4~c1wM%9h_nDw)88d6UMR~;CkCHDs!V|7ARz))VV`e(z zVp>7e5Sip_Ut>TnS@%asDS{Z3<_9IfF>QZ$+&?M`C0n|E#$((@fNyfCzsuvY2T_DU zNBXfNZmnl}QkA6?LJs91HS|mz$%TI$!ANBp7s4yNZ$bjJsU&^)6P{Xf$khWKKszC^ zN1^Sp0&rb2N^z!ePpl10IGC!;qzQ;hpHUe=oYVqk^vzH>n9#w0HctNh(0kxZvS<;n z*9$2eNX4kOE88cr5O#0&oPr|!`)YcS>5ne3RVVbyI?1VMw(-R|OUcRJV+Zk1H}Jrb z39zKgbqBIGP)cPO+HKRb(?>W+^XM&QhkFga43&6Gtz8YPf*azS-zdsPge+iwZmCuc0Ww}#nd@o7@S zzB(aHa-S=Zqd|q*g&sKK{xa%Ja+?ea45Ey`vJtkRoqQ^QKd&T7tbQ5x)|CRbrGA_hZ z-_Nfg%wh^Q~<_%y;=&V`7I9IwUTKI$k6Q*O-xND8}jAQW&Rm zKVb{f=?(+>Duo}L8%D|`1GA;aOq|j5Tsj?k`hE3q;mn0PC>d;=@tZhkDu@Fd9Ukv{+N5unYrigIWar;9DH+b za#r}h1cyqn8Nz{9Z`ty@3q`}!1KQwU@Pv12dA9O&)$-tWH@2xh_~x@(#g#c1vjh|r z6#Hf>zQ3L<=G}qs*UrU$Fe27Q1oPPo=U`gnzWnL-ML`<855YIQ<^DiQ-Q{Bvx0eGv%$w?Km)zVBH-*VgngSZ|p#MOB%ifhCND2dN$c%8VCo1nP^>4EBcv zr(9<|_+8Mb`U-sz#7|(Ou6O^PL|XPDWHvqw&3OKNg(*VsJ{8n$I$k+=DTqI(9EJC% z3Kx0$oVMlj)B}k(f8b8)X2#ZW&wvR$Cc~8{!Mw^p-eUbI{=!lg8VxAoq=p%}>EWa* zZ}ILf=V4yqP{V`d8cJ(h?{Kv*tFxcv(&cCR%b<%w2SRq_ek~uvMhoqxZXRf>c?yUw zHO`i0F`DDk?L)WiNBTa65_EDr#X(W)OX^Q|D5G;i2WIchdO%MIriGT}8Vm$A9ty~G z=C)^~qaUisGny}x638|1uUn}yn*RvrAp^I~*LI!mfMZy*SXIpMAU=O7z$@b8Umnfu4AQKl0S-t-@-~G>HPz4<>bdF zt`Hk_c`a)P{`0sP;swG%h9L%dLyY; z%c?ZD@+KMdpVCkC#krds=FJzpR=ifi#%2YMJ_a`kKWsO3uyU*dHD`O$Xd~O1a`51e zF1=XH_d9U=t5aZ#O{k&dr5Fv6{@KNo+@~kSoMGN`)8Bb})?fw}If$EoY>W6c0(sJO zL^4W5^ElS2{8cG7%jM%m$jF2lE)i8nHJLR=lD|;y$jvE z%nR4GbE!&Vx=$aeP2fxKvbcQ)sVZ20{G?PS?8Pf^m)N87MBH`Upu#UlH7e6@hb@+n zbR>W6v%<1T`i*_P2t^$wDat3EI$E@}4r_$#emo;m$!Hdp?azjz-}(W{2V@iv<}5nV zEk!H|i!c;`NB+(##Jkrbg#FDkTPX{o=Xyki&dksTi@0r$ftF0o{>G^!Rfz$rrz|9J z(nLv?F~%OhJ|}L?d%?%h1G(y&cjTUxCQqB78}MIv>%jZ`64DP1K2rpQx{_tf)Pyl8 zlIbE@wUOT&^C4w4=$gZ$KO1$ln9nxK*J`HQW>cuUjo)5mnRP}vV+!;odBsb)kB^DF zzd~+i2Va=#2m5CS{ZvHP6BjP1z+OLO(9Hz6{O)Gr z!nMxIoEqKwP%zEve(j=hdyrG(3iN(06g1Kw?Enx#;Ogo#$~+l$fWJ6A_>b9bz{TXA zaNzaC_7mo-&$&!*f}DP2-n}ouzcQ*5n9=KnEyR+LgwiWhRxqKWT}lY@m>K>!xxM!= z)Ju@yqh~BHa8u|5Oa#AV`*g32mkC2=NHh<({Ei9^Ytnj=AFe8JWNW~mhf=jVCYY5g zkEN4*Ozlf-JBre@(N!Ao&LQoq)eD{S>su^1@OGZSav-sGp2)##Eh`i*W8+ z+(^;?6G!;?y_GgTpJN+SFYm~S$IT>W(IoXAepcJ=z}TaRgN5&pCw_mFbY)E(wwf=x zqN$#fOS)tPw%)`zxlCCRy%yIRZ2Mk=MHCU0r}Qr9?A;V4)njbaGOLrZI^NGeYxW7H zYm5a`^ip4a0(YKjYUq8Yw>ArlLC!EaQ)SODDcR>#%c3{O|l2*07-P z!lp{x2nQP7opth}TzZnH^2sP}p%RtG!$2>aDnM-|ogU*q?WK+#oc0@dckz*J$}r*@ zDfz{Of6V!uT1Z3I|D!8QaW~_wz>xXHCTkxwKXvmJ z%`$Nk5N;6&d<MZO8!o@SfUer4jfG4 zAY|3ZDw8b&DL-%R;y)B!uPGt%EAmLdl6zQBABW}v3Aojjg|b65WYzLaxy^9;9yvu$ zph~n1jAn@bb%t(xb=}eZ7`krr98(?>LrR2_X$c)*Xg^#)y6o93*|=e*=K}&muv?Z8 zfsnbq(-9e&B!F|t2lDay`9X*g{?;%$!~z4z-bw@Jie~7iH9ZbR)t5<-6Q?RK1>ro- zWDFo|3k6(nJykbgBO|4ZpC) zjN`MtEp4}M%EBqycI$Zz#T>O7@f6$!Qq=1(b`0?0y(oXu{)WKrt4PnEVAOeB2PKv0 z9@3p2h^oAniLZ*18HOQKZuEeGlAUI zN*oLa=Qg950g;+>Nv_lA*3QN~LzqEKSTUrR_J7^&)BD)xnf^LzSuQv3Zc=%qC~W4 ztVA-0V*Us$>ovl1ET*gdU>-&GB;oE~`H062>=7xWLYNRWqCnEZYbcRd228D;j}XCg z*q&f+PjQlbWkTqar(rEr9pGeuO~mQ)WWLC|$y?KaMFfGOPIbKM6-<0`2QnEohzoeu zw1mDK-nASqB!UgSHGtC0e;`5ctUdy;xKJ_{JU_b1I#yTsUjgOA(Ew@nfH#t3m%ut} zoh_fImq#u~^bu`H~FGN{@_gAm8V53As2I;JrCwCA+;QBptr9`__DTa%p+H!*JZB1FlJ2{vBslg18 zhCwGR1c?Q7G6GGmGyq#dS#_hs} zFgkL7!XBlJFbpLpwSA@pI5W){Zw)95rL`}yrfdGWar^Y=&|C)KE#Mcxm)_H3c}ZWr zf~nq#k={1m^Ug(#KJkbit{O6VE<0aKD~kL0iV$5@%{@0I2+``8I0^0_oqERVZuVqD z54B!v&gbdXU#UF=xBl^n5p8P8^4<9ka@OhNhe#3GMzcw?uR0it=P3Z^Nf3l?;)Gl9 zheS>Yb_irT@H_f#_wDj{zp#rPKhK?0N-<40yiA7VdteJe%}I|L5qdDt*+Q z8!sbXM`tsaa7b3KxTxqs@{`Y@0!AeKRr-po>!k+ba8f?X!0@cM_{Cv*6x-j9Nphku zWu>RSs&ofvh(Qw!KgJ(#@_qJ@xgUQl$;DIA`Hi@k@>K!;=v`|A5i1mvGN*<>dwzAv z0k-9dCz?=B)CyXCOGMmiWs?XsGzFpC>J3mny_cc~JUBV?OX-{4+2G8oSV_-G zB_SL%P7j_AXyvR5PRWOVU$iUELs)*%!0(I>7eX3;Q-` zLUUFO+rgKB;JK7s@2{JD5v>nTJSz)G-&cscR$a>KHj<}RK(RLY;(+ ztpXI+QyBKhx{Zu9qaswG8WX^nQ$n2_Gh}>Gq?n)TZ6oA5+QTMp1*Z`Lmj~TPZy<;h zyw|VkS{Bff>^S;_mQs;>(v>e3&9aM% z*#{08i}_fcyqzeV4hU1AOs^ogm!Dc!4q=BS)VxVuD2GU(*qN{2%F8`GqD&wN*R^R2 z0c6Cj8q=QJwBduA-v=y!mu-Ta6Yb*YazqiGIXbQ4KIVCwrI6Ig*%h&vRfUk$g6d{} zMuqz$hEKUY#RLo?(PeGl_aDT@U-}88q*XYKlc!fq*^!<|Oe;KVd$(l4wpjul4p7df zro-oSjgmoaohq%QUz1}3rx}58QfxK4SWlq$4LJtKO)v6|P>*@rS#32_*5nDE)n8e0 zjmeb%@(AtyQyKF%Xv}MOd`C?_!rYHBGCfg)gyTW3M#bG7S+}2_ zRp`HGYdJefpD!zzQx_2>VZ8;a{<2;_i8!I1<9WTKvxH;_eA$EZ7}HM|=aB&}mqK>* zNp@lrFIAW;`Q{Q@Tc3qL+@Adm?(SJRR*dR|J={AX;#Fw|fZphwqvpXc)D6zHVM)2Y z3aY=^YevR|Kw$j2DsXty$R?YBbb70@S5=X&6^kIi8fJtCy_4)dlhg3jU2McN$0kNfZOX0FFZo6M%sj~RaEf^XV%G) z&4Gt;Al2?}yDyTxS&dENgiDJ$P03For)v6gdhN4~)**|}@@E_PNT&Nsh;Ax8*%J#R z5qTQ-dl_9Lcu$(WX*D#|E@h=d5jA|cj7!Rp8T++<``-ZrBqa21+5OPaFOK%Faa7UE z%k${iDEhlNI5>|2e2|MMiNB3Dy4s|SneYrQS!stpN+t&AYUl|xS!H7(y9n1pM|}R% z%e<1oQQfsuo{Wy++h`n|J}=WQY}H8)*PQ3u?1hP0)<1tbdIhTx55S7t-@WFX_2G1- z8GX%}AaxEj@Scr+mzR~EFP4y%-p-|B%8b*z++MbRyY@>H67p*hCH}}fiwB|mU+IgL z&HFWIp!&ZWU+{8by825qB|L00tqwG%h=s3i79P`|N?Gz+_NSh9tK9lE;A6)7f+Y?I z{=UZHZG9KAPh6in*9p>U@;1=fSvS-q+8pvbB;YQP=h9QOu|p4R=OFgwVrb#1Mm9~l z4cWV{D3L+W&7co@TluEaf|CxFg)oi74369m zch&)9pW7yF#Oo}0pZe%e0&bz@q@0q|d>mm^=>UzrDmZ<=`Yq3{ZG2UjSrly{f23Or)dgB za!sW6T)q>1Dj)eu;f$nGJp1ayf>bsgnnW%1vU}^X8R_SXyV-uen61Y+n1Ze`xo546 zKeKZe<18qD?J?NJpPq+v>Lo4;B68}#i$tG!L7=S`XvC{myYEO&yVVaTKJSqK3f>K49;%c|Vg$_CVl*zm0BDYPudM!TWI6%99}ELPbn*o_xRs;2mnZ z9NAyDva6SN!h3NrAf`s}LfE{<`1C0PdzN5gNk~T%{X7}B*#=KIq3}|!S&f0-77nDp znbw2l;L$q>Z-n?gnau+h{&qohI==x`C9ogKmBA@c>QJncY}|JWtvg}<7mrw>bbwvG z#t+ft$}zn?FUT5v9Pbq8&`Kfub!^;=#UHStBBh-jhj(?1hwLv;TNY@QOq_xOGzxyN z{7B`hgK(pOXI;WB080$L^s=}uzP3AsRFSzA{Z}Ghx$hwjiX6e@--w)sUFU%^ptAw; z#YxFEbk>eRDq;6EiwlOfN{<(*tXjrRDP2i*g2K-bOmb?U@$kFur^8vWb2F zndCy;$D9bQ`p|nk&%RT#SbyK+zKojopp~BXc>4tv9@jYb%%{y*R4InH*L_Wt)O9Uy z?^$nbwAVhXR5<|ouLvIZX}g$XO0+caIH!|*+Vo|^WSRZ0+cM@sM>DXWwV?k@G=e8c14fuqTVGTJlV(PSu6 z_qC*Qa`&~)pVV$^+Nag=4X%0{cih&<4!dFpjDHL1rt9X`oDRg6VESSStb-tH1P)f< zw5j(5X-AUPy49`4B|`sbZa{+stU8&-9$Jhb_?-nAtIkriVz42Q#|4)|4U0Bq5j^3t)+bH*mpo~L`Zz=;yT%lTnn9g>Q z11FYaFDdj}iC3TE^JmTLIj;4LZlm8ZAb7m_4-A3e%MTEUtueYCQsZ);5dW7avV7zw zgd=ae$hv>+JrBIBu#(x{`jr%#na0?sm~pN_49-uvjlMfi3az6RbhS_M1rtR&LX?)( zh-axaYcEgHnKP30Q%v>j9MmK5L9xc8#3eo#H$94n&*!3NFa&4uEQ@3U~k z^z4S}jStU>OV|d7c{4zke>bwUpm~yLiu=SS)u+FO^`51JWL+_2UQw-%`x74hq3+NT zRV?>O`GSjwmm3n=e@`Y9VvAbz%v=x}{$IifB8}_95Ruj+Wv-OQg^&dr=SJklz#e83 z+$S!7)+{>ap80|d>9-b)>kUu!H*?)sKMvlN=PVq&iwBD6*5#t3zyL?LjhCWy&akW`mIyP$To{eEfFX$3 zIa}bWOfA4Wix#b1*$#j_xe7SQ8-Hqw8jj4ihd3ydaoqiKcGOCc(QmHS>!Lkh<{SLM z&z59#DQrx3&nec~QPhq6!an#Diws~gOeo%@R7SM5Nu>qw?y+A4J6QJdZ^D&@e}ub_ zO~0Vd=0L4mMgzT7RQH@Z8G1Vgxaf|5SU2QgYW9zhgLkPdwtaTm?%BJDHH^|AHwkD+ ztQQ<)G-5VFh;_IXl@tc>< zFq9uu@X!*or}m_MO81I4T$~mN8Lq-A>3+@{Uq{DEk_1^f&luZyKDEj=^C&rVyQy=o zCqYd#j2!zbsC2p#Yftnt_xP^Iuf+s>J(P~Wa?O0&^SXyNwi6X6hQfKYFfX`5VndL0 zt5Y^b)bmTGKHilJ-#d?c4sU;B%}+pUf@GE`sQ&wTHX$Oq-m1m;_vIE)p z0<^4%h~CJ7HU+^u9>6cF1;bZ_&@?SO5<_jXZjpt3$UhcJxFeo%2l%i@c8~XdvKlyd zNsR!XjHvGM(hJTwKi^+EprOYjEuNR~P8?GjOCR=rWDa{F`&5>rhn-lB_FZOZWJ%c< ziFx*7E~XukQR}neJVYyKEZR$lwG2Gnt&P^+m8@e{bYN;>OQ++G~j3 zP|a8f1*Ut#9FpS;$n3qQIz9k>YIjhVB8;OFuZPHb4wNc4;hlV_$mVNSmJPkT*qrU= z=zzH5)^VAvr7BC`eU##s$Xcf9G`qMwK=E@r%k0_x*<@zm&BfFf+o>&%kRUNL@gbf%yca{2k_F|!Gef?`` zkLhW39f59ZsqIe&=>2f}TOGXWB*ViuEPR353^vMc`ZPAm-si%-rWkrAxCucMiL#;* z_$jRy%HvKHHnuGI)p-MEG&W^}RSZ}rv=Jet%TEbION(jPts(uM=* za*q6yf76zUmhQ!e@e@`r0wFi^L8Yu57}eAdjSqkw-0HmF!4Lz!Q|no?5!VFDkE~A< z-Uf5`;@=~z{+E2|FJr;(-Y4Ydq+oOPQqKS$uJ|LI+nd71S-Ms)p*9y^62CfWl@`YY z51ALC$=u{B-FrpAj&huXFB4Ti$To{~6af;_w$R#AZ-LXFlXctj6g98d(?E)OD^e6_;sGpT`6T}lxnISb)Xk%e+%NdVQ_Gy z4HBjc{W`nDFjMWCXq4WJHP1=5ngLO#U-6t4rK*s~VJ!8;9dmlq(v-_>+DmbRHAC_y zZmJvHF$EEAe%KDtJxUx}0=8kVA*0O8su9;(w6^67YAPncX_6il&}x$cM+ni{jumM9 zUlr=4$tFd)PmtEuwHB;n-%rp}DDv**W(MSKQb-t>MEiSKNN6|KGT+2p!9##;*8Fwq z;Fx2f`(P`YDX$mRLxgGj`&KWg9Lz7)l1VG4s4$XbyPG<(}25 zim3*3Gr0-SmZJU891siG=Ez6!1OMvU81j1Gyl`12LQ66sNdafRZiudpa5!W%e14D{ z@QdE7x2KU*o)+6RB3cBt%QRb7z7Qb3ZQ3vc&f)T3U>|^@T{32XBQmdjdx7|q@}Kf7 zZp;-RZQg~Tplyu{RuBb_xkVp^#@@|`-|*%4IzX&+K;o|} z8HWjQziut140B#DJ@_;l^0k)CG{HvxE0xWI(9_`_Rv3zk9hM01dqHcGAX7FSLcvnW0>|Z7qw925^wNGf=)n_5KAM`m2U0wo zdrh@Ygc18NVfBF5?oSpU=dJ?3T2nO5G_w0*$#KM^F`UXqQ6sANKl&O>Rd8D9A9z5z z;G7w5+__C{7l^?SF-dyEh8IspqAu;sx3~62D20wzN!P zB*X=Zm=L8-qO_RdTb1=d>yi8AbtOp{S7(mO^q|zi);n7@=2e@L zXQQ1DMx}NB#D!3y^kpKEGf31{zSGmEpKe5VskwU-#h&Q7TDP-Eaf_F?W{ zIMjPCcXU7q8g}c`XuRFpyf->`13uJ8oTDiH?i;5*AfZ+*8l9`L!fzFrC>Wg^x5RHf zY5m~W+&K4uMR&XJNff2u)*S^(KlgeI{MN=fknOxP$o8Lf-c*4CRn2?YmfrNOcfIOa ze?>xVE*#R7Kll`=KY$dAI z36G8ExLDCG+_HAywo=UJ{}vO~`X`k9-7|bhWwdR0H%vCnQUdC0_>n3h>x(_tO*SMttsBBf{r=M?_eKY#==l<) z_;7l(1Y1n{v{*~9L#l$V1>0#%OlH|%0U5>d6vi)D{wy%h(t=_o{Y}Y}>>|d5)l$)n zk|$g_pR*yep}v!r=s)gs-CWzw?vrsJw#^b4N8d1@wusN`tSabSZb8#nX5<>j2KSbn z6I`pMwsW5hY4 zGBm>qFTm5*hgO-h7ehayz93i+;e;f_t)Y1+vNfq*M7D18bvW(<+eru5!pbn12a(B- zKordTFh%d}&uZ6|`T_OAk0A$r!1$hrL2c_48DQGM{}?LSw1E~F5|ll)_!|c)Sb-G# zl(gVBq9-hR5R11HuT&6Qod1-z9cE+a0#|R>*4!k? zG3{nWS2aNg`tdRE5@)G$3g61>{(((Mq8M~N3jFzeq?L zCMn#{@%IF0A#IJKfbFZTpkOMq>tMK}^(3T*x*72J>ysk_=KiT+<9L)SK@rVcfQm1q zdvOm3QUpV0d@Z!g^TKQnZJyh$*dUUTQzX4fasow_||beo!_4t&s`<s;U275zSN(J%+~%w~unjE;Ag29ZCu63pIX-KE~dh?skcHyRB?x~wP7 zd|--d-usDcN6VxOH7BZ`0X|mRX1Ts19bL{)^x-#HXHxi(yE#C>=!1eIDVzfo#=&)N zZ_rDQs`r_NS}XzHA%zQYuUEPG3t1_c8Gp^(RipBonyrVg`iz347#>78?gPEda)~MD zK3ost@x9rmFe-Ac8}V5Y-(IX}zrLd0SF%|MmvcRf&%!PLhU6;0ts+nBmY79mV+6S> zqwAWluthzra1vJe3sHo9Z|%BGYOX6|Xjux1q^&Eq^l%qigq=z;hDhiHS+E$Sb4OoQoQyQOz9b=(l)X-b;OczoUw*ps1nfCFn(-7r|5L0HAO zE9>UNscx9u z2F{Z`tVOGr7sNgfqk$m{8xLXeRr&&MVlOmEc{PIUS&oLCX^oCvwDSO25aOJT=i+gdY z8Z4>7CMS@}AY}xt*B_7bg1&rAqhElv#xb|3ehjJ*>oFS}C7imsX$4HpW-zO%3CjI7 zyXx^Ep5;ETY?78`T;QG&=^Y>6OBEq}oSb8DUC3(_ZR-|Wg%>Cl1^G5)k@bAY17Sz)-Pi>{7w@Ugc7sp=z1|InV##)Pwe|3$lJ-rjl zcm!zi&~pdCS1owX?`Xo9CxoN&ve#K^^`A6Xz4k;z>s(S-h*QKH_*z0U9srdwaybP8 z?T8GX3&ulVJBY*Wg0jlM-3&_hYG8;t8@oRiD%`JgdLSqw_!1kBoB!xvhkr9*x8NqyayAjR+bwx6;|P(gbx&S z_X%Q15|3c#-$#EdjvF{!vkdWy2cbse6~u!`dx>J1-#g_VJp}gFeg?Bmq9er(2FA(j zdsDmMN6|GLA_Nln!z8M8 zri;e}r(wu=-ti{-y6u(0%-%=Kj`r0uTgkz0J*Sl%b6>R2U>$f1n?KojD2 z<(2WWQn6T+`&;iN;y1BVST&y{5@ovI!Zn31;(`y;YP@(Rn<#}@1cqslTbaS90QlF0 zJD9Rg#cac(F}whNGUUF~xGVk`SNg*(tjrOdysk79P9Ci?kj_uSelF{-(kp3)U&A-& zCWZ!PF0Zib^_~G~cU&3{QTBb_FF$6>qABd+suHSeP85K`ZflJH3rGqMQczx;@BI&H zdq0E`DMr&JS546Nr>>rwfUDJqp4H6eOkSuXb`Cw`CoL|AwtXu2!E3@)gX%>_-HoE$ zLCHoURo-vINrEbp9v4AQ`Yr3QnZ9R0dhvn5KeBb``7$Q z5|s634KXYMrv!+dD*TwLC(W2$XQ6RReJF8+-^pO^($G7T@Qp=np|a7bRQguKG*WsF zow7V6umw!W#|h)eu~1oI7t&!m6D=Qfx4Vfp)aQx zIv^?6&`DUQDNfFx9Hv&*c8o03+YKa}_)57k_J>pw=H|PoXk|6)Yr2@d3{uI*&ql@2Hs&1WcCCJ&Q>74_pMQsI{Aid_E zLO3(Y#Hm;&_kq3H(9*~?YMGG>YAsp)2Qm*RO(4zhKJ9v2_C&vbb zA7zhmvwdMlz!}tS23^gOly1ABiS(%8!GwKdBU01WguSlACC;+VgX-ec8;E%sd~jeL zg|kRn5hAKhZ3O)IzY!!D-bqM#EXH!<4eLP=p+#{a)m8Ut)Sf~N=j1m#BwE?FqkmSb z$Do?}g&+O)t=+^ym^-ph`6xh1)hN1OklMGQo5Tl1q5^Sv^ewP$V(q?qy*oaJ)95&T zlL>uY?v;R+LOLO7nE z{pqu}WCe<{iN{JYmSxXkh#P#q!+T@QjZhi=aN+i6h}yQnGQ(6n#KaRKG*cDSBfrwd zC5}F*4398tPk$Ft?SyrrbT`@Z9sQRo8L{Ibo4HrX{P_hrgi-7=*$=BQ{YwS*TBrsb zVQL(>VN&6M#Qn_;%2-hvHAzNnv^fk~eMD?W+%X_GIyzg*GWE;gpSUO8__Es(RN3Wm+x}Y^I7%`@*VMo!ESB5X^i1W$zWs6yUv0tvYa= zf0ARlHOLXgfcp7pb=dY=H&3Z}KsitSLAy7uS%fLuk?AM2_ws_oScvs1G9#_>P`7>6(lvkZ z?xit2nh-)pT=h}uwCE}@uG1fRB7zXJcr&D?&+!oVuWvq zcV+X547WNiuFtv#MdA_veUvi8Opq!;a;V>^hn=|4D>dq;z%9cU~Reb)%nAV#!wgwvW;DbP@U`H%gk0s2g-Kr+tv#&9y z*tf%m?mENJwYZ4gVjOeela=tOKq~trWF9rG{*!jiHg>vPtRIJsRyCD=Mx6^G@`Ev+ zg({q3v|;gHViJ2*hqvQR^J=^_`bt!o$e7F@Ci*t^Z*k7FD%$3`CHwf5sbE?@=f%Cc z#Vu?nvHS4s)&QJMT5$pcRz2uT;TW{Z51m~4I><-aWJodv8)8XE2}TEZ@VbmR5>@pZ>#gci zH&={bHx~@TTJife1T6kXKH%vsd&O^?QDyIF9c=6&-)=6f-{6}s&kj{K;RG?*P7|_5 zm5o%D1(8@v7WfocgV(6DQKZY%*#Hvk;_#JE;i*uiaR78~LO_94c}Ym2g9cLn`!!dU ze>WE}ze>(V)BYO^Z5GhU_`Y>DIrx`q#c!<0*DgnW4nsl;v}J#~x!#{|Q)U07_^((i zM4JUbF#-x580GrkSYqxAU?&qt%OgBdmG0`Vg73diN*Hz;)qC>LSUCzm5U0Bm0Xpd_c=O}G!GW!67s zu962{J`P|T@Ql&{KvFdvK}UG|Z_EPn=58AjD14%HP92#4z9kKP9MD;_Lz&IpA>Yk~ z9l@L;y^6!3(9LDncoa&;O!5)Z|KI7ONS&id{nf_-c`3htTfU`XdR3;sy0!#oeLa+QG zv9L(J`J%`kLuur#wSJxlH4IGVSKs&TL{>gSp)Eo^sbRTy4N?sYH2-!fh=i_>ej?M1 zV!23;(2tyG#!Dtg7H6qQ2@-sGCc4g;%>Nt5fu81^F<&E@KvXxT@oTKqM=~DwFI4bR z0_6{gytgw->(>OjO=&F=>JEzd=Ar=!=Xhjx`X`T_Tj@r$2ad5;hwTjECR>C#kjTIu zRPXpSR-|Wm7n|PFeBYVfm1ND$Qdgq^811HR<5Mil*H+kYT5+EVjK{fAT zxcUUaZ`Zhehxe_J$Jid;=5zs8DB)-DW!R9g|8`EvH||9GxO3N-BitZ=@L|fBl7}oGU6&X48~vCduXd1+LmEI~Q=3San}bFX4cu z`!!BOr@jwc6eH1Ip0q}J2aV)W;DcJT47FZy1nO6FJT*RXtYONk0*T!Te!?ZI)&ed~ zcMAjTm5p~rjpKy05Wz7VT8g-IGh0#ddTz!aU{2|RHF5KpXHjwsTVZI5WO*o7mgya{ zHT~qPu(_kk7Fx5Ou4AJHMv?)u6|Z~zV5KWpOPW2lL))7TEq^(tclDinK2H|$>(|tL zQGD}+qk;c_%nTEk3wf|d2D}_!YCWWh(&b@kE-Hw3e)*dx-@LBEu2BQgd8ss|3%Ku} z6hoQW3ig`>vlYqdZ6xo!aOHFvGE`*MsNv4CaRbrshpqTh&};nq;L|%5okZ8eWyrgj z3M6dVr~z-;paFv9n+F;wQ7$kA;)>2#;vW^rht9De!FRum8dy{3%8)RDMoO3M?w>{t zPiI@qR=%N)S2)p+SFk^C8~xY2clnfWUbtZqWcnRbhV1(4S>H*trnZ1D6_f1FH;?FZ z38Qza1y2F+pm3;}(#879C2S7SqYR1@dT#-g%=``tJdQK0?{sFF8!AE4Cv9Gg=!DU~ z(FA1|4+B-kzS8icbh$S<2{sDXfwf;>gA^xl8z^0h?tm(&ot*Q{;~xI6jN;CgAs;g9 zf^sb4fIvP6w9+6ih}O$}%r{SS$oo&_|7-`!lmTdm(W8<`L7busP(%RiQ5Oa}8Ey5S zvi}s89F3VOK|aTn{AagB^ckpe9;od9Q!{3IgD>>~v0>OiBrbi<`yY#cY=mVy%8>Mx zh!%XQ>1B|1@soK_T@zhPQ2!rU#w+3bP5(^c5{5Ef!G7BVn$UE^sG*8&)3D*_2?J;o zzkIX*to=`j@FNZo8Ew{Gf_ykz0=mdP7zFL1AovT)`w!(`!5#ynLuA>op<`(Wbm7&O zQA5Y`1MrCd{~RW*v~Jko8qg1tQ5pdK3r2zniZlyfDl;4;_0NT_V8G$-f?(cz3B)4D zuJ4S6fYI@<{E_hi>%Y&JAa{@qzF=fjfV4epo*whg=hTCdlGQ%_`9GTS=tk+gzbRd4 zSU?8|Q=gG*eQuUv^w4t9*n)B3 zxU0Jvq7uPmS%u_-Q8b*^PU-Td92{~FBVYaJX|0Ia&mb=g)C%VTICch$_rbP_SK$el zm}T-avz0wnZVVcD^zKu-H0|k#et`TU(E~%@Z&N2MBWdLSni<2djT(6N6h6nH zHb3p-OPw4acYOxq`eXxq#mL5&GA97_r|$cok5;Ndhgjo+iupwU&rdKBFp3+Tz#5V_ zr@a4#e?rR|=#3Op&@ot`?IXT|7-~%3eDgWHpl`m8gJFtG_djnEq5nrEf8eKa1L|xH zY_eeo(f{>xX#6+aEsM=o!tqK#`p0XakC+ZX+vgfr{x8(9<3aO=dvJgf#v(wOYZgEQ zClG*_9oF|NATaDKL#};@1)ZUD7mN+|gl&U{F_BAfykgw==2`B81m9Qui|5pd5@aaq z*QQZ}A|5Cxwhq5L?|cLwD8;=NaJYv~fe{(X0XBCCx`NFu7;x~Qv;TFv=mG~9w*olM zbXEQZ_#zl7vD2U)*e&?=9g9q#I*C4rfy(-nSgnK;7ye^r-}k>E{4bUgbpG!e*g>*| z0=xHvJpPRv#d6RJ?-M`^5Jl)!bUI@$e;PK(a5x;HL~^FGhntLNi(hF(YRx+% z*b~kuJJ>Hp`7e+&hwK^jBf=3}j9AVTPUZ}nW^`v5+kh<44)y`k`j30g#s5cCV!wDm zd`$_3md~L+K;oRbIb})Zq`Ko&WSheLP>p@{QaKm)=4|O%)UPnBOrw9ql3Yo)Z)jH= zdYan+yLTjg=H*)X6`*K&NjAYV-pqcl==L-sp)n+gaZ-qMvj5TxWZ|!JdI^dr?mkl+ z*IDy_7Tr(24$+=6b_ND{S5utI>WC6WvWC#q^@oJS8fLcn)-G#!cVQ*hiNBU zooEQ{xh~kKvACwO9diG=$hDB{uP?^^#9Cap#BS+pl_x~GRS*oqwg)0@ls=wgp5q3g z)N7ts$4NC(JL@g4DxRzjTcm{XZ;O-vW-Q9w_6Ck+H;2vCqL(m{05Zon5&>+FxgS+P2=bU@rb=UfS zuvd3=?W*c$SNAk6)i8%{4aNiX=|mGdrZ4~uWw0x$0gKs-(+oW;nE5MXh60D?;}gS! zJ8P6ZXHZi=%U6tpU5?2lWu+5hx<9I*HmOH4k=D!+2qJfj4D1)cHU@}0N38kD&KgRI zO4UIUml)n~R%Y)^Hs3FmQ#PwF4R3`AJxY9aN)GhFQ3mMHxB*a2zsjj7sPe0)w5P7t zAx(hI=nN>HZVo53@^RPBo#R6=Ad%nYoc<1`CF`~9zbzlCo1Yt|aZMc!#rl+0$K-t> zl@1R`9COPQo1e>eX*yZ7DSFsC z;ukguOot}j#u$0oOnR_Q2hajHZ8|6*&F5ObE&)~lYtX;;lyn*rdmXl8x~V8ze`_zJ z%c*H8qa!PIHM}JX{B@bW&%N=>Go5hZjU2^?`y$e4D2zH#ZNZb5Xv#lhliS`VW z6ga%thGQvX>?BhZZrFz9=a0w&LGntks#IUN$-}6-*oMQgQtTw@bv*nDXk(|0QE||F zfrsoxEj$wx&|jt*gqi}L428q>WCNxc{U$@Ld%jVAHK~Qq<|-QgMhWl6ssJWM<^FXj zLQpy@NzF9Ln6$S$kYj?1ldQcE8VGhn)BCQBGJN@WwK}vSlIx8|?YMirdCCfD_p+%w zU{UBnvz;XOhCxz9`5PgEQo#M+p>kug`_Pin*R|sgKukei=g@NEh&`@J|II4$9m*01HEG;?-z_d9XOF@JfyOH{O(p_aqiR2Doc1 z1rSb@Y?$&7YfY==+wXRk!TyrUky|0QUzxyopVjy=82kX5#I=Flx93ro?V70fX)Jql z(x;Cspe3<{&3b@BUP?uE^!thl5uqN~eIp_70e>LH)f*DFpGpZGtre4v>Zk0JK7oT! z2D4z_Sq#k<4=R01p@bSwXovQ8>RXR~kVPM;w4$OM@5(Ra5IS6kGw-R>u$0SggGqST zv@?RIy##B1{Ta`~*hSa;y5q@!o=uP*x;8abZB~@PY0`9Ek_;{s?tq|l;lgXId<}LC z|HTmo@;9BiJ1Db1?1QO7gl2@@Y12SPqId0dhmRc`gnD{PTOJR9P_QZbU6?q2kubld zr+tz+z4@>cI#R45#cj8o+(O*k{(VYA$2neR8!xfPF&0CbR^Lfbm_KAHUnwJWv&;2)=>vV|UIefA}uyLG=Q;Uo}ag z6P~-c?7y(@fsf~AhgL$t0)k;M?GJi%v9oB}Fz0tE3CksArMGNk zg|WUwzqo0%OzRTp^l7y|zljZM^*)L;$mtV!aw)QD+i>}y`^0b=&3B%U3BRj}Ea`B^ zU>QbW{yXSn6PcC&mlJ%qFwNztEB6`wKquk8!4rdJ@g9YgMG7P4DubL(snb3DI$2av zV^YYeeyNM}s`pM>+q`$~Nb`5%^Digi@p6+(-XVS<22<4T0+Kqqp62hkk6{7xd>78^ zO9*yuH77D}pib{`fq6751xSlop@Oh5Xnsj9;DnXKH8Uo@XATSM%uCWvqxARz*cSt#yK2iXhT=G$K%UP9dQE=Wq+YB3mSlBqq@TW?r%qN`&Wairi;gwUnAwV&CCQh(yoUS}l7f4PW^YEnlTucaE z)$bIqKj1rc-2VR`Uk)yjmJeo7bA8#|n^%3`I}9c+%wRuVEQQk7n0DOWNaY5|VzB3GSCbI7h}8S>?@=Q91LlVt=cQ7<_+}HAZ)9XZjd9`GX=!VtN8g38l_7~m;PJZ>dS#I z--tklCorKx2UAq&bZ2Nfs%5_=JV2gbz`eYO{SHvwudm4FX#Ue$G7n5;w}d@o*&Ec% z)gO;KAbPrdg)aS9A!M!OLvj6(NqiSK@&g$%XKJ>zkXQtPZM$V;3Bf~8&_UfDF1I43 zdy~yukh23qQk!p{-E{sAY`K7j7b2ghpmJ$fm zDs@zdx)Q6nBHWU1t7|2zqCr-Kof_Jkg2N@5)g!0!J8v8fgqyv`DM7eE`b>AVIS9Ga3lW2tx;^H`SW1lRcCI4W}&JF(~UMbq&rcwH+k38&68P^l;qh2W~5 z=T(rsP8J%IInpx)WgoZgD#LFzZTo>X-vBw<8h_B`a2l|~&W2u#J{H5j#C|*Sr;L>R zJ*gM&rkj!YTv0nCX9HZP!@`t=YDoCR`K3D!>NF^!{R})Tlm;@kRAPYhbYZoH_z*La zaE36;pdQUUsysFJeG?H7rXutdYSfNL4Xg2*NM?ZkU93Pd>;&KIx9-iQTs8824DYnu3z-bdtCQOc=wstiocs#dQNSp3U&a;ARMEY8H2nF;}u* zM={KSPJAXOluL8S^5HAT_Frny(v;aT4`@l?=mbt8CY^vEq$J@3TZr!GY6`+r3~~W^ zg1f;}^ISv|$WW9GI0;~7cK{j6=K|h?lYn=FDFotbZNo`mL*m?E3sLXUq3j#{pe2Ng zO$_Lz#Jic#!*3aT{REX3b3yj~ND>^NM_3i2Nnb(XJEO-{7MT3dxdCmjwy@e9zsI%LQKZMD-VmNq zAC%@1zPo#a0(JjbFQQootX$JOg7bGQ%BtrfsZrUr(IdY>jQdDqWBd4^Rl&Z50En<) zA39$WI<%Ntt$4Fk1t^A@Vg3H$4IjvG=PnxSP(iu2OQ@# zCa?MD#U3Qi@K?v#A zAYPzSIo=zLt#WHA^6I1MF4)!IGOaY9q{qeHY7j7(_ujA~4CC}ti+**o@&Q?~sxvc@ z9>L)iI!ok1kwg@fc)*^LKgTp{*idL4Dvy5moylF?SUBnftF10f;=EXy+Jy+@S77ru zxRfP49rurKW*o-HHjh%$NN_lTwGl;e$X^Ba2@Nx|JyPcnwnNVDf{Gucj1&;CTDkUc z%DB#}=p!qLjL_w&nfO}`KBcmcv5vtWlDre|;j7=s@kD0@lft5syDao56|Eu=yg^bP zML*f(BS z1=~8qqWZ-h4Tb<=sNWGO34VjmAe(bL5h=6`4;9LE;zyW&>#~v&AEH|hHcSSWa@M!u zNSh1W7|4#aTq_8htx3YL7toy~{oV(~`*2#S@SV+FX=ja72O}8j@~=s%Em=>(5o4_i z@i=%?-!C7+F#n2DST>R}68?ir0s&Q{+P0oQM?Zs(IpOq&ma0LCKHMN?OM;{mb>4|t zPbj(8?!6#ryHI+2ax_2|)E3Ar(U2NsecnNMMRt(;GaEL#lmr2^#+(c8tUyDRFO+-~ z^2nO;r$3Op97JAen*<3e{*tEs`q9z_uQEvcmO1AUQ=yj%w~dR36%E!C5}yO#Lz6mA zM$1x+3iagrW}HG1hzOg>R4trCRFrlrqQ!=dEQ1~C>6Fq%`lc}L7R0$9a^7fN4UEs+ zZ_Z-glO*4yxbV6jDz?pad}cV*yUNHfHBv?K`(L6?IzUV{`iB_x4>4pRRr>s6xGm>3@`8?X-3r%f?cq03MYL+>QDrgSR5#V=?A=uhy>+~BgpM(Pk z7sT){JnXP_E>7p%xWgv5Q~tFN;&WB3*cmlS5nuol=|VsQ$63u+e;O*7G#J*S6lceb z{3}d6Vt2L#{FH%VTF2*op<`Uk|AuLo8R2Gve#$>LJ38pVxgDRQ7yy$X{jvafY~BJ4 z_Zjj4vAJ+-YR)M1!)1m**y5N`vFiC%##GhHxKW=q8^7z}2==`2J?LZFbAXh9B_CfU z(I08#VWdy`LGLpJakq}ggnrM%45KYDQ+bc%Uelg17mQSJA1cxj73N%*dP;RiJI}Ql z-!YoiqP*426GYK4rG$_c8ut*9Yak%5(DD^+hQwR<>k(@7!{PzEpyXHHb0iItKl=$n zr}ECAPk};4DSNZ_2q)^Apj4{SGgCTt8&8Z;P?lrmG}>b&{98ZGKgE zcq)7bE+z*!)hiZ%q(eL|GBujbySjxymhgeFe*PL7oz3dcd za=LgDIE#adLfau69fN&JHbCZfW=_1=9R?%dlLS7)AP^|&6jp#j5aHcUDYLm=$yn*` z|1D^+8-uimWeFav^}>8TTa^^3Tn*y}HMbt^mEV%l3J=NPZMvBGV8PPdVBidXiKZSc zWiR{^y)`^2gD2|#{AqSg%Sb#i!-}J+`7L3Shggi3uzKMx+hNvel~Hlew-|J3OA*H( z8!DMgB>u#{vua;{lzTreh&7>Z0GGLuH}&ryY6(LSR$6A+q0%R`vw@C3?zuCUh|eqX z#FopwuP;0*$z&ban^I+NDowyHQ7%LV*>Owq$Yo>nmPih`H*Zb&BKq28e6_!USpPEb zgsqYIH+Jvb^H-9s;>=Ha%pa(pWcAipkm(g@1^mE9X`(??YnBPI!^M{PP?<*(?mPQ& zOE43%l1acL+fcZL?xnDpwUjl(z?(PaBH? z82^hDrb_G`Kz7;HYzJX9S+z7OpJYYvY5fBtW-a{rcUsSaC7Z|+=@S~-f+hR|5$mc? z1xvGP1mQ*A@!bikARPia(cUbd8N88d=a1jn9bM^+)=rbQ%}Q@$A=g;>Tq_82wyT>q zQpr|5jCRsjrMhj_eXpRVmJoJpipoas9 zCAH~x5WnLt0Ai0a0`k=rMCSs=vIU^Te&h`|0os)oQpiW|{<}<_ED`dkYR;6EFz%jR zj$ZZFR~(o?2y(KHArrq9C927~q?D4D3xb>(1q9h2?@Jm9h>%0lTj~}{QFcS`h8?XFX1ewLbS2weU5q;{I(Q6;4VzI-begRS)%`4Sk~9N zGxm+UU>0L;l3eyPmTbdxeL~Q$%oA7Yo!wTtn_;4b!)5^(kZk36S!3 z?Huz61dIOob9$)e3}a?d2exPT_q@qj*?Sx1W$eU>tQVN?U2%)&RbbzLn?km*avpoB zrO2$BzMGLx^c%*eZ5Q^>74%jxM1bF=1VVzE(+`f@;qD*V4K*HTCwLvn|JUnqX|5~D zY&(=sU<79KKh>S_`Dz*e(?V{IgMtV}oq`T1l7FKd1NdBw*+H(VF`C znI2C!P|8agT5e1{e`*G0LTk=$LqFF2+dS_oR%LQm456u+7xOT=9gz5Df{h)UjwTSp z!OK5{fAZm5>xNL=4u}(2t>teGyZ|zc4;yrZx5WvpVmf4kYJN$4Y6wbw^I-ud>pHHT zV1w{}d<-b0<>;@3Z!vZGmo4uS67&PM5OL3y4Z2!gPC(hKx;qDR@LjwKhy zMlBpThm<}Sbf8hVIf6M2XKLUzaDp7cYNkU*sBV|sYyZ#6e~cOkKTCt)%D#fVO%c{M z_iPIJ>px}oc3E?9lzboy0ji@-&Xp|8IY}>eNOx;-^-ls06L&_%%c9tf&>mBi4h>$DpwPWY} z7GkI$B2P9z8mtSx;tuZ@^dm+Es_W~wH8SSgdN49}U)d|faIg3Nq$9pSs7_kn)#kS* zV(&&r2iiMT7gGe1~!{SoO@`D_EAtG#7FNlAhd5mBI-3_ z^3GPY9boWSC~+UZ^E_O~79n)^0qYdFeV9$v&@@QgHj+ha*hj43+HYbPoS>j6PGWf^ z)Nc2#Fu`1gaCo=y^L|`iBynS%i1U7*8#oHJ8maru6D9q`w;ELOUvU8JdMUQikoQn6 z+P1r}GCc38agw^f@1R9HVUNyeMX&x3Gk3UolwVY^e7c1LzsN`v+Bs zI#T(jdY^Ny=>f6@f(TXo1Y#`~J^mbFAB=$1JK#&~KdSZ7j&5c0v*Ws(t~~>CJZS%c z*!V1-4Y;vg==jVuU1J3W{%7n57Cg|sR8~tUM>z3$xZB7ptqMgJqFXrUBVDT$QB9^X zm2s>%aw-UdW7`jhu8)*?q}~Sy*+AVS6p+eV+eEeCehB)P;wJ^X zYnJeW_xe=Nq-hQ3h!U7b%$^a~wx7N6`=sdfkZ>m{Q0)g5NLvW~bdk}MW-G-054isa zTR-ZjR8GRw58?IaEb>S^QCH#hAOLh!Mbce~|Q8EH^c#I!V z83Mqp_*(Y}PD965DFJ+-wV(=UT|%hCS#r1nQ7oihZuijB3v0243g77?{UQmXA`M+% z3j}|}qIbNB;DiNyX|;+aT>4`96Y2)?CbW_%9}8pE)wK%%7Igz@lXD$%yQeTtO-Zf3 z?7ZbCc$nCQMNhv2KqApDfgwy~R<`rnu3uU#mT|t*E69fPE34+!MR;fsz;p0NEtW0N zLO$G|j0c&M_h9!~4+91}#fws@gdd}B3IL|T0c9lolNaHfLjEmM_wXOFH2HJvy4PLdXQgE2lh6UX)8OsEU@vQdk#hkvVI7dLMH+dvrG}x-~ugm!JEiX(&rQRRfM!H}oxEVFu@7fu&^alO~>m zWqd73x{En!VGy{j3VQ%2*p*F`t7VRK)q|j8Vr+SiQ$E88bF5;*M+6iLmO;YQaU-GR zQ}YT}s44dh3CVw`!k#LQeZNJ1-2tko!@MOEL8|MchVcBvQJb7CLn3&8gaAcy+M|n2 zS*%vE4OoPB3Ml+09RYKv#4gpHkIHNn2aEKfN=^zc13CM`@8Rck9SyYSLYKJ{WdoPh zV9umIu+G%>+AiCNQ8Yr0p*qvP&J)A?IcvCR4A2DOk2-mF9O`^)FhjQ{kmVQL? zW6e3pHQBd}i5Y0RuuYu;yo*(;z1~TBlnj!|Dhe%0NZ{o9Q<3_LQkCD2n)#zD8SMN! zpNb>nJdW=4_p8{br)#0AuBhyW42d&|@Ql~5zCO4Vn-X#%>G#$Q1%sR?3W4o)7Y^zRuk^jlP{l`WwY5W&@ z!%-p;)9nKDUR5hnWLrEfk|6?%)Q^6$l#r>YJiYIzhp`8Dlzr1XqCp!`=%IWJp%BVL z-8(j~S#B=wKW=E0c0LGrO&gT7#`51MbMdEuZ=Bv+#Z$?oiG`*}ejDJw3G6)z@2S5- z8)+a)$52pr6h#eXDxUsQlgQj1N%xzhChxtj+#jZ?p%Tf|xYEXoD!kN5H6*ddgeJbZ zzvn8H=Ib>vs7B^(qx%W`ehW?Y zxv4i#ccSqvETFm=KPpucWXuBrD(`(hoRjHE8$v4d_qBHHLJEvg%eh;{un@7$rjm4l z+iX9Y;m7<~u8PHu{qv+y!_PZZ*e0hX)S4(=J?lxp1iYfwI#MYW%4ej%A3ls(Ghx4f z^C=gzYB??H%Yw_mDMq$RCj+6R>km4cjhtCCno0vlBZX+&xpe&A!53^gtx~>I)1Npc z2S0J8mNqsa@l%lpD9p^cnXC{8!+Nkk4fEu&C{c0QuEb&Z8z8Sxeu)FkJmu5j@EEqB`&{SCHlOa3UFi z#gpWI^+!O(Go(ATca05jM0_T$A#7! z6IH5tI`>wANS8@L!Rz3z@Z=`RuBCLLgQ1zenX5_6GmDPufS9cuIG^i)y=1-!t8Vca6M`Tj*~)SC5hLy? zOGY;!9#|Ioi%`*~>`Otk(7b&(k<^oPKAD7^l8DZX*HC1CnTT+E@{>(UqXgo^q>PA! ztsUD?G0{tR4ul{1(`P@VNZ2?Rya%OM zhMF6Px6(B>8WV5DM5J3en)t#SBK4G>3a5revsUrXc5) zBWXxbnLTyB=Z~OWNEm7>#DAuWS~>J8c@>8<&odp57`c-FNs2nn(WD7UMy@YcZ?wYN z9~(P5)Gbp?9;OTeExX@WR)jiN#@MoM<5^*Pw*PT=$G&;>Gqhe#;Q7IATw1~vK217R zXhXHaGDKNnV1iU8#3JRNqk-=kH}jK6{#)Ft4(P%S{jDSpTqv;BeGH*x=w_igNKC%L@zaX5}^<3xCa%rsMJfqDzp zW@o(T%ghj%RgTk1*AIzCN;h8TWd`B15K8D*Mt}EV$LwR``;wag!-@~=W+3FyFV(e~ zHYhNZkj9(iEDp9e!(tS2*9#hLlqoSug};1}h$Y~WOXUuhl;r=WMPwNqz{a{8bZ68G zGbp$x$H!!`hqB&uC25YoV58bn%`+<;Sh(rAiH6z6H3gi7=r=qd)kp}yufX-LJjS;Z zbgqUN)CZp|YGMe{o#6=_y~Uq4Q%)Z^yrpTfhRn--n$o^xq}@cZPOO54mqo8d3`?`7 z`+KebzL-wix>-2z{%-4{*qyY5VcC_|#U9ZloK@kt7M7iL95994|0O}pWQWNZwX&Q< zG4|jA?iE?`9_S($Q2OFDOF7IKsGh-u)JwQD%)C@S+d{v%+1UwvN#Hlfb;FxYeeo%V zAqk~n1I}2aK=7um(U1`-EP4XjtTZ?anD*orY-OqtMLk~*+y-iuy-zG84`G{eyyJr- zMw+!hhYd;Okg5ry^BlkY(PihCOEvx__&ua1Ebpx=JQ*uv zD-zG!Z-^EF%O7qJK7*#bS)cW!k^zZu)inypE30bEmvlDk(?M4hdF74K-EpT8A%AM ziIwfbExd$!dvc|MkDlsVsG8)S@>48_h$$&!`&dEC3Ln8mr!2wdg_l2yIX|^}4CS<> zGWO1;0yRd>>ks1m@~1b;V98D+?HwXI(H@-=1T13%=K95?edHh_2A-sJ z#0E1%Ww3?~+3+!D!kXA=jFX2{oFi<-7dUT?rhSo9u6+Jj*^+QjEng2PCxI<0lnSWg zY7U(RpYq?-4r6sS#=H$ntY`EIvC-XWOunhpwm7+)^_7ie)w=wVnR0Y_@%eyoAHrmO zOkoy_T=|-L`yA-ta7;X~&~5^`L31x_qInn=7EJTLH;avYe9d(44S)KKMD}R5G_#QX z{n@LGt=kTTkdg+zpX`(9udl&1I@Bo#LFx}e+Gv8W_cIq>Wp1m%frrmR+LqiDW=s7Z zWp?jHgYz)&Ry_0*Cazzr0$zkDmUELvW|(2mTDhPY;J9?wdqDYDx%c^M zETDw?3YKZ1t|+gye{?L3W~xO8JMh8~Ge{ZaJOt7ptrvO`+eD zA|v40j140hD1x zf8qUt(bfJ^<*rEXjZ#|5xDsUbj|y#_RT5?jV!^OL)&pJ?W7cN_s0JT+3AtGAWu}5= z{^q;xJn*)ctRr0zllgTfbz$SmCZ(o6!PfNo>Ju>`PFK_w!QT6nHA!Kf3?Kt-=uq`} zls4*%=#N>Wzv}H*oh5tuT}Y?i$ZetSR4Gx^!$q3?O!;SAE4z!Zn+mFTHNK7gY7t%y>WhLqmz=2BH_e|v=L-B)`amxcl>Ls(?$vW&%e2W#KAG1PF_*s))d;X;kc z%Tf>TsPnpbBX9DRDNcqN@g|u9f)fz@xVc6o)bvP5SIk4P`%-Su8(<}pto4%?pWg6k zr6ukS#8zK930^tn;qUbQ)#%!v2}rK*etRYhsU?w&w9fcbMgdoK{K{*+Ne*Wd%EFAp zOq$8hjX(W703)hdk;%gLR=Kgkz45N+OJNoHWr9fcUN-69$c}CVeibi$&inp6-PG*w zR}c9d__TuU(#$W$e#Vm@-DT&`C-yPduUZk;?H*MT!~c+w4h@<>@ru@_*inDZ81b&^ z@=27uw>yb|IX*95YdmGDau~ge|MF%{By~5#fQbN3t;lgca==nj!M%De^7Xc))~x+B zcjP%JlK=;X9a8Y~PgvuzN<`HtLrR|6x3~UvASQ9`VvdHRxUGG`UoOr(ezpSb{nNi> zg&!{E8Vl318a~TVO2vSi@Wz8DUzy2M;*1pO9PEoC979iTUV0!n^v=9_{;zeN=kZ`L7^e2Cpj@PS?@$=vva+K*R2uJ6`x$OE<%MgWBNc3pB#d{ zDUVN1MWO3k6q(2@a@}xVeZKQOK-YJGO04RfK>tJ4InqN#AL_x&hTbOlqWU~I*(5@K z7`**ccV%X(B^TD*IdYjmnnh`&ujUw*i z{xFMd;R0L|&&(od_SeW`n;#mAj3T071iDc$_lnK_Q3mOw*(pKcFlUSa3Pjku zQ8?UGqxAo)Ar`=fE({2e0-*R81tRgY|CIb=CTgzPzV5#&5&5G5Q#hgXKYa#$Ju^<1dKYmf{NVuDQMf#7OOWT ztF(&&!XHH_)q_mUaz$u}TR%O0JHCQxH|ls4kp%uIgM)w%ed8D3a^oif2zVR=xFG=h z+REEEQ>II9yCwxu86N>ItZp&PXtM&Kl9SR*@n!`8qyp!sRZI0(J@IVOne?5w{5CHbr*Z+@!rILZO`^Z~!`$enOW(5q<`UL<&LFC5EK)K=h z(~Vy^P|h|`ISIS9un#XIwE8H*2nh~algj;{N&;3Kr*xvyW*ODp_z_9`S=a}>N$iYp z@xO`#K&(yjl#8A1iX&2mmEBU7YPwhW(V(**9&Z4A%9HwsG zV@HI*jbEHUkdVCqNNsd#LHU%XLFD{q-p5zadjOhwK-WK(_5TzEFf93}TK{|}xQnAJ zf#{w2eRx_O0DY=-0QrADNj@xObAG?K>hkys@UKa$fttxw@;yMJhYbtlfH`CE!STB( zW{u}R6_v~Y6cA}&%sO-Z}m&u*UWcgdd>f_K-BEgBSFD^_U8jsQ!t+W|{9 z_#RCGIt21-w3A{-=d?3etY#%3D9n)O*8fct@UEI8{8Bfy+Ag`?iT)`tHrH-#ey&%d z;)*0o^{o=ZVjmx?UXJd}&guGfq4nIU*0g?1!#5diwpe*<6s>)7_gLJtbarFr)MQq1 zShB=XsF-bZf(Yp_JGs01S$PY)rT+xL@C3eqRKMI4H!oqLcfB}@n>HDp5SAX-XrBNM z*F^EdZq-rz<6)aFvn%O47B#&;zbmznZ>p7S1}pNI)A1K>^;Wx>)dYf1>mFlTKjACn zt{L$zj#E@;1cF@WQl!rlelt@m7)jDA;+N;2jO*49KMNHwF!X&fykzX)IJr0uq&4e@ zJduxFDDcxM(IX-YeL_?=YYSAbpME^=+8?3&s_-QAi@|QNwhfZU1U54h>YC7TcdK>$ zN%cy0oz}EuP7|T$`8C7=rBz`4YUlACZBX7}sgXC52pthL_1y5W{)wT^W&LbK~O`?mf z^K@6en72K8C8$r7R%-677&O;n#}=C{FbcMfvbEc`XRxFso*BG&Vk@8E;SiAZmOM-}joTD>^sB)L8BPl}}dg+|+-(t9%j{qp0#AIZyY zovGBuf42)8vRt25%*WSgL);vP=Cg%(t>Q3pbH4uVa{Yf}*~R}E%N{U4>S{tH^3A>p zKPCWinYg|lcjj>2!ng2Rh5GVZ{V{NJ8rtYR2OdlpPD8Ixr-5e`t>r*0^PzbZKxBjf zuhrQd(dp%%5I|p$2Xp^CQ_HYd*?O8U)kTRdaeNXWk}`PwUG#Q|E!tP*Zrgb5X4^lA zf|;EpFcgqG%JLl6(sNDKp=cx3+t(bu)J~SEqkOC%^1Q@UKJ3etvJbM}HmJelb@bb- zAF4-y-__IzJh7?~U(BB||DKB$1e^zzt$P;ESu2EJRge5;24rn0-9f5Hq<&J&S%W`syYLdrAw14mhseM8 zpR)#j(NZJkbfkRb1!W&A=uxXz!>LD^W&o>z_L@4K8LX3faoRFxO`7XgJp%M?^d(oV z6M)h{TH$V6heqJ-8eQQzYXR-2ofy?#_R)xUt z1wN%y{!#H@ksM}!ddFLK67)qVNH;Y6UKcrZ5J=za@)M2jvin=^d~3E1?lHQ|om;p2 zJ#-qHJanf=UGHhJ#jYl&0dzQXnVl2`Jy92b-H>MsBE+k{#X(pnPy zhuz)$d3PvrmF&XGC%NUAgFo<(3#5Reefh_a)7#sx?VZ7YTsVO27?6Gb+Wu0q=Xsl$ zqCImV?%f=Omy2@_#3C+PNrNV?zNZ*0Ydi#4#gtU-o+{qvos>*@em_`<9w&Il;R50$ z0DmxF7hP1pD>_ji-m|U8Lh6Ljy4ZFwpRfKV!KgmpRAJCGUM~`lLk|9Usu0IFew2nr zdIrGmfS0k5doIu_=%B1HYKby@Tn1E_SbT1?A7CtZC7m0;4s3rsjiv=nuW)l^yG6WAXC z%-u_)-BNX19FiBLQ1G=YlV~uF)GklmIWrfsf zLorJNy@o3J-u6w_`}Q6W0v=g;r`ghBUXvo3i9GTl(11P0dXGtw{Y0Lqn(cf+JMUJ+ zbn%bh=l@kkb~Bkvemo(XTnMb#uOG%1 znTL?E9*d~MI316ySD4^zv4QVn*YeAIk7Jeiz8?vG4%j@Rh=>m!5|IBQ?6G*H3FHg{ zla{UTBmO$O3OoE|ajyai$1F?C6jl+J9dZ3j6$$r)VUchU)^gL3C-{frn6gNZkD4DM zK{~!fgr=dVZu2ibDkQTddnx^MA(pA+j~u|=j-EgHcTlG3{^IRf=Z0KGQz|v0RXGVM zvMVCM=pZOKjDBW>#;H!e2G5=6*iIyY4v6w!kl5O#Vib+MQxUl*_0I75Ykmj`+!hVvKP2D)Z;qf zw!Y+bE>5jw7}3!hI`{XjFQDHLk$gxni+i>n%i;bF_O7|7^P%0K#8f`--$2^SUZEqT z;9ENPxEt(Xeu_>Nhflwr1v!4kq8E-s3TWiY19S>YDXMxzxVG+EpRsVLa1V1HqHc-6 zL9aSg zNap`T#M0>k&fAHGiwx2I8@2(jW38u8_|-59^Ht3!gBm+dPm}d;4 zm!1Oa320j2vQxE^mz329AlAQ03u@`PA_`@=PSVZi3RlHQ?>7#i(p`;oWcV0W)QjDI zTLNDw-YRudHcGe0SQIKP3{RNtOQ(5w3bxT%ZxTH4>Qv|jArz3?yk{iiY)($zq=G0M znsh8kT3%tD;@9gXsZO&8zPqlx6dlMuQtZh>kI1ZKIw1|7(&W?JQAQ0E-xn4AP}KZ~ zB=L=ADK08D~f;TmEw{U!+an?ja42zo&$P?AN~>WLPsBPJchc zQZfa2LaEDfM1&NEvBt>BX!Y`eB*fTqZ@O15_z)yQ{z4dhXikMeMyn=#cR)#1#0Q8# zvK1BZ1R*QzHAy2fDb*F52|-JDzs`w!g5Y3RAcvF}(2hQMAL7f+@XiZzOZ;4kxX>ea z6lZ8SR>W1&Ku3jR`~_KxhSc8(->gw>pI+y3-V@bRKxq>eIX-G`iTU{Kd_S zG7xDzji$HkH&}x5)*5xcTtq)!MqFRSz{z^fWtB9zAO{)qUds_U9~}n>ID65&;8w;m z4*udzz7CW!6lWvhOA&!X^X`l~E?iE~k$sdL_8vdRqx{s?x?EprUB@CPd=$XEUx*Ts z!m5FtkLkDu4X9n{y~ zWJ(q`eh)mwRQgf+ZjUfTIfD*i(Y2DI73(*}z~@$CCVvM~a+uiaTu|1b(W8S|LvqpZ zCgSxg6O9HpwJu#cu&f|5i6@JJsaVKxchR4+X*{ip6MRhVv1_DTX^vzHrCAC_*5inw3Mu_ zUcT(5OvNk}&d(!VMQzevC7O?m>@R|$GB>mETY1Tx|6UG+# zv$?VM&P?SEdHm%L9~e`%(=G`FPl|*DA9;|)&6ga8{__3WQjm(-%WhTTrf97XR?U~h zo46Mm4`|WU^qkjA+Oi5(?T)%`a_(>r)sa17xcsB0H{c6dpAJY`(3^T;@eddeVc!1s z>q?T!n=@Zd^cM`Gm)7!^{>zlR!l{wh zRS#7@EvS|)2O+qY--NKWRZ&xKp0(OIL2`U_QEW!&f*_7eD8ySLNvWg>U+!hC?RRB; zwB?0nB7Angz##c9--svPQc>Bgz)hKgsLl!r7W^64-r30O2S^EW43vrE%)2rF9KaAQ zCYYU6=wgkHPMwMg31(+u9cD>tz`-j;ijNTTyUkqqN^(6ZQa2iQM`cJdPzC3`&-J>~ zsTLt2>U)5 zL8wq`eTD38w?&@m-gQXYew8FmGN#&|Uu zRE0PrqJm|}anBssqh?;~$a0B6S47nYzp4APgQs38canX0w-79dJo~T&bi0q@4a~`t zBXu!6m{al72YHw}5q^SIf(X_`U(1TW4#E`fo-=ER&Lz28O)N-8+q^1AQXqQ!6G^-QLoX zbO2F;8`++NP=gqDjLkIy$;59b>46Fpw@yZMIUqZ|>U-He3hkeYWvk-RKmbUJ za^aGDa?z~ZHhH%F7__(9+I>yO0MI<>^IRE^GyXW@Sxv)NlQBF%Wrqoq5NgZw@buf2c#r+8U$6hlk!0qLT_jll)jQo=8ot{jsC*WVeVzRza#+ zO6W7y_}@;b#8hHLmR|4x*IFoJjVL^zK(iKg5=*cz4%#QHY|&<0A1~NPuO;$=;tOw> zWX22K7mjZvNU9S%vCMp$RS}d>hRPD~M+!ed+i3bnr`lc*v-$Y6*S~U!HrQ0^ibEz& z`xgem+)+`vss+pMEkAGm;vzK&meN^Gir2-e*Wzi#auuQkSHM|JK(bTxQuJ$Fx((d{ zM1}Ix*idEGr#e_!_>oYBO=B7H_ZaFoNTWxLV_>L>UxEM30XnZ^|XvP zDq1gM4;Z#p@hTdhXDkJZ7nVby?>&;@Gct8#pmCFRt}a3H+x4q56@21kKf~t@L(9e! zI!hs3NpR1=D*-C;AuS$~c^hs*Z7q+~B3D)H0a0&}atRUDPwP=T1P~|}q>2GjmENN2 z(mCy1VB?8T;p;K&+__DL-{hw;>im1Vo2PJg*J|kllF=j_Y_g5=C9uJ^PWq78Q7cULCq%OVHYL9o7hPM>&IPIva zC(#(-fE#^Dzg=MsSgtpAG?ujER&rQX@Ip03Jk|^Lr)KR$1ehp0zTcnqSa{kCL-+(E zj(w}cYG4Bg9ETaDvAIk%v~yKagdfHAYj5iCji2LE@j*?%fNZc{?+piUgTpJ8SD?zc z(RJwa%byT|&h*zUWmns2fe{22LlVaztCcly+PmKU>WR_{1C%==^57hHl~+$Tp3TZ| z_-O28Uz`=AbTO~k;JYDFodz0WBcsm(xxocsqn;3 zUq?Xz160sK5bM0q*m*voPJD+j$He&{R#5|Q+-%6300AtR*y`O^6U}E<(CFJ0p@sYM z&d7Hhg?ccBnooOAy*tl((+XK;ZUScO?pKP4?B8m4#*o+~EPXz{>OdsZpQOFqzTaS6 z@#|-Tk9b_(B;AG4{S9WPSHJ`5$8GnT=*RWbL2rB{n5*dGXfH3lKoXL4+w1$M(ak;* z8ViW^2wAC%8-uejx-ky2D!RXm^vwI~%=^01Uc@sT%Vts8oZ;E!dXi7K+WE|qZ67Ym zY?NZSuQGxrRwwjP*hv?6e0u3M-Hm*RM?Ye{27$yRwq1Bl z!Vm-QYV|W_I{sK>$Z~Qgke^<74PPNkq$Y8Cd9zKG-!Fa4N4;@gsJQRv(QjKe7E5iC zHr78gu&G9y`2a0M^T%ws>ePsZ5Or#F5-G7!Y)lRe0QaLU>tW$tX4I$GRT{C>cxG%$ zgug2jMedw$g+d|(A!TCTy;>IfY;3?AG4BG$bN91s8^V~*<2RYcdWX9k$0=nRogV!y z#mA=mOQtq^I~zQ-e&;Z}2;_sRm^w9{qCRH99bDaApigxAY}=B~?k*sS%nZ-znE{Hm zoee*!Dh=-N;>2^iHZf85Uc|t}{U~BUnUSzlgAfVX0Ya-2|1u~^-`qCbv;^I>?ByqR znU&p*wuzT9cZiqy%=qG#MRl+h-!1sgmz{Tz<@v0s>XyChOe(qCQSB(}=_IQ*x&WMc z*A0>uSBQw+LTB^3ggz<23|9Z92Op#u2%v(Y_YRw`7@Mv$N^E~`wR={w{>P;8zuNGB zG+~*>llFh+3|i($lg#$*v)q45ifqCvjnS3$y$uPJo|yq}Pvgvt>-m|1+l>m1ELaK$ zTi9NaQkUr+;hXUC#Czm?FaaH45gMEtXhB8H46N9^WrMDK5QJ~5#?0Ox#7?};rWI=C z&yFijtQNR*I}0N`5U7FdZ1ko{x&2#@@v3aqCO7WuMJh|Tle7I{8p}pc;{!DIB9$7Z zKHqBh_@f@RX9koV|Bes5bD|d$t;q*uKKLuzU3kY$40z8}X*~HsOD6`bJllQm4jMWZ z{ED(Pp2oXH05#w}dNJ>~b2+xZpd_|Dw$k#%bzi^C_Rpw|#fiwlvhn+k85>3K)WBz{ zPK{CIyR6syXSU}G1ePb}|1GFa3~u$y%zyzh+a+DzSv^LTKu(^F9*jW`#tnNLV=2`B z+jF$Y!7OfnQ3l;6i$;#-4CH8@5*AyLV?>VTl0oEXW-&sJW>e&7Ci~=eMseG2xT!O{ zV0Mpe(55X%SWr9Y8Ucy#z!C}pWWHDmMLvD&D)--_eN9cRPQ+W=-5_TnMK%VqaEL5v zH<$6Y>cspv<<*H(tx|4hD&O|^H+Fs;?n5_t@*e&?Hk`Etowe8_+ki*+>FOx(^^G!uX=wqlYY1fQU*KuJVzO6Py__ za8*r}4GQDc)!jy*4~))-D7KwT$|Bxe=ZKR1JK-*k612)23@2k z<{H=2BPFh9;J*e5!ZAS7r#&p-70yXV+`lgqCGB9HuldD1(oEUOAAej6u;bB-B#^)9 z6d#{q#tmZR(xd;xPf7a`{hWr(Zl{YATp^Yn3F|ETNM$!tQK`SnOxQ1E89F$GLfCa&6xvnC zC|_CW2V5&-V15OncMOx8%UzfrE<|dMW`dET%F5Xw^%1czfgYEw_IWk+P{*8K(@1H< z1SU69`E7#NrKR_ws%@ihDKnTNUTZ=Pz*F2>A@5)O@WIR{yx183{6?a(x7R{c%78(B z_5-8ahhc#o4aTI`6Z}Hd1>o%xxci~C;|u+SrEX)1%N0#E!LK@h8b^wF(3UP<`DQjZ z8$9l%lIajyw0*!IRzKuMWpg(^E}bQfP0RSn!Nl5D^4u~HC0=+W4ikG#0gbW-IuU@v zHw0FqH;?&hE68wlLQD|e0Fg&~4_TTBX!i&sBs)C}wiSFP7EVtfL(oJ|5YDdeo{w@Y zKuzGa{2>pe-Cl(H#IH006Mp115nxlTF36A@7(zqvquy0oEF4D|;>Mdh(?CNjk47#n zhP}0;ghd*sdzBZQ*7h+iTJmWBH7oW@mdt3$M)HT9oG43$_8o?fO=hw1s6R?r**0o! zC*A-?%0FucuP>-KQt(ham|r7DKx_-kSPDc8)gCIGP&Ys|yivIM0viVK|BqF|la|T&kMagbN!m zwRSk9RN*w3Lb!q|#;zGYdnI2&@iEsm6mn*VxVn?bFD-~`Z`nnDyj>V(5HK}GWmsc* zX3>G38aETnfYUx(_5K!sJHR#wU<~>*nwMnOcbrcR z5lYwl*-Evi4o1c?RQID!BgO`W`T4K9XT3hp$4wh6)K))A;+;HB#H-Bcu?if*br@`& z8)38gp!u^`L>7-&#-y`_0Npjl>Mg3;XN3vukv!uwMXh2ooeB5!XL}hpRkikNmf|~Xi^8Wg^z!>toT!~ z;NF$!vk5z9nQ;CgBb1KQarXI+!ql}Qw1%b$y`-b5vSm3*sESU(hn*CpKP!|&sol7! zGH}Yl9lpsg#>W{F#Mk{43ruq}u-zUXGqAE$CM4&>^>Xm=HAEMdbG>%7I|?@SHgkPD zh2UF1*jxLA*4bZdE z%{vnjIXEE%)S0kpS0^WUJBuwyN*c&pxN`AkyrwOS=-fH>sQXv16@tGj~pRFJ8@ zn3!%Js__a`-)$!;ji(&BK@&ljz>8^mF<}@v9|QDxuMRk-y6a*W%dR+dt`VKMlUpe3AyY#^-@s5UjrH+f@^zmy(=QHF58+j3jWQ-4^mE zj%a*BQcAm$UrQHY5ed3gUfK~b`xMoo$`Zv(Xk((K6)e*@F3c=MTK~M5-jf)QVZ#H% z(l(cmM)rIWxO!J~s3Q_Aa%o8-Y?u#E2XpX9xIzeieJXYE)y-=h{e#noo#Q z{z#*85C;E-%dOueNBv<>(cgvy*4_4cGskM=s&hFK=c9u&R84OAbrbizJtR7yFf(7ro<-ub^RG zJ&~J4((M+a4F?8xDIY>K{ zCh_50e8jl3Fg)EJQ1DGHG{W)&2>y)oO4tQDS_;+;sn-}7W|x=+iDIy>Bz?X9m20lB zgTLWKAsBzWMTK8yFy^+w`!Yx{wE-GrsFq?O8#xRt^jCcLdIhXy*@`XOv*r7nmjXT4 zybg;qO}3r$+86i@6%1g_T=M~hk^r!%9x!S6Nxc;b#^JaCE zBhA*7K^K(Yt95AlNppZwpAd7Dt$MKG^XB{E7FS*^3I|tSbPg=Otb~6cD4(yl%GPLi zIGnT*i4ou$Z+JWl%c*kvJAVjZyy|*jv=ldaf*}dmHBUs*uU4LjWTU0gb*>tvL(p$F z0R!SwOs5A;b{o5+_0KH$xyD6Z@8v8S)1KoEM3xj69H`-i{7^5#@C1BSiz15}sh9cw z(N|?{or6PsDu{Tb{#lBQEK+a%Dz~>^N87XL7f-DKSAelpQtLl~X&f>br`bJAn$EO4 zjXF?^S}|Kldp@(Eh!|Hk=A6;QheMaES`;%;uVe%cO&k#lU%z`mGMObdXGYCFMeVnQD`SIRgUi-yIvbLh*@S@kCi`X1 z1F8@H1@#p@hW0hjRTWJUXBlV1cnUrSdhFMuxP4A9Tn(!}n$ir-NXS7Qx%B-3tZnax zbPpJ5$uN_?;vR?EJ5FNk6KG<{00_jGL zqkL2Rf?qNFoYJUE&Qjnd0dq;V+r0DXy|U-?`2+%LX662k z?O`AarwQEA>!1jx)&HUiu&4bAYFocNtfh%}(oOyqCuqO)OIZ5+*>^Z} zb`rccYrEp=Ruj}5eiS>=OoS?O6*tkGb7xbBiuAjwVt+XyI|yo>H&k5z`Gdt(N^!j` zSMdWHbqwCYh=HTxdQdg|w;B>ji74?%o@jo#n_Tu;A^-ElY={%lO!LmFxgfV%6v-in z1U2G!CmTab-KmmFC}U?3eJ^3zLfAGm-Q@HMdV9%&zsUVnHGflKn^F%d6{<}<_mEGKUWa? z#a;D+-Q(l+Dh_Xj@;pMgponC#y0Kkm_g&r9iSnJ%jD{s2atWHx?iFp9FU6pfwVvCP zP1KggFGeuDraoJltg|Lw;YL`zM} z@r1_d{!&@Rcg(~dRn8sx^69vuK=!Z=jU}?-tl4RFgRUSP^geVtW}`G5!eRaFX!Iql66t}|YQQ9?*k+PEq?J`gp}?q+1fL}N z6H%%6UK11;GP@{jqBKIP;(UGeRq}i%|NBKRK%CxRFsE<`6#Xy&A0&aC1aJ6nZ&k)I zm9dF9Z)5aB+VcY@UDnPnI>(|)6Q6~qCEQ2K2H-=Gg* ztX;BIbzAf+r!OXP*LfD9q)_#6mR@}Vc`i$Bus-yOa970We4GV#ZL=|lc0u^4zwy{$ zIxlr-W~nfZF3N^T^VN9F@?qrSaJi8G9`^m!cVEvx3nf2)Wzi~l1}ssah=Ikxa#N8= zc3u_Q1P|wAk}RI6#$oheNGsIxtX=MlCJXw)Ag@CZ6wLzyx9&Ygl-NY?Q)So*f(=ev z#6;!uvCq2otvOykMllsuE@C$_BllnfoFaK==yG*frAQ3^ss?q79Nqn33cQUAC7G|Mesm&Uunn39j_RM3U!W+T%MIg)f{4%Aqlw&0ua2wII{Mi@C6 zJKY@}zunNFAv>e)O#7RUx-3zxGOU-U|eMLI*+5uo6)t-7cHQ(5#!J9EU}O3&zz1a`SI>+ zGy=Kx+79|&?15Sz8ay0Hcwda@kCu)ke5*Be*_=0Yn{4KPZdP9|z zY41|8mT6Nlh^ZBEk!j^_q|)189Pv$v|IhWn(hD4S#U%yptb4>DrS*(6dZif76-g*f zDP2OhSx}VxO^-+dLAC7GdnXz@VNNRY{CmmDg%>u>&#rx2HA1a`*DdjuUf9IO?>e%M zB*RTu`b1n*)6kWU@|G#=+CMY&=o`Th&cb1-4OtX^HsxhnWpR4}>;Ncz^ zSav{^{+I*EXOxs&gMwq5GhR~@S-9;xYKpZ{m5$fVGa^L0iL0PK(6sL`@lvzPGn(Dk z=ZZ*B?i=iu^D6;?zl6FQRZAr&4SA+?Fa)rs_A?$#j5jY%=N2$*3I&0Q?5vt{O$%yA zQmUfD7-F2Q0i_%x9jHuiYN(X3 z_!iO9X=5e5pr+Q_3mw|)n93jN4KeSkd_gAloU`tI&huj`rfVt>Ju8F(%}}3#UUhd! zBfH{cvemBpq*s}@b#ms=tU0~W2#9!XXTTY{p&j`&%gNU56e67B*7*hBr^wLKTcy=4 zMGM`LeM2?AwW55Kh?{AGF{bhp^9PVQ^E@zJcUj!kwzl2Brfsv)#+mJFI`{J$i6&|OZv_>suX85UFWVLEvaQ66+h zRWeaB{-BZ1$wWtyWLV$UhkudpTgP~k>`zS>Hv5jxL*>n0LAEg*(SKB+f=D zo0k)bi#{V1d%sx~F)_w|VtyTd8Ro~#XIRF7}sZ25YJJ05nVwBe4$J)!te0!C-?q3dUkrg?Fi)|xjlEo z*p!`hBUGHWDTWMf`$kuv&!L^h2`@FRO%P@WMJ*!LE+cwx_x7Bw7DeYXj6BrZm&epX z$T0$0h(-k1sbIQIf(O+sAq5y5*cWt`OzK;t3UfU@sZBqt}L8L&zG@B0hs=h7@9HV2M`m=03+Vg^9k1JV$BZl@7rh3 zU*`mrwK&Kff3wIE6=iYzT5FBx`ts~dA9@-35+!&%u+o6geo%t~7pzvW$rBR2ZBA6M zSq>R@>YaKqSiGerB^0KeGbnhBz)e4|He;UDF$6GD$hRr5YUtCJ!raM&z55ul%bl{z z>9WhSkUz8XP-eK9(Bnma+5WaEVyvSo+t)<;x)pNd{HS;v@h;2*zL=M9hHR8%CQ?oN6}h3G zKuqlux3IL*l9`T)iJ)D>5S^mE6Z0(u2Mo1 z7M@Mlw(VveS<#xZ)`EPWgBLF_d%UkPscF;et135*J&o)7Es2IJ7eySNCqnrGoH+B& zJ4DKRM}93Y7#y`ZULPjXpHvgXwGruaJ18Q0%8BB!!#LkP=?xt*-k#$N7=!+N;S<06 zRejIab(H(}^s+2Hpwn9i_yeWGD4)WAa~I zLRFO-j&msxUl{yP86*q7XM=EBKrojU>%h-n+L&&Bf2l8#WH@oSpc(owyPyTl8xG)a z@wT3+tB5em_$#~~W2xY#L}P!F?D)I_%fCL>R3k`+i|;;EiV}~JFzbI{UI#cO{0d#62zrV<)7X-<{rUYswBD2-Y(I3Yd1W{4V85rE*NTuT_$1AY^Yp?*Xt=Sa9liHy#5Zyl`^UcdQ7KbKsimK0G}P8K z{SNg;(qA_#U?rI*Ez-@#F-UFO7iRWVRm2yzFfgFfJ=qP`RtS=)D(f&}>Svs-&{CF} z$IkYjyS12c#@)c=f=WCZiM+rWsToz%Zf9EtyX!H)FJM2jxBbQ{9+YeV)|=VRR|I}* zVl8+ad%^fK?N|>U-l?paZ`)T$p@2i%=U)v28FppY+;#|7>WU1BVv_BNFX#;tFe9B8IC%L1O)-<0@AG#}qX_OQ-XrDc@Uc0n!NZrNV7GJ0V%ca1rYOViQX=LzpX|>_HPwwIaf2%d zlstLwYA*5Z&qh)#?z#tu8(WBF&h|P625e^9x2I!sF$(qeKS&Mino@pjfJB}mF<#wo zn;Bkp6+>NZgiYS9Ju87@sG;v-f}XvFSvR}Ls+e<>_dydkZrL&CPW#fhG|^>WeA3(` z92_7O)2#4X@69P8l8$#no)DUVG{i|n%QrXJ*;nGhNfN2=etOHfy0cNUmZjzmP#@>c zOYZn9F|FA2{f>uUOP+(%Y#fc7n)tVmkCj)EfYPDBgi6}JWNsQ|tqBeOsoiYRN{@1$ zQ7I!B`VY_Hf{1jAW=ei&g2dH#4gzZy;x4GSJ&^3SYUXUqO{AGG#n!F7b|YQagfA_n zZRw{F$qG)jFGQLuY6vw~?li!MZU>bvSd>4RvfcfZOJ3Z;W2B#;V1Qg$F=h=%X7h7G z1}knj>eh-OFsaMni&FXm%|{0RJ*-_FX)rYV4s?WeOD zqO;X<(9iVa^6u)}PU?-R`%Y?xPNX;IMT zRqyG{vr~Ve5WgFH?Aw!>|AuY*5AW^6eWd+_p11f5<#?^=2S=l==*xK?_2|pN!>U;~ zdCX!IoXkdKUzo+T_n-fp@OQ(K6xO|DQ+7}tx3L+)RKtEbC^zZ29Fz}6rZ=7LtPX_% zp#P@%Cmn%(iJuvP<3(6CX2kKoG-Q@X_7Wu*5R7bvBcsVLuNw-Gdd>;ly`?NH8~Mp( zXsQoJ+GJWc4F&A#XAa75CjBmMG&kmg?{rD+;;#{%_R1`mzsp$^Fssc}Uxw?ertj%< zafr#@Hx!im&m@qXy-~&Og;ujPz@aP1<@O1U1)@`Z3KHH%AXPdYFjnAS-+1|tiMaeV zx*uF_hjIG4GHP6HiHWlPds9>xEbclnIhuLcyfZSeY&^Yh`RU0Hxre&o^wl|POzCKG z>PYOc6a95Axrt2r8OY{)o|nn#dk%PeiKX&zmKdCP4>|hP#O$LDUF;12s@}gLheGLpxxt513EtC3rL$ZPuV3SsYxkgX_Xg%uBqDLl=Qy5+S z(93$2QmlsxfHv#PYz6H~et`Xbl3ejU`AQzz!Ro-u1*D=uyVWYES5Y99$`1=k>KUaN zlH&{`rEWarP@}Ax4pz7;%R8o=NanV5r+*KmwrtcTjzd$SsI80rbJJ8Fs^mM;z!dLV z{odxyrAh(9slW+HUCHW9O~yoWQhI3HUg9(Xv>!y{$9W| zR?O)yCtvLR4$uF6o(nMcwwp3aax1#YXLyK9BD`TkU!~UzG2_JM=>xOAa%W^sjIOj2 zNyI-ie^le2{)*U)>k=5y-c+lt;2b|6$mf=Pqvv=mJ6DIeQFUJ#SM{Cw^uaTzW#LlI zV4YTI3|im#(XF+%fr?uXvj}hh)-pJw%r(P~A1?8APmS@HLVBrr7o_rBaS18mk3}PN zkTN<$Yr#-qL41*9fK8^Z07gAtrYdQ*7fAr{6Oi0jWhs^_3Y9l5vNSA9pwAF>XI#mr zH83Go=r63}?S?uH-&dxGl0cAviW-V%r-*tMoh{^#MIii@Sx9Zzki4S+6m1TRKC@AT z{*Zm!)cY|-Xg%k1ZnhD9Y7@s3tys3XUnYSSkXx4u{c=aKS~lgj-ZhSt!+Hzx-lD=r zR`7AMIWcw?v0&zKI&9%boHX`o8L!w{8{K|QmU`_C=5DuH0|=Aq=N^V*DoIOSpEA;C zw;Wu`(QS_Jx;WSCqfNkd39VLO{nEr&=xYVOD`Oj^3EFQq`YT9j1{F8i`8`7;Z;h;~ zoGA_-Dv7$ZYz)i3R!EF(UYc1jo5!P3<-iRp@3ix^n5f3u2=R!EOP4;p&y&J3dLX^dF?2nv(M_PB7Mpijg0#?qmlh%zira|Q=qn536 zabx_r9+)~>u(Q!}*H3EEmd0Js0?+EczIX7&Txk%iNVpw#}Cf}7`;vGgGm&8K5^>kzi>iz**Y}kl&On^PWk)HA5Dqc zU)$kZ*jnS}T%{g$dt?h^zX9@0wcF^vD1dpVb#Xr9ccbIK@^PP$fz5dM17`%4<6@JJ zs^W6c(#*!fk2L;N?Qz|K<6mPUt!I(Qg1bf>895egToUNAckF@%=@qo`?PLVt$!~w|8f&9N^0e$9^(@C2 z*eq`Z;C$TE*`TNM;k2m7Ds>we8-9p~4XlT62T87Z{y?<>Z#l7nG2;wpgR+dHi3z~X zQjCmIk#fNE`;-s-=q+rA-J*B;RxJdBF1;}4Qfj?bB>yVCK8?JNdS zc5HeAbM-#GQBwN(<69@}ol=?iE;z*4HInfiv^PJEciVd}!vY>5yf2$0#$GF~Ph=sk zZyBwWY_BJ~6ZZ?Lq)`JQ$mB#CZ+RjEdkZ~s@UzWU+_L<-Du#m@aod=?4mD8pno98r-gMpoJfb^iAbg9qJ5cBg9T&9li+ zM@_uo_dYyaTRzs;#|roH<|}pQ)f2-u#^9BX-O$68s1CYvC3Cs*2k%VH{e7y7V=l}& z6Q70eCO!fHYXj_SA%bMtEA$V}J5CS+6CVX;MMb1gPKJY($z7B z$?;Q1<-${ksNLV?S;xQ28TC*es?j1Sl&47$(8kPy$)OlrK#o>U$;C$yq*`|ea%mc& z;lL7|$7HlcuM^j{Zb%zm-c!;05U9uo?)k}yeyV_yoU4iVju z7jsIiDN=baqF%GWk4c$Wz|eu|8zi$dttcHvNAD4*NtRUd3M9NDC7KkIx=Us?ruOTF zc4nFicv(OP)ZuaUjC;P5WyU};Bt%kxoce%w3NXJYpfeyQB%t#ds%-bJOL10qR+7P~ z&bml1L~ObTUHWnFO5fZzt)ZdOrYM2S>SGbG====2wM3e>ZSb6*@mhXsF6GN`_@#bk z#IQ6x4IX`++JY?;`)NIG_!-uEn-qgYW(6|oELL=i)GZu6)tKt3W}D;4qMbT z6CR0G-i$TDf>9C_f;t0||6*E%1<&W2@C zaV6TXFMMYbl$pX0{S|@ZO>s8>c(V&NhB6JGXpFqJcro#kteQqXQk)`v>NRh!w?aYB z*FcfxsI$Evw2rfMH4~Xr*hBCQ!36Dk*Ft+}J3p?HbbQq(NiIVIRV-*7uX{0Jm{NaVyWd0fnNOp4H@;<>kuS`z4IyCW7}70WC=oi z5=`B3_zB@hOQi z+oj5Hu;q+n`>Z%)DBFb_I@khz;Mhj)lHH#+u&9Ey6IL0GdwRfWGm1=DVQm5 zgy33ZY;7KL(T19G@=_)=>pmaN(pGUafmbZgBLgZYZqXy?P^~=G00Yg&wFvU;WCD{O zR}O3{0qa<|(Y8n+qC5z-$x=6d!$a5Pk2RM+StpfFY4>$K_eL3$IRwg}3v4mb1t!*Q zT~*J$Bymo$!W!~ji+O8<5roXrUq4=AB^boOxpjBYEng0$AcXo%}om+R6%Q))eN^u$y>vh`B!l;7V-RPmbv^#RuG*^g^i>CB3RpU*)20e zlD7#xSf)ZUtQ)CR)z53-Ft+Q6e&!k+9E@EI)7jA%YIdeDd>7WHe9JC@=bkBipxU!y zVN%CP^16EU``|6f-d<=_yV&IF_g$T*0?pe*sZGthBoo6AA|;k zIpoki)y$U`X-1Cptj2!W@J#=o`!J*xI33*7VOe~tzHb(^LeNe)Aw58`F)E#>?J1sgJ!fdsaQarIv$5Rsk1) z7tX3hiRD&T^RUFee>p*PVNMfF{LUT3>NJG>*70hC97di^54JD|^;Ud#Q!Hr0@duEQ zMS*HJ9I+D)syDN)1t>Ei%qbr&Q5m80Z9V5g>i!?_95Vr|tLZOWjP$=6!|XEWlrQETP8CSq>&sQDzUZ=0{OPUHb*Zd)DJuI@mjy9jmLNypge3JN zNw{ODGrHI9Wg7v;?vAwC`WU79T#G-FffU{CY3-0o2UhDf%yr}YpW(a5P+9CjD&a|W zNzx*(#z%+z)H|Id+vG?5(=xmGw*Y>}PnZn1q-l}UNFNnb#{%Dh*8bdm>VV1~ELsf>9JdU~s2H31jG%7WBwMy_bCaSf z?TLwsds0EpI9%_4N_wV+U;(_I_l}x`76ajno6eg08o_bwEnu^j`_-&Ae9O&J)Rr== zar~?fZxjr}bQ4vuNG!b*?ZqB`rYquM=QnpTJsuEEZ;yF1G-$wFkQSRus{f&@*y2Yn zs0eIBcE<88A_A^qcAVSh_>hkly5Td%D|#7SVVVc7;_r}Wd;GD-q5>8;v(c#HAqi>R z1LKR4XmGKFWX7gP;1qzv)EO$Vf-l%RFGHYtb9YRJ@uk?*0$d?LjkoB^gYEe{l@#tr z{Cfnm#w#HSZEgic48!q$Kn`v40lUpa(#iU~6d3gvMbfhA}XU_vs5@>^mzr z3Y0LTl^zT?thAj!9N5}q+IR972ioy5=_!V!}|2)0`tXtrYOet3yNu)+^&@~&WO-^Y>T!uP1 zVhT`Rb|K!ttvX(9*H_>zvSeIxJA&RT{8K`;D08SCV6H+eF|WxJ>#7YD4%)n^+mkmE z7*s2c%MphwYCt3yciNlu)%k}crWo93O`Dw0)&r-#u3{=i)FWhk)P1WR#9RpB;F=0@ z82g+t74}_Ozq&4)G#v}Y#irvSsESQGD~eAg-C^c)#)B6(3lmDM-bf?#JCnP+bFYal zl1dz1Lxyhh+I^<}p!>8U+W1!@{TOLmME^N1Lx2W1JF|`pn?O{Z&n#vQ*=rJIu(k#v zkYM5j2WNAmAB_<-`doD@;foO&OV5GL0?(jWtZ3|9D#;eU>zl%E zmZm_t^&JI}c)1h-7pYP{zv`X2&n(1QtZJ zgn37E^MyWQt&+Y!n@17c#TaTS12q-oB2dVrQPuSBG3QZgQFh?v&(fy|8K!VHF*1@o zo@JB{1`OC@5eYzDt)Bh*ZiHLw~wwIP~wk3{_ z|9CRE3eB$YK)3Zgty^rW$8|Z9J|8=Mcoq}Ze+<%{UD@}qf9?7jRikkR^A5%7Y}NBU z-Norwqae~hA8{PrQ)pOlJ4l3l1n>bloCe;cE7=wde>>YJ+gc2qxI~s@9v*+-0$k|2 z-kqV|xztKEd;gkWDlKR7@0J7zM{ghF=nZ@lvw28oRf1Wcam3{CU{b~%RtMp4)KAZ_t^m493G9- z?endI3o>MYWP=+cu+RmLq1qvb051~v*lHbH(zQWRG<~s=*Sz`WMka01W9raV@6geh9@k{q^} zQf9KQ^}1?L`G%)u;-Qy@7EH`tU8RAi+7*K~L|$2(C{aD4Pj9z-U1!VfyOI{`g!1vq5-?RZH?!`e{)8b+Vt* zRR{~O83oL38WPZ^f#yl7W?zx z@&a$jb|=+yXf^?GyyZ__Gv2|@Kt)rJ(vpL!>za!#WNXArjuV#)i0syBxG`E$Fhu4} z>otMj1HIbAK<=QgGO6=*a3E28U>7Cm42|La#G9~KgcAcosS&~>6NW>JP*30!C%}il zWuQUiK_5%ZLZUZ4<>26yix8)HhYceC%D4+Ix5R*oNdX9$RnK0(sOgCNaR3C_Yojin za||}Y7|iV(`hodQv(xHDAQBxpz~a2cS6^|3g~4k?7*>Bi6nE+%r*O$HSi#^@Z;jtr zDfXSED1hExEV!G3FF`lZofi)#LUn!yIr#uxoys(Qo4{EVz zw_qdztriZ0{B)ic$F)5GjeHcLIQmg$FeDo5%iDCIzoY1RJWG)REAy<_)Z}i)80ll& z_cwq109R2sM_CNAm^2Lx-nJ>HG!BQRKmwQmDr3SjY2V3KSbuYqo# z3g~_Bl@rLG^!o<6g;8|QKky@lHOUEn_{I3TC$wEhU^0rZB?}W( zM6>jk0!d<49ZvZqUEPHtFC>hS!8FPdkPkLIPfpf!V;SPfAHj}xL1)13#DJ&lX2-K& zohY^In|UV0Q3z!coFaB3f#LF~&?<2OJbkZXdIoOTOkF0hQ+HbLE5kiV(qgpfE3?fj z!eX2jYbN?G`jGaWaq6mwJ8Zsb8!bn#Tf+{Uu?((mN>7uS(wpZ!!?7n&6?LTxHm?Q2?e~IMgf+9r+2bSMbE3;y zM1RW1)}Q;k?xsb^#c{&=_d_Y218@{LWuo*U<=2sZ3+Ow{iCoXQEg^5& zRM7rFovT6epo#9r>Z__SI5tumLSw$TuOoFTXa zS#4$fUt6!FB+FshmUD?n;0Ex>@H+=!)vwDAG6Aj9rKKRmLMJ*kxuhLhMSo!>NU&Wh4Nzrhq2bvGp7r@XN8A_&=+%ET zQggLGXF5rktXw zOg2kps^dXZc3+dsNvi2j%}hMppxh`zLw`Ndj~b-hV9|mRUjZrKD1l>Z!U0zj2U7r< zrE!_mtn0dYcZz8uUH~>d2~dd|N(As?ItD+Ue+q&zuj=txxIB7`?ipKJJ_SeJ#_p0H$7tpq0_Y*=|uDCio{ZLPiTqBsB9836f7 z2kay)L(z~*8H|3X*#OBjZPQOw754vnJ*D!snq}a|P2=9p(_*N+=?F|jtUI|)%ZwfY zcK4Err@0H6<6}G8*+2<1#LSUm!8)a&#@FYeEjYU=o=fs>ZvU=fi2e$?JJ;mA$?b^N zx?Kw36~}-nlRtCPl`o>rRmlZox_SxUqzB@95U z(u8j+Zpl{EnZJdttqv4=Jsu{VhD}g}g*`TGZcA7`1kpO!!4SYB;D;5^$m=;7rlUeI z^HL?yVtBVqQZJZ>w$V2R00)c$nG6HA1)@hk#&s$I+Uc%3$7%=vxJl?4)DQrn*C>F; zbXrpO3%3~P550}(*N5@H?v{0B;R}>NmR?7!)9&UC5{1$upm9oqkE; z5bajCBdfNu5d!3WgK%>qOtC?Ow1h4Z{}RYlR~kKf`>J-nz^PRAx05iI)6TIzI#bh{ zJ;0FnSYBGJBo}l+I^pZklItyh@00cel`CtCn6!Edg=CkDte6&(o#c&c2ND?(n8{0a zx#P}=9`}3Phvo$FGsgQ`!6}8C)4;{NKIRAkwWQy~+@pr`n6pRU1^J83J(&D{+8*v# zF%p%&04<42RVO+$2p~&o1fo*ApXN;WXSRCH^83mNgS5}n%D(2XoV-0erbjQ;ahF3- zmolfYUjmX7CypJ6@*GJgPjEr6iH3+JcsLX$sq+7jLlK+@IBpoFbCDM*mOi>|@4z+K z2MNg))D&PhJJ@)!5_<4sO8*Y#oyCy#DWmJ*KF!$az3&O;^YYetaMbm_p_2sk{uq|t* zJ=bLI@4U?7)REK2)Th%NWXk|a!auD1zO6z6h50cQWeX!DRc3vSX1TF{KR^IF1MB8L zFPwt+(&sQ)JZdxt0R69VIMNv>Lu_=%Lk$Xm1)~pzT7}x(Q9iIcGzxJqJ!|w2uWbw) zZ>0^b)(Wye($V(8L3-l3HGdxlXAglKg_ zH+*MVDQBg!$5_TN-mTTq04Zk z%4o%DvIsAh5CLhpLVP7NDGLAS$qf4aoc{@O1`hCND;pxe-+nJiBj<=0yVfK1f8reo zyDjfv+k0)M``_rz#*Ve_OvdTx=Z;1PVb|R}et8_of`7_pzr|?!B{$?hlmmHri?2B< zBIx|t*YIg#e=0+{8z;VWfc^8a&cTc~Ow(bkU`j`C&*m_I)$djDP<$=L7@J?EMXLD~ z@~lfBOlz5&erVmSBRsw*F*_jyg$62HVJ0^MGn0F_%Hs6^2k1T!Sh9BSD*=DeHr4?m z6xOd8Z^Zm3Ws8jR%1!MEQh#^$B=l~5%1l@!f%AK*mmM%OV!o*m&Bh1AGEGMgn7g$U|%l8N63 z>+fY52*(g^T)!%T@r~L(5G>f{UolF3rtH09EI(6j437ugoIdf=qrj07Pa`U)ceG{? z@c-#9-@5!i-5sX)$6bfrJ^Zc`hnH7Ga+a0h>Hy?5e#l907#)_&TF`1GryMlQPd`MD zteGUE$x$mC)~kC50;WcP!r1alzpkAHc>LOLdN0DmE73JGwkKCr_(_$J^~2)vtF zr{5cH&3ug!FU0b>)Z|m(0y=@_Doj%0?_(G44nM;4Y@fEmfllUUCJ4(LtX2@L$}XJ% zy(E^bEa^oBU3Lm|C8p*`tLS*vf zU^39)1=gGY+~wg>FWAKwp5DIC_^>FV)BAlCBp`M>UCfc(EZ8xaD9F{ys#M-YZJSNw z$3}=Dtz;GZb>(jv{ZH96u#BFZo@=A@PkNgW8OXB@X$?ke$gf8{FFOKA&-05f4T&We zOG?ui+ZAzBY>_8D_M8FQ!BkO^Ay$|9P`Dk{3decN;?@}Ips#(MYa8c$8 z=9YU09C^MeBu8!C$J~Q{Dtevfiiwy~E7;ybS|~I==J}cF1Gu(;JvMf_hvOS|4A4VQ zc&3=RIyL$`^Ec-#o#d-mR1#FU7uq+X_SLb0I2HJddj`8PKxr8|#I_3*$2{rth%?_a zpd2FbPz?HXS;*WN=eka)Gnnd92w_VFteffq_ttl&!cwY~1(*UT6V=ISYvz>ZlD=Vc z4vy}A1$=5msq$5uct_D&o@Dir910Ljr4;DKf6k&($JyL)E(-eVml;lBZ-)+= zAG`h%4md(LtlQ(6ECA5&&j%sbMsxiD8xy_BY{5C9ks5So?=yQ=$GC69A(B$CDvq>$ zQ$~rU>c;b6fH6LAVf^=!s~$7ZW$3Ll>bqd`6D5$TQF+}@IY}-uD1M?bl>TILuFM${ zL8*yDykY;kGwOdP35o!Lnx=^JNaXq6*0mJV~zGhF-+Ya+;!%f zAWlw8j8F2G_+nI6&Xl#fnj~!S!E+(hp1&zAQCGnf_hlrXy{CqSSO&AoRHL3I zdM|rcOT#%PDbsjLO}3PQIv4r4@5{)$s)@dCKOWDh|qgqAobdS3L)_!iAWI|+&3 z)uPBq6%2qXoSI=rX650EBWzaAtgQC0-(CXkub&MMjyNncY;e*y@(D^4Wz-`G%0(wTt_{>x>v6{hzBS zuh1ulx)8r+p|F1$k@jjto<6oSI%)@xSLG98AYWXe|A^5pKtn;2!uNpd-uw^!?we^S z|2;^{4OjzP`%IrF{(WbkJ1ffj4-X!ZZ^FFDPcJiE{qJlEv7JvA8I%n)X}^_0{}{~k z-wSP#UE%yva43SU^PV2ta7^m5?kq`Tj(SV)Z%-O|akj^QKC0j z7||pXSaVdv-s0Sd{v&&A@o9mATvtM2d}J#ZLy0VsFFTGl53g7woBI1F_qVR)Z1dcE zmFi-(*EqJ@&hurtt!%YK8fIPe`EF@bH3IaaP)z+cwd;2;=1y8;J4mUItfS|$I1sEX(4))@@xw8Yb^snfN(z1gKDLUUn zWnd0VACu>79PD$%Ca|mMAb(un-5OPF@KVis%dOAWbe`_}M~x2SQGN-<#8O-Dm+052hPO4dl{^}yTNJ=siut1F6V)nN&U?*m^)_nMM4`xQuAy&GesP)(XCv$f_ zQbBX(r;|MbgpY4A^z+HyMN|kfV=z&oH9HuvG7cOHKx#1Ck&>h`laoXigxf!#2GGD^ zL08at%@0uFnUJn~fJ${ez5$xST)#9R*v(sQ1VCdk#E_q8AjlT_3&bgztA(Wa4a7rx zTk>FBt~l*YFq5IYgerbFRXe}Tzf%JYFA`!RBIH7%U=rkqTMJm@%ZZvP@TcJ5@&Fr3 zgP;r(Vy#!s-XDLnz&31vrC^3Wm52Cg#U}8Kkhs}zjEAADz|cn8YLl27g+HJ`reSrHA;$6Ds5m1 zciv&Wg}T%V@izVgSUHSQ%Ky+u$)Dd_(SHDeHQ&cC&)6N*#jboGxs4fH&-;KB585E0 zbz8xmpNAf*i7T)CU_X3!M0P=4`l@~odtUU6=ltDKCvhfusZzF-xbim`d1)9_vwAP2 zYhkIyTB#J{voxcWfRnhg8l;=a2Y2vk516yZ)Vnc5n>S{qk@P#8`wz*HVsoLoOM08R zi*o8P3Br;`n9ctItj{z)<^tm*!v-!pDsX?}V~1j#JBvUHWFE>CJ_*GjaYtf#dXj+X zmWJa1k4HW@o<6QX@G%%5tQqSM#F@w_s&PrfIq)%S^6AWm6WVg>5&o2iWQuv~STf}l zDO#}t^V-a9w?j%p^^!@J!KT8B=n!2Gts2otHW>%WzRA9kJ8YhUJlNUscicW3>jJ7_ z=x=1$S!?u)CAZdyT~{{9|22@NATRyBY(XASud;&nI^bBOw$Vs<`}cR5)uF}eUq1o^ z{wHDyP^(m}I3||ZQ`N@J46heC-1mHx60LUW*L?=rx0AP{7MPsW4TQb7?2`8Wp&aaB zs3Ihy-_bZ<3UzVm zc8|ZK-6d8U4U4;lNLzFA^hN^Kvzh?J5;W=T2n?8MWQ}i{3pNS&KYbCzx#>nuS{vvpIMZVVCv%+*Y0qdqm;jKQ~~)}Q7xJ%?WZSt-Vi9YHu`*I zF=CwFAIpvMDvLl>*4220NVYVSt-)CQoJDc%pZDh>aQF*f5mlLL&tD!y} z)|M4tTS4&my3qrNQ|<(}p(;-TM++%;gmdd|TeHN{e;4L{4kz7-CR5y%MqB_o4VvWv z|BMFa`-`&AgGr!W3(dLO3!F^uG_Y{PK|TCEVj@AjQ4jG!zVH-cx>p z3;a@ja$78;{=WqCzMrU1pB|8+Tl4*P_QdN?ACyYb!Uq$e$Xqu5Pjl6`^Umndm@#PI zdd(rS^my)Gt>@(C$hv^^TF)aM67KpYW&i)T!-Ojz{rwY}3BLkpS;Pt6i|P~EDQh7$O@!_s5>)CDVfARA2ZDkR& zHR`PA`3wKBy*18Jl5G_&!|FUt##Ns}D{4nyHexlcQto`t3)@)dRiEO2xLDfJdA8!K z*vc#svAdN;+{Dtn4;1WqLj~BVi~)$PtqgwIt6?--Zlwifr|y?pjzawxq6YYQ*V^X$ zKWsv6V2))GRqi_(E>#C+ntqURA?_f?&Hm49WjNHY$KPoBxuqNBQF_Y~%Omczi`k=3 zav^5iE5ZhXv_G%4=RSqcqCRSL7Gkz;1<4YlNEBj<#QVz<;{+FCu9G08CU5@VOY2L3 zEb$TeAe0T&8!GdEg4zbi2Wt;ZQ$y`_h~1{)9Z~@LJCrRFCOKKE+|00~|Gf`|yss>x zKw8J4AJta_+R=CWCyZ^4qu(_eI4c}=w$s4S7Xq@cZ#RiN&9oB3hk&HJ)Y=d;on-k%&*N zXWtHNA74)?d(_AFC_1RzE=$2FUVYOa9U|P{dLgTBl4D|3|8XgJa)FrcVlKG>KGG4a%+tumw1wtNR!_ zsuQ9WypLML6|~2hu-1e02~WPaVB`Nbgxp0M9RbA1s_kPbpcqvOas;w^ zF%MT1xfE<46?!*QAKWk*CRWPY&W{#p>Vf(ZrD{nUi@vQgftDY$)a0+{hvHk*wDv}* zgmed}UB=%Z{G(lu(iC;d@N6l>;HqfB!h+?ZqNBJs>i{41b~g%SDWE%vG7~|`M5SmG zdphq52&be=B|JLc3<+qJLt972P|XN#z6T*x^O}3iW@w2#JL|ctCLbfyA+E3i0#TVgtZ*FK%>|*mlYeL zX5OQ9ux>0LgM#){kr%1#h*~b3xGNZB9hLEec`7DvM*xy;`Wf{CDnHMwpadHOP_40o zaCBFl5MG#A9jVTj*xHn;lArUo^rdM+bO7I*xnPC=6+{7T%%ix-uxU)cR<#KjScn23 ziMIOohy{CqLfwh)GS4~3G!027e#hpvE_F*z7#^4mY9II8!yNrL&K#W3^kW%imf%b_ z_$Qxv?BGR>{?{!i-UACwG*8E+vMvZNP>TYeE^_vAeMt%*RGgRu{8H-(d_;S> zN-or1k|W%s<-if%iz(5R#I{c{{0;&s>(Z$%8ts(EYI^^;GE&YQ zM5(STiiQevS#{_6wjQXBG@etx)f-_nA}SLYFewu5ypB9^Lfe?dO(!~>AvTnX}*1?=XM zAZB~(C^&2hEItv3N*)GR+oq*feEXDBBK02I-envX3TBoH7hF_R3R^rW1X}O`Qu<3{ zpjjRSVfhn02L3aBL!GFT%E7Nj1c)}F+?%s~sQ!KT@S+&B-3h_DUZC@bVmCkE^PJ+J41Te^3O|Rhq(fGoNy(O>=D-Nd0xW!B zcjW*HW&*{nY2dRiNzf53^$jKW-J-@@La~;O7Cq+pi`#K?@Zb3FD6~7F?|Kbi?DN#& zKdh|QVfo2~{3OIa`Hj8+ype%+R(!N8{HumbF!DhW&%;nYpsH6Ly#Xcysv8$5Hsm$l z#6XXA)++x+c2=Ygcw33myE+x|7vRO<2^nto{vzTa)Mb80#gz^KX_H|I%nKmi`Gb6b zSrlBvY<>o8rf4}Cgul4}hWY!OnN&u6ZPd1s}RWbp+H4xIXFvST{lU zhNc5q*hz^hbGs7|1)D1CHtz9%IcHex3_T^zw>6fgEl6WWv-W^fUHM3yZz-zjGt-00 znUGc|PZ015#kX@N|1gA5zb@3Ps=UeC`>3Xgr7J2N7P81UP}b7gU0qOg#ItqwB&fpQ zL^%-E@=E!uLTGsj5!6lW3iS#J(5TL)XW*k+Grc(&p%044JC#;UE27HT^J=s#Vv+<$ z^B^)1o)hbV@&-r~p|iDZw_|3+4MSBNHSTP-Wbm7aD@>Sc*CNQ~E$D z!r!_3rTpc8iTU4>JjT!b>a13T1eSMJm+GoGK|*Um#n?^2`QDDlI-J<-BVy(? zEcfdVK>4H<0u*P%%8xMtG}UL-K%IpoPmZtQ-~LG`WQcdsW2CP=BXZ?+3AkWS zQ5PuP^zm}Ge1p@EK6GwHC;UN?`C$1S9`cx#XAp3w2m^ib$qW^Q{Y2R!WO!8Z#ke7qhzOmMa%nW>&ppn6-j4RONl%{zAHIS1 zPQo2uq>6Gr=@???Kf=+X_~&c^I83j~8UEF!;mLUhf20r$#ow#jTY6!lV8`3SBcSdY zb{%5uBY0#Yox#9AJ9kKJtQkz3`4JQNTOyeSNpGZ#q8Fy!0k=HEZL&uulX=o4Eq zaNSwRy^y^$%o|$p$LM;~4s@mQ&>73F&t7}??|n1eq18i1>AN^3<07-}bs>w*W0bM~ z`8q}{xAKGGO`Cq))ppHYF4@A;sf0jm6A$XQNSf^K3=}44B>J<(bd6C_Kt14+_S%C% zUJh-q`nA3%qeAL$Cb0z!mX+JjF)eRMe>J~SSi3Gnw6Ic^C~=@Gyn1+#qf_nTbobu> z54=Z=gaIF{u&!gYNa@f)z|1C28^2cpqCB+>$fCK4Po_zKH*+{#O#pdACPgr=2zFeY zBR9lbn9e4Hjw^v3iRW_zB?xKbrd#E{0f|2}J0dgbSvJ%SlqC(#3rX+FtQ$yE z6OtL6E%iPfTB}%4OB=MPkbNz4{_+}5Rs!v73yN~R8@E9;eCmOy@07ZHp(P2|Nvg9_ zM9<=ELy0E&_C6r1WQclOEM?<#|vq_la3uQe8a%-#Wdn*8js4!<2ibHZ)FHnsQenqY(XgN**SWwnCR{< zv@Q9|TpIp5G!MtWeXo!WlK0z&2}4VmUL*0SpV2-MD@aw_j#v@?(7L&(^NM)NCexQs z_1IZBp!(34AXy9vm#*_RU2s*pp8)#v!zFo0VfBl!&`!$Mt5VvS>-GDyA7>vx7SA-h zk!GHC!}RYe@fz(uwX1xvEK!$lju{ZV`{<_wvu|!{vPK%{rKuznZW(gJHU!g3>(47d z2>}Iq-8J(pYNBsOS{bDt8srB8eO;lZ-V2`Z1Cwtf4TG429xi-?LcF>@^X!rdb;3hDdY4} z1Cc8{O#bMdm{ZWSQH2XQo~$nX;^S~=K`Z+0P0r^fOj?9lu!8I-K;`sbL7mtnELPXK zctKGM?$m6bhi&FirvkM#<9eVM!K{mvoU~^*M0Q8FM)Q`=@~PJ@{{GhcEbJ%IAt<8w$+36|tZYGn-n& zr6dlM>7<@w>u{r`Ui1N+GudHrilw8#cpSI#5AO*~D9f{&iw50heV8qvgp0Vbf8_e* z)y-8k3Yb8#X$%Bo716|8P5&%0+jA&XcA2wNTHOj03|*!$GB8w*o}%lhKs}<5^7C+A z7Gg#-k9t_I2Ytz|)PRde3cL884L1_fg2zcO;omsM$J{&1WD)YHHOdV;rCtC{Z9tx!|NysiE~MqL$d#*Mf3T4OiT zKlnyCU$bt=Tx2xI_|J(prUVxFzkP1|kP+~*ptu%Nb3iHdYSl$E=i2orC)-)p5#!}i zf?NM9UjvPT0@=4Cfx9L2IqSU{&xhmw{H*29sWV^9w4V%_1~_G)sO|%cBQa>4;CT{k zT?a?`5XEbq#lZ|d|3kcrWRl^=LAp*!=e;Z5bOAnfBNl2F;}X!{SK8!8Yxk+|cA|2+ z*^sVqNv0s_ksCo)mYH5tn>VZR4D%|Ejj}x$R|3oZzeE=q)M>ZW$GXA(z^+_Q<|Pqc z((+FA+4Y%>RIg^}M8c4!=WdW^f$Qed8Kc{()Jk?mo$-5HTp=~EI*q1D&K>LLo;Sa9 z3p*k3AKe6tB;;CbOTHE*)Bay=)FsDO#T6wAS=2=%e56;)oivk_XTOS!zAvNg7gA{c zo!kSjP&Y-DsplKH8AVGAouW3VVJgpPJw0{m-X%)=ne}rOItnEtvO$$={ljEG<*}9a z8?F^y=-*^3R%)!G{&w9IU0tN!o7~VERaW8tK8LT1me!-)HlRL5M2uupVhhBQggi=XT#tjqhIelN07LnEGnAPav6pVt_w6_ zXiKfJAS7GqS4Hi&5(-zw@#8`{h~Wi+hk3U{kgp(P$9He zz2ZlVXM+s^G7ZVRI3#3DF^}m<8(VOmF%2{R7b7H3olP|(E(0+gx~~;;`X%M6jT8By z%K|%r&(KLbI%W=+fKKs$g3(C9n;F9B3bd23H`1r?N$3-paxXk$;}{e~6Pz9`te|!_ zRWvO1ZXwG2K}sg!qzjL2uS@rgm`ziDYIL9=q*?Qapul>_(^SmShYFzqC|uJ;P4Zl_ zY2$qkDT~T>D;a8=sLia1LxsOjKN#;hqfd4_?WFIm|GH9NGL4i#-h3OhHY+EwwtgWq_{c8Os6+%uvfy# z4z*IVZ5{hki^ramk4*ScpzMjkfUf0MnJ^piouZ+ni*Zr>LHEh$5Hp1TT;I9F8s{b* zN8flqXMPkfn?u(`o`C`qK{}#{Gb%XS%p?CGrHS~}fl7VHj z*8I(dW(9Rj918*>RJ9-l1-^B?B=&_*czVdQxdvj6UC#97i*>yKFY)?w%n&W%J?xwG z7+`v!R!idDwXl^F6#sBL1VghJw?mojUz*GM!dN)xN3}FpecW6ep}T%U#}NL*w;lfy zNXV-{H3)aM`TmFZ6KcUhILw*6^$ zW??a>jf|1y;y)Sy-R^;Aw9%h!uRmila(oU}h-SJMR%Db{-xQ}{jP#3fZah()@)W7O zU^uF1@w&iIyTgq%MZ~XfABG9E25fA*;vbJap-=X6Mw9S%4MKZYLSquv@%t_xjPZX7 zPj5Cx;ThKwj_9jjEe9H9hJRE`Xa+Bq*sjiZb8eow8EE#NE0zh9(#x>`^L}tHd|~Pu z#FSmaHT>6uBtEi!y${AE)g-zcu@o7NMgrBoU?{SakaAwE@}kx=;tVY+pe0C&F_tPe z^P%!K@|7Qa{R%xwFFVK(XdVF1?CvmPK3{o=pJOH^v3gx184y_t?CF9k^4V}IPNmrrNarxKcirR;Y=ueIJ1b9qTyfo$6ph(bQGcK z5yBXcyyG=sDoqomKG9Qci$Yt!#oNa=FRE<@tSD`eWEU)t@$f}invM8gglT+JZQ<%1 zU_dYE105`M*;Jo7pxo=7X^YNi4Z_Xip8gt^s$5EX{BETC9#^yQ7awv}lwm{?e7uY` z$88)$;-fHL8K1A;kcQb%+3Xvgy69F|GM#Xe>*8yMAPn229F=u1(pA)?eR@eQ4hf_z z!09qzXV&?N3mj*y-%zk(zSd6E2?V>V9W$%Gf!2*g#CR+wyEFJWYqO6~eK_YV8}L}g zNX`gm5%zG%~~T43(o7W*SV zk*##C`pIRUeJM$QDlTfl7iDb=iYHlKszUYn))WxRmb+p3?feRs0C@Hv6^hTvig*7s z6So#gyh{R+(9Q&rGk&-@#^XLeKk)eS`+u>XyKkf%mq7;|Om3bNwRDoxF8>@kHc7f5 z>)QQpVzeEdSfwR6XFgImX`PKY%r??70%;?}$z+pD!A@|_pLdO^Hv0VKT!vFvM_=~$ z(7jqNCBA(r>!*mINTwf`8O|KNB-Ri&BpJ@Kp6P~N#%HXYJf>K}fzg7R-tl6^ zI<;rycbyI4P5z#nU7oiWmtx-V#5mKX{S3*N`RZ)Kle3T zfI`v;N-zcCBxj=R;!7i`PDDI?CySN?C)y)cUfOI$@i0;x_l;NrX?|yyLNoS|-JZ3c zCU*qXG1cgRj-<48aeQH#6<&%!kLtbsob%bS@b|(mMfhuIO1e;_SxTXKD%dz!z5Y_B z%*V2v(aa`57ul=-eC=CNv9c7KIbM5OF13VuzAF|yTJs=^irb_TG7i>8V>S>@o=@w! z&c`aLad7&4sjd6^cUA~Z!lpuI!S7B;zmM~V&GnDamN(~X1{jT_@)!k?&>qM+nBk!T`Lzm{)u-FC-+m6f7 zkI6r-msK$gch}DE{Y9kLR&~m#wzh9^plp%_Ki#%sN?+4@*{{UueERfQG{3+xzJvzKcnz@=@or zr)eE^%G&5go7HuooJPs!T*DhLg$aczEVv<`D2kKm>Km_{u+%qRB&Ms-H)n|P&&}Y| zi3757&QfWt?6&V1-sCLP2dZ22;|DGyPJZBE$7Rk|?oZMT9RW+h?cBmv@FPa2O#a$! z@Zes2+gmd+aYlvaE=s}Gbpn>O=@b{Zn5z|hhly1~OgwVh#Sh$l*Mojf zWOVlWaGgAU;8oZ6X2iwZ4|_pA^)4YpkA;(n)Lke#diJ~aA4RBKC(_*LG@ayir20i~ z#&qP>56AI1qUkq$$?AW0?p=>f2ISeCr<&i6X98zNU94xVDYpeu&FV>MV{>P}z9Q1v z$eyo45g@}*9mk7#S3oWIXHCE;o2T>_<56*MTQ+$$iUYKM-29)Jt$$nQFdDCz+3jJ{ zmxi9LSFKcvug#Z=nxl80hbU$ugEQZb+HzL|L-92d1!JF*RKfTQ4~skNp<+$ac5n2PhivvK zZ?lJzqK2JZ553dKehaAdmGdu(j{%LinIVrjlv|Uo6=>#Q>%&;D>>=8sOrga4rX@`d^pswYKcoUWxqwKv>@;-#&a#346($y zA=6SJDtIeKEAUe&jGSCizEZBoI?Pf|dR>-QFe$1m6o)x7<-V^HZ|VGEqFR@(k8OdnMTbp#T~n5+%@Kd&?~&l$D)-c;9OAES}l_{sp;^rUY)FQmfi z+NT4{D`rIEn|~Y?4gKQC`A2eXr!qzZ6Y7uz%7j28w*LC0;X7)Kv)6$>Adjt$2Hg#ZcQa23

  • 4!y5RmzFrn;OVN}RMgCm;UJsvQceEwcCz6CPxi*YC=^(0&O;Y;V zVC)GQ=T!Re{w5F@KG_5!fSn7n-Wgk6!10Dh3I(g2q>lx~aBSA}oa!&$i|6k?C>JBg zMQ%Nnfbl!+jXV#$b$K(N!GUtKMqK}Ls6WiYFXw#w7oQl;Pk*Cy*ZFJlob$8O-M->S zv@cM?O_Fh_XR;%dM3baB(kmX+EcDZBQ>4{1r~QRMkkaC z+K?b$0hCP3fNORDHnKFAgVe1}Xml~^Uur*-?L7LT-F(&5(%9vK5SoH01N+u>?bL1A(8v^)2kRAZb9aK9r7 zq0>NT_x=CVTR}rygc8wW;jexR+=_-6rLV8Dyc!ZPbPWXNJRyxn-btPPD1|bLJN+n% zQSRimz@Qi{>F}o}nO9}Ne<~KIerbD@g?W{4E$>LEO#dt;e`6f|?Iic6*0#f8kD5iO zAI*2LH|w~QudZgq!9kdXY&5L>gR%*Xsc4jNdV44LP)s4u{j-n$&eqO3|MjK>VeZ1gy1&CMiPtG-7$3lq9c&h3&h9S#iXg|$u;+g zSW8Y})y+nKqP04qd4xB*wt4e1C9v5X=@WaceyHvMKMm0tMT}Zz9IeseYPP~WPzasL zB-k41O1u}*nO6h@0sDhc;5uH~zPHsh!3IgiO}Hj01a}HbZ%JDM%;Nv>>N=tjmdEDE z*45SX1a>ie0rK55|AidJ_4i$}uprwcDSzDr@Qfa&doqr|t7*J16^ z(OX0P-u)T`%2|2;0yf74(#ge)lmJNl-+=JKtyA4o0jDX#5B{+k9^V|YH^5AY*GK^; z#u#)IJ4Dt-sD4#W#hm-n7*|G#`%=ws0Vg{`1XAEYcMmvD1rGWJ-ddIaWVzI}L*17y z&Wu77Wk%h@&8L&!5Gn!N_+mfc!Yv?9*x7e<%?N= zjtnqnz<^_bv3!7$3Y_l%&i6}=u1))!(xZ>fxCX7b2E+bx{9l>)^M`zdk}qSsz+XjcmHruWgCwT{!PM^!?TQ^*oua1S0NF%>)Tu-*QTw;@U&JC zC%n29ga#AkC!FUeOlo>j;Z;EIS-?j7U6mlCh?A&YnujP8fcM8eUiZqizb;UHh)}RX zmEaz^3*^n-#SAm#s!1h`lr&CH9RY<5IOEsp=kos;h?uWXC3iazf6B3MnNbv9;3H&_ zS%jnLKIGZnBB<8$gmw2M)Q_!X*sCE-l{s_=qEwXN;k-e+>JG(py%?xDzK5arE`d0UInxSW!T z?Qjps4bH%X_W-Q5CVbJ$cK8v;a2UKA*T0R{)H~Ev*w0 z3?(D7f#{8(q=GpoBVgr)*QT5B>0KEV?TrQmC$DCg!urCLlxNR(;xAJ z1KVOT!c3mu`VFGpt`>F{aoL+xX%KWu5GAKS&2Keq?q)C+Y^G%*3Y2BHr8?8KaAO+`kf*z zB1RU*;2{pg$7z)_V-MUZF3z$lf7+F4$AdjkXh6oeC@Bq}LCH^uRS}l=I#=4VhvfVPx_ErUJ6xpQy{YQo^JJzTmMV5!F_YBkfpX)6@&vn{tCi>dd~^;19b8lj{6k|Xa1+h*Zhg|HN*WVuEHpqCGqx$O z`%Rbj=!tApHTSnq9X0w~v~zefMzO?5QhR<`Oxdr0&>_Q1hS_VXPG4uNV`>oLoexd! zwvY?f?cgza$Opn^C%-Yk<+T(wkmH9La{x22!N_N+* z-+=pmD#aFZ3xL1vQ9|s_UiRSA{_jo?HK+3ltsr-rNK%Jhy zc$e&4zuJ7TMpJ@D6GpKUU9l7X>tz{uK^KSy)L**z;h$1Cb~lI))!-A0+YTSR5ul-8 zVsYymtSb@1X}STm9?=ED!$c|;j`n6V%qi9e$uW zc3Cfd82y~^H(_ME(q+5ii*BU&^~S)9yFuK*=WIZDDD1O@7jXY>&~us>*{+JB8(@I! z*Sl#X{qIxdKhb0t_sIZm*aISC^6j=>3LO3HKV-cmdP?H#{Fj#To8;v&$;&lvryqaQ zfb~)^JQ?^E5^-wq;C*^CA^Ev1dWYwDjfuhguev-xRAvM^3 z2S5XHKx-fBuH^nA|8A}B>OboPbbz1!Z++Q+di(G70UYQ8=NtS_FNgpx)&~N?iTZ%I z8?z6@{jV|I_W_In=o1*$eKTEu+x!OyhIK!T;QumAN&7`Z2Cw1GQA+KtG@=Xp78%%|}H~C!Qx5@4A>}2mhk~jUoELH{vS{cmFzLwn1_1b^^y?7V= zUJT|}f`bG39k!a|EMs+@FBCD=>C-FI$Ja z{U&Y>ubzh4Ok<p=`;Y$J{rGw6An|4`J5=xN@e^V@NK_2+xE>@hT%6o)#qj=^rrkEv$z+g{CQv*0#A=mX*kI&WXX9THW|$0o7{8Uptc}R zJlr7va4=RruV6Hh6(e*GI(LCij1=9=L&21Nbja8QQ6p4PTq-+8Wc%C z4N?=&J`1K0f>`Sn;SknjH;m%zM-%T^z9YNRdQ#slQQVC!I_%!%a!&K(_hZzHAKwcp zAxp*RzE2+p`MLe}iX)bZ*kH+wtwNc-2$wiCtH*h-X0vAV`l~5SYVjN00@5(FErPT% z2ILh&M29MbHs&sM0K6SH;a}Nhp39aAQj~(~@M>BbI6K0{ zEzZtSRPJV;zU zseMBKDN$(W)=Q}VIpmrR*V4Yt;m2VXBpPpbcH;8cr;~8lS5mCoGu$9vzl)VHUO%(y z(0%#lb&x0y@ev^|j&94GdY9=wvpW)uGqn@;B-`ia z#=V*$`%j2?L|$-P-nTB!(b+C$wWMmC29W5Bz3}t^WcieGS8PeX-|#OV7~;WkB>&Jr zPnPVSZi_68DT|n}hR$wP(qQ+{+>%QAJv5HaQ_3hmREG)@y;Cl((N&FT1ntl}oRKQ1 zj+od1J0mYQW6XDq^mnqH8O4DMl$5-mD$huqR86KfA7tOWHcL z^i>f$PXCLLGaLy2g+4-WU4d#uTQJdZQnpU(qvNO3aRF^hP34x5DIE zB-;o%?cBsm$0Jd0qPy;svu`b`e3cOn`uPs}`1AEL^Y!3b+n8EgWs6Bt%gOZqBHqCw z-UMa)B;|723~eSLfitu<_$nhE^a~yI3Fqq-=IfbjZIf$lwJau?Ehn`{t+(jXQpwWb ztHU5VUVQMD40sEyG#sNetegrIr!ESCw_e0o9kU&4iPD=KCY!QKwMSB$g!Jp+{wT+} zV3ulEfGdrFq~R||K#Z`lt011QhO1r=)r;PB${3|0!XMr+cQus6+pFPzk`6J9igaOP z{-LkggXS0_YQvIuDMd4zN6V~7;Ks(B$1L*~aUdAVE+;&K(8!GF^*q~rw|rXdS68Bc z5q){qRATaCN9V~|xztTrPC#;r3E@-~-dTCzrB+^mmTHFr(Nq;^M5N=_(?w;HKk&L07QC9k?}{nVNbDl$Pzrt#e^L|Zr`}oh{fAw z!TjIbA^XBKPuR{kZ~Hat#8T~EJ0w&9&!Y5PmRw#s1FSZD^~5))+abKN80>YA6$zN4bUID$nJmS0xUo0nOl60Pn5zOqU$J18xT=9!B>H zH^3?b0kXz^O?T*=Z&5macYzPM0=n_MX!o(Vg- zw|kzq-(HprL4E6MGWkri+q_}BhRq@snM4*RN44>1kNW3W?56gsHM>i~DbzL^u)i!v z+`z)gaj$Hf8;x5@e5D;5D2nWN5gCwZeU6oAv#4E)7n zv6Z=+uvj4pbd-8r=z$NaIK(jarWT{?LrA*yZeL~DR592th%V7y?~_5Rwqd&-Eic`X zJ|DuKHTrQOBLy)gP%~h_waA>J2Ilf`L7QIGMII8NJglzTP9n43>hmZbOUyjeC{FXS z^|o;$+@AstbC#Gg4;y3u)>e;>oWs?knu7KO%v5~JY6^(i775yKpdq#rpsosct9(X$ z6kNIb{>#&TTvy{Kp8~D#XWM86pcczcflp0a_|4a8;7bF*>{*UBqW~Q?xA0K&-<4CFapuvKZ8f-kleEFcO#HK&Xw(D5Nm+U4ZxwwsmwVU^P&opbvW3jf-B-Wh$;3MO}i! zYb_CPWaBz~*4;zmBbNO*Ft}SzNeaj3Gu>9zQ`ZMxvwNjDq@0o`Wg#};_bmajrtxAA zyGcN=m`(W?=dQxn2KDHU&I@k4M^=xBN~@%H%BkT~6Ci3Bt(-rNx=HvHTxutZ`Yc4w zAGe?#r<5ab>N)M1bo}M3g7yc9)}I@Bd;95Z1!uTI;cIHeM-fZgXI!E5?wPYgbF^tg zsMNG_TnV+Zn0YQpJkKUny6Rie+ufRF(q4#}%h`+g?RO7xIRe8ar+6Pr$NSjSj7}#a zI+srrRS9T}xW4Zc@8JX%2AZaMkwj&K*0=SAUdeS56jQW3b*w0FSCkhc_kc@hL> z{||y7#sO)${Hm)IccaCSceMja9IJu4=2xPlzJ&fcJY0S}lJ1o{IAjWl0pp_7Ym7!4 zA~HPTNC<@B>eW6m7@ET#W=6KQh*9zIhe-~f=A?<1(j#}OfX|${Ec*IJ?Ge)YO2@ao zvZz9@)d}Atlt6+K+GzWYX=-&)=2@hWk<`8~65EbUYhO~P?ZwD~eK&9iR=hdq$>Nld zy+OdKMeXx^+Qxok2M81vwI?yU_!f+5Rh_vwZI*@KX#8!C^X^ksvC99h1k5|4)_TGZt`u71a zvX1NK#Ds#Xw&M@tM&7Nfx~(q&cAHU+V`+J#{WS-{VD4A33Yvql>|A5sMbux6DE7vd zxNY82PP(y}_o2+P_%@C#3Yu4q3r1lEm)yTvZclO%!4!0N{94?nqGqy5P5f97J4u3Z zm371Q>k_M5ct38xBgf6iW_HguMzu%qR}w#G={<>j+y2A`VW=CvPUvnn2i*qpYG_t^ ztoCq7XJly%Oh?J_En=#dWvj~+VXAqb0WvyaP@BqJdUux2FlmUuA%7mmQ?*v;%${M# zem(S~c64`S+6kJMr1&fQO61t#%z~eh)!usU zyRtn>x;b~=siW_mIb3IY`3ND62h3@TISv$&#q_LFmB%y~LV2;Tm`3k96cXrx=}nx9dr&0S3zF!2 zotp}i2c*M^Sl7jzws7FO(=u*rcr+3NRw*i4TA3$%x?aA;M8LFmv60HO=ilSSP_h}ex(wJ5Pr-D%L?KNQf z37Z+?n4R``8R|g@h>NZtS;BXjP5WS(4q-M}EMTZNgSlqUjL7?an!s}+U~TZuUT55^ zFY>G@^3>OutqPlum|H&K91Z`9{?$$bMFx)+=RHZpE|V!|i>t^JrK)0+t$_3RtK|;( z)w0;|jp)kY%|X)Dvh;yh@zNo?TZhnQfEQ52blwuRbolzqs?m?XI4zuN4nzybngire zAVY;^{Rb=Ud5gxu%TwW1vlDNHJ&m53hr! zyywu~YH49r{2C7ea}g@q!2I7ikD0u64Bs9DN)uHo20sc)zhEG)FU9}C*n5XHwRC;M zM?_i>2oVTHDM3Jx-a$YS0xCri=`Hjsy-17FdlQIs1rd~9r6YtU(iI3@Km?^pm;O#T z=RVK~ar6MC|Q$fk;Y%xoJ_fzYL>Ls7zOC1U$Bl z`!YHkK#9?j@O~9t$OWuk=Zq4$PA?s~`G!&SNu^PAmvjTX)PJNlN-*K&+&}|-p|t@H z!ye0Qm<1Q`YybufD|2b4<0X7A%S(`hNTUJQfwQGE&o_z&Z?0Q4JFgk7nypqvl}8yx zXL<^HmPeI;;p?Oz7K^=%{*$+Y_P%e!k6K}o2iLCGp-)9uUR`2Yac*~WcXFGEE)Jqa z?NNtn6ly*0eAPjGEf>Uw#BLgnJF|0|36RC9fwv-O)q43!U3DhaSW*e5MTo_jw>Kjy zV$It%!r{nGR+iciC9}pvrO;O6gf|@%7qf_Szb~{Nf{& z?;(Ua8z;NUmep%3llrAz05I@r*FtTjEo1ak#%PFS@r(0>aRN#%;TtS4O%r4c7>^0y zzH)hjj2u4@59ad(88sT{00aJ=@Y+#oD?rD;321`2>Hm8pgJ}c#8`HT5{bBIivEk!) zZFtPW{MUAiNcXBQfHhiW+-E+zc*MNEphP4<7dRSFvTU?D>rWL44X`v<%5}beCgY!7 zfMQ3RH3JTXMt0*w)K4~6Hm7LI3e`S`cnf&Rthp+gh+6R>#v0Tf+}2*3r`h~0^Ne@( zg2U|OMV##9#j>r@Yafxmf2HFt;%q2QVZMJcu3d1bA}-65d8P`)FxW{lb~ILHJh`~} zs5(BrSXLN2xzI0U@^r~ja5lOrpwLLCDaV*{`9xRl_raSOH$H(D27zuNCmy#*lJAfY z@f(-n_(GZj6yj4&;4g~0CJcawKaB#WIN#H+?q(8XtO#ZSCJh*ZjOJEJDoM6EkwZWd z!{l8;A#6I%qntoA$3>p;e0UrVwO21lrnPSFI0HNG%mNB)z!eWm;JGpCrC_TQo zRw=G=;P3hLhx}6EGE%J9)%fW`)9k_L)S(Riv~VW+sT$^k4(XiCkS^nfcCYm<0i%p= z8XAFKqfm0wk1UMUVGd>=1wPXYy|@tM@HIBuQIR}X-~p{5+QtRKTy}}vr)5zcu1<(V zL72Z@B1gJUK2;F!rq33J<`UG%x<%uGmRLs1ij6Gh)E)pv+@=tTwrCe1v%YTTWseGg zKED>0Of6V@SoVu;58UsEBzwXh(PpK(&akAyX2m)pjS^O_F_s=t!bJuJJe9yTMwMhw zwa9?6oF?N%E7w_V@Y0w>@fuH_1yPVjhlS_FY?Kds(FcvKk|gsGgr1}kY;Bn2E>w=$ z_^*i8581nik1ZohhhmI#4SZrs!Veb)hT zWSER@F=bwh<+~QEhPggNb{&0{Id%-u<(7~sDh;h0c_ydn(JqSJx22`C4rTdeOnUoCBS zu&eo#4{Mt@T@ec94P|4xa8%cFe0e)jz-DM)&dnWC%6c?o-!1ERdG~|yU1TXMtduo( zEIwi^e#pLiS=8dEsKvaf#SVkeCWDaA^cap=@Q4+^8KjtB?R8T=ge=k%5WVAs zjIW06t98lVP&{km*AjUK<+7Y?}Kr$8oxY@Up}Ai^_QqYkmeMDadwtaL0H9@v`wwsS3E6BS%-lHmI3{&2Xqex&`C;^)T| zYJ@&d3?1#kWZRkCz(F*@2Mi=tMmB;AFBoh2G`N|9EWS;_~R{ zmq*O_?NlB?mU4@UFaW1H>%lF3CAQCVPbvETX{jZkef* zg++-l&H}7?s+A(2vf_p%Ux2gUJSX+~=Oe1pzq6Bfk>-LO-xO!dk|hMK44~w%CmWtN z{APa)*m_2N#tEqPuV|Q^=sL@ZQXbUHhs4l=kU?d0F#)x?42gt@9wg0cT9N73*Scx$N^3L-7O#wabG+c>kiR+*W8b~<);*u;Hn_hHa_rD6H47`W59sa z)2qJ)o1aM>_9T8YJ3LZ9EjLD911jz9B=^>?=sPW2l-!3hH>z@Ua;$xjy|Fk>Qeylm zqv34r z)utgEy1WRZBxD0ujHibFgraS2lxsDOqufM_qnyC}_YVW732R;LqZ<~JaS=s?GcZ)r+mwhqUrqaj1Z`X_`drxtw_DRJ!FMf4^a0~R zfjrm($p|AR15EA59Af3h0~#xu49!vB9R~JbE}>|)Py}mYCs5U15I#pPD1)V4>a92-+zTj4=xs@$p@mv7F)Yo{a`de6w$Hxg!X3o2rpf6FxS3WfLI~fC(b^vgH znpXQX4TR=Ll*k`_Vw-c=5v_^%UTp~pmf(S6-7A#e8`Rtm2O#nrVFMP^sHI<@Xi0F} zb)KgV&j;HQa`%!EN^y5l{+{2LTh0c~PGmwFst{LUc5?zlD;=kHzR;$K_`Ur%CyIm? zRM$$MJN!nOb!zLqLDR-o11O;FLc+?gZyv|&bb>?)y9AMfPy_zTAIIFCqkNB7kGY>@ znRN6WJf6A*q7{np(`?*bg3zWVHu1hOdw*k5&4KV@9hdFjhve|@w+$`s1F`h5F`tht z!~{x_RHRU?f`ZneHwT{$cM9fOiwZGy-kJu)l_iCXY%1tl*#Sx`Gp%;#6l;5Qw&KC> zKf`<4v>~zlqAq^#hBot%T^N0-=C8<^YDWZS#wqB*hWXb60vji$PquT)YDb+388f9! zXG(ED&;HDAaIFz_*{C^Aw4u^hegp?e!=Q0$pJ^7;T)+>n5?PP-`fT$R4wgcs39RdJ znR+Ri8xF0lkfP>TlbAtoAi9**ohi_VgL*Ip+c>kco5MruWzBH&nmJ&fUP|cJIm%Tu z)p^LMw8e^~wqv`taQ2G+>G$u&#bI=8zb8ceNQ~p|OSuoXm$O7m8Ru=X3>rGEb1(EdHB^tU)BNu`LSFxFep{Rlk}m zN^?k41q48Jrgj>0*0VyL{<;{7cwT?qOs*>Qw6^5oETKc6dkLW!)KKtPbh@3TNB5C< z6gsV5@9WpToVQOpL2dMFA7Fk?tB=6mgM0KnlUNSs-8&HJPN$?5^?(tV{{FQzF~@() zg&h=R))GAX6L%J`3N&Q})Lj~Cqg6PX0ofTc-z3x@Q^S&m3$&@aG}KP3kjop6G@#;j zydzS6X~+hW6LC(fI@}SNz|8yr2R;46522>8eY>$1%F)c}kfiUFli{4}+NPQWd!nUQ z8{yg((?cqrAmZdsRu?f~&5#UxGXE$8xfsmoKm0{ga*jjxvR#$Y7X`^VJP)+K%W#JM zUHeCo!>Y*rd2tQ3S`8o#ba8V|{Vk17=i;0y_*>lZmB`-`dws5LYr?;dz3m}6UuYFz z22`0fEK-hlcEB?|m&zucLe9Pt+sw1e-SL54cVtYia?~2G$xEzJO**edwLi^&F=6C4 z_4VBwM>^*F9^AH*9ZEWx_a{6zFX-g2rSX9p;3?jl%)0h>6)$+*Q98M5&FoQrzC}QO zVhvtg14FS4Ue#?2%ZoZ^?9FP_6%+b?+TsN{$9bWg`NMamy~MNAjoDE?-wa}O*MbH= z`i+ngV`~%-N^ZZ!_mnO>w>Htihze8JSe)Ms+cnJV`upL0|7D*kUOB$u5at+lqY2mspq=ebqdm zPPxR`8o&;I>|?u1giB9iEII}3`tEmX+uH{qTop28pO3vK;mNCM)U;bnc14vDofq)O z-g!5>KKnkt)qel!;QgoJ$y=|Ix2Sj)@9d1+#3cuZLa|4N&YXS+3+X$=I$@w-3eC zdQ3nwLZ{cq?sUPc?Q`krqM6O&b?TV_&OaL_#9yi$^?i6P82%^%+$5cNPxJ)r=igqQ zJCO!(I>uw>P#?`=4zI3WGgby0vC(}3dTbW|gUaZpoVS~ELZY8>g4Gx!20XrepVkg4 z`Q-O!zRSMXfC;T17A+5U2TCGfB1xlH&@LT2KIIOxY>n(~wpO%a)=xMvuvCsI>Q>QB zpw~-CEn^d|z^6(JMXR>R-tNfWV4Bnfnp9FAv=D+v2&Qd;)VAO#>W=dQxl3)NezS%2Ewo!EAO*jD0!z99?Ufux_}+02zwrR5B9vyl6p)b!qW8M6M}avTDW-rC_V3ZG<_RTBN<~% zpFfo((~Y5bbSk3uQuR{L-es&NYzvgJGAcE92I}dxYkLj_dg!+Q{xBGN6BrH>j>=7K zY0E7SEZhF{cK_)O-b_u{OeI|qMl1-!I<1kN)*R6T(dX$VeRisVtgw)*Fv`!$#?~{| zSVR^A?^Qd$iog>xN2E+e4KLZRwOT$$3%)n0?67>kmhhQiG6-Y@=28tY$V#%tRHG_w z0+He|YYE~p*jt=n3%OMBv8JoyBkC)R2vP5*XFBPo_w5Qzna7SCfY6c~M1^V%WYCtr z3%iJlt!@`~;NxXT3%6eUv!=uciLUhB-2fxH<>rB<-=}N(Lek2| zZ2AbV;n(t_kGu!X+R(8HEt=kgM|d+XHDxC&i$stB9uc+o1Q2}aHWL6a?yt0lwl(3Q z(I}dQryW`g0A*#3)KRH!G_(5~as5xRK=a7JSg?9CvQ9u(5S|P!I5Rxxx&f>CQMN== ziEI_SHWVDfWh`j#Su*RXFQ~?Y?nHI;9t1V8vb?U=_FeY!ZN9n$<3)MJF~o|q3B;;K zn;R_rd9Pi;T>XBX`#Pz#cB^+sSV3@Wy}j9VG3}C1M>8k+Ix$m7FiLCfXMbAm(=(x& zPf%dCPvhb|geXyKo8 z5?p9LUH2-HG%qbk{SMG~jlz@Ei}o%!HedIr4x+;Y}^rOj|`Uuo|H3z=0O z0?e3_-Yq<*7OO8Od5Gh{fhj?NXP3 zQj-cHU)g;^F>f}s5zc(nrGBhGqJ6h2r^um8WqoiPnEjq~-CR4bOs=qB+*`r;lC@5N zxy2F8E$IgadEaA-`c!n&>Gjf6%fy5$@TthVI+fI37-26A8?{1? zT5%NhMgOP6ILF=%5RiTZKOc5aVfkP9I*2@YAhf8 zHCb`}c60>%``QP;0Pkw|#Y1t~uxObqFY0Vtv5Goh|Ek??!B%RPlKMM^>qRb1OT1wJ zPse*4cY^?uu^EMPnqzB%aj{xF zVQhx0^s<kgTgF<^Z>C73PLNo(Dos(#aap!9gRKR-Lh zVLOXNPg(_-itUMYeaA41s3+7!yid@lu~YI;^`oqlG=CfVmLE=f((v)p)G`y{3KL;e zipeCC2DCIDZ#hIMJaqCc#$UsaeJT82Clk*K89T zxPQB4tmW)nEgGF>pTYBC&LK|nOzRSbweMb%Tr74xiv-i&I-b?izv$syW367NMcKdC zXvcSCjxcaj|wOW~2H#5ujmJ#|zmFi1O_)m`TpU8H1Si3vI zte(`Y9*WujO-3)m8vc{TOe*|ktb$4y%iEyvpH%JcRDxFnUsABWy-W4wPWu(IO*Mv* z2xR#P8PX_yg3+KDjxpRMV^V=xM#c2dGA8rZXw~2p#?5joIyic0C%d(Iz;e_1BdTnK ztj4?V7xM!;g92ac*~*D3%3`tU8MZcyYTGuuFWq)uf=AwJT$Vu;sy@IBZj#Z!P}R2o z-Ig%&whpthN%nw0kVG1aqFV}YedED4zq;W#>;vORwb+>sOKk+x?Cx8vR`&R|pv`#i z-?nfd5fa1bZ;{4H?=!Ma{-RaEeehCr!q0ngN%!Z89OWsRD@#6~TK# zyBz8QdME)b_+vQLOBO<8@JB+{SCOwcdUY>5+LjiSu`&p-5TaHQp)E9Iw8X13&tk-C zpWQaT_wpM<>bEq3R#Bl=QB~jY2;-z%VEg1sX|J2HJtx}3Gcijn!>DdOKiy@U1r@VFGAU#wHA#WWqn9Aag4DKgddP&A8z4ynqSuq{GmE|u1ML%*=6cy@V5$e!J zKHg0}9!y`6Kwm*BY=IEAfQd@R^u~9DbKYztw(Fmj8z_jd;sZ)3NC)~*(4U#~dCkT-X5@Wkd#*H!C1&%@BLQS@s zPUNzedM6|9111Nwpg9P`622|VJG)aeWfCf>2j)UrhweGMvr0&j#_|y=akdVHd|PIh zkg|;bv^)?zl$|h?O`0o*$d!X3(J9fV(dS78enL-wk}7amX|ZDs*C zulnuT5X|u&8OuGI5f7fnW5qf3Px5}%C%<1A)iv6GT*jh37SqiWSh!-f3U_;7l3tGB zemoXK(tmaI#!P|QCWqZMC#`J>9Uug4{YL?2V~>mjjn`FPzphUwU7RFSoD`;egHrzn zWx3`x+q_#gdAGLilX^sozkk#ik=DgHa;tyD13|!Zsc>b~(8%jVXeK%4*AG{Z>FBxp zt>b+o9%C(#+|>6gd=@gqfJ?I-@~eKp_E3p=%c%v;{D$%%>Q8*jVA!#uU$@Ef-BUK% z@BHW;Ry34(i|#PKC7kjA;@@r39(Ei88UX(u;BT^`U;o?hI%sbDzqeS{2s#6g}PO`fj;SEHv_OBKiv`nLxr<_|bE!2J*Sj)4mdJ?NV*Tt)5UW+f;I z7f?QGolX!`J`z=tRl2E=Fp^yDpzPQt_E}%-jabEO1?}Z`syJ`G+~L34sL6BJt+n_r z4{F-}h0+LZ${HZhV0fir`%EAWilue2Vhfd_T#UOIn)_@Y)gEAT`NYKtl_Oy)@!8?A zmFb?SP8b*4R6)2iOl6WLriLdCS7K9TG}P{?n7bCqQNz>i&W|^aDLd$hOqAG|HRKF= zD#HGjX#$BvPn?du4_Klc_iVUwc8D2+<$mg9WRm|BT z*=-EP5%}YS3pF}~QKj#6q1*&|@xsG#G*ju&(r)~jMC{mgdG4b)b#etY8tV#f|GzXt zSV}>2HjMt_4XqpZ9_F4&%vjQ2bkS3s&#^jlakvbnYJAMDX}8B5hoAzko=ZeLnb1P{ zuVbrn*Q_~!d2Cfq78rQH7C&6FfZcFXj5 z%;zIA9&}-RMe-Ym>o*KuBo)i|XeU-YRvy2vSwnWi;9ie5t0m99@%#OPqDS3fd(=d4 zsw(1cRf$i#+U+H@DA^^>_sDL+?%MJ7TZ$fb=aJsQRm2^`P%h)3*ycmMo~VfPt8JkU zHB%y4PVxV44y@YqQ40Q_Ww%_e0EB+N*Ytrkx`ToAGiW@w);{z9&Ka8fNp=e^YW>{* zJ66BeN{gfnw7OgghHlJV`#y#_&Mj>@{dHBK@v7WTYT4l${nFgDN!O3#Q$u&ws7Ds7 zG>bKIXF7Oit6lGMf)=@jxNaV^sxkV%!Up~bdn$~tpe6k}vw=Cip4%KZ@56((CiiW= zavMP4w3OYzv7u7@NAg z0o#jwKPDBJpbSUy#-<87prP8PZDsoccS*4cx)T!S67Q*!1u|ZjaS()7#_X%NJ1_dp zF~$#l&>koWXp2Xmc~@;;TG}6LRsX(A9=Z{R*z%c@JKnAEX4|)yG*{B{r7?Cj;r{^u z1+}z43hRdMov~cAvBo7B%}y4w=H)(kl{cD^F(G$}xXI&Bo0z!(n(TM+lg`+osx{p~ z=~(NJ0i!p8&x)_&NYH!vfs3vRy3)+a_Jq_#8o&MBJ70|0bjwH$ZsqzY{*4~% zaY=(TXq?oZ)vUJU)jlcKW4FQlMCV#v@GaK^<7;((%3d?uvHVF&d~9)sTycg9*Xkag zr#H7;p-;cdKmCr0I3*)TM|C6UdJuF8N__u{%3nL^jZI2U=FS)QXq|f89@{%_F4y(v znuY>P96eIREWYbz)P@E5)=L!rkFdgOxS46I9Y9 zJgt&ttIKjxyR^{_TDMG4w`_koqS5nt<6cNO)6?fnXvyN{Xw-({dUH|le4|}C?n5skU*jbX&*Oxu3DMl~b0MZqVmUR-}^4&+brE z2K#w_WC^233Y)>N$CA=Waa_?>0VbAt`=`48+i6(7J0G1DRHF+Phc^_zcHDe7sA)6M zmg!^t79EAV^gN4EMn;H8Z-*_ml18eZ)`}Cqe>?Zqr(^+^Yg5j-)1$D_9WSAoMPXT! z)A-G1p%5NSQ)d4pjqnV9BbXLP*`S%; zfi-Zd+~%35u4ugwq`~!4FBWd`E~;A-ZT?jfrfAXlx`RjEn9D6-|G1}yF5!6?^rhs} zguYUqbh*kcGwW9+na}G&+xaf`_V#{`x*ApQdGiNDTS0j1YI`-6fT0dbhr_hCM1XPP z$9>~*pJpEF(DB3gZD7kcvG2|%Cf`0}rDGQ8ZW(oc#cc{cpD8UV*GFxena}QQpdtPX zChJ!t>S{J6G(MUteC|i+?-`D^g!@#6kfB0o?VAm(wVMWK`lh!L;>;z?(C$MW7xJQE z!7>3JXoCS{JBnmSq9`f6btLRDT{*Mcvu0l$Z->K?6+QaNY%6TK|3XniW-8AM5m9gHG5@fnWTMENMEjQ~s z?Z_T84Mp=NJV!)!QNb|p<~y`!Sv!2vWD1G?ccigj29IUH09MvUqG0g3f(q};8d)1m3eG8d zjr0D(I>gLzZR3)bN*UN~XF89QJp%d~W%( zDgQDEd=t-dLmtz60Rk8Q6!={-on4uuM&GahJ~caM1=q&56E!=`AosU|a=eOz#wOk` zdIdi@Q(y3n?M`HHA!G}!zzkl1Fre9$BdOR!2=779HTr20TP@|hKv9(5MZ!ISI*|DUfe$w^n09A2dy;d1#Wg*m~NZhd%hcWF*s;-i>tD_#Ypx&98b^jF1Zg|;VD|B4Lt5h zmiB7`5Mz=2RBJK<5BcoLREKq!d&V7#tGBN+Ji*m0 z!&rLl7bV_|KFS*jI(8lvJPUSY4HOPM2a3L0MB?Kx+7hBcm=TByU6t&2YInZkoYz}@ z@c!;7R%%vTfZNo2V0Ew*A9*UFm?lvZmi52H+F6MQM4l590& zlUxda5tv`okA#@haq!o%eyDXhP z(dgg(;Ts|G)ze(*O#0ElGj^3u=Cd*7j(SDIN#^SMi-0$)>k0x5j5+;GKl;(*(-Fn1 z>yOSfJ-y80?WZLhqy@Vt*E6Ow;R=py4GkKeUb(C5DHRRr-Rn=1rl%FoX^x5pMoTa8 zgz0InbCCz*)2bF;A2O!bq^-Tc;b?34{yTn4t9<>v9vygI=t^W|12B`d_m5AP(Uyp| zj~lI?7~Xw}p5~ogsUunO|KYn?qKumu#=AfqatSD2a=5xeGWN2}LxJ7U94sE#f|{1% zRIxqx;8nGY?}hitGMc7peJ&S$f7-#Q)Aqb!zQ?+&ApFj{OclI5TeNU8+i>KNgxbna zy)ByZdUVru6w$qxtn9rkq{6|$^z3*lfxVZC8beJC;lb1pL72&usjcGiO`-7^a$<;x zC_AaJavOP~z+L5+cc`tLFC}{9^92tS$?3QX?fRc;XEyNCO9$A;ZeHEp7xD2!=C1KF zG(j;P#1LjQm52{j%r%8vrJSL=gUfeBd`K8%!jY7Ba*2nQS#5S$@Kl^aZrH1#Ae$Wy zn;oQx4@|@d!O%nsRK&~>#>|8xodS_g3c2EUa>a9o(q9cR4lT#n>)y)MtotMo_JYMp zW_(r_U1%k=FK-OhUR_>{{&PKf`}X3ouU5Yt;TzXeT8t_Qz{Frq)tXAm98W}UeUMJ?corRbWT53oMZ+A32_S_q@UI6(N^7`qa<4~3HoTfw z)0(y2a9@x!KTnLOU8AJ#TxbjH7L=B`+k1{ef9$zXTnbyNs zgymt`v<&|GHV<0~)V! z0cxqy7*N##_n2T6T@|c)z*mE%OQl=zbEt(2J6IgbbLf{0fQbWm0fzX6&~v8g@V+U# z6O(CA7*s$3?|&G-gf~#tcwfLKZ--2ze$YBD^ZWrqBkn*T^!)CuG?{O5NZ;A8)5N^V zx&F>Zo}1yGB205gnL8~$5~(>9tR<3&FB7#ySZQJ~5fBIh{U+yymV3GVf{cF^!+KkKBtIYZN}GW>y=;Z}=^?Hv8hAkOv=c zSAA4DYYJY@z8Aj6i=n&>p%-im4i-qHGExp#qt1B|ynIjIot0JUUN|4S0w?KENboW{ zYkfRb<~aqL<>lQmdY2&xnRqHBbzA#B%)V*hqJg&#J2@9~?+*VxT?l)Te_U`i0Mp+leUIfKc`fMoG_%bA>&iH%?|Jx}H6I^}jtPDJ; z2ugOtPX-wd7wX$io>x&J$D%8+>pnH5BdxL*VOTEA00rbGg2VG2!U~W=lU` zo5bCH{kEo}XFqp$_ccrWITrUmU>qnRtb(LB#*}+R6J2@l)p5KrMBEq)PO@iTOpAAo zd1DCEEJ?sq`iZWp%5_N163C6Qdl(B!@a2<{m^HS);`(!Y7G*4J>ebnlZE||Mv#HeS zc}cW%$u6*mZJlP~?09`iu$dnF@lD}#d`+b({xNg0*}gQHGKqq}Cia(CKSc8y`*LMA zrI+uI1*ljv+c1SNK8MDD$8`gb>;JnPJTBpS^SRqSz+2Xbzl#FAWzQ)KO;Q5)41Nh$ z5G@zR4fmTqkPhNqBNqdNjdfW>W-C%UNOhH5Y=Yjw%(`r--!wO~HN}%C9Y^m_C5#)- zBUExNxw@CvsaH2fvCd4SQp%a|sQh6OuK95h?vyx^W?!qQHp;9cGm^$jqp0>#`Fs}O zJ^U{oMjr|xLp$W?mTQ!o!8#&iB5BgOv>mjHa0R;Md1f6Pku;1nJYwA14)1i!Bg{IG z9g(V6&gs9q-;AJ+fK@_bF`#5J1!?;Y@g8aH0tMg4p@18!~3 z|JV2m<7dT`Twi>FGyHNS@6j z-D}kTyN=-c8yHcpb8;5NLRpW->rW7BPlonoE=TkjyK=-T;LVPr zW+>i|ycZag(hye8_mfC`=dy;_Oy;TbRH*^2l>XL*+rxU~*3% ze9td0L^u?kTc+7dkA-#=G^I#r=Nil4<26@4Tw8NFZs5swCmU0 z_=K}1PLW)CKuMY@s~fk%@zh)T?Y9^#Oq40BU{?B;hFoKHk3kqG_tmU|`QHk8SlDCG z;O?C>JQtBhp|0g}UgHKesaqNya}oE$FoG}$4Vu+X?nD2tiBkt1b(a?+;5aoS#i0*r zj^7uY6dmXbO2;Ee9Bw_XNieB&bJG2%3;<;|2wr!rygzA`>%W5u@Y7du2xGW&WD$ECkhifsktRg8%(R&FTu(2ENrh|<*_U$L zZcAC)fw69{MWF7d_kG|Dz2PV}n+1PcJ?`fVaE#%JnBj?Jz$a=d9rrs@FulT^?xaqR ztg1NJYk$>Tm6myb1cbS!JDEp~Ar2 zu-actBR1Qa>}q6dzU^q};i7t$ax?jMO3!|`=0W_;ChKOS@S8MuQpghW*t#`YE40FI zCM%>wvOEaEyuAY9Mwfq5V$F?UbBKbB)6!`eyc%4(qKKoVtKj8ptEnBl*SsY&Ilnay zDQn&(DB2+?n!lv`^OCM7-;PPaH$SLV>Gkv`5RFT8giY=PJN!6%F<-D2FFfsE-SsPy zVSf2rSNiO*$GliZ)~~4A>ze&q0<-;EYh3kScU(2tCGR?;ZQ_n~r4x4JZ7BnaR2^i> zCW4sl!Nd*-;IZAM?~BjBfr2fN|CEaXC^n#|S_x!gxlw0@fi8WB>`Tr$lD1(w@@amO`cHbJ<$L{K3&2x%EwjWTn^}afA9?zo2D{Oi4&VQ()+BLC4u= z*L9tpt~W7bL#|;JZ-su6X}%=Bb}*=FNpRoP=hxKiAWLCLdEFAMTi9@fBcCr$XL2=17w_IK3zAT zF^hE5ZO=`D@t>C}zCbM0`QtHqv=BZtUAG#4ywR=0J%;jN#&+YiitcoHqq1ko zt6+`o_jL?k7)FjXn%yk(Mc)_Z8(y~qPC3>GoT0p1UKfB@`?fd{h>`xbK{I+HJaRj_ z$7}p#cy^o(VEn>I9f57726HcTbkR#RdpXscG-6P{5Y*RQvdNK)AHH0~#B`tq-W!$P z6{AhZ`NZTEJS!fF9~NrIw5h-&xj=Ep^c`gHAKGw9g?wT!#($fPWIc%o4#s z`WD~R8QSo1_ASc0N!nt9=^(Ud*VlC!A{gRo0fSySh%qj^0h@$dF6&J zte+NxEayBmJ?!EI>za;ehxvz9W1>_Xw8|0N zFuC`ct2}_Wc-DCeC=_>@nqIDLh*(%hp5K&#)TQ%5FzG*Xb($^L`n=`IVvR zq4rKn{hbuuJE?rF%qXqQNALR;-}hro=piiV*^qUd+uYF~1E@jz7kf`OA+KQPCu|j- z$yt@RLpC^x$gG#z_M7q9?H+U%pX=U)Z{V|`X8XROCVQ+^yLM#1VkAZGkDJc*lm@rH z1oLTz;g0HtB`!xG1fgoShgp!>2IaWFi|TpuzQP|>#T#|M{XtM3x}S=!xeIuXyUzIn z2;jQOO}`E1w<2BA6Sy0BKiTqrCJpaoR+r1U*8To%Kx)u+EsG=>_|HtV_+FhDSZw1I z+rN;F&Uc-I>D`}VHsqUPt~0FNFud|{U-y5q7a!R-Up_J_;2*@3{F5y?LSu|Pyo#&F zo8wU?dbqG~$@>1NVj6Afsk}nZ7+yit4t_vqx_34i$t#hH`jdd^4Q{r#Kj?FaIo^SM zv8{Z`ZS5GyIz9JuoJE*ShJ9ZtcAaa69!wA(OoaP62KqTFG>fsB7_gb7vzRa@l&Z#; z#&nNybdMpw+rz%wBetqZx2mC-V+IJ!f^p-;ywfy7IV45!mk;X`lUvGL49n`qdXomF zMVZEW4c?Y(J3SNLbQC!yA`X9wgIf_+N858G%yVy-#i$}PWT zo`1{i{)Mvsr&9gTu-c!*(>r&kc7iZo56L;ugCqz#Q$IE>oSWH4D`L7m!v&AZ@zxL- zV3RB;TS|MqyJCoQ>)ZQf%eN}2(^o$q1`qL*6#(|qs?P4Ei#qlgS$aQNDqk5L;%v%x zXf2d`H6m9MoeA-s@9hn6MX6^jKJ75X2^YOA!+TBxmGwNM(XOZg51pcsR+9j5GJkF` z8S|UAu!nO&T7Rgx{o=_mUhsFnS+)MK(8&JpCg$T1w%A|C9(=12!tSNl!C;krD=QoC zuz#YZR-H7$VhXSv1z6-)6iH0YVugo#a1X26s`eEFzAy>s!z+xKyBWISIj-R_gW^SS zZ8dg7OSVU_&iyna-1<2Vrx6_VVa(3zpyiLL-TbMydXgtDE-MoSEUj7;P$lY-fYTTVv zN)MgOJ$~eXpL76c+ZNa$y2*X}{bCz9c`0;zD-{thaphBir z>s`U1XT^!c>V(S=+n=@lS()V|b3@$@GjzD`Eo&W934Q=Iy8j}k7Z|fhfypkmH=40N z0ET-$b_!(Q5&MI@hUzGyhIqrll_<@Icqah@7?jakEuEcjxrN{LX{m;L0e-7DJRUw5 zZiWUS2UfT|>7o#Of$K>Bg)J&vo9*AqPsoLa>-x+)Mbu&3ei^SBW2XMX``DNIeTR*1G#};zAw~ z-vrz8=BufBDlNubKB?*J@|t@X%DD`{N1 zV+E#0uuCfpG)4AWWhHrm9;!eJvvduBO5ycn19zg1PJP5BCAKZVlpO#m6*N=QlJn&G zD9B~bN`@508>}7zdzbxN=yOe9RENUq~#eWl%_Ep_(*QBr7Zd$K6f)LC-MT8rm`0O7&wGq=M)a* z<+I8bTs_ewrN<@+l-ER$rbJX*R@DFl>zo5HuZHpvBiT^!OSx$R4p1vD6w1GNT5pDlUr~BoR0`geA(*kING%-7V?8t+edh|U!~z`UxMsjcAVbXf zGP;%PS)NL#se&LkN_$kMhW|xo2x?MeD#^}lye;qQ>?OdVI4G+X``r&B9=!-Qz z6vo~Av+;}+JlD-WGt=b?)iiqQ7(n&1ns5uzyk{njhtVNi5}{B*2WT8hf!sH?OMngd zrPO@^pvc4l)<>S$^tQ7nww@eblGGtD9ICM@DN=fx9kd|64M@I3K<|>CH==^qy5wV6qXE8ZWwf^5OM38RL1n=HN8)6y z-H+u|_>iHiH1NAWE&Js9$^oSo)*EH%)2MIfWl>geZ z!bxsGZrpwWJCj@L((c?+@M2@5J!QcW_$#;$#PA{=BsW1szC+z%XF@pmYDBFT-OMoJlBfl*M@x@6G&Q7dm}(u0dfCs9IN3lj|rd%hek)f;K}H`8yG}2V!LM zIEivi@hrH8x%y8kkN)8Dd=cP#`QB3f=g79b2i*7m0!goEa4x-|p^k(w6tY5=nSXIF z%EN=^&2#Bh?i`s6FMN=pTkje#K5o7TH*5kdLywpl@fMY@JMjfSXRki^>Sx1J)AG0$ zEg83rS5Q@tNXw!YHTr)EUkAd! zeR?9&)FRUOs6{jXmsmo<&l?(FZeXm~Aqd5P#sR#ff}d=FtpOVy@k?9GsdH&8398DB zo%Gbu$om2v?)PMdU38flU%$9fHeOmf4$kmRtsVQ&{vkm^2-C_AQALYU&vLYX&^NgW zUex=6bkmW&SvA}Vu{j;`XPv!SI$|TM-HUF%;l-bIc|R}eS)=d`wfP3f=JY*0B@?m1 z*Y3r+=_v2V7~uq)pH%isrz*0bv)D z*Q{1}&Uo)VGVwj>y%A+iQPy`1_ug}`KStae34UXDPqUi+oqnR0s`CFxBx>;>VfJb`E8v%eciNpZ>qVu{aq?|tN8H$`CX za6({c_6r7y&s3E^BB{$Dq_}$oo~#$7?|tNBH{~S7b$m#aq2XQ|FQZy7saqJqmtPM$ z%;{#6Jh|(JJNDmY-Y;?AEVj>2GrYR!Fg%!43A>Kkai|O+JT)z;_>D z+sc@6&E?F0{7RTcS(zioK$tK3Z!i0WJs+{6R;K)vR-S;sD2@7&*?Ur)vMPaV7+G1KM`_H^Y!Z4 z%JJ5~1p%`~%z7H>tjjd(s>^hCks>OKiPlKsrj+E(dS_jCSKXcOWy`Iop%84&3v3Pq z9j3?`rbtH-rA85TSK=lG=+lOBiO0@UQA7nX(GpAEjQw6F5saN@3G28+5rvSvNikO@ z(T#E;Ij8^W0z|t1QTb0t|09pDTWaoeWy_QNx$TG5RRKX*Q&(L)1B~B>8v1meUJ#vY zPQbWu1F-QQ)qY=4LuU!t`BUF0yX#d%Z$Smb_Vom_83VpzG zmh%Ej%~g#PZ`IC%XH7YWGw(V;)W_+BV^mWd4%%@VPm1N5T;h>2$K~*Yw`ZX?{0}*a z2$klZMosM-0W|VV&e5sT;lUFN>;5AQIS)h%9e+j8A=8L~+QG6&w31Gj*zVc*1BdXu zk0tBk!kX#Un!12HF=HRi7*BF_ z_(hd2#gs19{&sW>*!9=aHpFJo3#CdAP@!oGH>_~nrs}uLJU(TG`+v#e`#i<}jL-j@ zwEb(**_Kmpk@1OdI(iYesBheASxx_`n=o8HKbB|Sq5jrdn?6%?cu1f>5PfA78Tz3=^Qy#Mch&$DOkwRW8|=j>Xs&r<#G z2$ig`xTF|f;_ED0pi=yw>bI8lM*gunxDZFuJ`XWRQWh^UA*-E+*nib{s(UF{(h(0a z>z~p|#Jt25&=Z2@RrQu!nGdkRG|jO7ABOJxL{*&45eEu6LYpez!Uxr=nd-WXmUIoc z$|M@?a!W*erKmpMf14~L>2z{Wt)fC|^J2iK(o{(&@_-BFrt9zQuMGsVk8He-?U=dT zSb{1jqnr^vor>?BU-B$o35n~CV>@Q!Eap{#>$?I1VoPNIJN3Ux+&LW0uVz!R>`}R? z7N>(w|3i$Z+>D6Rp=2-SP0g?V&X!232oluc`U!t1#iU`rGb!8IUH;>JY29qs&lU;h z)p}1&CjKR;fTq4xz5;$e;A^ddQwFZei9MHnsd>@DtFhmSm9QAu<9%Nemr>r}zq1^| zrg2wcDY4MTtFg@>^xi<;_KHrel(9uSDnLmk9QRRJhmpeO%kOpLaw!WkE(1aW+nH*s| z$hj(fi9-02`UfWEKOs4(il3M<>6rjAj6HkTLY`0-6a&rvL(9&8RsLJcH4+^ zeAKLmsUvy%Du1An*Lgp(o*IV&#!v!u*;q|DOP}| z#|7)K8(){_KffRjykktCJ}e(o7!h=}>(Z9Hi?bYy?5s7h`qK+*cAv&-N@nC%A4?%_ zP#>ZRxt}Y*SPVnuv^py5md`sPZ6pk@LcV%z&-i&qWv)?%RXaqf>#?aO4GI!d;{OK( z0x5cIl89I?MC|t$in$t$3bCsZoCzyGU2h7Jb-c-WxgO)Y+Sm^;Ty zh?@W7GNBsMH$^?E>|DELqnoPW`<{jff+e91GsXqd#lNCK^J!T?okg=hVd`A0AIzuE zuHqdjrTmmVx%*Af)mKDY)L!m#GFm22Bh`O%$^HIb&oGqfSyK8r>MAzenQp~V>KY5{ z-~1mcTxr9X^_?{M4PmDe-Y!ffjGLPN5VPFKf*t;Pc}G2^$uE8DSjaowwd$Cc6}S%7 zxYH8moxa6#SPWRKE%9&2LtM}HFxoHORVZP!n;W<-+HvUxu5u4RR?&sCZ`?aA^^#Ey$ z(jf}FQ@t=5b)wX#p#U2AwUmQ~i5(5&y18@ej1N`s7s~8LzR%{fD%2rbilgy3xP8aX zn=fN%V=JX#yJE>db;z!WB@l_jD-#BflpTKd zY#bbc&-7>QabN)pt6Q0%dgs;yGo+gXZK8v~74eIs0Suxz^k1SN8hf_@E_09gSaWJ6 zq>e8R`R9EHp*MDe2aUI3y_g-x~%Wc}g1M`lvF>)|dyfw!c*EoBE&ZUx7{r_j|p!mXE)UY;FfHa}=zL z81$R`*ffZCSFh-Hwvf!(;n>c>7Igw8-_06(%`US>K z$dq8^p&Q2%Q9TY>-oEiIsM~$JI0^9XvoLuSBvaN0MZ2i7nt=SjoPuD^oPr?!I0bp7 zaMx+V5Zg|v-HCi{F7(OZ0k56VZC38OWZ=zCpKsC1Oh~Ata~j6W!BLl-T-w=@Pw6I7 zNu3}J<0VwP1%SQN#1o7IpOrlVs*|!C6O4mL;L6;frtnUks^rU*HIr9-@X2rTbw1cp z=N5Ha^sFjWBHD=}_?1jA{i>{c`|C%SxN40%LTQhN)xG0{VQc&no(*b7z^C|a*a5y> zyI?{%?pJBSz*65td`4# zjIQQ<9%W2EWeq(%i+ z2&;)b<#6g<1aB-&G&r1UC0=jTn%a#ngTfS*N_=mz60%cD3O$6`nc_ujcig+mehat< z!J}0qVj33Kp%AgLd@U+cOFahsc1{f6USFxdU(JKGS+#iFQ8_nELTq)m&o zpIb%4h>6qT>*m?rp61z~InA>izRd%;RmbY_sq{a@!(E>=Ko6QY#W&9`uI-5Zw}kZD ze<+sZCq~Skv>--&4XPQRvZ!c~@NGV8_8*8t`{ zpO936U1)tUEDgR%kvBqB!|gHdK6mK}F2SJnKxLpF_>yrF!BDuQn~4=*`*$O6D{B&q zKM@MbaEU|i2BIelm{TY=XD#mEw$i>ic+5R|P9%ZFkO{?}yCZ~2HUHylv{^(xD)*Ym z-#m?icWxtewldE`a)(B+$|>(QdOq%c`)k5@7&+HD_W>dA29IUEf7kBuTtIRo+r%Vu}s57qIdz3-@}ZkAl-a4xsdDDHo!(S@+$! z{79alE?bDTwuOhWO{{nA0v}>QZ%XN<L@#Z_^U1r|pX~M(ne%?v*EqYnHhG(|w!Q1`jqoKJC6r$& zyyf2lj4y6g<#>9WQ0Q&p$SRAVvuko$ae{zZHLSqd$C{9xV}a}3l=?Xn9%~vK=A6U# z4p3u_$1HmQ9OYP;qeEhn(;y}fk^O?1qkKLBnYks=ce~859 z3Ohj8?CDx=mPq=V>i&&@{vGwNgpX9wUSEwqK{G>)f!6Bl*+Jygl=s!2o|Hj0KDtiU zLZSVScRVTOxvi;+4V*#@rUXOh9^-JY*VbcpXb7cPKH(fouv4;hig-=8KH-i5j-azd z!B^I^cNj7|$uOxU4fuT9N5~ydh*Cc4b%Q+IORA_LsJ_x*3|CN$onn6Yj##+tWA6;U zO~Z5QwbJLEOaasq`ivr>vGLbMf~dVakJYTW6svrZdMq2!LYT>qgR#GscG7IA!l~_Q z#c6~6Dw^1p!zZR!_423_KEON`igx?Wyn=7F!#wSjOTgBl#GeUNvIJRX{&0^8ysZ3Z_c?NSs;1HPC~ySb#ryCxpw_s#hb>Om-uiVrOh=W z*)X2T%{2kp_3@T~n#UJXAw2@)XI6T-HQgg-FBi(W>&ZmM2pWI)YnE_z!VE8|S)j_+ z*YIyO-D7^3U}0F4UqW>&+~6u^LRzscO!ij27Vspmw9hT90n4o-@$81la~p{Z1yS&< zwNn$;RaD+>KlV9B(%*pLM`7hf4G=?L?TFAUVoA34Y(W$$veOK9x1+y?&3xRvkLPm(O5Ds`y^wlKexVdZC*&#vZ-+|r9m&c0{k@iEf+$P;-!h%@6;sl zRVVNb!s|c4>&4Y@ENZxUUdsp&{s$RJ#nQygP;7yN&_7e_-(N@Ts(tarU4Mal;qicR z`VzAiK$HJ6@uMGix{RlYUgNa~la{C*pC(d!# z6^+;W1+Vj`$HqP`)C$XU(!vj6K|amLEgmK(X@gcA)*?`1P0HP6hgVl5()7~@*2Mn~ zc?Ye;2CY2P2LST_4?_Q0HA-s{I%^TBK`Vg#1tV%wqH0nYtVICw&%%tF%Vxx0^mGpA zi4;nED3jZXUaX}r-zye{0&z9W8nzz#xtT%%1{JaIB`V@9PuT@R5{b}Cq!Lx*jX3gd z5*EGD$FuXvcbDi3uSj(3r!TCD{~huUx`++Bc&0A^#3g-!<)4DkKU+b#inv2@$i^I*Vow8S$lTZTN*`s%{Sn9G#`kEKl&*(xb&Ui+<7J5HUo>t|xRtL^0Vcu9uiVjaX z#wZq)Y}o#9f0#t9VOC3TnFf^QzPcSUeZcm_&-LFxiUt{)1{sMbegOI3g<*zSk%n3H z4Ke@$5CTz0nMPNcM)V)#pM|bFPie6X1)f%fVH`y9rpW>38u0$MszL)Hd@s8D7crH) zgRwho_pw*m7059Z+|1$B*i4GtP{983yO`yFNr3{8%PPnt9hMsFFR?A3tM;w6Vr3eN zKHMYAbt)kPl$b6NUwS)?Muc_YHtK7Ljf&aU!B;Kl`-=W_odAzA9HA)o%ZQX{SNzW7ZuJLz%A+?z_Co zTlfQ^zasIEBOriO@sER5{plSk>d_(@9VwpCnTu?L?yl*}{~NH@ZS8hnmFZ~5!hy7x z6dwgR#g+gyGE*4%=+_T2!D(`RZDLUahCh{l@R(yCQ@VPe*?)v_^Ctq z#Yv3;^1lJ0zc&;rPZcRoMIXWskiTF@4sllwakM-YK>k^%&Z79dPqJc+!p_#}^Dx3m z6xOP^%-6x>%|3iy14)J>Q3t}hNGV8M-9Coe%NaQ>i=1u`bGZltXBPuo7ehL=*T2h< z7*vDfq60MSH6zog>vtb3y~QligM-T5cS6k>>HiJv5W3PObS2uHu|w!3fSvy(g#PR7 z|29`$Qu&3^4-qX6xB-mJxtFyoEoFUk_*=?7rVE@%SUOEdXx>%smlWJD2Xk3f^Y2K; zZgItKeOKtsQ|Jx4%6)|b0zd969B{^TW$zeeZ)cWovZRx8hK6BOjBvW0ais64fI;{8!=_>)ancdN^5BqahSbW2_PYfw_Bi6scX&Z|S zUC z;j7P>^IEqAwN;mMFB^Q{Z{&ZSUFUS0p%it=PL@j7#&3Kyz%cP6Gey;RDhkWGG_5L+irXE*mt6qWz?JU1erHJK|<-@Tc6yqCmjRZg6{0*OzJ$A z@0*uLcZSsP%2?<>6aS0gjkp5nbjzR2ee)Q7${W@HGlKd*E0;8`3?xLVDnLSHt^e#p z^>1fzMR1Y-j;Q1`%XXX;p|~d{5)|C`Gq}5c==_36U+77WWe0O#C`Mn2zB*Do{SP6J zCJzDhpzwTUU+67&nkvxUg^@x=Z@vp7tJd>h<@AMiItxJsAgbT)hxeOQW`DFKKuWrwL#$M}M*;!20Wl zWmZ0?Y>a_JCbS)WG5M+(^J|Fws7*fHue8EReKJnw5;U-&c~JB>**fpZ6IKbEd- znH&}7{V82p(Xc=wU)-SWzQ@{E+2KkL`e}}PzNzGg1Ll+#WqxP0&;$Ea3_ig#&Y_zLLZNeA5UNSYB=mJ>PU#LU} zoYb5(kJaVdBtAosx0h z>H_}v9zOY*P)J!HSWYJ2=>^Vt#Y>Y;o2rgehY95jjj zjQG~u+*OIBf=xwL{g!e|?VFjW6VRmGiX*nN15mTXp z+LD;uU8e_>l!3DOegrxLUI&W>&swR~?lQYD2HQR}YB<^^nqg-^7D8HCbiRt~dom? zkJnpgB9Ide+h=haI(+8iGLZlspb=6**>a@BaSX#H@)c614yMnvg@^|Q6W+TO^jCJI zZe2aGK#7j8B}h8ld{*%8s+GVnkq;h-#^3iG@QxUlzTKj7vF!P-YT5-TdMz6!lWft)1C3l4oRRdi!ZV^ z{q7@4gKsCvSzV9i*6J-~e$fKD@uhmYdbJ=vdKNwVN;1rk@t`sqR4Q*YM<4cflyE}= zgZBoyV=LxCm@h;h=*WxFyu8x^TYUjv$1d{!lwLD64M`P|D%jY24OG$zjq79(bB(s$ zbRO(P2^de<5pMN{KJVb-d7wt17Rbklsl*m>S{0q2m=|)e+pfPcp&+`JVAsHhbOLha zSGw{~Xk)2r7u_@j$>Syr-BIgtW;HJ_VV}Odru-vm0Sd)9*l8`9U_AR|EE()~eR$WE z`ApYq3>QM(7U&8n14dy|1zR)WT%8W8WkS2f*WbGzf`fj7p}zM_8Q%YD>{DT}f|d44 z>eb@ie)VFZk4$=3`Zeu*ou!i`@Z_3Z(?!mIk}=(^$Q@(B#L^nBU@F>L`7-)Kwa@q?ce5b>({lL9_6_KC=I-%br`?i`Prfwcv-tOM(Ldk7vOkah zKp5H`OKJMQk$mP&>E|ST-Pr8BEsooRg!72cO8a&G>RisXD(#j2uHskVu5NxS9%s<3 zbWm-+Zonki{&npAo4Rvq4?)MC_5l;RnSnwj)+`^DLx_EkBC>N8Po+1U^CE^7>O%En zb_2$=aa4MpCQ3V+n+BVnP1BN3j6py56#En51{uRaA3Si6eB+n$u=sF%jS^^1c3Fmn zdC5iF9aIMp7e&Jf>Ynpq))HQqe3Cuey&;oO7OD?;^0D}?s-;!uAZd|=$>z3d<4Kcf z;dWZ{2tkZ#tGpsQheHbrr>Vb$J168|Tq-3}du>?mL5W}1Bbr+`P3LH>^8Mp|B;_yQ zokxFZ(A*S0=n#!w`;lN-I7Z8^c{VT)X~BRL`29)K7xQXt^fR^@!FAzDFhY+dk{E?p zM??z2M9(kiCg8r0FsWXkIecXQxu^@MmF-(gos)j=HqLDsA)ySK*IacUob$WTqx)Tz z`tvKxzKg=J)w=ZD%<=*y@Asx@*;0+QqKpHkYG3f^WYGf;NG+n*}msC8dyLTZ5`T<-Rf>9$d6^vSNSUNYp4*&y6&eTWIS10e);vzL0iOaW|c( zDub6{JH69HLZgQ|{88GspAlYV;Ju*z+iPxtxq;llFcQI47XF-e$54po%-F{8>tkQW?3BwGo1U(n z>q+=N;M0L>E|_d4ot$Nl<<6N^ySn*}S#XpF%)~Cim$0-}NH7!UNejt8q`V(Lb@@(2 z9QkWVl2s>>{I#b+fhl~Ze0o*H%2ApcsN<6BMD3_mWml~d0%_2s2S6(fK#+63BK zsc9wBlW$6*sqAfLerN{#Tc%g$Xy}75%e^Kn>S6H|YIrmy1D^CU5FKrymYvAj9yi>Z zf+%Z;!!V{-9$8b{Rg0(c8qTd8Q+ujPyFeUVu|OZVz%!PKOXv+5!H?svx}!48DU$Hs z6H%{**;NdzQvzmiv=fveU)k&Ln5!>0h(@2JogPDVKTfh*C2x9v-6Qyg(>{U@Dozia zAYeJL2l?|+dw&)C&2`FgXsrj{+`8mqliPh>U$w-T1rGvWs82BACX;UT>ZKD%yCP(* zv&2&S^~}W=H}b#;?sU%@H;#_BEYyh}m-R_Nda5>$vurE#Ccd$QyrORRjB8mPM0Hdu zBp^}j8-q5ugq!2%m)4)_E5To~KA}OsF_!78+-;gNK&9RTmV1*@ms}ElqF?`_d%4h( zdG1%|TEdDs_<+mVgmzq_RRZcD&;qJgg1yvDB_0pi=m{=dB{`*5`3t4mb!lfh~!S=a^LP(}h^RX{QU1a|IN@0Yi zt%Xnrn5i~V%^jmHmVRn(T%s%{#I9rJ%LMK{YY7I;1O>?ti#x^x1tr)Gvi5KczBup% z8dsZ>qRr$k&aFAs-7#m$ggys=$nC zH$XQ$o{|Gyvx}g?5*NHDui&9l(qpH1`;PV9-0}YKfRxdZp7z9Y%+z_tR< zj}~l*`UEkPo0Ljh6jN{g1|?E!tB#MKMJ_r0+LhHFYP~s_q|?jeRH2IlBW;^MkVTbUei*@c8uj`u9(}6?n(cXWf!^)p~7WS zXo!FxU$$7$on|tRK^?G`8|l)MU-G-H#Zv|*zZBo^1N)3Na$%q64r>NMjN_0TNVg-m4ZdVJ|D1{7in1Ou>d77*gGTG1gbKc z1qbqj74H-~YIM8UQ3t9hs3+YV^TAPxzW^cxG!pBxT1|RHSp$xcR$jAt{vqMJ+voca zX~i;@V%DFUQLBELN7JA~qs2u^B^o>XHHkY%-g{PMFlrUtpYAi}kHv9Ab-+?)2)u+g z3j07B)tpBuh_DGcV8m3ZNw`Hp*O*S|Y&W78vVLQ(o z%JA!cA^sOcdEVe|=aB6}Yc5PXWEytKG|am6OUbg%sV!iga3*c^|B`9AVaC!&mS(wDJgn0heOuiF(<*IVxTOzsesw$J@AZO~99P&>bg6@*HEX8w%*EHM6-o^P8p zHU`)-%&f-`4J#F#Qz?iE-H*UyOT=T_xfrFq?#4R0dGaHGytpTHEd4K7^{OZItLplr zH%BsPB;nLul4r6^l{+7Y3xS58pT~v_3sxrM<7&hZ0tKJQ1CRDib0@e@8F7)Zz?yYz z>D^YyAW-ymSrA-zveJ&uWOt@0>DCI>qQDA!l#j}xY%?2FopiL1-^`{a(4#KUGpbf? zeyTs&o)~8mmthiDkYusUj{6n2_A8FJnN8~7l=`Sv{eMnQ$1Gv*P$#dRjD*6dy??+M5{@)tAD1)|^jIOd!f7mcU3elWc`2JQ8|T%Ox^o8rHF zyqYq;cWCOrZ(=nEJ6dFrYnJlq5?rN+xz_r=OcB#bULT0)M{MDaXym4rPrPV3LMS$E zvkHNYu_ngb+Q z(PqF(1ovd90!xvVthCtA7jYktHwB%dfhA%)X8Xa^pc0~9{u_>{$eO4qeuD%Ij3g8d zmazdpd0?&7_eE}`I8sFOR?vz=Fxa|_!-J}!RYElyuo-G;Xuyy8yoq@yVO7M_pJuKE z*O;ZJ;3eS$)oHielh4*8gx||W&DUgxZ_RfNg~RWhsyC$EDgL62JCcmE>p?$t3U{7+ zD>hKop;)P4<+jR}f{Xc(@P==n-z|>zg9HaR%G}jSZ)4+VbK14N0R8) zd9Hqe8&a1=zH;?DN56+j0GGHa#>B)){l>)Ck}`~mFa8}P%oD_fiIFC?7!$Mn$->JR zPm(nT*7XdaFd;=ZgL9_SAWc3nAiFOMJmXp=C@P^A$T9 zE9YlH1}GX;1eRKamwaasW*-ft1qSz`gn{YRm`5qwMc-03H3RS5+AW2!ue$=1t=Y9( zzw$3^Tc)PYUK&@xJo}qLTDcs=|f&j_=^q`n93epcHfS!>U&mH-7B^`$fEP^7g8KUe!jcr zED(^q?#Q}Aj4FG$u$tP2H`NvKE?boO!j(%ZX0(h|#uNo#&bQNID8nWqW#h3ti2tGS;S}VlmA+EXN{9D%0E^A8obO}1_gAR^E za`9<)2dDzd%_kV;+yc~XCS*vHA{ZC@E^x%#Hx+(wZ-YtgjK}l<{X`E7e=Lo|FRk^I z_47%h?XUu_h?UC@Up8t^lrY$;RwGZZX=wAh2o!|ds5Z(fcz7vzu9dR+9gk|zoU6er zWvK5?2M_sR<+LfM^e6rCM!)vq!|mHI)@=%F* zsFQ7qTwX)vTnk<(za+}WJ-4V*{IlOvnM*!UEH3eKY`<4>01lo}o=^Y_`!*h#!hL)+ zi>nYDi2w#KaFZ}G-Kp<(gT!y!A85T?a)JbvRlg4=ymCBc3Cg$FJPM*{bUXYy`Zeha z|3lZBC1bab+vQw!pslMma?lduJ#)Q<|NI^*Z zmbHwnC!qQ9D?9qgfm(u)x8A6|fwEuNj66oL@7&n8gV+4{lo*3mVW!(nu+LcY`V2%h z6{cSMVZHT)>);%eX4%H_S?E?1?9C;W55nhs`j3s9V9zu6#s>NEGZ~H2f98I8s)e1% z>pK@GSybvv6Vqf&$cu-O%24>=4z;wMC;}Az!PK7uk?;0YAgizRuqo?At+(~qQT24Q zg5)QwG3L=*uedpAh&jK_zZUQamh-%ThiGKs{Dy0=5@uhV&uNQ&)TdtDcWw4-*rp!X z$hB#k-JcXrm}I~LE~2{d0$V5LGQV8mwvqwq^Hr z<1M*@!3@8HS4{6zR?fULv;IVC60>1=)1%b4_bTXDX);G?_7$IW6-QjrKF zIGDp7q^WlUEAqxfQ<69_NQ2II%VjNUwCjC@dgxR0?&dApQ zw#uM+o#rbaYcV8P`hZ%8_LmIimH!jsHcxX@LBN>NwS`U7X7aiY@E`dvbHzAX;f}EB zV_;*P(CCN<lx?i5UzjE=O_WBFwcED{7csKEj?0OW@0v(^&{2J1jD z>?^$^SBaO9=QQ&+Z7X>e~VZVPJF=j2--lqM){JYY+(o*+KW*elcB zZtOaDKv|VE_9bn{RrMYAhoIP4(@+^X;fc(ty?)+}XF)&+WYwGUbGlO74mZJ1+>@Zk z`k8S6*jnyw29ER##u?<%kn@rpG$OR`vQPR019cRhikqT0eR=Mt*?425a zLss(NgkS*nAE25)uQGW^UvOJuh<4|{+M8i3KKhKT?MQc{mA_{PY<$MMBUBHJX1@$U zr-v`sZYEeP++(+;x2ei-(~DcA=dXuqh?WgOQyGd`Im5T}@@TX#zw{=X5+XaHtYL5y zf_Lm{QGzXc^uRkvba9t_ih1&O`?L&F3cr#q@`0c{cO(bkNPb$}5Gj?;Tr)?dR$8y~ z2huV`D>=UC1QXq{(3?uUFxL2#G(^}8 zv^T&l?9qnwOAmT!c?Z|yW)IBQ1Zq|qBDRXX3X=8Lo7D;&g$j{Br&)u2@AIa?-}X=7 zHX;Czx=$~xM8qf0{S<=61bJOv-bN$V_a-c9><}-5(2kCjBd;9EpPGv$?5FRdy?v#f zSj8XcgY{{rzT#@~nm{$`>V`lL5@@(Fyi#{?-4^dP~}_T5&? ztIWU4SU=HF%^4UI9OBn-i)L9ao#{6E?5Y%yg=03|PVqqRVGmSW{3C(~QHFa$!CkFF zi~GOs;Krv8H)@k=iEQ3i?w65O7%LP3i|aafd_Evr4~Ca9j+cL7{bVn8_!5XzyNyGv zp=l0b_KhvXw3vhHj{Ew^^YY}JvBBU5n3_VAFeWum>B`9WgHFJ)VZV=N7HY+WccH`5 zDN3x713(Npb)ZQMwuhny1r6mD=Kn@>VC4Tm&wOsZs%~#|eROhn!l2jdB@ksDHO)U$ zD|kn7W9nH;G^LSJ&T%=^pmX>1#)enji$h~RkBLsZTFfV#J$se%R{wtg@h08DZ_7*3 zoyS`rA#V+)R+84n84vo$2EG0yYvSb}FZ|xva+waWk1-%PGRG`7lsl5e0a49N=CKU> zJs?Y7rM|;%HbLx9yf#FOg2#-2VR(s$3RAgQ$su$mqqjuSLW;#w4$T_0j9$-)hgcbx zPK4_R>f#3tti@X0PiggdqI|F4depXLJbk^CPKwupMs@x9OG|;@lBzRPK~1~n%U4!C zj3@sR&N&o2%(>P%px-!HR4kHUe57TYn3|EL*Z@t#H{(q&$2iU;(l>t?jy$lk^&&<# zryw#so1wnf-!4xC-(QkScmgILhOsmpw`kD(FLpKo?$b06SJfu@Zl*e)OX%*k;M2iF ztoF84_P`v=Zk*(^8WZ)fJ+Yrp7M@duv!1AAIXoOXs!+6KFTJt7M z<`tlRy#7To3Ou=CN!0pic1D<4SvwLYPjUx+|0}zg-?EgcA=%K8;5@CGnHvz71Ulk_ z&9z6eV)Uxw7b)R!jjYnrc$Z`Upv;p-bC-s%$5hY0@iaG3!ryH*-K9!7bUHn53V_~< z=m{suT@So-`#jY|<=e^|Js+3?1m$MFNU(Vg&-VwSKGVl>$M*H}bq&)4!6zTbO+q+O z@1Yix5nywDJHHiI-Ku|skFTq^yLsZ>Nf)HJ#aA^c+STO2G+{N7AUWFqy}N*~hDPr#Wjb3Y z437txuYs$wGhdw(PH=sHP8W~o6H%$oU*W1=vAS7-s#$?#K;q4S#1(=^uR#E*6#p*c z`r|x~N;vzUkkO`^*rw`%$Md&_AkY$LCH$dTL28?-C}`t_#J{v53>xo@-BL~XQ~n_y z4+x1M$Z;{>$a(JV?ZHtGd+mU- z8t*B@{D6pseaUO-(~ZoWSuuSLLXokZ&8N|F_4SZmV=S^>%zb`)r#a_pamPFVD^Hln z)8OOZV{C}Ss8vTODTH`q#bQGd>$Y@Z)OL8*NUI{9sY+`LNAsZ} zDrzDv+iz}r9PJazS?Aj>Z54k_Wa3AEQw39s^lf84lwUB-ugypRRS~8LAS?txw9wWB}(SinPFg zafLb6w~Chcv+%lD&Rp}f*!qC`ZCvJ zp34|=c_0T^r!A|HYR_ZgcXxTU0$+PE$m){(wn3NP@eSQlX8GlZKEbafwy8kG(Y%E( z_h215E|E!T+FrWrx96FzEm0%U#dyg{gBY&7LY+iI5-KqBVqUFdmqiIyXxOcUP8j)=>VsNKfLRw>d|>?G>vX@K92kD``{_u zrJ}Z4aYM%($rWrX3r>J~Bs9V`b9TE&Z(vtd`4x*5QLJ?z9V*;EdaZ6=)4_Pcx6;;h z)G?JNL)UfXeLAZQE`GGG_zI8l0ZK;CgS8ZARZ-6B_|UhrP=BR*)2!vEEfrFK_m(RJ zbi41Ni#t}Hsu@IuNB_DA+c!LPEdC^4dFPCKm~F^RHC=wSXxL!_4{^fwal1^5U9jzH z;DZYbS8>;^9jFi}xT+cvrHRWJfcH2TSbQeiFm`+33i#h-!5)}e$C#Rxp6`Qs3zPxW z_CnLDXk^~z8obl?A6DUK{W9m0&QYXLh{RqKwWCpOxAXz)uo)v;U>%AEJi#^*k*nTe z59hd-L{!{|uJB|qSd)VxX=W!`MfHrqDprPdx;rCqQax+!c&?&j^ij}>2WQ4?91pVx z^vynqY{@7C(uUqIZ5y5Jd!7EyxRc~I-g;-Rv-NSOn^!YIzpI4b(Uz67nfJz{#Csth z!UjT>(r+&i3_RdI@2b85-G_Hr5g^Jh0In=tB!6jDYA~j#~OUtfmCphw}B+(f83o z(;ZMe?X`o#?`U6$h5Cak>cd7)2mYX73nHk()~J>5fOUQg8sn^+^!R~euk zK=2F;mP*&wB8rImdyrzHja`zV9YWBQkXZFSjOWRvCLhThE9&pf8-H(VpV zla`j6$2O;@A$9`mH_!HZ6F<1`ZzMK7Pg*j*o&BS85H0-?%D*;CzI=0pM>S7DrieNt^8Ax@(jxYOt6U5kh;KYrpZ2&* zT}3szwe`SW=5hD3vt%~f?e~gp=QaDc>V$l*J%aMZ4BEBeQ_FOgR| zFiB|K1ttodeX{6F+yr_~{#B$wRoKF~%@}g>*7n%^F~!{5BnI`zrrseL>W{OCMvR3m zr1*iAUb(GT`NwtFwtT7aWly(^CrPXBO-6-N8Gn!7syFm`G$xsQ5K|@@dl1u~0pbS_ z1&u*H^%J|Z3V*BThHU~RXa1`2KaA8o?YxqHx`VG2sXy?1yHg<5(Bdv}IP(pg^yG=( z@?e>O@!z6Bd#@#I5==HGX5cTK!o#8M`w}`1~$*;i*D7!;YRHT;`ZTN8ea-nc6UI7g3l4 zQTjxznaWcwdXZ95#u_se?Q`un_cY@%BVRosyvJuIPACcA@H0`6?y$;xOMG9~4BC-| zOEKPHIcCaPIH?n75}LXyXgghLT;iuA?|(}F;f<2=?l~Nn$=e=A8-4kH?DG9`fjGaG zMBV|xgxs3i4o_Qm4yq5OJC0f63_=)FQ#Kj`L+tXD*1>L`pK1`3=VnlPPnnLF736DR z#Rmjc4|dGJr!n4YgN4_D0ca2=udtvD6oGMuoE}Tf%&49h8!+As=J^a5rc1jQtb94M zM;J+Jn(xcc0loX$1+u8zqp10VU4h80+u>Uczjv-~+7|5J{^sU%?RdHMWOWnrn{VF! zp8EBY80~ymyOKNR+BqxV8O$7nM8OrKo#mSuYZ61~E!Ii~PR6AzbX4A&WaO;hJmURzi%=vk@Qw&jhWZ=hrQg<^ALZ-s`d7=D9IBL#x6oLS==#nn zNw?O;2UajAl|w0(gevXs2tJVyDaGno&BTBzNqp9Xr#DEmOB_>VQ#}d-Vka82-+nHb zRH!HLGy|pz2aElc*f;#Z-sd@;?(=MpkUW()=Qf@Me5nm@<{$-%)qNG+3Y`wmri(Hy zwaL_~Bm;Nf6MH+!wPe*5vX#Ys9hWu@#5SYOH%5cw_fXTW0PTbCuV>+{{(=%^-=x1o z8_D}a-4)%IXb<`(>pA>G+2crRi%$%-H#rHhka#!Ey_G@QT#A(S&)yQ1)*^-_TYGb4 ziL!Wu-vhL0fSGJ8jaAdF$bP1F1MQ9gYCE$%QMRI}{_qcb(9qiiVO(P_(P>N9>O$=O=W?({4sTyk5l} z<$(w*Q53}n*O_8-s`$s=vj83s*nE(PRP#iJk{_atXF{y6&^?|9pWzaIa3zW-QQj2- z(U%6wDwhJ+m^tT|LbDT=)-O!0Uw-?vGUxBRYMrdL$C{q33!E-XUA+HiQPG^XxRRtY z)qN1$OI2Je;4w)I`O1+!CjHLZC9oQV1p>=|fy$3TW0S7TC{ZttlKyyIkuyP}Vlj{Q z75BvdkGQvh%c@xyN0mkpDd{drc>zIMS~^9fyFnThg!KUe(%mf}-QDrhE!|+ysg(2` z{PwG{|KH9&mw8p<_h0yKfkcmk8dq}SqtT1lhnpdB4>pn(rWs(bf1ZDeDe z&h!m6x;UfUeK2pS0+1{cT3`k)2@rA;46XOuy5~nAIra0AuwO zlJSxff%Xr>+=&YMTkX14+~p~i^q#Fm`M}0F`{+~v*xIy@Pc>Atx@swQ11hvTfKiX* zo(L%LjdK4?f;Pqb;-Li3v*!32T^4OVxIgPTw$NH{ki%)MMw6~SBoIw_FvnG~qnQPT zc{qEuI7{sex(${S+6LMKd;sgrf1&F}%q8CQFs0z$C8q7EW;lotj&0}E> z2D6y3j2J{De6?5LFBuH@1D#HGAb}RApcDg4zt<(t-g!8AUZwq zlc0TGK7MFVKqhh4n}8?1eN;cHfyzs<0ej!i#}ByQTL_~K_rnIL>kuyl3t zP{U)d_F2vv`RLvCQa+aZNv$YDvTLP2htnDLwwD=a+rKU^k14%w2G}W++prSbu*?wz zOb`T8(03xxckCI;#2L!q;O^f5pnnPZ{{{g4eZR^&&yT_;DK%hspe#d}H#vS36^b`T zl^A%#o$UaBWEXrgX$XX!R88I|rbI}OrGtoN`Py?4J7jCqfa{@{hEG$7K1w17r|r1o zu!)B{39pG{{0vPIkb`G{RbVm4hprv^xD&Q*?IiJhDI1jF6v(cR@#m$0O)JChbjpeK znAi69#;}RDk(?WQK#S9!!_TH)*!P5Sd=Kydw`h3AwpxJE8)<>Nis;t3p$)M6nTX7R zq6`N%-O0fO-rVS488M$}dqxuNxMh z7dHp(RO*D3tpdVc|BDd%-&Fn|))g&yELyM!M9JX7^V-7AcFduIq+RCeZTE2yTTAS2 z2>W8{)-uAb*|}!qcQ$S~#hFI)z(na@J6N{%JrY-(ZAHEpXmnO?9jB0ld>z2p!uQ8O ztx*VW9)u**7&s0(8&JM%m9MX-^c+4N98NV`S3E2~3kFf8(~W4Q)79n9WzW8#%F$q> zy4m{H;4lcGKcoNOLg;rd{+s4I)e0nH3h>+kWmU{aGRxQq+~ zwreq=e5etMlWErkqZw@frTJ1ZY<~&qTLf5(0l>ey2i(s?4uJ&?J)2_*ld5*HZ`>uG zO^05j2{eeR4!+!BA_Z%fY)?DGx0Ro4Wj!Q3LOwa|i^lh9nGV!|FbUQ{43J3BfxSTx zF^&gro4py`&$;9z3vd7C8gqZoxiZ44Ej(*_F83O)b4YGioW9{wOU-%MCofn5&OUTP7%HSlv{t>|K_IC za~5Uix%7bdXY;qTQ6|CV))LFc_*1Hw(Pk4MfvmSd&)w#wpN#;2&spfM4kdh|`h>K;N&yR=R9z;|?cWKm0ZHfNR3U;fbX- zlId$V6qAzL_Fs`MRlLaR%F1ytu({KJ@6L#j(avZ$ zP1Qjw!@USC2x*bVY@EEA47aG+aKMtg`l-#-c2ZIONhj4simZuLD^6|bex&0~w+H;e zZsAgWr^kc`nw1YRugeQ|v&zmKQfKTy!{%O5o#hHcu5$ve7?N&LLAeFRk!CWP4Wfrh zng&^157)JsS7Xum3{Iz2w)7a=YXudYEA(d~WQS|W*kj%_Zu34R=8=1Mn%PkqZTPCR zr@S#GN}@!8#=QGd5=7GsS5(uV-U+jwj8NW>FZTn+vCV!M#J9l%gdZmHa(=);t)L$U z=WT!uIrZC}?gkhn5dpyrvl*E9gw+DXLFtrMilsm#&kRdzzOY26kF2QlbEd#HSz z!F7&Iz}6`Dcd|k8CNq^pN);ParZ@T{0w`c20(EUXfDGj>>`=vGG}DjESY)p@?2Gnh+^=a< z?7H$S7(`3XXQE5am(~b=U&OsT82@^0Al=LQ^Fo)CM8}r`*CS;j`rnsA28`dk!veui z<@fbDUN!)O46SbZGdjL(FmJqW00t@aD)mTPvu_%7FBx3s%FCFiPhI> z0*ZyA0U@*20bCAEfF(NG1&l2}stp01S}cC92?YL&LFKHj&y4T&_Tv~8w|j}95Q|c| z%%#?jq8A?Edv&yw!5gF!k<$X2$G58S62MvKD~~ENFk7`K(s?6S*!l{P^^u2tzmweB z3nHu@%I{bKO7-y8TRD4p>y5GjpR@rVulMR5@6~}@FTXJj?viijynB!0OLOGzJeY(X z6d?Y}11~cR5pi2C48qK5ljFveLwYs|*w%xRfacE72O>M5J67#t;b}O3K)sPKIqy%e z2hKF)mpBj@oySZTK3RR(<`&VEy<<1k!?de6=fA7}`0M)(#3ISP8b=QA9X9RqSk z2q2mrAE?T8Q^ae$DV0O8k9l?1S7*ntTF{yBbvUkdJS(As^GI2O;-{QI5%;HkFyF;w zd6|_?1M1Kz1ETD*EN&pz&0qoh?jvBodQ$hO8I_BETCrt$u6?{v|$yQ zf<0QAxlK7(AZjP!AkSFs(O~-O>mD3vRk=~tU~-qQV-MUqJ-e0q?7vMZRVr)Qd;VJb zbWoA0CQ440ZQX0cSL*|KBPm)k32qg5O8t~EIK-K3sBd`^z=m7sx9ha6w7>2l%lyVX z2BBGgU1%KRsmPifU#;Xv%*wNS!$Ks2?B>{sxNcV~-h5#5c4nd89Qie5 zBtODwJvQ~PcCyAh5sD9ap z>Bvexv({ixA5lM)>FDEN{Cca-sd6i1e%@q$+H6g*R5`>=lXLZQPROiHv)Fj z>6Z}+uqPlK2c!~jIB?7N4I&%Hq#DOC(~e#!Ug;i3|M~g zIt;pfu>3tFR+Mzxvj;X>0YFs(Xo}?+&I1P8Z%q*8^+DxiI!R13PgVyZm(-P