From 1f60dbe36111104ce7fb69bd1b0308eecaac60f1 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 1 Apr 2023 04:46:47 -0400 Subject: [PATCH] Works well but fast RAM disabled --- cpld/CS.v | 6 +- cpld/IOBM.v | 36 +- cpld/IOBS.v | 33 +- cpld/WarpSE.v | 6 +- cpld/XC95144XL/WarpSE.bld | 3 +- cpld/XC95144XL/WarpSE.cmd_log | 197 + cpld/XC95144XL/WarpSE.gise | 15 +- cpld/XC95144XL/WarpSE.gyd | 75 +- cpld/XC95144XL/WarpSE.jed | 2340 ++--- cpld/XC95144XL/WarpSE.mfd | 2223 ++--- cpld/XC95144XL/WarpSE.nga | 2 +- cpld/XC95144XL/WarpSE.ngc | 2 +- cpld/XC95144XL/WarpSE.ngd | 2 +- cpld/XC95144XL/WarpSE.ngr | 2 +- cpld/XC95144XL/WarpSE.pad | 2 +- cpld/XC95144XL/WarpSE.pnx | 2 +- cpld/XC95144XL/WarpSE.rpt | 1571 ++-- cpld/XC95144XL/WarpSE.syr | 77 +- cpld/XC95144XL/WarpSE.vm6 | 8351 +++++++---------- cpld/XC95144XL/WarpSE.xml | 2 +- cpld/XC95144XL/WarpSE_html/fit/ascii.htm | 1571 ++-- cpld/XC95144XL/WarpSE_html/fit/defeqns.htm | 616 +- cpld/XC95144XL/WarpSE_html/fit/eqns.htm | 1572 ++-- cpld/XC95144XL/WarpSE_html/fit/fbs.htm | 40 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB1.htm | 69 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB2.htm | 55 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB3.htm | 97 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB4.htm | 65 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB5.htm | 87 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB6.htm | 50 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB7.htm | 72 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB8.htm | 113 +- .../XC95144XL/WarpSE_html/fit/maplogic_00.htm | 799 +- .../XC95144XL/WarpSE_html/fit/maplogic_01.htm | 551 +- .../XC95144XL/WarpSE_html/fit/maplogic_02.htm | 1018 +- cpld/XC95144XL/WarpSE_html/fit/summary.htm | 14 +- cpld/XC95144XL/WarpSE_ngdbuild.xrpt | 38 +- cpld/XC95144XL/WarpSE_pad.csv | 2 +- cpld/XC95144XL/WarpSE_summary.html | 12 +- cpld/XC95144XL/WarpSE_xst.xrpt | 32 +- cpld/XC95144XL/_ngo/netlist.lst | 2 +- cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs | 3 + cpld/XC95144XL/_xmsgs/pn_parser.xmsgs | 2 +- cpld/XC95144XL/_xmsgs/xst.xmsgs | 14 +- cpld/XC95144XL/iseconfig/WarpSE.projectmgr | 4 +- cpld/XC95144XL/iseconfig/WarpSE.xreport | 2 +- cpld/XC95144XL/webtalk_pn.xml | 2 +- cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 5159 -> 5176 bytes cpld/XC95144XL/xst/work/hdllib.ref | 14 +- cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 21547 -> 21547 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 11642 -> 11981 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 5100 -> 5100 bytes cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin | Bin 18796 -> 18770 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 7435 -> 7435 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 17677 -> 15803 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 12721 -> 13472 bytes 56 files changed, 9474 insertions(+), 12389 deletions(-) diff --git a/cpld/CS.v b/cpld/CS.v index a10d1b2..0010e22 100644 --- a/cpld/CS.v +++ b/cpld/CS.v @@ -18,7 +18,7 @@ module CS( end /* Select signals - FSB domain */ - assign RAMCS = (A[23:22]==2'b00) && !Overlay; // 000000-3FFFFF when overlay disabled + assign RAMCS = 0;//(A[23:22]==2'b00) && !Overlay; // 000000-3FFFFF when overlay disabled wire VidRAMCSWR64k = RAMCS && (A[21:20]==2'h3) && (A[19:16]==4'hF) && ~nWE; // 3F0000-3FFFFF / 7F0000-7FFFFF wire VidRAMCSWR = VidRAMCSWR64k && ( (A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video @@ -53,7 +53,7 @@ module CS( (A[23:20]==4'hD) || // IWM (A[23:20]==4'hE) || // VIA (A[23:20]==4'hF) || // IACK - VidRAMCSWR; - assign IOPWCS = RAMCS && !nWE; + VidRAMCSWR || (A[23:22]==2'b00); + assign IOPWCS = (A[23:22]==2'b00)&& !nWE; endmodule diff --git a/cpld/IOBM.v b/cpld/IOBM.v index 3772739..dda121d 100644 --- a/cpld/IOBM.v +++ b/cpld/IOBM.v @@ -6,7 +6,7 @@ module IOBM( /* PDS address and data latch control */ input AoutOE, output nDoutOE, output reg ALE0, output reg nDinLE, /* IO bus slave port interface */ - output reg IOACT, output reg IOBERR, + output reg IOACT, input IOREQ, input IOLDS, input IOUDS, input IOWE); /* I/O bus slave port input synchronization */ @@ -14,24 +14,17 @@ module IOBM( always @(negedge C16M) begin IOREQr <= IOREQ; end /* DTACK, BERR, RESET synchronization */ - reg DTACKrr, DTACKrf, VPArr, VPArf, BERRrr, BERRrf, RESrr, RESrf; + reg DTACKrf, BERRrf, RESrf; always @(negedge C8M) begin - DTACKrf <= ~nDTACK; - VPArf <= ~nVPA; - BERRrf <= ~nBERR; - RESrf <= ~nRES; + DTACKrf <= !nDTACK; + BERRrf <= !nBERR; + RESrf <= !nRES; end - always @(posedge C8M) begin - DTACKrr <= ~nDTACK; - VPArr <= ~nVPA; - BERRrr <= ~nBERR; - RESrr <= ~nRES; - end - wire DTACK = DTACKrf && DTACKrr; - wire BERR = BERRrf && BERRrr; - wire VPA = VPArf && VPArr; - wire RES = RESrf && RESrr; - + + /* VPA synchronization */ + reg VPAr; + always @(negedge C16M) VPAr <= !nVPA; + /* E clock synchronization */ reg Er; always @(negedge C8M) begin Er <= E; end reg Er2; always @(posedge C16M) begin Er2 <= Er; end @@ -48,7 +41,7 @@ module IOBM( reg ETACK = 0; always @(posedge C16M) begin ETACK <= ES==16 && ~nVMA; end always @(posedge C16M) begin - if (ES==7 && IOACT && VPA) nVMA <= 0; + if (ES==7 && IOACT && VPAr) nVMA <= 0; else if (ES==0) nVMA <= 1; end @@ -64,7 +57,6 @@ module IOBM( IOS <= 2; IOACT <= 1; ALE0 <= 1; - IOBERR <= 0; end else if (IOS==2) begin IOS <= 3; IOACT <= 1; @@ -75,13 +67,13 @@ module IOBM( ALE0 <= 1; end else if (IOS==4) begin IOS <= 5; - IOACT <= 1; ALE0 <= 1; + if (DTACKrf) IOACT <= 0; + else IOACT <= 1; end else if (IOS==5) begin - if (C8M && (DTACK || ETACK || BERR || RES)) begin + if (C8M && (DTACKrf || ETACK || BERRrf || RESrf)) begin IOS <= 6; IOACT <= 0; - IOBERR <= ~nBERR; end else begin IOS <= 5; IOACT <= 1; diff --git a/cpld/IOBS.v b/cpld/IOBS.v index b062e27..db66a6f 100644 --- a/cpld/IOBS.v +++ b/cpld/IOBS.v @@ -6,19 +6,21 @@ module IOBS( /* Select signals */ input IOCS, input IOPWCS, input ROMCS, /* FSB cycle termination outputs */ - output IOBS_Ready, output reg nBERR_FSB, + output IOBS_Ready, output nBERR_FSB, /* Read data OE control */ output nDinOE, /* IOB Master Controller Interface */ - output reg IOREQ, input IOACT, input IOBERR, + output reg IOREQ, input IOACT, input nIOBERR, input nIODTACK, /* FIFO primary level control */ output reg ALE0, output reg IORW0, output reg IOL0, output reg IOU0, /* FIFO secondary level control */ output reg ALE1); /* IOACT input synchronization */ - reg IOACTr = 0; - always @(posedge CLK) begin IOACTr <= IOACT; end + reg IOACTr = 0; always @(posedge CLK) begin IOACTr <= IOACT; end + + /* /IODTACK input synchronization */ + reg IODTACKr = 0; always @(posedge CLK) begin IODTACKr <= !nIODTACK; end /* Read data OE control */ assign nDinOE = !(!nAS && IOCS && nWE && !ROMCS); @@ -26,7 +28,7 @@ module IOBS( /* I/O transfer state * TS0 - I/O bridge idle: * asserts IOREQ - * transitions to TS3 when BACT && IOCS && !ALE1 && !Sent true + * transitions to TS3 when BACT && IOCS && !ALE1 && !Sent * TS3 - starting I/O transfer: latches LDS and UDS from FSB or FIFO secondary level transitions immediately to TS2 @@ -61,7 +63,7 @@ module IOBS( always @(posedge CLK) begin if (Load1) begin // Latch address, LDS, UDS when Load1 true - ALE0 <= 1; + ALE1 <= 1; IOL1 <= ~nLDS; IOU1 <= ~nUDS; end else if (Clear1) ALE1 <= 0; @@ -120,24 +122,15 @@ module IOBS( end /* Sent, ready, BERR control */ + reg DTACKEN = 0; always @(posedge CLK) begin if (~BACT) Sent <= 0; else if (BACT && IOCS && !ALE1 && !Sent && (TS==0 || IOPWCS)) Sent <= 1; end always @(posedge CLK) begin - if (~BACT) begin - // Deassert IOReady and /BERR when bus inactive - IOReady <= 0; - nBERR_FSB <= 1; - end else if (BACT && IOCS && !IOPWCS && !ALE1 && Sent && - (TS==0 || (TS==1 && !IOACTr))) begin - // If transaction submitted, FIFO second level empty, - // and in or entering TS0, all transactions including - // current are complete. So terminate cycle. - IOReady <= !IOBERR; - nBERR_FSB <= !IOBERR; - end + if (~BACT) DTACKEN <= 0; + else if (IOCS && !IOPWCS && !ALE1 && Sent && IOACTr) DTACKEN <= 1; end - assign IOBS_Ready = !IOCS || ((IOReady) || (IOPWCS && !ALE1)); - + assign IOBS_Ready = !IOCS || (IOPWCS && !ALE1) || (DTACKEN && (!IOACT || IODTACKr)); + assign nBERR_FSB = !(DTACKEN && !nIOBERR); endmodule diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index d8ae297..cec3c17 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -81,7 +81,7 @@ module WarpSE( nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE); wire IOBS_Ready; - wire IOREQ, IOACT, IOBERR; + wire IOREQ, IOACT; wire ALE0S, ALE0M, ALE1; assign nADoutLE0 = ~(ALE0S || ALE0M); assign nADoutLE1 = ~ALE1; @@ -98,7 +98,7 @@ module WarpSE( /* Read data OE control */ nDinOE, /* IOB Master Controller Interface */ - IOREQ, IOACT, IOBERR, + IOREQ, IOACT, nBERR_IOB, nDTACK_IOB, /* FIFO primary level control */ ALE0S, IORW0, IOL0, IOU0, /* FIFO secondary level control */ @@ -119,7 +119,7 @@ module WarpSE( /* PDS address and data latch control */ AoutOE, nDoutOE, ALE0M, nDinLE, /* IO bus slave port interface */ - IOACT, IOBERR, + IOACT, IOREQ, IOL0, IOU0, !IORW0); CNT cnt( diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 89d0346..3714fb8 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -18,6 +18,7 @@ Checking Constraint Associations... Done... Checking expanded design ... +WARNING:NgdBuild:452 - logical net 'RAM_Ready' has no driver Partition Implementation Status ------------------------------- @@ -28,7 +29,7 @@ Partition Implementation Status NGDBUILD Design Results Summary: Number of errors: 0 - Number of warnings: 0 + Number of warnings: 1 Total memory usage is 154596 kilobytes diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 45aec08..09113ce 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -70,3 +70,200 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 96bf393..71f20cb 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -59,15 +59,15 @@ - + - + - + @@ -83,12 +83,13 @@ - + - + + @@ -96,7 +97,7 @@ - + @@ -114,7 +115,7 @@ - + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index f52711c..7128499 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -36,6 +36,7 @@ nLDS_FSB S:PIN30 nUDS_FSB S:PIN33 nVPA_IOB S:PIN77 nWE_FSB S:PIN29 +RA<8> S:PIN54 RA<11> S:PIN63 RA<10> S:PIN55 C25MEN S:PIN58 @@ -49,7 +50,6 @@ RA<4> S:PIN40 RA<5> S:PIN42 RA<6> S:PIN46 RA<7> S:PIN52 -RA<8> S:PIN54 RA<9> S:PIN56 nADoutLE0 S:PIN85 nADoutLE1 S:PIN82 @@ -77,41 +77,40 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RegUrgSync ram/RefUrg ram/RefReqSync iobs/IOACTr - iobm/Er2 iobm/Er iobm/DTACKrr iobm/DTACKrf - iobm/BERRrr iobm/BERRrf fsb/ASrf cnt/nIPL2r - cnt/Er<0> iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd1 - ALE0M IOU0 -PARTITION FB2_14 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf - iobm/IOREQr -PARTITION FB3_1 IORW0 EXP10_ EXP11_ EXP12_ - EXP13_ fsb/VPA EXP14_ EXP15_ - nDTACK_FSB_OBUF EXP16_ iobs/IORW1 EXP17_ - fsb/Ready1r cs/nOverlay EXP18_ IOREQ - nROMWE_OBUF iobs/Clear1 -PARTITION FB4_1 cnt/LTimer<0> nAoutOE_OBUF cnt/INITS_FSM_FFd1 cnt/Er<1> - nDoutOE_OBUF nDinOE_OBUF cnt/TimerTC N0 - cnt/Timer<0> cnt/LTimer<3> nVPA_FSB_OBUF cnt/LTimer<2> - cnt/LTimer<1> cnt/INITS_FSM_FFd2 RefReq cnt/Timer<1> - cnt/Timer<2> RefUrg -PARTITION FB5_1 EXP19_ nROMCS_OBUF ram/RAMEN ram/RAMReady - nCAS_OBUF nOE_OBUF ram/RS_FSM_FFd2 fsb/Ready0r - RA_4_OBUF ram/RefDone RA_3_OBUF RA_5_OBUF - ram/BACTr RA_2_OBUF RA_6_OBUF ram/RefRAS - ram/RefReq ram/RASEL -PARTITION FB6_1 iobm/ETACK nVMA_IOBout iobm/IOS_FSM_FFd3 iobm/ES<3> - iobm/ES<1> iobm/ES<0> iobm/DoutOE iobm/ES<4> - nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout - iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF IOACT - nDinLE_OBUF IOBERR -PARTITION FB7_1 cnt/LTimerTC RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8> - RA_7_OBUF RA_0_OBUF cnt/LTimer<7> RA_8_OBUF - A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF C25MEN_OBUF - cnt/LTimer<5> C25MEN_OBUF$BUF0 cnt/LTimer<4> cnt/LTimer<12> - cnt/LTimer<11> cnt/LTimer<10> -PARTITION FB8_1 EXP20_ A_FSB_19_IBUF$BUF0 iobs/Load1 iobs/IOReady - nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd2 nRAMUWE_OBUF - ram/RS_FSM_FFd3 IOL0 ram/RS_FSM_FFd1 nBERR_FSB_OBUF - iobs/PS_FSM_FFd1 ALE0S nBR_IOB_OBUF nRESout - iobs/Once EXP21_ +PARTITION FB1_2 ram/RegUrgSync ram/RefReqSync iobs/IODTACKr iobm/VPAr + iobm/RESrf iobm/IOREQr iobm/Er2 iobm/Er + iobm/DTACKrf iobm/BERRrf cnt/nIPL2r cnt/Er<0> + ALE0S iobm/IOS_FSM_FFd1 cnt/TimerTC RefReq + RefUrg + +PARTITION FB3_1 fsb/VPA +PARTITION FB3_7 EXP10_ EXP11_ nDTACK_FSB_OBUF ram/BACTr + iobs/IOACTr fsb/ASrf cs/ODCSr $OpTx$$OpTx$FX_DC$48_INV$124 + iobs/DTACKEN fsb/Ready1r nROMWE_OBUF EXP12_ + +PARTITION FB4_1 cnt/INITS_FSM_FFd1 nAoutOE_OBUF cnt/LTimer<9> cnt/LTimer<8> + nDoutOE_OBUF nDinOE_OBUF cnt/LTimer<7> N0 + cnt/LTimer<6> cnt/LTimer<5> nVPA_FSB_OBUF cnt/LTimer<4> + cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<1> cnt/LTimer<11> + cnt/LTimer<10> cnt/INITS_FSM_FFd2 +PARTITION FB5_1 iobs/Clear1 nROMCS_OBUF iobs/TS_FSM_FFd1 IOU0 + nCAS_OBUF nOE_OBUF IOL0 iobs/Load1 + RA_4_OBUF iobs/IORW1 RA_3_OBUF RA_5_OBUF + iobs/TS_FSM_FFd2 RA_2_OBUF RA_6_OBUF IOREQ + iobs/Sent IORW0 +PARTITION FB6_1 iobm/ETACK nVMA_IOBout ALE0M iobm/IOS_FSM_FFd3 + iobm/ES<3> iobm/ES<1> iobm/ES<0> iobm/DoutOE + nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout + iobm/ES<4> nADoutLE1_OBUF nADoutLE0_OBUF iobm/ES<2> + nDinLE_OBUF IOACT +PARTITION FB7_2 RA_1_OBUF +PARTITION FB7_5 RA_7_OBUF RA_0_OBUF cnt/LTimer<0> A_FSB_18_IBUF$BUF0 + A_FSB_21_IBUF$BUF0 cnt/Er<1> RA_9_OBUF C25MEN_OBUF + cnt/Timer<0> C25MEN_OBUF$BUF0 cnt/LTimerTC cnt/LTimer<12> + cnt/Timer<1> cnt/Timer<2> +PARTITION FB8_1 ram/RefUrg A_FSB_19_IBUF$BUF0 ram/RefReq ram/RS_FSM_FFd1 + nRAS_OBUF nRAMLWE_OBUF nRESout nRAMUWE_OBUF + ram/RefDone iobs/IOU1 iobs/IOL1 nBERR_FSB_OBUF + cs/nOverlay ram/RS_FSM_FFd3 nBR_IOB_OBUF ram/RS_FSM_FFd2 + ram/RASEL ram/RAMEN diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 5c9563f..7c9f2a0 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Mon Mar 27 09:56:26 2023 +Date Extracted: Sat Apr 01 04:45:42 2023 QF93312* QP100* @@ -90,546 +90,546 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 10000000 00000000 00000000 00000000 10000000 00000000* -L0000064 00000000 00000000 10000000 00000000 00000000 00000000 00000000 01000000* -L0000128 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 10000000 00000000 00000000 00000000 00000000 01000000* -L0000256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0000128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0000256 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* L0000320 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0000384 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0000384 00000000 00000000 00000000 00000000 10000000 00001000 00000000 00000000* L0000448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0000576 000000 000000 000000 000000 000000 000000 000000 000000* L0000624 000000 000000 000000 000000 000000 000000 000000 000000* -L0000672 000000 000000 000000 000000 000000 000000 000000 000000* -L0000720 000000 000000 000001 000000 000000 000000 000000 000000* -L0000768 000000 000000 000000 000000 000000 000000 000000 000000* -L0000816 000000 000000 000000 000000 000000 000000 000000 000000* -L0000864 00000000 00000000 00000000 00011000 00000000 00000000 01111100 00000000* -L0000928 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* -L0000992 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000100* -L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0001184 10000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0001440 000000 000000 000000 000000 000000 000000 000000 000001* -L0001488 000000 000000 000000 000100 000000 000000 100011 000001* -L0001536 000000 000000 000000 000000 000000 000001 000000 000001* -L0001584 000000 000000 000000 000000 000000 000001 000000 010001* -L0001632 000000 000000 000000 000000 000000 000000 000000 010001* -L0001680 000000 000000 000000 000000 000000 000000 000000 010001* -L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000672 000000 000000 000000 000001 000000 000000 000000 000000* +L0000720 000000 000000 000000 000000 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000 000000 000000 000000 000001* +L0000816 000000 000000 000000 000000 000011 000000 000000 000001* +L0000864 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000928 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0001056 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0001248 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00001000* +L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001440 000000 000000 000000 000000 000000 000000 000000 000000* +L0001488 000000 000000 000000 000001 000000 000000 000000 000000* +L0001536 000000 000000 000000 000000 000000 000000 000000 000000* +L0001584 000000 000000 000000 000000 000000 000000 000000 000010* +L0001632 000000 000000 000000 000000 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000 000000 000000 000000 000000* +L0001728 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 10010000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 11000000 00000000 10000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002304 000000 000000 000000 000000 000000 000000 000000 000000* L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 001100 000000 000000* -L0002448 000000 000000 000000 000000 000000 100000 000000 000000* +L0002400 000000 000000 000000 000000 000000 000000 000000 000000* +L0002448 000000 000000 000000 000000 000000 000000 000000 000000* L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 001000 000000 000000 000000 000000 000000* -L0002592 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0002544 000000 000000 000000 000000 000000 000000 000000 000000* +L0002592 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002784 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* -L0003168 000000 000000 101000 000000 000000 000000 000000 000000* -L0003216 000000 000000 100000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 001000 000000 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000 000000 000000 000000 001000* -L0003408 000000 000000 000010 000000 000000 000000 000000 001000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0003216 000000 000000 000001 000000 001000 000000 000000 000000* +L0003264 000000 000000 000001 000000 000000 000000 000000 000000* +L0003312 000000 000000 000001 000000 000000 000000 000000 000000* +L0003360 000000 000000 000001 000000 000000 000000 000000 000000* +L0003408 000000 000000 000001 000000 000000 000000 000000 000000* L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0003584 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000 01100000 00000000 00000000 00000000* L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003904 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000000 000000 000000 000000 000010* -L0004128 000000 000000 000000 000000 000000 000000 000000 000010* -L0004176 000000 000000 000000 000000 000000 001100 000000 000000* +L0004080 000000 000000 000000 000000 000000 000010 000000 000000* +L0004128 000000 000000 000000 000000 000000 000000 000000 000000* +L0004176 000000 000000 000000 000000 000000 000000 000000 000000* L0004224 000000 000000 000000 000000 000000 000000 000000 000000* L0004272 000000 000000 000000 000000 000000 000000 000000 000000* -L0004320 00000000 00000000 01000000 00000000 00010000 00010000 10000100 00000000* -L0004384 00000000 00000000 00000000 00000000 00010000 00110000 00000000 00000000* -L0004448 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* -L0004512 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* -L0004576 00000000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* -L0004640 00000000 00000000 00000000 00000000 00000100 00000000 00000100 00000000* -L0004704 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0004768 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0004832 00001000 00000000 00100000 00000000 00000000 00010100 00000000 00000000* -L0004896 000000 000000 001000 000000 000000 000001 000000 000000* -L0004944 000000 000000 000000 000000 000000 000001 000001 000000* -L0004992 000000 000000 000000 010000 000000 100011 000000 000000* -L0005040 000000 000000 000000 000000 000000 000001 000000 000010* -L0005088 000010 000000 000000 000000 000000 001001 000000 000000* -L0005136 000000 000000 000000 000000 000000 000001 000000 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005376 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0005568 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0005632 00000010 00000000 01000000 00000000 00000000 10000000 00000000 01000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00100000 00000000 01000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 010000* +L0004320 00000000 00000000 00100000 00000100 00001100 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* +L0004448 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0004512 00000000 00000000 00010000 00000000 00010100 00000000 00000000 00000000* +L0004576 00000000 00000000 10010000 00000000 00000100 00000000 00000000 00000000* +L0004640 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0004704 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004832 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0004896 000000 000000 000000 000000 000000 000000 000000 000000* +L0004944 000000 000000 000001 000000 000000 000000 001000 101000* +L0004992 000000 000000 000001 000000 100001 000000 000000 001000* +L0005040 000000 000000 000001 000000 000000 000000 000000 000000* +L0005088 000000 000000 000001 000000 000000 000000 000000 000000* +L0005136 000000 000000 000001 000000 000000 000000 000000 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0005312 00000010 00000000 10010000 00000000 00000000 00000000 00000000 10000100* +L0005376 00000011 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0005504 00000001 00000000 00000000 00000000 00000000 00000000 00000000 01001000* +L0005568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0005632 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005760 000000 000000 001000 000000 000000 000000 000000 000001* L0005808 000000 000000 000000 000000 000000 000000 000000 000000* -L0005856 000000 000000 001000 000000 000000 010000 000000 000100* -L0005904 000000 000000 000000 000000 000000 010000 000000 000100* -L0005952 000000 000000 000000 000000 000000 000000 000000 000100* -L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00000010 00000000 00000000 00000000 00000000 01000000 00000000 00100000* -L0006112 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0005856 000010 000000 001000 000000 000000 000000 000000 001000* +L0005904 000000 000000 001000 000000 000000 000000 000000 000001* +L0005952 000000 000000 001000 000000 000000 000000 000000 000001* +L0006000 000000 000000 001000 000000 000000 000000 000000 000001* +L0006048 00000010 00000000 00100000 00000100 00000000 00000000 00000000 00000000* +L0006112 00000011 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006240 00000001 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006432 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0006496 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0006240 00000001 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0006304 00000010 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0006368 00000011 00000000 00000000 00000100 00000000 00010000 00000000 00000000* +L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0006496 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* +L0006560 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* L0006624 000000 000000 000000 000000 000000 000000 000000 000000* -L0006672 000000 000000 000000 000000 000100 000000 000000 000000* -L0006720 000000 000000 000000 000000 000000 000000 000000 000000* -L0006768 000000 000000 000000 000000 000000 000000 000000 000000* -L0006816 000000 000000 000000 000000 000000 000000 100011 000000* -L0006864 000000 000000 000000 000000 000000 000000 000000 000000* -L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0007040 00000000 00000000 00000000 00000000 00000000 01000000 00000000 01000000* +L0006672 000010 000000 000000 100000 000100 000000 000000 000000* +L0006720 000000 000000 000000 000000 000000 000000 000000 000001* +L0006768 000000 000000 000000 000000 000000 000000 000000 000010* +L0006816 000000 000000 000001 000000 000000 000000 000000 000000* +L0006864 000000 000000 000001 000000 000000 000000 000000 000000* +L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* -L0007360 00000000 00000000 00001000 00000000 00000000 00100000 00000000 10010000* -L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0007488 000000 000000 000000 000000 000000 000000 000000 100000* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 000000 000000 000000 000000 000000 000000 000000 000000* L0007536 000000 000000 000000 000000 000000 000000 000000 000000* -L0007584 000000 000000 000000 000000 000000 000000 000000 000001* -L0007632 000000 000000 000000 000000 000000 010000 000000 000001* -L0007680 000000 000000 000000 000000 000000 000000 000000 000001* -L0007728 000000 000000 000000 000000 000000 000000 000000 100001* -L0007776 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000100* -L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0007904 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0008096 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0007584 000000 000000 000000 000000 000000 000000 000000 000000* +L0007632 000000 000000 000000 000000 000000 000000 000000 000000* +L0007680 000000 000000 000000 010000 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000 000000 000000 000000 000000* +L0007776 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008032 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008160 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0008224 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0008288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0008352 000000 000000 000000 000000 000000 000000 000000 000001* -L0008400 000000 000000 000000 000000 000000 010000 000000 000000* -L0008448 000000 000000 000000 000000 000000 000000 000000 011000* -L0008496 000000 000000 000000 000000 000000 000000 000000 010000* -L0008544 000000 000000 000000 000000 000000 000000 000000 010000* -L0008592 000000 000000 000000 000000 000000 000000 000000 000000* -L0008640 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0008224 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0008288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008352 000000 000000 000000 000000 000000 000000 000000 000000* +L0008400 000000 000000 000001 000000 000000 000000 000000 000000* +L0008448 000000 000000 000001 000000 000000 000000 000000 000000* +L0008496 000000 000000 000001 000000 000000 000000 000000 000000* +L0008544 000000 000000 000001 000000 000000 000000 000000 000000* +L0008592 000000 000000 000001 000000 000000 000000 000000 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008768 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 000000 000000 000000 010000 000000 000000* +L0009264 000000 000000 000000 000000 000000 000000 000000 000000* L0009312 000000 000000 000000 000000 000000 000000 000000 000000* L0009360 000000 000000 000000 000000 000000 000000 000000 000000* L0009408 000000 000000 000000 000000 000000 000000 000000 000000* L0009456 000000 000000 000000 000000 000000 000000 000000 000000* L0009504 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00100000 00000000 00000000 01000000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0009824 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0009888 00000000 00000000 01000000 00000000 00000000 00100000 00000000 00100000* -L0009952 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* -L0010016 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* -L0010080 000000 000000 010000 000000 000000 000000 000000 000000* -L0010128 000000 000000 010000 000000 000000 000000 000000 000001* -L0010176 000000 000000 010000 000000 000000 010000 000000 000001* -L0010224 000000 000000 010000 000000 000000 000000 000000 000001* -L0010272 000000 000000 010000 000000 000000 000000 000000 000001* -L0010320 000000 000000 010000 000000 000000 000000 000000 010001* -L0010368 00000011 00000000 00000010 00000001 00000001 00000011 00000011 00000001* -L0010432 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000001* -L0010496 00000011 00000000 00000000 00000011 00000011 00000011 00000010 00010011* -L0010560 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* -L0010624 00000011 00000001 00000001 00000011 00000001 00000011 00000010 00010011* -L0010688 00000011 00000001 10000010 00000011 00000011 00000011 00000011 00000011* -L0010752 00000011 00000001 10000001 00000011 00000011 00000011 00000011 00010010* -L0010816 00000011 00000001 10000001 00000001 00000011 00000011 00000011 00010011* -L0010880 00000011 00000001 10000011 00000011 00000011 00000011 00000011 00000010* -L0010944 000000 000000 100100 000000 000000 000000 000000 000000* -L0010992 000000 000000 100000 000000 000000 000000 000000 001000* -L0011040 000000 000000 100001 000000 000000 000000 000000 001000* -L0011088 000000 000000 100000 000000 000000 000000 000000 001000* -L0011136 000000 000000 000001 000000 000000 000000 000000 000000* -L0011184 000000 000000 000001 000000 000000 000000 000000 000000* -L0011232 00000000 00000000 10000101 00000000 00000010 00000000 00000000 00000010* -L0011296 00000000 00000000 00000110 00000000 00000000 00000000 00000000 00000010* -L0011360 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0011424 00000000 00000000 00000010 00000000 00000000 00010000 11111100 00000000* -L0011488 00000000 00000000 00000010 00000000 00000010 00000100 00000000 00000000* -L0011552 00000000 00000000 00000001 01000000 00000000 00000000 00000000 00010000* -L0011616 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* +L0009568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010080 000000 000000 000000 000000 000000 000000 000000 000000* +L0010128 000000 000000 000001 000000 000000 000000 000000 000000* +L0010176 000000 000000 000001 000000 000000 000000 000000 000000* +L0010224 000000 000000 000001 000000 000000 000000 000000 000000* +L0010272 000000 000000 000001 000000 000000 000000 000000 000000* +L0010320 000000 000000 000001 000000 000000 000000 000000 000000* +L0010368 00000001 00000000 00000010 00000011 00000001 00000011 00000001 00000011* +L0010432 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0010496 00000011 00000000 00000001 00000011 00000011 00000011 00000000 00000011* +L0010560 00000011 00000000 00000001 00000011 00000011 00000011 00000001 00000011* +L0010624 00000011 00000000 00000001 00000011 00000011 00000011 00000010 00000011* +L0010688 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0010752 00000011 00000000 00000001 00000011 00000011 00000011 00000001 00000011* +L0010816 00000011 00000000 00000001 00000001 00000011 00100011 00000011 00000011* +L0010880 00000011 00000000 00000010 00000011 00000011 00000011 00000011 00000011* +L0010944 000000 000000 000000 000000 000000 000000 000000 000000* +L0010992 000000 000000 000000 000000 000000 000000 000010 000000* +L0011040 000000 000000 000000 000000 000000 100000 000000 000000* +L0011088 000000 000000 000000 000000 000000 000000 000000 000000* +L0011136 000000 000000 000000 000000 000000 000000 000000 000000* +L0011184 000000 000000 000000 000000 000000 000000 000000 000000* +L0011232 00000000 00000000 00100001 01000100 00000010 00000000 00000100 00000000* +L0011296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0011360 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0011424 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0011488 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0011552 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0011616 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* L0011680 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0011744 00000000 00000000 00000000 00000000 00000000 00000100 00000100 00000001* +L0011744 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000001 000000 000000 000000 000000 000000* -L0011904 000000 000000 000000 000000 001000 000000 000000 000000* -L0011952 000000 000000 000000 000000 000000 000000 000000 000000* -L0012000 000001 000000 000000 000000 000000 000000 100011 001000* -L0012048 000000 000000 000000 000000 000000 000001 000000 001000* -L0012096 00100000 00000000 00000010 00000000 00000001 00000001 00000000 00000001* -L0012160 00000000 00000000 00000001 00000010 00000011 00000011 00000011 00000001* -L0012224 00000000 00000000 00000000 00000000 00000011 00000011 00000000 00000011* -L0012288 00000000 00000000 00000001 00000000 00000010 00000011 00000000 00000001* -L0012352 00000000 00000000 00000001 00000001 00000001 00000011 00000010 00000010* -L0012416 00100000 00000000 00000010 00000010 00000001 00000010 00000010 00000001* -L0012480 00000001 00000000 01000001 00000001 00000010 00000011 00000000 00000010* -L0012544 00000001 00000000 01000000 00000011 00000010 00000010 00000010 10000001* -L0012608 00000001 00000000 00000010 00000001 00000011 00000011 00000000 00000010* +L0011856 000100 000000 000001 100000 000000 000000 000000 000000* +L0011904 000000 000000 000001 000000 001000 000000 000000 000000* +L0011952 000000 000000 000001 000000 000000 000001 000000 000000* +L0012000 000000 000000 000001 000000 000000 000000 000000 000000* +L0012048 000000 000000 000001 000000 000000 000000 000000 000000* +L0012096 01010000 00000000 00000010 00000000 00000001 00000001 00000000 00000000* +L0012160 00000000 00000000 00000000 00000010 00000011 00000011 00000011 00000000* +L0012224 00000000 00000000 00000000 00000000 00000011 00101011 00000000 00000000* +L0012288 00000000 00000000 00000001 00000000 00000011 00000011 00000000 00000001* +L0012352 00000000 00000000 00000001 00000000 00000001 00000011 00000010 00000001* +L0012416 00000001 00000000 00000001 00000010 00000001 00000010 00000010 00000001* +L0012480 00000000 00000000 00000001 00000000 00000011 00000011 00000000 00000001* +L0012544 00000000 00000000 00000000 00000010 00000011 01000010 00000001 00000001* +L0012608 00000001 00000000 00000010 00000001 00000011 00000011 00000001 00000011* L0012672 000000 000000 000000 000000 000000 000000 000000 000000* L0012720 000000 000000 000000 000000 000000 000000 000000 000000* -L0012768 000000 000000 001000 000000 000000 000000 000000 000000* +L0012768 000000 000000 000000 000000 000000 000000 000000 000000* L0012816 000000 000000 000000 000000 000000 000000 000000 000000* L0012864 000000 000000 000000 000000 000000 000000 000000 000000* L0012912 000000 000000 000000 000000 000000 000000 000000 000000* -L0012960 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* -L0013024 00000000 00000000 00000010 00000000 00000000 00000011 00000000 00000010* -L0013088 00000000 00000000 00000011 00000000 00000000 00000001 00000000 00000100* -L0013152 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000110* -L0013216 00000000 00000000 00000010 00000000 00000010 00000100 00000000 00000100* -L0013280 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000010* -L0013344 00000000 00000000 00000010 00000000 00000000 00000000 01000000 00100001* -L0013408 00000000 00000000 00000011 00000010 00000001 00000001 00000000 00100010* -L0013472 00000000 00000000 00000001 00000000 00000000 00000110 00000000 00100001* +L0012960 00000000 00000000 00100001 00000000 00000010 00101000 00000000 00000010* +L0013024 00000000 00000000 00000001 00000000 00000000 00000011 00000000 00000000* +L0013088 00000000 00000000 00000000 00000000 00000000 00000101 00000000 00000000* +L0013152 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00010000* +L0013216 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0013280 00000000 00000000 00000000 01000000 00000000 01000000 00000000 00000000* +L0013344 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0013408 00000000 00000000 00000011 00000010 00000000 00000001 00000000 00000000* +L0013472 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00010000* L0013536 000000 000000 000000 000000 000000 000000 000000 000000* -L0013584 000000 000000 000000 000000 000000 000000 000000 000001* -L0013632 000000 000000 000000 000000 000000 000000 000000 000001* -L0013680 000000 000000 000000 000000 000000 000000 000000 000001* -L0013728 000000 000000 000000 000000 000000 000000 000000 000001* -L0013776 000000 000000 000000 000000 000000 000001 000000 010001* -L0013824 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* -L0013888 00000000 00000000 00000001 00000100 00000000 00000011 00000000 00000001* -L0013952 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00010011* -L0014016 00000000 00000000 00000001 00000000 10100010 00000011 00000000 00000000* -L0014080 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00010000* -L0014144 00000000 00000000 00000010 00000110 00000000 00000010 00000000 00000000* -L0014208 00000000 00000000 00000001 00000001 00000010 00000011 00000000 00010010* -L0014272 00000000 00000000 00000000 00000001 00000000 00000010 00000010 00010001* -L0014336 00000000 00000000 00000010 00000001 00000001 00000011 00000000 00000010* +L0013584 000000 000000 000001 000000 000000 000000 000000 000000* +L0013632 000000 000000 000001 000000 000000 000000 000000 000000* +L0013680 000000 000000 000001 000000 000000 010000 000000 000000* +L0013728 000000 000000 000001 000000 000000 000000 000000 000000* +L0013776 000000 000000 000001 000000 000000 000000 000000 000000* +L0013824 00000000 00000000 00000010 00000000 00010001 00101001 00000000 10000000* +L0013888 00000000 00000000 00000000 00000000 00010000 00000011 00000000 00000000* +L0013952 00000000 00000000 00000000 00000000 00000000 00000101 00000000 00000000* +L0014016 00000000 00000000 00000000 00000000 00000001 00000011 00000000 00000000* +L0014080 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000001* +L0014144 00000000 00000000 00000001 00000010 00100000 01000010 00000000 00000000* +L0014208 00000000 00000000 00000001 00000000 00100000 00000011 00000000 00000001* +L0014272 00000000 00000000 00000000 00000000 00000011 00000010 00000001 00000001* +L0014336 00000001 00000000 00000010 00000000 00000001 00000011 00000001 00000001* L0014400 000000 000000 000000 000000 000000 000000 000000 000000* -L0014448 000000 000000 000000 001000 010000 000000 000000 001000* -L0014496 000000 000000 000000 000000 000001 000000 000000 001000* -L0014544 000000 000000 000000 000000 000000 000000 000000 001000* -L0014592 000000 000000 000000 000000 100000 000000 000000 000000* +L0014448 000000 000000 000000 000000 010000 000000 000000 100000* +L0014496 000000 000000 000000 000000 000000 000000 000000 000000* +L0014544 000000 000000 000000 000000 000000 010000 000000 000000* +L0014592 000000 000000 000000 000000 000000 000000 000000 000000* L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 00000000 00000000 00000001 00100100 00001010 00000000 00000000 00000001* -L0014752 00000000 00000000 00000010 00000000 01000010 00000000 00000000 00000010* -L0014816 00000000 00000000 00000011 00000000 10100000 00010000 00000000 00000000* -L0014880 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000010* -L0014944 00000000 00000000 00000011 00000000 01000010 00000000 00000000 00000010* -L0015008 00000000 00000000 00000001 00000000 00100000 00000000 00000000 00010011* -L0015072 00000000 00000000 00100010 00000000 00000000 00000000 00000000 00000001* -L0015136 00000000 00000000 00100011 00000100 00000011 00000101 00000000 00000010* -L0015200 00000000 00000000 00000001 00000000 00100000 00000000 00000000 00000001* -L0015264 000000 000000 000000 000000 100000 000000 000000 000000* -L0015312 000000 000000 001000 000001 000000 000000 000000 000000* -L0015360 000000 000000 001000 000001 000000 000000 000000 000000* -L0015408 000000 000000 001000 000001 000000 000000 000000 000000* -L0015456 000000 000000 001000 000000 000000 000001 000000 001000* -L0015504 000000 000000 000000 000000 000000 000000 000000 001000* -L0015552 00000000 00000000 00000010 00000011 00000000 00000001 00000011 00000001* -L0015616 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* -L0015680 00000000 00000000 00000000 00000001 00000010 00000000 00000010 01000011* -L0015744 00000000 00000000 00000001 00000001 00000010 00000001 00000011 00000000* -L0015808 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0015872 00000101 00000000 00000010 00000001 00000100 00000000 00000001 00000000* -L0015936 00000100 00000000 00000001 00000011 00000010 00000001 00000011 00000010* -L0016000 00000000 00000000 00000000 00000001 00000000 00000010 00000011 00000001* -L0016064 00000001 00000000 00000010 00000011 00000001 00000011 00000001 00000010* -L0016128 000000 000000 000000 000000 000000 000000 000000 000000* +L0014688 00000000 00000000 00000001 01100100 00000010 00000000 00000000 00000010* +L0014752 00000000 00000000 00010000 00000000 00000010 00101000 00000000 00000000* +L0014816 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0014880 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0014944 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0015008 00000000 00000000 00100000 00000100 00000101 00000000 00000000 00000001* +L0015072 00000000 00000000 00100000 00000000 00000001 01000000 00000000 00000000* +L0015136 00000000 00000000 00100011 00000000 00000000 00000000 00000000 00000000* +L0015200 00000000 00000000 00100001 00000000 00000000 00000000 00000000 00000000* +L0015264 000000 000000 001000 000000 000000 000000 000000 000000* +L0015312 000000 000000 001000 100000 000000 000000 000000 001000* +L0015360 000000 000000 001000 000000 000000 000000 000000 000000* +L0015408 000000 000000 001000 000000 000000 000000 000000 000000* +L0015456 000000 000000 001000 000000 000011 000000 000000 000000* +L0015504 000000 000000 001000 000000 000000 000000 000000 000000* +L0015552 00000000 00000000 00000010 00000001 00000001 00000001 00000000 00000001* +L0015616 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0015680 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* +L0015744 00000000 00000000 00000000 00000011 10000011 00010001 00000001 00000000* +L0015808 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000100* +L0015872 00000000 00000000 00000001 00000011 00000000 00000000 00000001 00000000* +L0015936 00000001 00000000 00000001 00000011 00000010 00000101 00000011 00000001* +L0016000 00000001 00000000 00000000 00000001 00000011 00000000 00000001 00000001* +L0016064 00000001 00000000 00000010 00000010 00000001 00000011 00000001 00000001* +L0016128 000000 000000 000000 000000 000000 000000 000000 000001* L0016176 000000 000000 000000 000000 000000 000000 000000 000000* L0016224 000000 000000 000000 000000 000000 000000 000000 000000* L0016272 000000 000000 000000 000000 000000 000000 000000 000000* L0016320 000000 000000 000000 000000 000000 000000 000000 000000* -L0016368 000000 000000 000000 000000 000000 000000 000000 000000* -L0016416 00000000 00000000 00000001 00000011 00010010 00000000 11111111 00000001* -L0016480 00000100 00000000 00000010 00000000 00000010 00000000 00000000 00000010* -L0016544 00000000 00000000 00000001 00000001 00000000 00010000 00000010 00000100* -L0016608 00000000 00000000 00000000 00000001 00000000 00000100 00000011 00000110* -L0016672 00000001 00000000 00000011 00000000 00000010 00000000 00000000 00000110* -L0016736 00000001 00000000 00000001 00000001 00000000 00000000 00000101 00000010* -L0016800 00000000 00000000 00000010 00000011 00000000 00000000 00000011 00100001* -L0016864 00000000 00000000 00000011 00000001 00000011 00000101 00000001 00100010* -L0016928 00000001 00000000 00000001 00000011 00000000 00000000 00000001 00100001* +L0016368 000000 000000 000000 000000 000000 000001 000000 000000* +L0016416 00000000 00000000 00100001 01100101 00010010 00000000 00001000 00000001* +L0016480 00000000 00000000 00000000 00000000 10010000 00000000 00000000 00000101* +L0016544 00000000 00000000 00000000 00000011 00010000 00000000 00000000 00000000* +L0016608 00000000 00000000 00010000 00000011 00010010 00000000 00101101 00000000* +L0016672 00000000 00000000 10010000 00000001 00000000 00000000 00000000 00000000* +L0016736 00000000 00000000 00000000 00000101 00000001 00000000 00000001 00000000* +L0016800 00000001 00000000 00000000 00000011 00000011 00000000 00000011 00000000* +L0016864 00000001 00000000 00000011 00000001 00000000 00000000 00000101 00000000* +L0016928 00000001 00000000 00000001 00000010 00000000 00000000 00000101 00000000* L0016992 000000 000000 000000 000000 000000 000000 000000 000000* -L0017040 000000 000000 000000 000000 000000 000000 100011 000001* -L0017088 000000 000000 000000 000000 000000 000000 000000 000001* -L0017136 000000 000000 000000 000000 000000 000000 000000 000001* -L0017184 000000 000000 000000 000000 000000 000001 000000 000001* -L0017232 000000 000000 000000 000000 000000 000000 000000 010001* -L0017280 00000000 00000000 00000010 00000000 00001000 00000001 00000000 01000000* -L0017344 00000000 00000000 00000001 00000000 01000000 00000000 00000000 00000000* -L0017408 00000000 00000000 00000000 00000000 10100010 00000000 00000000 00010010* -L0017472 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* -L0017536 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0017600 00000000 00000000 00000010 00000000 00100000 00000000 00000000 00000100* -L0017664 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000010* -L0017728 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000001* -L0017792 00000000 00000000 00010000 00000001 00100001 00000001 00000000 00000010* -L0017856 000000 000000 000000 000000 100000 000000 000000 000000* -L0017904 000000 000000 000000 000000 000000 000000 000000 000100* -L0017952 000000 000000 000001 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 100000* -L0018048 000000 000000 000000 000000 000000 000000 000000 000000* +L0017040 000000 000000 000001 101000 000000 000000 000000 000000* +L0017088 000010 000000 000001 000000 000000 000000 000000 000000* +L0017136 000000 000000 000001 000000 000000 000000 000000 000000* +L0017184 000000 000000 000001 000000 000000 000000 000011 000001* +L0017232 000000 000000 000001 000000 000000 000000 000001 000000* +L0017280 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000 00000001 00010000 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017600 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00000001 00000000 00000000 00000101 00000000 00000000* +L0017728 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* +L0017792 00000001 00000000 00000010 00000000 00000000 00000001 00000001 00000001* +L0017856 000000 000000 000000 000000 000000 000000 000000 000000* +L0017904 000000 000000 000000 000000 000000 000000 000000 000000* +L0017952 000000 000000 000000 000000 000000 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000000 000000 000000* +L0018048 000000 000000 000010 000000 000000 000000 000000 000000* L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000101* -L0018208 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000110* -L0018272 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0018336 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00100110* -L0018400 00000000 00000000 00000010 00000000 10100010 00000000 00000000 00000010* -L0018464 00000000 00000000 00000001 00000000 00000000 00000000 10000000 00000010* -L0018528 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00100001* -L0018592 00000000 00000000 00010010 00000000 00000001 00000001 00000000 00010100* -L0018656 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00100001* -L0018720 000000 000000 000000 000000 000000 000000 000000 010000* -L0018768 000000 000000 000000 000000 000000 000000 000000 000001* -L0018816 000000 000000 000000 000000 000000 000000 000000 010000* -L0018864 000000 000000 000000 000000 000001 000000 000000 000001* -L0018912 000000 000000 000000 000000 000000 000000 000000 010100* -L0018960 000000 000000 000000 000000 100000 000000 000000 001001* -L0019008 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* -L0019072 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000000* -L0019136 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000010* -L0019200 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000000* -L0019264 00000000 00000000 00000000 00000010 00000000 00000011 00000001 00000010* -L0019328 00000000 00001000 00000000 00000011 00000010 00000010 00000000 00000010* -L0019392 00000000 00000000 00000000 00000001 00000010 00000001 00000000 00000000* -L0019456 00000001 00000000 00000001 00000001 00000010 00000000 00000000 00000010* -L0019520 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00000010* +L0018144 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* +L0018208 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0018272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0018400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0018464 00000000 00000000 00000000 00000000 00000001 00000000 10000000 00000000* +L0018528 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* +L0018592 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0018656 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* +L0018720 000000 000000 000000 000000 000000 000000 000000 000000* +L0018768 000000 000000 000000 000000 000000 000000 000000 000000* +L0018816 000000 000000 000000 000000 000000 000000 000000 000000* +L0018864 000000 000000 000000 000000 000000 000000 000000 000000* +L0018912 000000 000000 000000 000000 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000000 00000000 00010101 00000000 00000000 00000000 00000000 00001000* +L0019072 00000000 00000000 00000000 00000011 00000010 00000001 00000000 10001000* +L0019136 00000000 00000000 00000000 00000000 00000000 00000010 00000001 10000001* +L0019200 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000100* +L0019264 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000011* +L0019328 01000000 00000000 00000001 00000010 00000010 00000010 00000000 00000010* +L0019392 00000000 00000000 00010000 00000000 00000001 00000011 00000010 00000001* +L0019456 00000001 00000000 00000001 00000000 00000001 00000000 00000001 00000010* +L0019520 00000000 00000000 00000000 00000000 00000001 00000010 00000001 00000100* L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 000010 000000 000000 000000 000000 000000 000000* -L0019680 000000 000000 000000 000000 000000 000000 000000 000010* -L0019728 000000 000000 000000 000000 000000 000000 000000 000000* +L0019632 000000 000000 000010 000000 000000 000000 000000 000000* +L0019680 000000 000000 000000 000000 000000 000000 000000 000000* +L0019728 000000 000000 000000 000000 000000 000000 000000 000010* L0019776 000000 000000 000000 000000 000000 000000 000000 000000* L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000010 00000000 00000000 00000000 11111100 00000000* -L0019936 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0020000 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000010* -L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020192 00000000 00000000 00000010 00000000 00000000 00000000 00000100 00000000* -L0020256 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000011* -L0020320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0020384 00000000 00000000 00000010 00000000 00000001 00000001 00000000 00000000* -L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 000000 000000 100011 000000* +L0019872 00000000 00000000 00000010 10000000 00000000 00000000 00000100 00000000* +L0019936 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* +L0020000 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0020064 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0020128 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* +L0020192 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0020256 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* +L0020320 00000000 00000000 00000100 00000000 00000001 00000000 00000000 00001001* +L0020384 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0020448 000000 000000 000001 000000 000000 000000 000000 000000* +L0020496 000000 000000 000001 000000 000000 000000 000010 000000* L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000000 000001 000000 000000 000000 000000 000000* L0020640 000000 000000 000000 000000 000000 000000 000000 000000* -L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00100010 00000000 00000000 10001000 00000000 00000100* -L0020800 00000000 00000000 00101001 00000000 00000000 00001000 00000000 00100100* -L0020864 00000000 00000000 00001000 00000000 00000010 00000000 00000000 00100110* -L0020928 00000000 00000000 00001001 00000000 00000010 00000000 11111100 00110110* -L0020992 00000000 00000000 00001000 00000000 00000010 00001000 00000000 00100100* -L0021056 00000000 00000000 01000010 00000000 00000000 10000000 00000000 00001000* -L0021120 00000000 00000000 00100001 00000000 00000010 00000000 00000000 00100110* -L0021184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100101* -L0021248 00000000 00000000 01001000 00000000 00000001 00100000 00000100 00100110* -L0021312 000000 000000 010000 000000 000000 000000 000000 000001* -L0021360 000000 000000 011000 000000 000000 000000 000000 000001* -L0021408 000000 000000 010000 000000 000000 010000 000000 010000* -L0021456 000000 000000 011000 000000 000000 010000 000000 010000* -L0021504 000001 000000 011000 000000 000000 000000 100011 110000* -L0021552 000000 000000 010000 000000 000000 000000 000000 010000* -L0021600 00000000 00000000 01000000 00010000 00000010 00000000 00000000 00100001* -L0021664 00000000 00000000 00000010 00000000 00100000 01000000 00000000 00001000* -L0021728 00000000 00000000 00100011 00000000 00000000 01000000 00000000 00000000* -L0021792 00000000 00000000 00000000 00000000 00000010 00001000 00000000 00000010* -L0021856 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000010* -L0021920 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0021984 00000000 00000000 00000010 00000000 01000100 00100000 00000000 00000000* -L0022048 00000000 00000000 00000001 00000000 00000110 10100000 00000000 00000010* -L0022112 00000000 00000000 00100000 00000000 01000000 00000000 00000000 00000001* -L0022176 000000 000000 000000 000000 010001 000000 000000 000000* -L0022224 000000 000000 000000 000100 000000 000000 000000 000000* +L0020688 000000 000000 000001 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000001 10000000 00001110 00000000 00000000 00000000* +L0020800 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0020864 00000000 00000000 00000000 00000000 00001000 00100000 00000000 00000000* +L0020928 00000100 00000000 00000000 01111100 00001000 00000000 00000000 00000000* +L0020992 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0021056 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0021120 00000000 00000000 00100001 00000000 00000000 00000000 00000000 00000000* +L0021184 00000000 00000000 00000000 00000000 00000001 10000000 00000000 00000000* +L0021248 00000100 00000000 00000010 00001100 00000001 00000000 00000000 00000001* +L0021312 000000 000000 000000 000000 000000 000000 000000 000000* +L0021360 000000 000000 000000 000001 000000 000000 000000 000000* +L0021408 000000 000000 000000 000001 000000 000000 000000 000000* +L0021456 000000 000000 000000 000000 000010 000000 000000 000000* +L0021504 000001 000000 001000 101110 000010 000000 000000 000000* +L0021552 000001 000000 000000 000000 000010 000000 000000 000000* +L0021600 00000000 00000000 00000001 00000000 00010010 10001000 00000100 00000010* +L0021664 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00001000* +L0021728 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000000 01100000 00001000 00000000 00000000* +L0021856 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0021920 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0021984 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0022048 00000000 00000000 00001001 00000000 10100100 00000000 00000000 00000000* +L0022112 00000000 00000000 00000100 00000000 00000101 00000000 00000000 00000000* +L0022176 000000 000000 000001 000000 000001 000000 000000 000000* +L0022224 000001 000000 000000 000000 100001 000000 000010 000000* L0022272 000000 000000 000000 000000 000000 000000 000000 000000* -L0022320 000000 000000 000000 000000 100000 000000 000000 000000* +L0022320 000000 000000 000000 000000 000000 000000 000000 000000* L0022368 000000 000000 000000 000000 000000 000000 000000 000000* L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000000 00100010 00000011 00000001 00000011 00000011 00000001* -L0022528 00000011 00000000 00100001 00000011 00000011 00000011 00000011 00000011* -L0022592 00000011 00000000 00000100 00000011 00000011 00000011 00000011 00000011* -L0022656 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* -L0022720 00000011 00000001 00001001 00000011 00000011 00000011 00000011 00000011* -L0022784 00000011 00000001 00000010 10000011 00000011 00000011 00000011 00000011* -L0022848 00000011 00000001 00010001 00001011 00000011 00000011 00000011 00000011* -L0022912 00000011 00000001 00000001 00000011 00000011 00000011 00100011 00000011* -L0022976 00000011 00000001 01001011 00000011 00000011 00000011 00000011 00000010* -L0023040 000000 000000 010000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000001 000000 000000 000000 000000 000000* -L0023136 000000 000000 000001 000000 000000 000000 000000 000000* -L0023184 000000 000000 001000 000000 000000 000000 000000 001000* -L0023232 000000 000000 001000 000000 000000 000000 000000 000000* -L0023280 000000 000000 000000 000000 000000 000000 000000 100000* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023456 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* -L0023520 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* -L0023584 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* -L0023648 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0023712 00000000 00000000 10000000 10000000 00000000 00000000 00000000 01110000* -L0023776 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00110000* -L0023840 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00100000* -L0023904 000000 000000 100000 000000 000000 000000 001000 000000* -L0023952 000000 000000 100000 100000 010000 000000 000000 000001* -L0024000 000001 000000 100000 000000 000000 000000 000000 000001* -L0024048 000000 000000 100001 000000 000000 000000 000000 000001* -L0024096 000000 000000 000001 000000 000000 000000 000000 000001* -L0024144 000000 000000 000001 000000 000000 000000 000000 010001* -L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0022464 00000001 00000000 10000011 00000011 00000011 00000011 00000001 00000111* +L0022528 00000011 00000000 10000001 00000011 11100011 00000011 00000011 00000111* +L0022592 00000011 00000000 10000001 00000011 00000011 00000011 00000001 10000111* +L0022656 00000011 00000000 10000001 00000011 10000011 00000011 00000001 00000111* +L0022720 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0022784 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00001011* +L0022848 00000011 00000000 00000001 00000011 00000111 00000011 00000011 00000111* +L0022912 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0022976 00000011 00000000 00000010 00000011 00000011 00000011 00000011 00000011* +L0023040 000000 000000 000000 000000 000000 000000 000000 000000* +L0023088 000000 000000 000000 000000 000000 000010 000000 000001* +L0023136 000000 000000 000000 000000 000000 000000 000000 000000* +L0023184 000000 000000 000000 000000 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000 000000 000000 000000 000000* +L0023280 000000 000000 000000 000000 000000 000000 000000 000000* +L0023328 00000000 00000000 00000000 01111100 11110000 00000000 00000000 01000000* +L0023392 00000000 00000000 00000000 00000000 00010000 00000000 00000000 10000000* +L0023456 00000000 00000000 00000000 00000000 10011000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0023648 00000000 00000000 00000000 00000100 00100000 00000000 00000000 00000100* +L0023712 00000000 00000000 00000000 00000000 00100000 00000000 00010000 00001000* +L0023776 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00001000* +L0023840 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000100* +L0023904 000000 000000 000000 000000 000000 000000 000000 000000* +L0023952 000000 000000 000000 101100 000000 000000 000000 000000* +L0024000 000000 000000 000000 000000 000000 000000 000000 000000* +L0024048 000000 000000 000000 000000 000011 000000 000000 000001* +L0024096 000000 000000 000000 000000 000011 000000 000000 000000* +L0024144 000000 000000 000000 000000 000010 000000 000000 000000* +L0024192 00000000 00000000 10000000 00000000 00000000 00010000 00000000 00000000* +L0024256 00000000 00000000 10010000 00000000 00000000 01000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00101000 00000000 00000000 00100000 00000000 00000000* +L0024704 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* L0024768 000000 000000 000000 000000 000000 000000 000000 000000* -L0024816 000000 000000 000000 000000 000000 000000 000000 000000* -L0024864 000000 000000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000000 000000 000000 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00000000 00000000 01000000 00000000 00000000 00000000 11111100 00000000* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0025184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0025248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0024816 000000 000000 001000 000000 000000 000001 000000 000000* +L0024864 000000 000000 000010 000000 000000 000001 000000 000010* +L0024912 000000 000000 000010 000000 000000 000001 000000 000000* +L0024960 000000 000000 000010 000000 000000 001000 000000 000000* +L0025008 000000 000000 000010 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 00100000 01111100 00000000 01000000 00000000 00000000* +L0025120 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0025184 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00001100 00000000 00010100 00000000 00000000* L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0025568 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 001000 000000 000000 000000 000000 000000* -L0025680 100000 000000 000000 000000 000000 000000 100001 000000* -L0025728 000000 000000 000000 000000 000010 000000 000000 000000* -L0025776 000000 000000 000000 000000 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00100000 00000000 00010000 00000000 00000000* -L0025984 00000000 00000000 00000000 00000111 00000011 00000000 00000011 00000010* -L0026048 00000000 00000000 00000000 00000000 00000001 00100000 00000001 00000001* -L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026176 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* -L0026240 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00000010 00000000 00000010 00010000 00000010 00000000* -L0026496 000000 000000 000000 000000 000000 000000 000000 000000* -L0026544 000000 000000 000000 000010 000000 000001 000000 000000* -L0026592 000000 000000 000000 000000 000000 100000 000000 000000* -L0026640 000000 000000 000000 000000 000000 100000 000000 000000* -L0026688 000000 000000 000000 000000 000000 001000 000000 000000* -L0026736 000000 000000 000000 000000 000000 000000 000000 000000* -L0026784 01000000 00000000 00000000 00000100 00000000 00000100 10000000 00000000* -L0026848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00010100 00000000 01000000* -L0027168 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0027232 00000000 00000000 00000000 00000100 00000000 00000100 00000000 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0027360 000000 000000 000000 000000 000000 000001 000000 000000* -L0027408 000000 000001 000000 000001 000000 101100 000000 000000* -L0027456 000000 000000 000000 000001 000000 000011 000000 000000* -L0027504 000000 000000 000000 000001 000000 000001 000000 000000* -L0027552 000000 000000 000000 000000 000000 000001 000000 000000* -L0027600 000000 000000 000000 000000 000000 000001 000000 000000* -L0027648 00000000 00000000 00000000 00100000 10100000 00000000 00000000 00000100* -L0027712 00000000 00000000 00000000 00000001 10000011 00000000 00000011 00000110* -L0027776 00000000 00000000 00000000 00000000 01000001 00000000 00000001 00100100* -L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000011 00100110* -L0027968 00000000 00000000 00000000 00000110 01000011 00000001 00000010 00000010* -L0028032 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00100100* -L0028096 00000000 00000000 00000001 00000010 01000000 00000000 00000010 00100110* -L0028160 00000000 00000000 00000000 00000000 00000110 00000000 00000010 00100100* -L0028224 000000 000000 000000 000000 000000 000000 000000 000001* -L0028272 000000 000000 000000 000010 000000 000010 000000 000001* -L0028320 000000 000000 000000 000000 100000 000000 000000 010001* -L0028368 000000 000000 000000 000000 000000 000000 000000 010001* -L0028416 000000 000000 000000 000000 000000 000000 000000 010001* -L0028464 000000 000000 001000 000000 000000 000000 000000 010001* -L0028512 00001010 00000000 01000010 00000011 00000001 00000000 10000111 00000001* -L0028576 00000011 00000000 00100001 00000010 00000000 00000000 00000000 00000001* -L0028640 00000011 00000000 00100000 00000011 00000010 00000000 00000010 00000011* -L0028704 00000011 00000000 00100001 00000011 00000011 00000000 00000011 00000011* -L0028768 00000001 00000000 00100001 00000001 00000010 00000001 00000000 00000001* -L0028832 00000001 00000000 00000010 00000001 00000000 00000000 00000001 00000101* -L0028896 00000000 00000000 00000001 00000011 00000011 00000000 00000011 00000011* -L0028960 00000000 00000000 00000100 00000101 00000011 00000000 00000001 01000001* -L0029024 00000001 00000000 00101111 00000011 00000001 00000000 00000001 01000010* -L0029088 000000 000000 011000 000000 000000 000000 000000 010000* -L0029136 010000 000000 000000 000001 000000 000000 000000 100000* -L0029184 000000 000000 100000 000001 000000 000000 000000 000100* -L0029232 000000 000000 100000 000001 000000 000000 000000 000100* -L0029280 000000 000000 001000 000000 000000 000000 000000 000100* +L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 000000 000000 000000 000000 000000 000000* +L0025680 000000 000000 000000 101100 000000 101100 000000 000010* +L0025728 000000 000000 000001 000000 000010 000000 000000 000000* +L0025776 000000 000000 000001 000000 000000 000000 000000 000000* +L0025824 000000 000000 000001 000000 000000 000000 000000 000000* +L0025872 000000 000000 000001 000000 000000 000000 000000 000000* +L0025920 00000000 00000000 10000000 00000000 00000000 10000100 00000000 00000000* +L0025984 00000000 00000000 10010100 00000011 00000011 00100100 00000011 00000010* +L0026048 00000000 00000000 10010100 00000000 00000001 00100000 00000001 10000001* +L0026112 00000000 00000000 10000100 00000000 00000000 00001000 00000000 00000000* +L0026176 00000000 00000000 00000100 00000010 00000011 00000101 00000011 00000110* +L0026240 00000000 00000000 00100000 00000010 00000011 10000001 00000010 00001111* +L0026304 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00100101 00000000 00000000 00000001 00000010 00000110* +L0026432 00000000 00000000 00100110 00000000 00000010 00000000 00000010 00000000* +L0026496 000000 000000 001001 000000 000000 000000 000000 000001* +L0026544 000000 000000 001000 000000 000000 000000 000000 000000* +L0026592 000000 000000 001000 000000 000000 000000 000000 000001* +L0026640 000001 000000 001000 000000 000000 000000 000000 000000* +L0026688 000000 000000 001000 000000 000000 000000 000000 000000* +L0026736 000000 000000 001000 000000 000000 000000 000000 000000* +L0026784 00000000 00000000 00000100 10000000 00000000 00000000 00000100 01000100* +L0026848 00000000 00000000 00000000 00000000 00000000 00001000 00000000 10000000* +L0026912 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0026976 00000000 00000000 00010000 01111100 00000000 00000100 00000000 00000000* +L0027040 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0027168 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00001100* +L0027232 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00001000* +L0027296 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0027360 000000 000000 000000 000000 000000 000000 000000 000000* +L0027408 000000 000000 000001 000001 000000 000000 000010 000001* +L0027456 000000 000000 000000 000001 000000 000000 000000 001000* +L0027504 000000 000000 000000 000000 000000 000000 000000 000010* +L0027552 000000 000000 000000 101110 000000 000000 000000 000000* +L0027600 000001 000000 000000 000000 000000 000000 000000 000000* +L0027648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027712 00000000 00000000 00000000 00000001 00000011 00000000 00000011 00000010* +L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* +L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000001 00000010 00000001 00000000 00000011 00000000* +L0027968 00000000 00000000 00000000 00000010 01011011 00000001 00000010 00000010* +L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0028096 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000010* +L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000000* +L0028224 000000 000000 000000 000000 000000 000000 000000 000000* +L0028272 000000 000000 000000 000000 001110 000000 000000 000000* +L0028320 000000 000000 000010 000000 000000 000000 000001 000000* +L0028368 000000 000000 000000 000000 000000 000000 000000 000000* +L0028416 000000 000000 000000 000000 000000 000000 000000 000000* +L0028464 000000 000000 000000 000000 000000 000000 000000 000000* +L0028512 00000000 00000000 00000011 00000111 00000011 00000000 00000001 00000011* +L0028576 00000010 00000000 00000001 00000010 00000000 00000000 00000000 00000001* +L0028640 00000011 00000000 00000001 00000011 00000010 00000000 00000000 00000010* +L0028704 00000011 00000000 00000001 00000011 00000011 00000000 00000001 00000011* +L0028768 00000001 00000000 00000000 00000001 00000010 00000001 00000000 00000011* +L0028832 00000000 00000000 00000001 00000001 00000000 00000000 00000101 00000001* +L0028896 00000001 00000000 00000001 00000011 00011011 00000000 00000011 00000011* +L0028960 00000001 00000000 00000000 00000001 00000011 00000000 00000001 00000001* +L0029024 00000001 00000000 00000010 00000011 00000001 00000000 00000001 00000011* +L0029088 000000 000000 000000 000000 000000 000000 000000 000000* +L0029136 100000 000000 000000 000000 000000 000000 000000 000000* +L0029184 000000 000000 000000 000000 001110 000000 000000 000000* +L0029232 000000 000000 000000 000000 000000 000000 000001 000000* +L0029280 000000 000000 000000 000000 000000 000000 000000 000000* L0029328 000000 000000 000000 000000 000000 000000 000000 000000* -L0029376 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029440 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029504 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029568 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029632 00000010 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0029696 00000000 00000001 00000000 00000000 00000000 00000010 10000000 00000000* -L0029760 00000111 00000001 00000000 00000000 00000000 00000011 01010000 00000000* -L0029824 00000011 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029888 00000010 00000001 00000000 00000000 00000000 00000011 00100000 01000000* -L0029952 000000 000000 000000 000000 000000 000000 000000 010000* -L0030000 000000 000000 000000 000010 000000 000000 010000 000000* -L0030048 000000 000000 000000 000000 000000 000000 000000 000100* -L0030096 000000 000000 000000 000000 000000 000000 000000 000000* +L0029376 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029440 00000000 00000000 00000100 00000000 00000000 00000011 00000000 00000000* +L0029504 00000000 00000000 00000100 00000000 10000000 00000011 00000000 00000000* +L0029568 00000000 00000000 00000000 00000000 10000000 00000011 00000000 00000000* +L0029632 00000010 00000000 00010000 00000000 00000000 00000010 00000000 00000000* +L0029696 00000101 00000000 00000000 10000000 00000000 00000010 00000000 00000000* +L0029760 00000010 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029824 00000010 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000000 000000 000000 000000 000000 000001 000000* +L0030048 000000 000000 000001 000001 000000 000000 000001 000000* +L0030096 000000 000000 000001 000000 000000 000000 000000 000000* L0030144 000000 000000 000000 000000 000000 000000 000000 000000* L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000001 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0030304 00000001 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0030368 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0030432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0030496 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0030560 00000010 00000001 00000000 00000000 00000000 00010000 01010000 00000000* -L0030624 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0030688 00000010 00000001 00000000 00000000 00000000 00010001 00000000 01000000* -L0030752 00000000 00000001 00000000 00000000 00000000 00010010 00000000 00000000* -L0030816 000000 000000 000000 000000 000000 000000 001000 000000* -L0030864 000000 000000 000000 000000 000000 000000 000000 000000* -L0030912 000000 000000 000000 000000 000000 000000 010000 000000* -L0030960 000000 000000 000000 000000 000000 000000 000000 000100* -L0031008 000000 000000 000000 000000 000000 000000 000000 000100* -L0031056 000000 000000 000000 000001 000000 000000 000000 000000* +L0030240 00000101 00000000 00000000 10000000 10000000 00000000 00000000 00000000* +L0030304 00000001 00000000 00000000 00000000 10000000 00000001 00000000 00000000* +L0030368 00000000 00000000 10010001 00000000 00000000 00000001 00000000 00000000* +L0030432 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0030496 00000010 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0030560 10000010 00000000 00010000 00000000 00000000 00000000 00000000 00100000* +L0030624 00000010 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0030688 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0030752 00000010 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0030816 000000 000000 001000 000000 000000 000000 000000 000000* +L0030864 000000 000000 000000 000001 000000 000000 000000 010001* +L0030912 000000 000000 001000 000000 000000 000000 000000 000001* +L0030960 000000 000000 001000 000000 000000 000000 000001 000001* +L0031008 000000 000000 001000 000000 000000 000000 000000 000001* +L0031056 000001 000000 001000 000000 000000 000000 000000 000001* L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -645,15 +645,15 @@ L0031776 000000 000000 000000 000000 000000 000000 000000 000000* L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000011 00000000 00000000 00000011 00000001* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* -L0032160 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000000* -L0032224 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032288 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032352 00000000 00000000 00000000 00000011 00000000 00000000 00000011 00000000* -L0032416 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0032480 00000001 00000000 00000000 00000011 00000000 00000000 00000001 00000000* +L0031968 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0032096 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000011 00000010 00000000 00000001 00000000* +L0032224 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0032288 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0032352 00000001 00000000 00000000 00000011 00000010 00000000 00000011 00000000* +L0032416 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0032480 00000001 00000000 00000000 00000010 00000000 00000000 00000001 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* @@ -675,19 +675,19 @@ L0033504 000000 000000 000000 000000 000000 000000 000000 000000* L0033552 000000 000000 000000 000000 000000 000000 000000 000000* L0033600 000000 000000 000000 000000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000011 00000000 00000001 00000001 00000000* -L0033760 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00000001* -L0033824 00000000 00000000 00000000 00000011 00000000 00000000 00000010 00000001* -L0033888 00000000 00000000 00000000 00000001 00000000 00000010 00000011 00000010* -L0033952 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0034016 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000001* -L0034080 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000010* -L0034144 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000001* -L0034208 00000000 00000000 00000000 00000011 00000000 00000001 00000001 00000010* +L0033696 00000000 00000000 00000000 00000011 00000001 00000000 00000000 00000000* +L0033760 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0033824 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* +L0033888 00000000 00000000 00000000 00000011 00000000 00000001 00000001 00000011* +L0033952 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000001* +L0034016 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0034080 00000000 00000000 00000001 00000011 00000000 00000010 00000011 00000001* +L0034144 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0034208 00000001 00000000 00000000 00000011 00000001 00000000 00000000 00000000* L0034272 000000 000000 000000 000000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000000 000000 000000 000000 000000* +L0034320 000000 000000 000000 000000 000000 000000 000010 000000* L0034368 000000 000000 000000 000000 000000 000000 000000 000000* -L0034416 000000 000000 000000 010000 000000 000000 000000 000000* +L0034416 000000 000000 000000 000000 000000 000000 000000 000000* L0034464 000000 000000 000000 000000 000000 000000 000000 000000* L0034512 000000 000000 000000 000000 000000 000000 000000 000000* L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -703,30 +703,30 @@ L0035136 000000 000000 000000 000000 000000 000000 000000 000000* L0035184 000000 000000 000000 000000 000000 000000 000000 000000* L0035232 000000 000000 000000 000000 000000 000000 000000 000000* L0035280 000000 000000 000000 000000 000000 000000 000000 000000* -L0035328 000000 000000 000100 000000 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000 000000 000000 000000 000000* L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0035680 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* -L0036000 000000 000000 111000 000000 000000 000000 000000 000000* -L0036048 000100 000000 100000 000000 000010 000000 000000 000000* -L0036096 000000 000000 100000 000000 000000 000000 000000 000000* -L0036144 000000 000000 101000 000000 000000 000000 000000 000000* -L0036192 000000 000000 001000 000000 000000 000000 000000 000000* -L0036240 000000 000000 000010 000000 000000 000000 000000 000000* +L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036000 000000 000000 000000 000000 000000 000000 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036096 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 000000 000000 000000* +L0036192 000000 000000 000000 000000 000000 000000 000000 000000* +L0036240 000000 000000 000000 000000 000000 000000 000000 000000* L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000010* L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* @@ -735,116 +735,116 @@ L0036960 000000 000000 000000 000000 000000 000000 000000 000000* L0037008 000000 000000 000000 000000 000000 000000 000000 000000* L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 01100000 00000000 00000000 00000000 00000000 10000000* -L0037216 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* -L0037344 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* -L0037408 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000100* -L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037536 00000000 00000000 00000100 00000000 00001000 00000000 00010000 00100000* -L0037600 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00100000* -L0037664 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00100000* -L0037728 000000 000000 111000 000000 000000 000000 000000 000000* -L0037776 000000 000000 100000 000000 000000 000000 000000 000001* -L0037824 000000 000000 100000 000000 000000 000000 000000 000001* -L0037872 000000 000000 101000 000000 000000 000000 000000 000001* -L0037920 000000 000000 001000 000000 000000 000000 000000 000001* -L0037968 000000 000000 000010 000000 000000 000000 000000 010001* -L0038016 00000000 00000000 00000000 00000000 11100000 10000000 00000000 00000000* -L0038080 00000000 00000000 00000000 00000011 11100011 00000011 00000011 00000010* -L0038144 00000000 00000000 00000000 00000000 11100001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00000010 01000011 00000001 00000011 00000010* -L0038336 00000000 00000000 00000000 00000010 01000011 10000001 00000010 00000011* -L0038400 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* -L0038464 00000000 00000000 00000001 00000010 01000100 00000001 00000010 00000010* -L0038528 00000000 00000000 00000010 00000000 01000110 00000010 00000010 00000000* -L0038592 000000 000000 000000 000000 110001 000000 000000 000000* +L0037152 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0037536 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0037600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037728 000000 000000 000000 000000 000000 000000 000000 000000* +L0037776 000000 000000 000001 000000 000000 000000 000000 000000* +L0037824 000000 000000 000001 000000 000000 000000 000000 000000* +L0037872 000000 000000 000001 000000 000000 000000 000000 000000* +L0037920 000000 000000 000001 000000 000000 000000 000000 000000* +L0037968 000000 000000 000001 000000 000000 000000 000000 000000* +L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* +L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* +L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* +L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* +L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* +L0038592 000000 000000 000000 000000 000000 000000 000000 000000* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 100000 000000 000000 000000* -L0038736 000000 000000 000000 000000 100000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038736 000000 000000 000000 000000 000000 000000 000000 000000* L0038784 000000 000000 000000 000000 000000 000000 000000 000000* -L0038832 000000 000000 000000 000000 000001 000000 000000 000000* -L0038880 00000011 00000000 00000011 00000001 00000011 00000011 00000011 00000011* -L0038944 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0039072 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* -L0039200 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000001 00000011 00000001 00000011 10000011 00000011 00000011* -L0039392 00000011 00000001 00000011 00000011 00100011 00000011 00000011 00000011* +L0038832 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000001 00000000 00000011 00000011 00000011 00000011 00000001 00000011* +L0038944 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0039008 00000011 00000000 00000001 00000011 00000011 00000011 00000000 00000011* +L0039072 00000011 00000000 00000001 00000011 00000011 00000011 00000001 00000011* +L0039136 00000011 00000000 00000001 00000011 00000011 00000011 00000010 00000011* +L0039200 00000011 00000000 00000001 00000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000000 00000011 00000011 00000011 00000011 00000001 00000011* +L0039328 00000011 00000000 00000011 00000001 00000011 00000011 00000011 00000011* +L0039392 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* L0039600 000000 000000 000000 000000 000000 000000 000000 000000* L0039648 000000 000000 000000 000000 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00010000 00000000 00000011 00000000 00000011 00000001 00000000 00000001* -L0039808 00000000 00000000 00000011 00000010 00000011 00000011 00000011 00000011* -L0039872 00000000 00000000 00000011 00000000 00000011 00000011 00000000 00000011* -L0039936 00000000 00000000 00000001 00000000 11100010 00000011 00000000 00000011* -L0040000 00000000 00000000 00000011 00000001 10100011 00000011 00000010 00000010* -L0040064 00000000 00000000 00000011 00000010 10000011 00000011 00000010 00000011* -L0040128 00000001 00000000 00000011 00000001 10100010 00000011 00000000 00000011* -L0040192 00000001 00000000 00000011 00000011 00000011 00000111 00000010 00000011* -L0040256 00000001 00000000 00000011 00000001 00000011 00000111 00000000 00000011* -L0040320 000000 000000 000000 000000 000000 000001 000000 000000* -L0040368 001000 000000 000000 000000 000000 000000 000000 000000* +L0039744 00000000 00000000 00000011 00000000 00010011 00000001 00000000 00000010* +L0039808 00000000 00000000 00000001 00000010 00010011 00000011 00000011 00000000* +L0039872 00000000 00000000 00000000 00000000 00010011 00000011 00000000 00000000* +L0039936 00000000 00000000 00000001 00000000 00010011 00000011 00000000 00000001* +L0040000 00000000 00000000 00000001 00000000 10001001 00000011 00000010 00000001* +L0040064 00000001 00000000 00000001 00000010 10100001 00000010 00000010 00000001* +L0040128 00000000 00000000 00000001 00000000 10100011 00000011 00000000 00000001* +L0040192 00000000 00000000 00000011 00000010 00100011 00000011 00000001 00000001* +L0040256 00000001 00000000 00000011 00000001 00100011 00000011 00000001 00000011* +L0040320 000000 000000 000000 000000 000000 000000 000000 000000* +L0040368 000000 000000 000000 000000 000000 000000 000000 000000* L0040416 000000 000000 000000 000000 000000 000000 000000 000000* -L0040464 000000 000000 000000 000000 000000 000001 000000 000000* -L0040512 000000 000000 000000 000000 100000 000000 000000 000000* -L0040560 000000 000000 000000 000000 100000 000000 000000 000000* -L0040608 00000000 00000000 00000011 00000000 10000010 00000001 00000000 00000001* -L0040672 00000000 00000000 00000011 00000000 00000010 00000011 00000000 00000011* -L0040736 00000000 00000000 00000011 00000000 00000010 00000011 00000000 00000011* -L0040800 00000000 00000000 00000001 00000000 00000010 00000011 00000000 00000010* -L0040864 00000000 00000000 00000011 00000000 00000010 00000010 00000000 00000010* -L0040928 00000000 00000000 00000011 00000010 01000000 00000010 00000000 00000011* -L0040992 00000000 00000000 00000011 00000001 01000110 00000111 00000000 00000011* -L0041056 00000000 00000000 00000011 00000001 00000011 00000011 00000010 00000011* -L0041120 00000000 00000000 00000011 00000001 00000001 00000011 00000000 00000011* +L0040464 000000 000000 000000 000000 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000 000011 000000 000000 000000* +L0040608 00000000 00000000 00000011 00000000 00000011 00000001 00000000 00000010* +L0040672 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000000* +L0040736 00000000 00000000 00000000 00000000 00000100 00000001 00000000 00000000* +L0040800 00000000 00000000 00000000 00000000 00000001 00000011 00000000 00000000* +L0040864 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00000001* +L0040928 00000000 00000000 00000001 00000010 00000001 00000010 00000000 00000001* +L0040992 00000000 00000000 00000001 00000000 00000001 00000011 00000000 00000001* +L0041056 00000000 00000000 00000011 00000000 00000111 00000010 00000001 00000001* +L0041120 00000001 00000000 00000011 00000000 00000001 00000011 00000001 00000001* L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 010000 000000 000000 000000 000000* -L0041280 000000 000000 000000 000000 000000 000001 000000 000000* +L0041232 000000 000000 000000 000000 000000 000000 000000 000000* +L0041280 000000 000000 000000 000000 000000 000000 000000 000000* L0041328 000000 000000 000000 000000 000000 000000 000000 000000* -L0041376 000000 000000 000000 000000 000000 000001 000000 000000* -L0041424 000000 000000 000000 000000 000000 000001 000000 000000* -L0041472 00000000 00000000 00000011 00000011 00000010 00000001 00000011 00000001* -L0041536 00000000 00000000 00010011 00000000 00000010 00000001 00000000 00000010* -L0041600 00000000 00000000 00010001 00000001 00000010 00000000 00000010 00000011* -L0041664 00000000 00000000 10010001 00000001 11100010 00000001 00000011 00000010* -L0041728 00000001 00000000 00010011 00000000 10100010 00000000 00000000 00000010* -L0041792 00000001 00000000 00000011 00000001 00000000 00000000 00000001 00000010* -L0041856 00000000 00000000 01000011 00000011 00100010 00000001 00000011 00000011* -L0041920 00000000 00000000 01000011 00000001 00000011 00000011 00000011 00000011* -L0041984 00000001 00000000 00000011 00000011 00000001 00000011 00000001 00000011* +L0041376 000000 000000 000000 000000 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000000 00000000 00000011 00000001 00000011 00000001 00000000 00000001* +L0041536 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0041600 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* +L0041664 00000000 00000000 00000000 00000011 00000011 00010001 00000001 00000000* +L0041728 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0041792 00000000 00000000 00000001 00000011 00000001 00000000 00000001 00000000* +L0041856 00000001 00000000 00000001 00000011 00000011 00000101 00000011 00000001* +L0041920 00000001 00000000 00000011 00000001 00000011 00000000 00000001 00000001* +L0041984 00000001 00000000 00000011 00000010 00000001 00000011 00000001 00000001* L0042048 000000 000000 000000 000000 000000 000000 000000 000000* -L0042096 000000 000000 000100 000000 000000 000000 000000 000000* -L0042144 000000 000000 001100 000000 000000 000000 000000 000000* -L0042192 000000 000000 000100 000000 000000 000000 000000 000000* -L0042240 000000 000000 000100 000000 100000 000000 000000 000000* -L0042288 000000 000000 001000 000000 100000 000000 000000 000000* -L0042336 00000000 00000000 01000011 00000000 00000010 00000001 11100100 00000001* -L0042400 00000000 00000000 00000011 00000000 10000010 00000000 00000000 00000010* -L0042464 00000000 00000000 00101001 00000000 00000010 00000000 00000000 00000010* -L0042528 00000000 00000000 00101001 00000000 00000010 00000001 00000000 00000010* -L0042592 00000000 00000000 00100010 00000000 00000010 00000000 00000000 00000010* -L0042656 00000000 00000000 11001011 00000000 10000000 00000000 00000100 00000010* -L0042720 00000000 00000000 10001011 00000000 00000010 00000001 00000000 00000011* -L0042784 00000000 00000000 10100010 00000001 01000101 00000001 00000010 00000001* -L0042848 00000000 00000000 10100011 00000001 01000001 00000001 00000000 00000011* -L0042912 000000 000000 001000 000000 000000 000000 000000 000000* -L0042960 000000 000000 001010 000000 000000 000000 100001 000000* -L0043008 000000 000000 000010 000000 000000 000000 000000 000000* -L0043056 000000 000000 010010 000000 000000 000000 000000 000000* -L0043104 000000 000000 010010 000000 000000 000000 000000 000000* -L0043152 000000 000000 010000 000000 000000 000000 000000 000000* -L0043200 00000000 00000000 00000010 00000010 00000000 00000000 00000010 00000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 000000* +L0042144 000001 000000 000000 000000 000000 000000 000000 000000* +L0042192 000000 000000 000000 000000 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000 000000 000000 000000 000000* +L0042288 000000 000000 000000 000000 000000 000000 000000 000000* +L0042336 00000000 00000000 00000011 10000000 00000010 00000000 00000000 00000000* +L0042400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0042464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0042528 00000100 00000000 00000000 01111100 00000001 00000000 00000000 00000000* +L0042592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0042656 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* +L0042720 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000001* +L0042784 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000001* +L0042848 00000101 00000000 00000011 00001100 00000001 00000001 00000001 00000001* +L0042912 000000 000000 000000 000000 000000 000000 000000 000000* +L0042960 000000 000000 000000 000001 000000 000000 000000 000000* +L0043008 000000 000000 000000 000001 000000 000000 000000 000000* +L0043056 000000 000000 000000 000000 000000 000000 000000 000000* +L0043104 000001 000000 000000 101110 000000 000000 000000 000000* +L0043152 000001 000000 000000 000000 000000 000000 000000 000000* +L0043200 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* L0043264 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000010* -L0043328 00000000 00000000 00000000 00000010 00000010 00000010 00000010 00000010* -L0043392 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0043456 00000010 00000000 00000010 00000010 00000000 00000010 00000010 00000000* +L0043328 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00000010* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -855,11 +855,11 @@ L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000011 00000001 00000000 00000010 00000000 00000001* -L0044128 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* -L0044192 00000000 00000000 00000011 00000001 00000000 00000011 00000000 00000001* +L0044064 00000000 00000000 00000011 00000011 00000011 00000000 00000000 00000001* +L0044128 00000000 00000000 00000001 00000001 00000001 00000011 00000000 00000001* +L0044192 00000000 00000000 00000011 00000011 00000011 00000011 00000000 00000001* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000010* +L0044320 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000010* L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -870,56 +870,56 @@ L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000010 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0044992 00000010 00000000 00000000 00000010 00000000 00000010 00000010 00000000* -L0045056 00000010 00000000 00000010 00000010 01000000 00000010 00000000 00000010* -L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000010 00000000 00010000 00000000 00000010 00000010 00000010 00000010* -L0045248 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000000 00000000 00001000 00000000 10000000 00000000 00000000 00000000* +L0044928 00000001 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0044992 00000001 00000000 00000000 00000000 00000010 00000010 00000010 00000000* +L0045056 00000000 00000000 00000010 00000010 00000000 00000010 00000010 00000000* +L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0045184 00000001 00000000 00000000 00000010 00000010 00000010 00000000 00000010* +L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045440 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0045504 000000 000000 000000 000000 010001 000000 000000 000000* +L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045504 000000 000000 000000 000000 000000 000000 000000 000000* L0045552 000000 000000 000000 000000 000000 000000 000000 000000* L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000000 00100000 00000001 00000010 00000000 00000010 00000000* -L0045856 00000001 00000000 00100011 00000001 00000001 00000010 00000001 00000000* -L0045920 00000000 00000000 00000001 00000001 00000010 00000010 00000011 00000000* -L0045984 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* -L0046048 00000001 00000000 00001010 00000001 10100000 00000010 00000010 00000010* +L0045792 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* +L0045856 00000010 00000000 00000001 00000001 00000000 00000010 00000001 00000010* +L0045920 00000010 00000000 00000001 00000011 00000010 00000000 00000001 00000010* +L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000010 00000000 00000010 00000010 00000000 00000010 00000000 00000010* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 01000100 00000000 00100000 00000000 00000000 00000000* -L0046240 00000000 00000000 01000100 00000000 00000000 00000000 00000000 00000000* -L0046304 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 110000 000000 000000 000000 000000 000000* -L0046416 000000 000000 100000 000000 000000 000000 000000 000000* -L0046464 000000 000000 101000 000000 000000 000000 000000 000000* -L0046512 000000 000000 101000 000000 000000 000000 000000 000000* -L0046560 000000 000000 001000 000000 100000 000000 000000 000000* -L0046608 000000 000000 000010 000000 100000 000000 000000 000000* -L0046656 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* -L0046720 00000000 00000000 00000000 00000000 00000000 00000001 00000010 00000010* -L0046784 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000010* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046368 000000 000000 000000 000000 000000 000000 000000 000000* +L0046416 000000 000000 000000 000000 000000 000000 000000 000000* +L0046464 000000 000000 000000 000000 000000 000000 000000 000000* +L0046512 000000 000000 000000 000000 000000 000000 000000 000000* +L0046560 000000 000000 000000 000000 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000 000000 000000 000000 000000* +L0046656 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000010* +L0046720 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000000* +L0046784 00000000 00000000 00000010 00000010 00000000 00000000 00000001 00000000* L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0046976 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0046912 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000000* +L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000001 000000 000000 000000 000000 000000 000000 000000* +L0047280 000000 000000 000000 000000 000000 000000 000000 000000* L0047328 000000 000000 000000 000000 000000 000000 000000 000000* L0047376 000000 000000 000000 000000 000000 000000 000000 000000* L0047424 000000 000000 000000 000000 000000 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000010* -L0047584 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0047648 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* +L0047520 00000000 00000000 00000010 00000001 00000001 00000000 00000000 00000001* +L0047584 00000000 00000000 00000000 00000001 00000001 00000001 00000000 00000001* +L0047648 00000000 00000000 00000010 00000001 00000001 00000001 00000000 00000001* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000000 00000011 00000000 00000000 00000010 00000000 00000000* +L0047776 00000000 00000000 00000000 00000001 00000001 00000011 00000000 00000001* L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -930,101 +930,101 @@ L0048192 000000 000000 000000 000000 000000 000000 000000 000000* L0048240 000000 000000 000000 000000 000000 000000 000000 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000010 00000000 00100010 00000001 00000011 10000000 00000010 00000000* -L0048448 00000010 00000000 00100010 00000010 00000011 00001000 00000000 00000010* -L0048512 00000010 00000000 00000010 00000011 00000000 00000000 00000010 00000100* -L0048576 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000101* -L0048640 00000010 00000000 00001010 00000011 00000000 00000010 00000010 00000110* -L0048704 00000000 00000000 10000100 00000000 00000000 10000000 00000000 00100000* -L0048768 00000000 00000000 10000100 00000000 00000000 01000000 00000000 00100000* -L0048832 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00100000* -L0048896 00000000 00000000 11011100 00000000 00000000 00000000 00000000 00100000* -L0048960 000000 000000 110000 000000 000000 000000 000000 000000* -L0049008 000000 000000 100000 000000 000000 000000 000000 010001* -L0049056 000000 000000 100000 000000 000000 010000 000000 000001* -L0049104 000000 000000 101000 000000 000000 010000 000000 000001* -L0049152 000000 000000 001001 000000 000000 000000 000000 000001* -L0049200 000000 000000 000011 000000 000000 000000 000000 010001* -L0049248 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000011* -L0049312 00000010 00000000 00000011 00000000 00000000 01000000 00000000 00000001* -L0049376 00000000 00000000 00000001 00000000 00000000 01000000 00000010 00000011* -L0049440 00000000 00000000 00010000 00000000 00000000 00001000 00000000 00000000* -L0049504 00000000 00000000 00000011 00000000 00000000 00000010 00000000 00000001* +L0048384 00000010 00000000 00000000 00000010 00010011 10000000 00000011 00000000* +L0048448 00000000 00000000 00000000 00000000 00010011 00100001 00000010 00000000* +L0048512 00000000 00000000 00000010 00000010 10010000 00100001 00000001 00000000* +L0048576 00000000 00000000 00000001 00000001 00010000 00001000 00000000 00000001* +L0048640 00000001 00000000 00000000 00000010 00000000 00000111 00000011 00000000* +L0048704 00000000 00000000 00001100 00000000 00100000 10000000 00000000 00100000* +L0048768 00000000 00000000 00000100 00000000 00100000 00000000 00000000 00000000* +L0048832 00000000 00000000 00000100 00000000 00100000 10000000 00000000 00000000* +L0048896 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0048960 000000 000000 000000 000000 000000 000000 000000 000000* +L0049008 000000 000000 000000 000000 000000 000000 000000 010000* +L0049056 000000 000000 000000 000000 000000 000000 000000 000000* +L0049104 000000 000000 000000 000000 000000 000000 000000 000000* +L0049152 000000 000000 000000 000000 000000 000000 000000 000000* +L0049200 000000 000000 000010 000000 000000 000000 000000 000000* +L0049248 00000000 00000000 00000010 00000000 10000000 00000000 00000010 00000000* +L0049312 00000010 00000000 00000000 00000010 00000000 00001001 00000000 00000010* +L0049376 00000000 00000000 00000010 00000010 00000000 00001001 00000010 00000000* +L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000000 00000000 00000000 00000010 00000100 00000010 00000000 00000010* L0049568 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0049696 00000000 00000000 00010000 00000000 00000000 10100000 00000000 00000000* -L0049760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 100000* -L0049872 000000 000000 000000 010000 000000 000000 000000 000000* +L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049696 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049824 000000 000000 000000 000000 000001 000000 000000 000000* +L0049872 000000 000000 000000 010000 010000 000000 000000 000000* L0049920 000000 000000 000000 010000 000000 000000 000000 000000* L0049968 000000 000000 000000 010000 000000 000000 000000 000000* -L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000000 00000000 00000010 00000011 00000001 00000000 00000000 00010011* +L0050016 000000 000000 000000 010000 000000 000000 000000 000000* +L0050064 000000 000000 001000 000000 000000 000000 000000 000000* +L0050112 00000100 00000000 00000010 00000010 00000000 00000000 00000001 00000010* L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0050240 00000000 00000000 00000000 00000011 00000001 00000000 00000000 00000011* +L0050240 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000010* L0050304 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0050368 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000010* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050368 00000000 00000000 00000010 00000010 00000000 00000010 00000001 00000010* +L0050432 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 000010 000000 000000 000000 000000 000000 000000 010000* +L0050736 000000 000000 000000 000000 000000 000000 000000 010000* L0050784 000000 000000 000000 000000 000000 000000 000000 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000000 00000000 00000001 00000000 00000010 00000010* -L0051040 00000010 00000000 00000001 00000000 00000011 00000000 00000001 00000001* -L0051104 00000010 00000000 00000001 00000000 00000001 00000000 00000011 00000011* -L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0051232 00000010 00000000 00000000 00000000 00000011 00000010 00000010 00000000* +L0050976 00000000 00000000 00000010 00000010 00000000 00000000 00000011 00000010* +L0051040 00000010 00000000 00000001 00000001 00000011 00000000 00000010 00000000* +L0051104 00000010 00000000 00000011 00000011 00000000 00000000 00000001 00000000* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000000 00000000 00000010 00000010 00000010 00000011 00000010* L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 000000 000000 000000 000000* -L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000001 000000 000000 000000 000000 000000 000000 000000* +L0051648 000001 000000 000000 000000 000000 000000 000000 000000* +L0051696 000001 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00000000* -L0051904 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0051968 00000000 00000000 00000000 00000000 00000001 00000001 00000010 00000001* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000000 00000000 00000010 00000001 00000010 00000001 00000000 00000010* -L0052160 10000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00001000 00100000 00000000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000100 000000 000000 000000 000000 000000* +L0051840 00000000 00000000 00001010 00000000 00000010 00000000 00000001 00000001* +L0051904 00000001 00000000 00001000 00000000 00000010 00000000 00000000 00000001* +L0051968 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000001* +L0052032 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0052096 00000001 00000000 00000010 00000000 00000010 00000010 00000001 00000001* +L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0052288 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0052352 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0052416 000000 000000 000001 000000 000000 000000 000000 000000* +L0052464 000000 000000 000000 000000 000000 000000 000000 000000* L0052512 000000 000000 000000 000000 000000 000000 000000 000000* -L0052560 000000 000000 000001 000000 000000 000000 000000 000000* +L0052560 000000 000000 000000 000000 000000 000000 000000 000000* L0052608 000000 000000 000000 000000 000000 000000 000000 000000* -L0052656 000000 000000 000100 000000 000000 000000 000000 000000* -L0052704 00000000 00000000 01100000 00000000 00000000 00000000 00000010 00000000* -L0052768 00000000 00000010 00100000 00000000 00000000 00000001 00000000 00000000* -L0052832 00000000 00000000 00100001 00000000 00000000 00000001 00000010 00000000* -L0052896 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0052960 00000000 00000000 00101000 00000000 00000000 00000001 00000010 00000010* -L0053024 00000000 00000000 01000000 00000000 00000000 00000000 00010000 00000000* -L0053088 00000000 00000000 00000100 00000000 00010000 00000000 00000000 00000000* -L0053152 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* -L0053280 000000 000000 111000 000000 000000 000000 000000 000000* -L0053328 000000 000000 100000 000000 000000 000000 000000 000000* -L0053376 000000 000000 100000 000000 000000 000000 000000 000000* -L0053424 000000 000000 101010 000000 000000 000000 000000 000000* -L0053472 000000 000000 001010 000000 000000 000000 000000 000000* -L0053520 000000 000000 010010 000000 000000 000000 000000 000000* -L0053568 00000010 00000000 00000010 00000010 00000000 00000000 00000000 00000010* -L0053632 00000010 00000000 00000000 00000001 00000011 00000011 00000001 00000011* -L0053696 00000010 00000000 00000000 00000011 00000000 00000011 00000001 00000010* +L0052656 000000 000000 000000 000000 000000 000000 000000 000000* +L0052704 00000000 00000000 00100010 00000000 00000000 00000000 00000011 00000010* +L0052768 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052832 00000000 00000000 00000000 00000000 00000000 00000000 00000011 00000000* +L0052896 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0052960 00000000 00000000 10010010 00000010 00000000 00000000 00000011 00000010* +L0053024 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0053088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 000000 000000 000000 000000 000000 000000* +L0053328 000000 000000 001001 000000 000000 000000 000000 000000* +L0053376 000000 000000 000001 000000 000000 000000 000000 000000* +L0053424 000000 000000 001001 010000 000000 000000 000000 000000* +L0053472 000000 000000 000001 000000 000000 000000 000000 000000* +L0053520 000000 000000 000001 000000 000000 000000 000000 000000* +L0053568 00000000 00000000 00000010 00000001 00000000 00000000 00000011 00000010* +L0053632 00000000 00000000 00000011 00000001 00000011 00000011 00000000 00000000* +L0053696 00000000 00000000 00000011 00000000 00000001 00000011 00000010 00000000* L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000010 00000000 00000011 00000010 00000011 00000011 00000010 00000011* +L0053824 00000010 00000000 00000010 00000011 00000010 00000011 00000010 00000010* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1035,116 +1035,116 @@ L0054240 000000 000000 000000 000000 000000 000000 000000 000000* L0054288 000000 000000 000000 000000 000000 000000 000000 000000* L0054336 000000 000000 000000 000000 000000 000000 000000 000000* L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000000 00000000 00000000 00000010 00000010 00000000 00000011 00000010* -L0054496 00000000 00000000 00000010 00000000 00000010 00000000 00000001 00000000* -L0054560 00000000 00000000 00000010 00000010 00000010 00000011 00000000 00000000* +L0054432 00000001 00000000 00000010 00000010 00000001 00000000 00000110 00000010* +L0054496 00000001 00000000 00000000 00000000 00000011 00000000 00000000 00000010* +L0054560 00000000 00000000 00000000 00000010 00000011 00000000 00000010 00000010* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000010 00000000 00000010 00000010 00000000 00000011 00000011 00000010* +L0054688 00000001 00000000 00000010 00000010 00000011 00000010 00000000 00000010* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000000 000000 001000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000010 000000* L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 001000 000000 000000* -L0055200 000000 000000 000000 000000 000000 001000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000010 00000000 00000100 00000010 00000010 00000000 00000010 00000000* -L0055360 00000000 00000000 00000000 00000010 00000010 00000000 00000010 00000000* -L0055424 00000010 00000000 00000110 00000010 00000000 00000010 00000000 00000000* -L0055488 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0055552 00000010 00000000 00000100 00000011 00000000 00000010 00000010 00000010* -L0055616 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0055680 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0055808 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 100100 000000 000000 000000 000000 000000* -L0055920 000000 000000 100011 000000 000000 000000 000000 000000* -L0055968 000000 000000 100011 000000 000000 000000 000000 000000* -L0056016 000000 000000 100010 000000 000000 000000 000000 000000* -L0056064 000000 000000 000011 000000 000000 000000 000000 000000* -L0056112 000000 000000 000011 000000 000000 000000 000000 000000* -L0056160 00000000 00000010 00000000 00000011 00000000 00000000 00000010 00000001* -L0056224 00000010 00000000 10000000 00000000 00000000 00000001 00000010 00000011* -L0056288 00000010 00000000 00000000 00000011 00000001 00000001 00000000 00000001* -L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000010 00000000 00000011 00000000 00000011 00000010 00000011* +L0055296 00000000 00000000 00000010 00000010 00000011 10000100 00000000 00000010* +L0055360 00000000 00000000 00000000 00000000 00000010 00100000 00000000 00001010* +L0055424 00000000 00000000 00000000 00000010 00000000 00100000 00000000 00000010* +L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055552 00000000 00000000 00000010 00000010 00000001 00000010 00000000 00000011* +L0055616 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0055680 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055872 000000 000000 000000 000000 000000 000000 000000 000000* +L0055920 000000 000000 000000 000000 000000 000000 000000 000000* +L0055968 000000 000000 000000 000000 000000 010000 000000 000000* +L0056016 000000 000000 000000 000000 000000 000000 000000 000000* +L0056064 000000 000000 000000 000000 000000 000000 000000 000000* +L0056112 000000 000000 000000 000000 000000 000000 000000 000000* +L0056160 00000000 00000000 00000010 00000001 00000000 00100000 00000011 00001011* +L0056224 00000000 00000000 00000000 00000001 00000000 00001010 00000000 00000010* +L0056288 00000000 00000000 00000001 00000001 00000001 00001010 00000011 00000010* +L0056352 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0056416 00000010 00000000 00000010 00000011 10001000 00000010 00000011 00000011* L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0056608 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 000000 010000 000000 000000* L0056832 000000 000000 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* -L0056928 000000 000000 000000 000000 000000 000000 000000 000000* -L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00000000 00000010 00000000 00000001 00000010 00000010* -L0057088 00000010 00000000 00000000 00000000 00000010 00000001 00000010 00000010* -L0057152 00000010 00000000 00100011 00000010 00000000 00000001 00000001 00100110* -L0057216 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0057280 00000000 00000000 00000000 00000010 00000010 00000011 00000010 00100110* -L0057344 00010000 00000000 10001100 00000000 00000000 00000000 00000000 00100000* -L0057408 00000000 00000000 01000000 00001000 00000000 00000000 00000000 01010100* -L0057472 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00100000* -L0057536 00000000 00000000 01000000 00000000 00000000 00000000 00000000 01000100* -L0057600 000000 000000 110000 000000 000000 000000 000000 000001* -L0057648 000000 000000 010010 100000 000000 000000 000000 010000* -L0057696 000000 000000 100000 000000 000000 000000 000000 000001* -L0057744 000000 000000 010010 000000 000000 000000 000000 010100* -L0057792 000000 000000 000001 000000 000000 000000 000000 001001* -L0057840 000000 000000 010010 000000 000000 000000 000000 010000* -L0057888 00000000 00000000 00011000 00000010 00000000 00000001 00000000 01000001* -L0057952 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000011* -L0058016 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00010011* -L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058144 00000011 00000000 10000000 00000010 00000000 00000011 00000010 00000011* -L0058208 00000000 00000000 00100000 10001000 00000000 00000000 00000000 00000100* -L0058272 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0056928 000000 000000 000000 010000 000000 000000 000000 000000* +L0056976 000000 000000 000000 000000 000011 000000 000000 000000* +L0057024 00000000 00000000 10001000 00000010 00000010 00000000 00000010 00000000* +L0057088 00000001 00000000 00000110 00000000 00000010 00010000 00000010 00000000* +L0057152 00000000 00000000 10000000 00000010 00000010 00000000 00000001 00000000* +L0057216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0057280 00000011 00000000 10000010 00000010 00000010 00000000 00000010 00000000* +L0057344 00000000 00000000 00000100 00010000 00000000 00000000 00000000 00100000* +L0057408 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00001000* +L0057472 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* +L0057536 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0057600 000000 000000 000000 000000 000000 000000 000000 000001* +L0057648 000000 000000 000100 010000 000000 000000 000000 010000* +L0057696 000000 000000 000001 010000 000000 100000 000000 000000* +L0057744 000000 000000 000000 010000 000000 001100 000000 000000* +L0057792 000000 000000 000001 010000 000000 000000 000000 000000* +L0057840 000000 000000 000000 000000 000000 000001 000000 000000* +L0057888 00000000 00000000 00010100 00000011 00000000 00010000 00000110 00001010* +L0057952 00000000 00000000 00000010 00000000 00000010 01000000 00000010 10001010* +L0058016 00000000 00000000 00000011 00000010 00000001 00000000 00000000 10000010* +L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0058144 00000010 00000000 00000010 00000011 00000010 00000000 00000010 00000010* +L0058208 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058272 00000000 00000000 00010000 00000000 00000000 00100000 00000000 00000000* L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000000 000000 000000 000000 000000 000100* -L0058560 000000 000000 000000 000000 000100 000000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 000000 100000* -L0058656 000000 000000 000000 000000 000000 000000 000000 000000* +L0058512 000000 000000 000010 000000 000000 000000 000010 000000* +L0058560 000000 000000 000000 000000 000100 000001 000000 000000* +L0058608 000000 000000 000000 000000 000000 000000 000000 000010* +L0058656 000000 000000 000000 000000 000000 001001 000000 000000* L0058704 000000 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00100000 00000000 00000000 00000000 00000001 00000001* -L0058816 00000000 00000000 00101001 00000000 00000000 00000000 00000000 00000000* -L0058880 00000000 00000000 00001001 00000000 00000000 00000000 00000000 00000001* -L0058944 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000001* -L0059072 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0059200 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0059264 00000000 00000000 01001000 00000000 00000000 00000000 00000000 01000000* -L0059328 000000 000000 010000 000000 000000 000000 000000 010000* -L0059376 000000 000000 011000 000000 000000 000000 000000 000000* -L0059424 000000 000000 010000 000000 000000 000000 000000 000000* -L0059472 000000 000000 011000 000000 000000 000000 000000 000000* -L0059520 000000 000000 011000 000000 000000 000000 000000 000000* -L0059568 000000 000000 010000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00000001 00000000 00000000 00000000 00000001 01000000* -L0059680 00000000 00000000 00000000 00000010 00000001 00000000 00000000 00000000* -L0059744 00000000 00000000 00000001 00000010 00000000 00000001 00000001 00000000* -L0059808 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000000 00100000 00000000 00000001 00000001 00000001 00000000* +L0058752 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0058816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058880 00000000 00000000 00000000 00000000 00000000 01010000 00000001 00000000* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059328 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059424 000000 000000 000000 000000 000000 000000 000000 000000* +L0059472 000000 000000 000000 000000 000000 000000 000000 000000* +L0059520 000000 000000 000000 000000 000000 000001 000000 000000* +L0059568 000000 000000 000000 000000 000000 000000 000000 000000* +L0059616 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000000* +L0059680 00000001 00000000 00000000 00000000 00000000 00000001 00000010 00000001* +L0059744 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000000 00000000 00000000 00000000 00000000 00000001 00000011 00000000* L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060192 000000 000000 001000 000000 000000 000000 000000 000000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000000* L0060240 000000 000000 000000 000000 000000 000000 000000 000000* L0060288 000000 000000 000000 000000 000000 000000 000000 000000* L0060336 000000 000000 000000 000000 000000 000000 000000 000000* L0060384 000000 000000 000000 000000 000000 000000 000000 000000* L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000011 00000000 00000010 00000000 00000010 00000000 00000000 00000000* -L0060544 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0060608 00000001 00000000 00000010 00000000 00000011 00000001 00000001 00000001* +L0060480 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000001* +L0060544 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000000* +L0060608 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000001* L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000001 00000000 00000001 00000000 00000000 00000001 00000000 01000000* +L0060736 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000001* L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1152,59 +1152,59 @@ L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0061056 000000 000000 000000 000000 000000 000000 000000 000000* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* -L0061200 000000 000000 000000 000001 000000 000000 000000 000000* +L0061200 000000 000000 000000 000000 000000 000000 000000 000000* L0061248 000000 000000 000000 000000 000000 000000 000000 000000* L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000010* -L0061408 00000000 00000000 00000000 00000000 00000011 00000001 00000001 00000000* -L0061472 00000000 00000000 00000010 00000100 00000000 00000001 00000010 00000110* -L0061536 00000000 00000000 00000000 10011000 00000000 00000000 00000000 00000100* -L0061600 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* -L0061664 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* +L0061344 00000001 00000000 00000010 00000000 00000001 00000000 00000101 00000010* +L0061408 00000001 00000000 00000000 00000000 00000010 00000001 00000001 00000000* +L0061472 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000001 00000000 00000000 00000000 00000001 00000001 00000001 00000000* +L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000001 000000 000000 000000 000000* -L0061968 000000 000000 000000 101000 001000 000000 000000 000000* -L0062016 000000 000000 000000 000000 000000 000000 000000 000001* -L0062064 000000 000000 000000 000000 000000 000000 000000 000001* -L0062112 000000 000000 000000 000100 000000 000000 000000 000000* -L0062160 000000 000000 000000 000001 000000 000000 000000 010000* -L0062208 00000000 00000000 00000001 00000000 00100100 00000000 00000000 00000000* -L0062272 00000001 00000000 00010000 00000000 01110000 00000011 00000000 01000001* -L0062336 00000001 00000000 00010001 00000000 01100000 00000011 00000001 01000001* -L0062400 00000000 00000000 10010000 00000000 01100000 00000000 00000000 01000000* -L0062464 00000001 00000000 00010000 00000000 01100010 00000011 00000000 01000001* -L0062528 00000000 00000000 00001000 00010000 01000000 00000000 00000000 10000000* -L0062592 00000000 00000000 01001000 00000000 01000000 00000000 00000000 11010000* -L0062656 00000000 00000000 01000000 00000000 01000000 00000000 00000000 10010000* -L0062720 00000000 00000000 00000000 00000000 11000000 00000000 00000000 10000000* -L0062784 000000 000000 000000 000000 010000 000000 001000 100000* -L0062832 000000 000000 000100 010000 000000 000000 000000 000000* -L0062880 000000 000000 001100 010000 100000 000000 000000 000001* -L0062928 000000 000000 000101 010000 100000 000000 000000 000001* -L0062976 000000 000000 000100 000000 100001 000000 000000 000001* -L0063024 000000 000000 001100 000000 100000 000000 000000 100001* -L0063072 00000000 00000000 01000001 00000001 01000000 00000001 00000001 00000001* -L0063136 00000010 00000000 00001000 00000011 00000011 00000010 00000000 00000001* -L0063200 00000000 00000000 00100001 00000010 00000010 00000000 00000001 00100001* -L0063264 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00100000* -L0063328 00000001 00000000 00100100 00000001 00000001 00000001 00000000 00000001* -L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0063456 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0063584 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* -L0063648 000000 000000 001000 000000 100000 000000 000000 000000* -L0063696 000000 000000 010000 000000 100001 000000 000000 001000* -L0063744 000000 000000 010000 000000 000000 000000 000000 000000* -L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0061920 000000 000000 000000 000000 000000 000000 000000 000000* +L0061968 000000 000000 000000 000000 000000 000000 000010 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000000* +L0062064 000000 000000 000000 000000 000000 000000 000000 000000* +L0062112 000000 000000 000000 000000 000000 000000 000000 000000* +L0062160 000000 000000 000000 000000 000000 000000 000000 000000* +L0062208 00000000 00000000 00001000 00000001 00000000 00000000 00000000 00000000* +L0062272 00000000 00000000 00001001 00000000 00000001 00000001 00000000 00000000* +L0062336 00000000 00000000 00000001 00000001 00000000 00000001 00000001 00000000* +L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062464 00000001 00000000 00000001 00000001 00000001 00000001 00000000 00000000* +L0062528 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0062720 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0062784 000000 000000 000001 000000 000000 000000 000000 000000* +L0062832 000000 000000 000000 000000 000000 000000 000000 000000* +L0062880 000000 000000 000000 000000 000000 000000 000000 000000* +L0062928 000000 000000 000000 000000 000000 000000 000000 000000* +L0062976 000000 000000 000000 000000 000000 000000 000000 000000* +L0063024 000000 000000 000010 000000 000000 000000 000000 000000* +L0063072 00000000 00000000 00100000 00000000 00000000 00000000 00000101 00000000* +L0063136 00000000 00000000 00010000 00000000 00000010 00000001 00000000 00000000* +L0063200 00000000 00000000 00010000 00000000 00000010 00000000 00000001 00000000* +L0063264 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000000 00000000 10010000 00000000 00000000 00000001 00000000 00000000* +L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 000001 010000 000000 000000 000010 000000* +L0063744 000000 000000 000001 000000 000000 000000 000000 000000* +L0063792 000000 000000 000001 000000 000000 000000 000000 000000* L0063840 000000 000000 000001 000000 000000 000000 000000 000000* L0063888 000000 000000 000001 000000 000000 000000 000000 000000* -L0063936 00000000 00000001 00000000 00000001 00000000 00000000 00000011 00000001* -L0064000 00000001 00000000 00000010 00000000 00000010 00000000 00000011 00000001* -L0064064 00000001 00000000 00000010 00000001 00000010 00000000 00000000 00000001* -L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064192 00000001 00000000 00000000 00000001 00000000 00000000 00000011 01000001* +L0063936 00000011 00000000 00000000 00000011 00100000 00000001 00000000 00000000* +L0064000 00000010 00000000 00000000 00000011 00000000 00000010 00000000 00000000* +L0064064 00000000 00000000 00000000 00000001 00000000 00000011 00000000 00000100* +L0064128 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* +L0064192 00000010 00000000 00000000 00000011 00000000 00000011 00000000 00000000* L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1214,27 +1214,27 @@ L0064560 000000 000000 000000 000000 000000 000000 000000 000000* L0064608 000000 000000 000000 000000 000000 000000 000000 000000* L0064656 000000 000000 000000 000000 000000 000000 000000 000000* L0064704 000000 000000 000000 000000 000000 000000 000000 000000* -L0064752 000000 000000 000000 000000 000000 000000 000000 000000* -L0064800 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0064864 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000000* -L0064928 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* +L0064752 000000 000000 000000 000000 000000 000000 000000 000001* +L0064800 00000000 00000000 00000000 00000001 00000000 00000000 00000100 00000001* +L0064864 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0064928 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000001* L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000100* -L0065120 00000000 00000000 00000000 00010000 00010000 00001000 00000000 00000000* +L0065056 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000101* +L0065120 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 000000 000001* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 000000 000000 000000 000000 000001* +L0065424 000000 000000 000000 000000 000000 000000 000010 000000* L0065472 000000 000000 000000 000000 000000 000000 000000 000000* L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000000 000000 000000 000000 000000 000001* -L0065616 000000 000000 000000 000000 000000 000000 000000 000001* -L0065664 00000000 00000000 00000010 00000001 00000011 00000000 00000000 00000000* -L0065728 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0065792 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000000* +L0065568 000000 000000 000000 000000 000000 000000 000000 000000* +L0065616 000000 000000 000000 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0065728 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0065792 00000000 00000000 00000000 00000001 00000001 00000001 00000000 00000000* L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000000 00000000 00000010 00000001 00000011 00000001 00000000 00000000* +L0065920 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000000* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1245,57 +1245,57 @@ L0066336 000000 000000 000000 000000 000000 000000 000000 000000* L0066384 000000 000000 000000 000000 000000 000000 000000 000000* L0066432 000000 000000 000000 000000 000000 000000 000000 000000* L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066976 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0067040 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 001000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000000 000000 000000 000000 000000* +L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 000000 000000 000000 000000 000000 000000 000010 000000* L0067200 000000 000000 000000 000000 000000 000000 000000 000000* L0067248 000000 000000 000000 000000 000000 000000 000000 000000* L0067296 000000 000000 000000 000000 000000 000000 000000 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00011010 00000010 00000010 10001010 00000010 00000010* -L0067456 00000000 00000000 00000010 00000000 00000010 00000010 00000000 00000010* -L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* -L0067648 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00010000* -L0067712 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* -L0067776 00000010 00000010 00000010 00000010 00000010 01000010 00000010 00000010* -L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067968 000000 000000 000000 000000 000000 000000 001000 000000* +L0067392 00000010 00000000 00000010 00000010 00010010 10000010 00000010 00000010* +L0067456 00000000 00000000 00000010 00000000 00010010 00100110 00000000 00000010* +L0067520 00000000 00000000 00000000 00000000 00010000 00100000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067712 00000000 00000000 00001000 00000000 00100000 10000000 00000000 00000000* +L0067776 00000010 00000010 00000110 00000010 00100010 00000010 00000010 00000010* +L0067840 00000000 00000000 00100100 00000000 00100000 00000000 00000000 00000000* +L0067904 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* +L0067968 000000 000000 001000 000000 000000 000000 000000 000000* L0068016 000000 000000 000000 000000 000000 000000 000000 000000* L0068064 000000 000000 000000 000000 000000 010000 000000 000000* -L0068112 000000 000000 000000 000000 000000 010000 000000 000000* +L0068112 000000 000000 000000 000000 000000 000000 000000 000000* L0068160 000000 000000 000000 000000 000000 000000 000000 000000* -L0068208 000000 000000 000000 000000 000000 000000 000000 000000* -L0068256 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 00100000 00000000 00000000 01000000 00000000 00000000* -L0068384 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0068448 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0068512 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 01000000 00000000 00000000 01000000 00000000 00100000* -L0068640 00000000 00000000 10001100 00000000 00000000 00100000 00100000 01010000* -L0068704 00000000 00000000 01000000 00000000 10000000 10100000 00000000 00010000* -L0068768 00000000 00000000 10000100 00000000 10000000 00100000 00000000 00000000* -L0068832 000000 000000 000000 000000 000000 000000 000000 000000* -L0068880 000000 000000 100000 000000 100000 010000 000000 010000* -L0068928 000000 000000 010010 010000 100000 000000 000000 000000* -L0068976 000000 000000 100000 010000 100000 000000 000000 000000* -L0069024 000000 000000 010010 000000 100000 000000 000000 000000* -L0069072 000000 000000 000001 000000 100000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0068208 000000 000000 001010 000000 000000 000000 000000 000000* +L0068256 00000000 00000000 00101000 10000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00001000 00000000 00000000 00001000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0068448 00000000 00000000 00010000 00000000 00000100 00001100 00000000 00000000* +L0068512 00000000 00000000 10010000 00000000 10001000 00000000 00000000 00000000* +L0068576 00000000 00000000 00100000 00000000 10000000 00000000 00000000 00000000* +L0068640 00000000 00000000 00101000 00000000 00000000 01000000 00000000 00000000* +L0068704 00000000 00000000 00001000 00000000 00000000 11000000 00000000 00000000* +L0068768 00000000 00000000 00000100 00000000 00000100 00000000 00000000 00000000* +L0068832 000000 000000 000001 000000 000000 000000 000000 000000* +L0068880 000000 000000 001001 000001 000000 010000 000000 000000* +L0068928 000000 000000 001001 000001 000000 000000 010000 000000* +L0068976 000000 000000 001001 000000 000000 000000 000000 000000* +L0069024 000000 000000 001001 000000 000000 000000 000000 000000* +L0069072 000000 000000 000001 000000 000011 000000 000000 000000* +L0069120 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069440 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1305,19 +1305,19 @@ L0069792 000000 000000 000000 000000 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000000 000000 000000 000000 000000* -L0070656 000000 000000 000000 000000 000000 000000 000000 000000* -L0070704 000000 000000 000000 000000 000000 100000 000000 000000* +L0070608 000001 000000 000000 000000 000000 000000 000000 000000* +L0070656 000001 000000 000000 000000 000000 000000 000000 000000* +L0070704 000001 000000 000000 000000 000000 000000 000000 000000* L0070752 000000 000000 000000 000000 000000 000000 000000 000000* L0070800 000000 000000 000000 000000 000000 000000 000000 000000* L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1331,12 +1331,12 @@ L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071424 000000 000000 000000 000000 000000 000000 000000 000000* L0071472 000000 000000 000000 000000 000000 000000 000000 000000* -L0071520 000000 000000 000000 000000 000000 000000 000000 000000* +L0071520 000000 000000 000000 000000 000000 000000 000000 000010* L0071568 000000 000000 000000 000000 000000 000000 000000 000000* L0071616 000000 000000 000000 000000 000000 000000 000000 000000* L0071664 000000 000000 000000 000000 000000 000000 000000 000000* L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071776 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1345,8 +1345,8 @@ L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072288 000000 000000 000000 000000 000000 000000 000000 000000* -L0072336 000000 000000 000000 100000 000000 000000 000000 000000* -L0072384 000000 000000 000000 000000 000000 000000 000000 000000* +L0072336 000000 000000 000000 000000 000000 000000 000000 000000* +L0072384 000000 000000 000000 000000 100000 000000 000000 000000* L0072432 000000 000000 000000 000000 000000 000000 000000 000000* L0072480 000000 000000 000000 000000 000000 000000 000000 000000* L0072528 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1355,31 +1355,31 @@ L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072960 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073152 000000 000000 000000 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000000 000001 000000 010000 000000 000010* -L0073296 000000 000000 000000 000000 000000 010000 000000 000000* +L0073248 000000 000000 000000 000000 000000 000000 000000 000000* +L0073296 000000 000000 000000 000000 000000 000000 000000 000000* L0073344 000000 000000 000000 000000 000000 000000 000000 000000* L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0073440 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000000 10111100 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0073760 00000000 00000000 00000000 00001000 00001000 00100000 00000000 00000000* -L0073824 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073632 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0073696 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* -L0074016 000000 000000 000000 000001 000000 000000 000000 000000* -L0074064 000000 000000 000000 101000 000000 000000 000000 000010* -L0074112 000000 000000 000000 000000 000000 000000 000000 000000* -L0074160 000000 000000 000000 000000 000000 000000 000000 000000* -L0074208 000000 000000 000000 001111 000000 000000 000000 000000* -L0074256 000000 000000 000000 000001 000000 000000 000000 000000* +L0073952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074016 000000 000000 000000 000000 000000 000000 000000 000000* +L0074064 000000 000000 000001 000000 000000 000000 000010 000100* +L0074112 000000 000000 000001 000000 000000 000000 000000 000000* +L0074160 000000 000000 000001 000000 000000 000000 000000 000000* +L0074208 000000 000000 000001 000000 000000 000000 000000 000000* +L0074256 000000 000000 000001 000000 000000 000000 000000 000000* L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1390,28 +1390,28 @@ L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074880 000000 000000 000000 000000 000000 000000 000000 000000* -L0074928 000000 000000 000000 000000 000000 000010 000000 000000* +L0074928 000000 000000 000000 000000 000000 000000 000000 000000* L0074976 000000 000000 000000 000000 000000 000000 000000 000000* L0075024 000000 000000 000000 000000 000000 000000 000000 000000* L0075072 000000 000000 000000 000000 000000 000000 000000 000000* L0075120 000000 000000 000000 000000 000000 000000 000000 000000* -L0075168 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0075168 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075296 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 001000 000000 000000 000000 000000 000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* L0075792 000000 000000 000000 000000 000000 000000 010000 000000* L0075840 000000 000000 000000 000000 000000 000000 000000 000000* L0075888 000000 000000 000000 000000 000000 000000 000000 000000* L0075936 000000 000000 000000 000000 000000 000000 000000 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* -L0076032 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1420,295 +1420,295 @@ L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076608 000000 000000 000000 000000 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000100* -L0076704 000000 000000 000000 000000 000000 000000 000000 000100* +L0076656 000000 000000 000000 000000 000000 000000 000000 000000* +L0076704 000000 000000 000000 000000 000000 000000 000000 000000* L0076752 000000 000000 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 000000* L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076896 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077216 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077088 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00010000 00010000 00000000 00000000 00000000* L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077408 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 000000 000000 000000 000000 000000 000000 000000 000000* -L0077568 000000 000000 000000 000000 000000 000000 000000 000000* -L0077616 000000 000000 000000 000000 000000 000000 000000 000100* -L0077664 000000 000000 000000 000000 000000 001000 000000 000100* -L0077712 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000001 000000 000000 000000 000000 000000* +L0077568 000000 000000 000001 000000 000000 000000 000000 000000* +L0077616 000000 000000 000001 000000 000000 000000 000000 000000* +L0077664 000000 000000 000001 000000 000000 000000 000000 000000* +L0077712 000000 000000 000001 000000 000000 000000 000000 000000* L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 000000 000000 000010* +L0078384 000000 000000 000000 000000 000000 000000 000000 000000* L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000001 000000 000000 000000 000000 000010* +L0078480 000000 000000 000000 000000 000000 000000 000000 000000* L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000100 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 01101100 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 111000 000000 000000 000000 000000 000000* -L0079248 000000 000000 101010 000000 000000 000000 000000 000000* -L0079296 000000 000000 100010 000000 000000 000000 010000 000010* -L0079344 000000 000000 111000 000000 000000 000000 000000 000000* -L0079392 000000 000000 011000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000010 000000 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 000010 000000* +L0079296 000000 000000 000000 000000 000000 000000 000000 000000* +L0079344 000000 000000 000000 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00010000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 001110 000000 000000 000000* -L0080160 000000 000000 000000 000000 010000 000000 000000 000000* +L0080112 000000 000000 000000 000000 000000 000000 000000 000100* +L0080160 000000 000000 000000 000000 000000 000000 000000 000000* L0080208 000000 000000 000000 000000 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 01000000 00000000 00000000 00000000 11111100 00000000* +L0080352 00000000 00000000 00100000 00000000 00000000 00000000 00001000 00000000* L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080480 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0080544 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* -L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0080736 00000000 00000000 00000000 00000000 00011000 00000100 00000000 00000000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 001000 000000 000000 000001 000000 000000* -L0080976 000000 000000 000000 000000 000000 000000 100011 000000* -L0081024 000000 000000 000000 000000 001110 000010 000000 000000* -L0081072 000000 000000 000000 000000 000000 000000 000000 000000* -L0081120 000000 000000 000000 000000 000000 000000 000000 000000* -L0081168 000000 000000 000000 000000 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0081280 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* -L0081600 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 000000 000000 000000 000000* -L0081840 000000 000000 000000 000000 000000 000101 000000 000000* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080544 00000000 00000000 00010000 00000000 00000000 00000000 00100100 00000000* +L0080608 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0080864 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0080928 000000 000000 000000 000000 000000 000000 000000 000000* +L0080976 000000 000000 000001 000000 000000 000000 000000 000000* +L0081024 000000 000000 000001 000000 000000 000000 000000 000000* +L0081072 000000 000000 000001 000000 000000 000000 000000 000000* +L0081120 000000 000000 000001 000000 000000 001000 000010 000000* +L0081168 000000 000000 000001 000000 000000 000000 000001 000000* +L0081216 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* +L0081280 00000000 00000000 00001000 00000000 00000000 01000000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 10000000 00000000 10000000 00000000* +L0081600 00000000 00000000 00001000 00000000 10000000 00000000 01010000 00000000* +L0081664 00000000 00000000 00001000 00000000 00000000 00100000 00000000 00000000* +L0081728 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000001 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 100100 010000 000010* L0081888 000000 000000 000000 000000 000000 100000 000000 000000* -L0081936 000000 000000 000000 000000 000000 100100 000000 000000* +L0081936 000000 000000 000000 000000 000000 000100 000000 000000* L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 10000000 00000000 00000000 00000000 00000000 00100000 00000000 00000100* +L0082032 000000 000000 000000 000000 000011 000000 000000 000000* +L0082080 00000000 00000000 00100000 00000000 00000000 01000000 00000000 00000000* L0082144 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0082208 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* -L0082272 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* -L0082336 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00100100* -L0082400 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0082464 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00100000* -L0082528 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00100000* -L0082592 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00100100* -L0082656 000000 000000 000000 000000 000000 000001 000000 000000* -L0082704 000000 000000 000000 000000 000000 101000 001000 000001* -L0082752 000000 000000 000000 000000 000000 000001 000000 010001* -L0082800 000000 000000 000000 000000 000000 001001 000000 000001* -L0082848 000000 000000 000000 000000 000000 000001 000000 000001* -L0082896 000000 000000 000000 000000 000000 000001 000000 010001* -L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082208 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0082272 00000000 00000000 00010000 00000000 00000000 00010000 00000000 00000000* +L0082336 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0082400 00000000 00000000 00100000 00000000 00000000 00110100 01010000 00000000* +L0082464 00000000 00000000 00000000 00000000 00000000 00000100 10000000 00000000* +L0082528 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0082592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082656 000000 000000 000000 000000 000000 000000 000000 000000* +L0082704 000000 000000 000001 000000 000000 001001 000000 000000* +L0082752 000000 000000 001001 010000 000000 000000 010000 000010* +L0082800 000000 000000 000001 000000 000000 001000 000000 000000* +L0082848 000000 000000 000001 000000 000000 000001 000000 000000* +L0082896 000000 000000 000001 000000 000000 000001 000000 000000* +L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083136 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0083264 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 000000 000000 000000 000000 000000 000000 000000 000000* +L0083568 000000 000000 000000 000000 000000 000000 000001 000000* L0083616 000000 000000 000000 000000 000000 000000 000000 000000* -L0083664 000000 000000 000000 000000 000000 100000 000000 000000* -L0083712 000000 000000 000000 000000 000000 000000 000000 000000* +L0083664 000000 000000 000000 000000 000000 000000 000000 000000* +L0083712 000000 000000 000000 000000 000011 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00000000 00000000 00000000 11110100 00000000* -L0083872 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0083936 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0084000 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0084064 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0084192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084384 000000 000000 000000 000000 000000 000001 000000 000000* -L0084432 000000 000000 000000 000000 000000 000000 100001 000000* -L0084480 000000 000000 000000 000000 000000 000011 000000 000000* -L0084528 000000 000000 000000 000000 000000 000001 000000 000000* -L0084576 000000 000000 000000 000000 000000 000001 000000 000000* -L0084624 000000 000000 000000 000000 000000 000001 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* -L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0083808 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083936 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0084000 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00000000 00001000 00000100 00000000* +L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084256 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0084320 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0084384 000000 000000 000000 000000 000000 000000 000000 000000* +L0084432 000001 000000 000000 000000 000000 000000 000000 000000* +L0084480 000001 000000 000000 000000 000000 000000 000000 000000* +L0084528 000001 000000 000000 000000 000000 000000 000001 000000* +L0084576 000000 000000 000000 000000 000000 000000 000000 000000* +L0084624 000000 000000 000000 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0084736 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0084800 00000000 00000000 00000000 00000000 00010100 00000000 00000000 00000000* +L0084864 00000000 00000000 00000000 00000000 00010100 00000000 00000000 00000000* +L0084928 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0084992 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000000 00100100 00001000 00000000 00000000* +L0085120 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0085184 00000000 00000000 00100000 00000000 00100100 00000000 00000000 00000000* +L0085248 000000 000000 001000 000000 000001 000000 000000 000000* L0085296 000000 000000 000000 000000 000000 000000 000000 000000* -L0085344 000001 000000 000000 000000 000000 000000 000000 100000* -L0085392 000000 000000 000100 000000 000000 000000 000000 000000* +L0085344 000000 000000 000000 000000 000000 000000 000000 000000* +L0085392 000000 000000 000010 000000 000000 000000 000000 000000* L0085440 000000 000000 000000 000000 000000 000000 000000 000000* -L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00010000* -L0085600 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0085664 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0085728 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0085856 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0085488 000000 000000 000000 000000 000001 000000 000000 000000* +L0085536 00000000 00000000 00000000 01110100 00000000 00000000 00000000 00000000* +L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085664 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085856 00000000 00000000 00001000 00000100 00000000 00000000 01000000 00000000* L0085920 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0085984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01000000* -L0086048 00000000 00000000 01101100 00000000 00000000 00000000 00000000 01000000* -L0086112 000000 000000 111000 000000 000000 000000 000000 010000* -L0086160 000001 000000 100000 000000 000000 000000 000000 000000* -L0086208 000000 000000 100000 000000 000000 000000 000000 000101* -L0086256 000000 000000 101000 000000 000000 000000 000000 000101* -L0086304 000000 000000 001000 000000 000000 000000 000000 000101* -L0086352 000000 000000 000010 000000 000000 000000 000000 000001* -L0086400 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00010000* -L0086592 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00010000* -L0086720 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 01000100 00001000 00000000 00010000* -L0086848 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00010000* -L0086912 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 010001 000000 000000 000000* -L0087024 000000 000000 000000 000000 100000 000000 000000 001000* -L0087072 000000 000000 000100 000000 000000 000000 000000 001000* -L0087120 000000 000000 000000 000000 000000 000000 000000 001000* +L0085984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 000000 000000* +L0086160 000010 000000 000000 101100 000000 000000 000000 000000* +L0086208 000000 000000 000000 000000 000000 000000 000000 000000* +L0086256 000000 000000 000000 000000 000011 000000 000000 000000* +L0086304 000000 000000 000000 000000 000000 000000 000000 000000* +L0086352 000000 000000 000000 000000 000000 000000 000000 000000* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0087024 000000 000000 000000 000000 000000 000000 000000 000000* +L0087072 000000 000000 000000 000000 000000 000000 000000 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 000000* L0087168 000000 000000 000000 000000 000000 000000 000000 000000* L0087216 000000 000000 000000 000000 000000 000000 000000 000000* -L0087264 00000100 00000000 01100000 00000000 01000100 00000000 00000000 00000000* -L0087328 00000000 00000000 00100000 00000000 00010000 00000000 00000000 00000000* -L0087392 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0087584 00000100 00000000 00000000 00001000 00000000 00000000 00100000 00000000* -L0087648 00000000 00000000 00000100 00001000 00000000 00000000 00100000 00000000* -L0087712 00000000 00000000 00000100 00000000 10100000 00000000 00100000 00000000* -L0087776 00000000 00000000 01101100 00000000 00100000 00000000 00100000 00000000* -L0087840 000000 000000 111000 000000 100000 000000 000000 000000* -L0087888 000000 000000 100000 100000 000000 000000 000000 000000* -L0087936 000000 000000 100000 000000 000001 000000 000000 000000* -L0087984 000000 000000 101000 000000 000001 000000 000000 000000* -L0088032 000000 000000 001000 000000 000001 000000 000000 001000* -L0088080 000000 000000 000010 000000 000001 000000 000000 001000* -L0088128 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000100* -L0088192 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0088448 00000000 00000100 00000000 11000000 00000000 00000000 00000000 00000000* -L0088512 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01100100* -L0088704 000000 000000 000000 000000 000000 000000 000000 010001* -L0088752 000000 000000 000000 000000 000000 000000 000000 000001* -L0088800 000000 000000 000000 000000 000000 000000 000000 010100* -L0088848 000000 000000 000000 000000 000000 000000 000000 010100* -L0088896 000000 000000 000000 000000 000000 000000 000000 110100* -L0088944 000000 000000 000000 000000 000000 000000 000000 010000* -L0088992 00000100 00000000 00000000 00000000 00000000 00000000 10000100 00001000* +L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087840 000000 000000 000000 000000 000000 000000 000000 000000* +L0087888 000000 000000 000000 000000 000000 001000 000000 000000* +L0087936 000000 000000 000000 000000 000000 000000 000000 000000* +L0087984 000000 000000 000000 000000 000000 001000 000000 000000* +L0088032 000000 000000 000000 000000 000000 001000 000000 000000* +L0088080 000000 000000 000000 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010000* +L0088192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0088512 00000000 00000000 00000000 00100000 00000000 10010000 00000000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 010000 000000 000000 000000 000000 000000 000000 000000* +L0088800 000000 000000 000000 000000 000000 001100 000000 000000* +L0088848 000000 000000 000000 000000 000000 000000 000000 000000* +L0088896 000000 000000 000000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000000 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00001000* +L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000000 000000 000000 000000 100001 000000* +L0089616 000000 000000 000000 000000 000000 000000 000000 000000* L0089664 000000 000000 000000 000000 000000 000000 000000 000000* L0089712 000000 000000 000000 000000 000000 000000 000000 000000* L0089760 000000 000000 000000 000000 000000 000000 000000 000000* L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089984 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0090048 00000000 00000000 00000100 10111100 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090176 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0090240 00000000 00000000 10000100 00001000 00100000 00000000 00000000 00000000* -L0090304 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0090368 00000000 00000000 10000100 00000100 00000000 00000000 00000000 00000000* -L0090432 000000 000000 100000 000001 000000 000000 000000 000000* -L0090480 000000 000000 100010 101000 000000 000000 000000 000000* -L0090528 000000 000000 100010 000000 000000 000000 000000 000000* -L0090576 000000 000000 100010 000000 000000 000000 000000 000000* -L0090624 000000 000000 000011 001111 000000 000000 000000 000000* -L0090672 000000 000000 000011 000001 000000 000000 000000 000000* -L0090720 00000000 00000000 00000000 01000000 00000000 00000000 11000100 00000000* +L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* +L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0090432 000000 000000 000000 000000 000000 000000 000000 000000* +L0090480 000000 000000 000000 000000 000000 000000 000000 000010* +L0090528 000000 000000 000000 000000 000000 000000 000000 000010* +L0090576 000000 000000 000000 000000 000000 000000 000000 000000* +L0090624 000000 000000 000000 000000 000000 000000 000011 000000* +L0090672 000000 000000 000000 000000 000000 000000 000001 000000* +L0090720 00001000 00000000 00100000 01111100 00000000 00000000 00010000 00100000* L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090976 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0091040 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* -L0091104 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090912 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0091040 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000001 000000 000000* -L0091344 000000 000000 000000 000001 000000 000000 100001 000000* -L0091392 000000 000000 000000 000000 000000 000010 000000 000000* -L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 000000 000000 000000 000000 000000 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* -L0091584 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* -L0091840 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* -L0091904 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* -L0092032 00000000 00000000 00000000 00000000 11100100 00000100 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 01000100 00000100 00000000 00000000* -L0092160 000000 000000 000000 000000 010001 000001 000000 000000* -L0092208 000000 000000 000000 000000 000001 000000 000000 000000* +L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0091344 001000 000000 000001 101110 000000 000000 000000 000000* +L0091392 000000 000000 000001 000000 000000 000000 000000 000000* +L0091440 000000 000000 000001 000000 000000 000000 000000 000000* +L0091488 000000 000000 000001 000000 000000 000000 000000 000000* +L0091536 000000 000000 000001 000000 000000 000000 000000 000000* +L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000000 000000 000000 000000 000000* L0092256 000000 000000 000000 000000 000000 000000 000000 000000* -L0092304 000000 000000 000000 000000 000000 000001 000000 000000* +L0092304 000000 000000 000000 000000 000000 000000 000000 000000* L0092352 000000 000000 000000 000000 000000 000000 000000 000000* L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00000000 00000000 01000000 00010000 01000000 00000000 00000000 00000000* +L0092448 00000000 00000000 00000000 01110100 00000000 00000000 00000000 00000000* L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0092640 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 00100000 00000000 10000000 00000000 00000000 00000000* -L0093024 000000 000000 001000 000000 100000 000000 001000 000000* -L0093072 000000 000000 000000 000000 000000 000001 000000 000000* -L0093120 000000 000000 000000 000000 000001 000001 000000 000000* -L0093168 000000 000000 000000 000000 000001 000000 000000 000000* -L0093216 000000 000000 000000 000000 000001 000001 000000 000000* -L0093264 000000 000000 000000 000000 000001 000001 000000 000000* -C2BE9* -2A44 +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092896 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 101000 000010 000000 000000 000000* +L0093120 000000 000000 000000 000000 000000 000000 000000 000000* +L0093168 000000 000000 000000 000000 000000 000000 000000 000000* +L0093216 000000 000000 000000 000000 000000 000000 000000 000000* +L0093264 000000 000000 000000 000000 000000 000000 000000 000000* +C8F4D* +26B5 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index 16c3614..964b744 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -3,13 +3,13 @@ MDF_INFO | WarpSE | XC95144XL-10-TQ100 MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 0 -INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | nAoutOE -INPUTMC | 10 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 14 | 1 | 13 | 3 | 1 +INPUTS | 9 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPAr | nAoutOE +INPUTMC | 9 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 17 | 0 | 4 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPAr; nVMA_IOB.CLK = C16M; // GCK nVMA_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M @@ -17,7 +17,7 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8685314 | 0 INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE -INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 3 | 1 +INPUTMC | 4 | 0 | 14 | 5 | 9 | 5 | 3 | 3 | 1 EQ | 4 | nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; @@ -28,7 +28,7 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8685314 | 0 INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 7 | 9 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 +INPUTMC | 6 | 4 | 6 | 5 | 3 | 5 | 9 | 0 | 14 | 4 | 17 | 3 | 1 EQ | 6 | !nLDS_IOB.D = IOL0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 # IOL0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 @@ -41,7 +41,7 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8685314 | 0 INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IORW0 | nAoutOE -INPUTMC | 6 | 0 | 17 | 5 | 2 | 5 | 9 | 0 | 15 | 2 | 0 | 3 | 1 +INPUTMC | 6 | 4 | 3 | 5 | 3 | 5 | 9 | 0 | 14 | 4 | 17 | 3 | 1 EQ | 6 | !nUDS_IOB.D = IOU0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 # IOU0 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 @@ -51,61 +51,34 @@ EQ | 6 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 16 | iobs/Once -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 7 | 16 | 2 | 0 | 2 | 10 | 2 | 17 | 7 | 11 | 7 | 6 | 7 | 2 | 2 | 15 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 -INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nRESout.EXP | EXP21_.EXP -INPUTMC | 6 | 7 | 16 | 0 | 10 | 7 | 6 | 7 | 12 | 7 | 15 | 7 | 17 -INPUTP | 5 | 54 | 36 | 30 | 29 | 28 -IMPORTS | 2 | 7 | 15 | 7 | 17 -EQ | 40 | - iobs/Once.T = iobs/Once & nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB8_16 - # A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB8_18 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1; - iobs/Once.CLK = FCLK; // GCK +MACROCELL | 4 | 16 | iobs/Sent +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 4 | 16 | 4 | 17 | 2 | 14 | 4 | 9 | 4 | 12 | 4 | 7 | 4 | 14 | 4 | 15 +INPUTS | 10 | nAS_FSB | fsb/ASrf | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/TS_FSM_FFd2 | A_FSB<22> | A_FSB<23> | IOREQ.EXP | IORW0.EXP +INPUTMC | 6 | 2 | 11 | 4 | 16 | 5 | 13 | 4 | 12 | 4 | 15 | 4 | 17 +INPUTP | 4 | 54 | 47 | 30 | 36 +IMPORTS | 2 | 4 | 15 | 4 | 17 +EQ | 13 | + !iobs/Sent.D = !iobs/Sent & !nADoutLE1 + # nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd2 + # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd2 + # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd2 +;Imported pterms FB5_16 + # A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd1 + # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd1 + # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd1 +;Imported pterms FB5_18 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !iobs/Sent & cs/nOverlay; + iobs/Sent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 17 | RefUrg +MACROCELL | 0 | 17 | RefUrg ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 3 | 17 | 3 | 6 | 3 | 14 | 0 | 0 +OUTPUTMC | 4 | 0 | 17 | 0 | 15 | 0 | 16 | 0 | 1 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/TimerTC -INPUTMC | 7 | 3 | 8 | 3 | 15 | 3 | 16 | 0 | 12 | 3 | 3 | 3 | 17 | 3 | 6 +INPUTMC | 7 | 6 | 12 | 6 | 16 | 6 | 17 | 0 | 12 | 6 | 9 | 0 | 17 | 0 | 15 EQ | 9 | RefUrg.T = RefUrg & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & @@ -118,22 +91,22 @@ EQ | 9 | RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 0 | cnt/LTimer<0> +MACROCELL | 6 | 6 | cnt/LTimer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 13 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 3 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 3 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 3 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 3 | cnt/LTimer<0>.T = Vcc; cnt/LTimer<0>.CLK = FCLK; // GCK cnt/LTimer<0>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 8 | cnt/Timer<0> +MACROCELL | 6 | 12 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 3 | 17 | 3 | 8 | 3 | 15 | 3 | 16 | 3 | 6 +OUTPUTMC | 5 | 0 | 17 | 6 | 12 | 6 | 16 | 6 | 17 | 0 | 15 INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 3 | 8 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 4 | 6 | 12 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 4 | !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; @@ -141,11 +114,11 @@ EQ | 4 | cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 15 | cnt/Timer<1> +MACROCELL | 6 | 16 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 16 | 3 | 6 | 3 | 14 +OUTPUTMC | 5 | 0 | 17 | 6 | 16 | 6 | 17 | 0 | 15 | 0 | 16 INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 3 | 8 | 3 | 15 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 5 | 6 | 12 | 6 | 16 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> # !cnt/Timer<0> & !cnt/Timer<1> @@ -154,11 +127,11 @@ EQ | 5 | cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 16 | cnt/Timer<2> +MACROCELL | 6 | 17 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 4 | 3 | 17 | 3 | 16 | 3 | 6 | 3 | 14 +OUTPUTMC | 4 | 0 | 17 | 6 | 17 | 0 | 15 | 0 | 16 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 8 | 3 | 16 | 3 | 15 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 6 | 6 | 12 | 6 | 17 | 6 | 16 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> @@ -168,11 +141,11 @@ EQ | 6 | cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 17 | cnt/LTimer<10> +MACROCELL | 3 | 16 | cnt/LTimer<10> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 3 | 6 | 16 | 6 | 15 | 6 | 0 +OUTPUTMC | 3 | 3 | 15 | 6 | 15 | 6 | 14 INPUTS | 13 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 13 | 6 | 6 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 5 | cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -181,11 +154,11 @@ EQ | 5 | cnt/LTimer<10>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 16 | cnt/LTimer<11> +MACROCELL | 3 | 15 | cnt/LTimer<11> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 2 | 6 | 15 | 6 | 14 INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 3 | 0 | 6 | 17 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 14 | 6 | 6 | 3 | 16 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 5 | cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & @@ -194,44 +167,44 @@ EQ | 5 | cnt/LTimer<11>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | cnt/LTimer<1> +MACROCELL | 3 | 14 | cnt/LTimer<1> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 12 | 6 | 17 | 6 | 16 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 4 | cnt/LTimer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 3 | 0 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 4 | 6 | 6 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 3 | cnt/LTimer<1>.T = cnt/LTimer<0>; cnt/LTimer<1>.CLK = FCLK; // GCK cnt/LTimer<1>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | cnt/LTimer<2> +MACROCELL | 3 | 13 | cnt/LTimer<2> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 6 | 17 | 6 | 16 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 11 | 3 | 16 | 3 | 15 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 3 | 0 | 3 | 12 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 5 | 6 | 6 | 3 | 14 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 3 | cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; cnt/LTimer<2>.CLK = FCLK; // GCK cnt/LTimer<2>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 9 | cnt/LTimer<3> +MACROCELL | 3 | 12 | cnt/LTimer<3> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 10 | 6 | 17 | 6 | 16 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 10 | 3 | 16 | 3 | 15 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 6 | 6 | 6 | 3 | 14 | 3 | 13 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 3 | cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; cnt/LTimer<3>.CLK = FCLK; // GCK cnt/LTimer<3>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 14 | cnt/LTimer<4> +MACROCELL | 3 | 11 | cnt/LTimer<4> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 9 | 6 | 17 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 9 | 3 | 16 | 3 | 15 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 7 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 7 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 7 | 6 | 6 | 3 | 14 | 3 | 13 | 3 | 12 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 4 | cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3>; @@ -239,11 +212,11 @@ EQ | 4 | cnt/LTimer<4>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 12 | cnt/LTimer<5> +MACROCELL | 3 | 9 | cnt/LTimer<5> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 8 | 6 | 17 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 8 | 3 | 16 | 3 | 15 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 8 | 6 | 6 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 4 | cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4>; @@ -251,11 +224,11 @@ EQ | 4 | cnt/LTimer<5>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 9 | cnt/LTimer<6> +MACROCELL | 3 | 8 | cnt/LTimer<6> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 7 | 6 | 17 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 7 | 3 | 16 | 3 | 15 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 9 | 6 | 6 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 4 | cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; @@ -263,11 +236,11 @@ EQ | 4 | cnt/LTimer<6>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 6 | cnt/LTimer<7> +MACROCELL | 3 | 6 | cnt/LTimer<7> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 6 | 3 | 16 | 3 | 15 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 10 | 6 | 6 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 4 | cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; @@ -275,11 +248,11 @@ EQ | 4 | cnt/LTimer<7>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 3 | cnt/LTimer<8> +MACROCELL | 3 | 3 | cnt/LTimer<8> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 6 | 17 | 6 | 16 | 6 | 2 | 6 | 15 | 6 | 0 +OUTPUTMC | 5 | 3 | 16 | 3 | 15 | 3 | 2 | 6 | 15 | 6 | 14 INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 11 | 6 | 6 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 5 | cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -288,11 +261,11 @@ EQ | 5 | cnt/LTimer<8>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 2 | cnt/LTimer<9> +MACROCELL | 3 | 2 | cnt/LTimer<9> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 6 | 17 | 6 | 16 | 6 | 15 | 6 | 0 +OUTPUTMC | 4 | 3 | 16 | 3 | 15 | 6 | 15 | 6 | 14 INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 3 | 0 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 12 | 6 | 6 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 5 | cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -301,81 +274,36 @@ EQ | 5 | cnt/LTimer<9>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 13 | cs/nOverlay -ATTRIBUTES | 4358928 | 0 -OUTPUTMC | 27 | 7 | 15 | 2 | 13 | 4 | 1 | 4 | 7 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 4 | 16 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 5 | 4 | 2 | 7 | 2 | 2 | 14 | 4 | 3 | 6 | 7 | 7 | 4 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 16 | 4 | 0 | 4 | 4 | 7 | 7 | 7 | 17 -INPUTS | 17 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nAS_FSB | fsb/ASrf | nRES.PIN | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | nWE_FSB | iobs/IOReady | nADoutLE1 -INPUTMC | 5 | 2 | 13 | 0 | 10 | 2 | 12 | 7 | 3 | 5 | 13 -INPUTP | 12 | 36 | 30 | 29 | 28 | 54 | 145 | 18 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 12 -EQ | 9 | - cs/nOverlay.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & !nAS_FSB +MACROCELL | 4 | 17 | IORW0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 5 | 8 | 5 | 10 | 4 | 0 | 5 | 7 | 4 | 16 +INPUTS | 12 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/Clear1.EXP +INPUTMC | 7 | 4 | 12 | 4 | 2 | 4 | 16 | 5 | 13 | 2 | 11 | 7 | 12 | 4 | 0 +INPUTP | 5 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 0 +EQ | 14 | + !IORW0.T = iobs/TS_FSM_FFd2 + # iobs/TS_FSM_FFd1 + # iobs/Sent & nADoutLE1 + # nAS_FSB & !fsb/ASrf & nADoutLE1 +;Imported pterms FB5_1 + # nWE_FSB & IORW0 & nADoutLE1 + # !nWE_FSB & !IORW0 & nADoutLE1 + # IORW0 & iobs/IORW1 & !nADoutLE1 + # !IORW0 & !iobs/IORW1 & !nADoutLE1 # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & fsb/ASrf; - cs/nOverlay.CLK = FCLK; // GCK - cs/nOverlay.AR = !nRES.PIN; - cs/nOverlay.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & !nADoutLE1 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 0 | IORW0 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 8 | 5 | 10 | 2 | 0 | 5 | 6 | 2 | 17 -INPUTS | 11 | IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | EXP10_.EXP | iobs/Clear1.EXP -INPUTMC | 8 | 2 | 0 | 7 | 6 | 7 | 12 | 7 | 16 | 5 | 13 | 0 | 10 | 2 | 1 | 2 | 17 -INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 2 | 2 | 1 | 2 | 17 -EQ | 41 | - !IORW0.D = !IORW0 & iobs/PS_FSM_FFd2 - # !IORW0 & iobs/PS_FSM_FFd1 - # iobs/Once & !IORW0 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !IORW0 & nADoutLE1 - # !IORW0 & nAS_FSB & !fsb/ASrf & nADoutLE1 -;Imported pterms FB3_2 - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 -;Imported pterms FB3_3 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 -;Imported pterms FB3_18 - # !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !IORW0 & - nADoutLE1 - # A_FSB<23> & !iobs/Once & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; + cs/nOverlay & nADoutLE1; IORW0.CLK = FCLK; // GCK + IORW0.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !iobs/Sent & cs/nOverlay GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 15 | cnt/LTimer<12> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 1 | 6 | 0 +OUTPUTMC | 1 | 6 | 14 INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 15 | 3 | 0 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 15 | 6 | 6 | 3 | 16 | 3 | 15 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 6 | cnt/LTimer<12>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -385,11 +313,11 @@ EQ | 6 | cnt/LTimer<12>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 6 | cnt/TimerTC +MACROCELL | 0 | 15 | cnt/TimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 20 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 6 | 0 | 3 | 2 | 3 | 13 +OUTPUTMC | 20 | 0 | 17 | 6 | 6 | 6 | 12 | 6 | 16 | 6 | 17 | 3 | 16 | 3 | 15 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 6 | 14 | 3 | 0 | 3 | 17 INPUTS | 6 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 17 | 3 | 8 | 3 | 15 | 3 | 16 | 0 | 12 | 3 | 3 +INPUTMC | 6 | 0 | 17 | 6 | 12 | 6 | 16 | 6 | 17 | 0 | 12 | 6 | 9 EQ | 4 | cnt/TimerTC.D = RefUrg & cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2>; @@ -397,11 +325,43 @@ EQ | 4 | cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 9 | ram/RefDone +MACROCELL | 7 | 12 | cs/nOverlay +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 4 | 0 | 2 | 16 | 7 | 12 | 2 | 7 | 2 | 13 | 2 | 8 | 4 | 12 | 4 | 14 | 3 | 5 | 4 | 1 | 4 | 17 +INPUTS | 5 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | cs/ODCSr +INPUTMC | 3 | 7 | 12 | 2 | 11 | 2 | 12 +INPUTP | 2 | 145 | 54 +EQ | 4 | + cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf + # nRES.PIN & !cs/nOverlay & nAS_FSB & cs/ODCSr & + !fsb/ASrf; + cs/nOverlay.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 14 | iobs/DTACKEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 4 | 9 | 0 | 1 | 4 | 16 +OUTPUTMC | 10 | 2 | 14 | 2 | 15 | 2 | 0 | 2 | 8 | 7 | 11 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 13 | 2 | 17 +INPUTS | 10 | nAS_FSB | fsb/ASrf | iobs/DTACKEN | iobs/IOACTr | nADoutLE1 | iobs/Sent | A_FSB<23> | A_FSB<22> | nWE_FSB | $OpTx$$OpTx$FX_DC$48_INV$124.EXP +INPUTMC | 6 | 2 | 11 | 2 | 14 | 2 | 10 | 5 | 13 | 4 | 16 | 2 | 13 +INPUTP | 4 | 54 | 36 | 30 | 47 +IMPORTS | 1 | 2 | 13 +EQ | 9 | + !iobs/DTACKEN.D = !iobs/Sent & !iobs/DTACKEN + # !iobs/DTACKEN & !iobs/IOACTr + # !iobs/DTACKEN & !nADoutLE1 + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !nWE_FSB & !iobs/DTACKEN +;Imported pterms FB3_14 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/DTACKEN; + iobs/DTACKEN.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 8 | ram/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 7 | 8 | 7 | 0 | 7 | 2 INPUTS | 4 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 4 | 4 | 9 | 0 | 2 | 4 | 6 | 7 | 10 +INPUTMC | 4 | 7 | 8 | 0 | 2 | 7 | 15 | 7 | 3 EQ | 4 | ram/RefDone.D = ram/RefDone & ram/RefReqSync # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & @@ -409,53 +369,48 @@ EQ | 4 | ram/RefDone.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 9 | IOL0 +MACROCELL | 4 | 6 | IOL0 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 5 | 8 | 7 | 8 -INPUTS | 12 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | ram/RefUrg | ram/RAMEN -INPUTMC | 10 | 0 | 14 | 5 | 13 | 7 | 6 | 7 | 12 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 10 | 0 | 1 | 4 | 2 -INPUTP | 2 | 49 | 54 -EXPORTS | 1 | 7 | 8 -EQ | 8 | +OUTPUTMC | 1 | 5 | 8 +INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 +INPUTMC | 4 | 7 | 10 | 5 | 13 | 4 | 12 | 4 | 2 +INPUTP | 1 | 49 +EQ | 4 | IOL0.D = !nLDS_FSB & nADoutLE1 # iobs/IOL1 & !nADoutLE1; IOL0.CLK = FCLK; // GCK - IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; - IOL0.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN + IOL0.CE = iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 17 | IOU0 +MACROCELL | 4 | 3 | IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 5 | 10 -INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 0 | 13 | 5 | 13 | 7 | 6 | 7 | 12 +INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 +INPUTMC | 4 | 7 | 9 | 5 | 13 | 4 | 12 | 4 | 2 INPUTP | 1 | 56 EQ | 4 | IOU0.D = !nUDS_FSB & nADoutLE1 # iobs/IOU1 & !nADoutLE1; IOU0.CLK = FCLK; // GCK - IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + IOU0.CE = iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 14 | RefReq +MACROCELL | 0 | 16 | RefReq ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 0 | 2 INPUTS | 5 | RefUrg | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 16 | 0 | 12 | 3 | 3 +INPUTMC | 5 | 0 | 17 | 6 | 16 | 6 | 17 | 0 | 12 | 6 | 9 EQ | 3 | !RefReq.D = !RefUrg & !cnt/Timer<1> & !cnt/Timer<2>; RefReq.CLK = FCLK; // GCK RefReq.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 0 | cnt/LTimerTC +MACROCELL | 6 | 14 | cnt/LTimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 3 | 2 | 3 | 13 +OUTPUTMC | 2 | 3 | 0 | 3 | 17 INPUTS | 16 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<12> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 16 | 3 | 0 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 6 | 0 | 12 | 3 | 3 +INPUTMC | 16 | 6 | 6 | 3 | 16 | 3 | 15 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 0 | 15 | 0 | 12 | 6 | 9 EQ | 6 | cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -465,114 +420,84 @@ EQ | 6 | cnt/LTimerTC.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 7 | fsb/Ready0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 7 | 2 | 4 | 2 | 8 | 4 | 6 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrg -INPUTMC | 8 | 2 | 13 | 4 | 7 | 4 | 3 | 0 | 10 | 4 | 6 | 7 | 8 | 7 | 10 | 0 | 1 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 6 -EQ | 7 | - !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & !ram/RAMReady; - fsb/Ready0r.CLK = FCLK; // GCK - fsb/Ready0r.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RefUrg & !fsb/ASrf -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 12 | fsb/Ready1r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 2 | 12 | 2 | 5 | 2 | 8 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 13 -INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nADoutLE1 | cs/nOverlay.EXP -INPUTMC | 6 | 0 | 10 | 2 | 12 | 7 | 3 | 2 | 13 | 5 | 13 | 2 | 13 -INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 -IMPORTS | 1 | 2 | 13 -EQ | 14 | - !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & !nADoutLE1 -;Imported pterms FB3_14 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & !nADoutLE1; +MACROCELL | 2 | 15 | fsb/Ready1r +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 2 | 15 | 2 | 0 | 2 | 8 | 2 | 7 | 2 | 9 | 2 | 16 | 2 | 17 +INPUTS | 7 | fsb/Ready1r | nAS_FSB | fsb/ASrf | iobs/DTACKEN | IOACT | iobs/IODTACKr | nROMWE_OBUF.EXP +INPUTMC | 6 | 2 | 15 | 2 | 11 | 2 | 14 | 5 | 17 | 0 | 3 | 2 | 16 +INPUTP | 1 | 54 +IMPORTS | 1 | 2 | 16 +EQ | 17 | + fsb/Ready1r.T = fsb/Ready1r & nAS_FSB & !fsb/ASrf + # iobs/DTACKEN & !fsb/Ready1r & !nAS_FSB & !IOACT + # iobs/DTACKEN & !fsb/Ready1r & !nAS_FSB & + iobs/IODTACKr + # iobs/DTACKEN & !fsb/Ready1r & !IOACT & fsb/ASrf + # iobs/DTACKEN & !fsb/Ready1r & fsb/ASrf & + iobs/IODTACKr +;Imported pterms FB3_17 + # !A_FSB<23> & !A_FSB<22> & !nWE_FSB & !fsb/Ready1r & + !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !nWE_FSB & !fsb/Ready1r & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !fsb/Ready1r & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !fsb/Ready1r & fsb/ASrf; fsb/Ready1r.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 5 | fsb/VPA +MACROCELL | 2 | 0 | fsb/VPA ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 5 | 3 | 10 | 2 | 4 | 2 | 6 -INPUTS | 11 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | EXP13_.EXP | EXP14_.EXP -INPUTMC | 6 | 2 | 12 | 2 | 5 | 7 | 3 | 0 | 10 | 2 | 4 | 2 | 6 -INPUTP | 5 | 36 | 54 | 30 | 29 | 28 -IMPORTS | 2 | 2 | 4 | 2 | 6 -EQ | 52 | - fsb/VPA.D = A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !nAS_FSB - # A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & fsb/ASrf - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !nAS_FSB - # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !nAS_FSB -;Imported pterms FB3_5 - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 -;Imported pterms FB3_4 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf -;Imported pterms FB3_7 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 +OUTPUTMC | 2 | 2 | 0 | 3 | 10 +INPUTS | 25 | iobs/DTACKEN | fsb/Ready1r | fsb/VPA | nAS_FSB | $OpTx$$OpTx$FX_DC$48_INV$124 | fsb/ASrf | IOACT | iobs/IODTACKr | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | EXP12_.EXP +INPUTMC | 8 | 2 | 14 | 2 | 15 | 2 | 0 | 2 | 13 | 2 | 11 | 5 | 17 | 0 | 3 | 2 | 17 +INPUTP | 17 | 54 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 +IMPORTS | 1 | 2 | 17 +EQ | 36 | + fsb/VPA.D = !iobs/DTACKEN & !fsb/Ready1r & fsb/VPA & !nAS_FSB & + !$OpTx$$OpTx$FX_DC$48_INV$124 + # !iobs/DTACKEN & !fsb/Ready1r & fsb/VPA & fsb/ASrf & + !$OpTx$$OpTx$FX_DC$48_INV$124 + # !fsb/Ready1r & fsb/VPA & !nAS_FSB & IOACT & + !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$48_INV$124 + # !fsb/Ready1r & fsb/VPA & IOACT & fsb/ASrf & + !iobs/IODTACKr & !$OpTx$$OpTx$FX_DC$48_INV$124 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB +;Imported pterms FB3_18 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf; + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !nAS_FSB & !IOACT + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !nAS_FSB & + iobs/IODTACKr + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT & fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & fsb/ASrf & + iobs/IODTACKr; fsb/VPA.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 14 | iobs/IOL1 +MACROCELL | 7 | 10 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 7 | 9 +OUTPUTMC | 1 | 4 | 6 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 7 | 2 +INPUTMC | 1 | 4 | 7 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -580,65 +505,29 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 10 | iobs/IORW1 +MACROCELL | 4 | 9 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 2 | 10 | 2 | 17 -INPUTS | 8 | nADoutLE1 | iobs/Once | nWE_FSB | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP16_.EXP | EXP17_.EXP -INPUTMC | 7 | 5 | 13 | 7 | 16 | 2 | 10 | 7 | 6 | 7 | 12 | 2 | 9 | 2 | 11 -INPUTP | 1 | 47 -IMPORTS | 2 | 2 | 9 | 2 | 11 -EQ | 20 | - !iobs/IORW1.T = iobs/Once - # !nADoutLE1 - # nWE_FSB & iobs/IORW1 - # !nWE_FSB & !iobs/IORW1 - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB3_10 - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay - # !A_FSB<23> & !A_FSB<22> & nWE_FSB -;Imported pterms FB3_9 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> -;Imported pterms FB3_12 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20>; +OUTPUTMC | 2 | 4 | 0 | 4 | 9 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | iobs/TS_FSM_FFd1 | fsb/ASrf +INPUTMC | 6 | 4 | 16 | 4 | 9 | 4 | 12 | 5 | 13 | 4 | 2 | 2 | 11 +INPUTP | 4 | 36 | 30 | 47 | 54 +EQ | 9 | + iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/IORW1 & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1; iobs/IORW1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 3 | iobs/IOReady -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 2 | 12 | 2 | 5 | 7 | 3 | 2 | 8 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 13 | 7 | 4 | 7 | 2 -INPUTS | 11 | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<21> | A_FSB<14> | A_FSB<13> | nRAS_OBUF.EXP -INPUTMC | 3 | 7 | 3 | 0 | 10 | 7 | 4 -INPUTP | 8 | 54 | 36 | 30 | 28 | 24 | 29 | 19 | 18 -EXPORTS | 1 | 7 | 2 -IMPORTS | 1 | 7 | 4 -EQ | 13 | - iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf -;Imported pterms FB8_5 - # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; - iobs/IOReady.CLK = FCLK; // GCK - iobs/IOReady.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 13 | iobs/IOU1 +MACROCELL | 7 | 9 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 17 +OUTPUTMC | 1 | 4 | 3 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 7 | 2 +INPUTMC | 1 | 4 | 7 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -646,48 +535,46 @@ EQ | 3 | iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 11 | nBERR_FSB_OBUF -ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 1 | 7 | 11 -INPUTS | 8 | nAS_FSB | nBERR_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 -INPUTMC | 7 | 7 | 11 | 0 | 10 | 7 | 16 | 7 | 6 | 0 | 3 | 5 | 17 | 5 | 13 -INPUTP | 1 | 54 -EQ | 8 | - nBERR_FSB.T = nAS_FSB & !nBERR_FSB & !fsb/ASrf - # iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !nAS_FSB & nBERR_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 - # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; - nBERR_FSB.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 OUTPUTMC | 3 | 2 | 8 | 2 | 7 | 2 | 9 -INPUTS | 19 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | fsb/Ready1r | nWE_FSB | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<14> | A_FSB<23> | A_FSB<22> | fsb/Ready0r | ram/RAMReady | EXP15_.EXP -INPUTMC | 8 | 2 | 13 | 2 | 12 | 7 | 3 | 2 | 8 | 5 | 13 | 4 | 7 | 4 | 3 | 2 | 7 -INPUTP | 11 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 -EXPORTS | 1 | 2 | 9 -IMPORTS | 1 | 2 | 7 -EQ | 26 | - nDTACK_FSB.D = A_FSB<22> & A_FSB<21> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & !nWE_FSB & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 -;Imported pterms FB3_8 - # nAS_FSB & !fsb/ASrf - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB +INPUTS | 12 | A_FSB<22> | A_FSB<20> | fsb/Ready1r | nDTACK_FSB | IOACT | iobs/IODTACKr | A_FSB<21> | iobs/DTACKEN | cs/nOverlay | nWE_FSB | EXP11_.EXP | ram/BACTr.EXP +INPUTMC | 8 | 2 | 15 | 2 | 8 | 5 | 17 | 0 | 3 | 2 | 14 | 7 | 12 | 2 | 7 | 2 | 9 +INPUTP | 4 | 30 | 28 | 29 | 47 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 41 | + nDTACK_FSB.D = A_FSB<22> & A_FSB<20> & !iobs/DTACKEN & + !fsb/Ready1r & nDTACK_FSB + # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & + nDTACK_FSB & IOACT & !iobs/IODTACKr # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB + nDTACK_FSB & IOACT & !iobs/IODTACKr + # A_FSB<22> & !cs/nOverlay & !fsb/Ready1r & + nDTACK_FSB & IOACT & !iobs/IODTACKr + # !A_FSB<22> & nWE_FSB & !fsb/Ready1r & nDTACK_FSB & + IOACT & !iobs/IODTACKr +;Imported pterms FB3_8 + # A_FSB<22> & A_FSB<21> & !iobs/DTACKEN & + !fsb/Ready1r & nDTACK_FSB + # A_FSB<22> & !cs/nOverlay & !iobs/DTACKEN & + !fsb/Ready1r & nDTACK_FSB + # !A_FSB<22> & nWE_FSB & !iobs/DTACKEN & + !fsb/Ready1r & nDTACK_FSB + # !A_FSB<22> & !iobs/DTACKEN & !fsb/Ready1r & + nDTACK_FSB & !nADoutLE1 + # !A_FSB<22> & !fsb/Ready1r & nDTACK_FSB & IOACT & + !iobs/IODTACKr & !nADoutLE1 +;Imported pterms FB3_7 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr +;Imported pterms FB3_10 + # nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/DTACKEN & !fsb/Ready1r & + nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & nDTACK_FSB & IOACT & + !iobs/IODTACKr # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -695,135 +582,88 @@ EQ | 26 | # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady; + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT; nDTACK_FSB.CLK = FCLK; // GCK - nDTACK_FSB_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 OUTPUTMC | 3 | 7 | 14 | 3 | 1 | 7 | 15 -INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r -INPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 0 | 11 +INPUTS | 9 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RefUrg | fsb/ASrf +INPUTMC | 8 | 7 | 14 | 3 | 0 | 3 | 17 | 0 | 11 | 7 | 15 | 7 | 3 | 7 | 0 | 2 | 11 +INPUTP | 1 | 54 EXPORTS | 1 | 7 | 15 -EQ | 6 | +EQ | 7 | nBR_IOB.T = nBR_IOB & !cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 # !nBR_IOB & !cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/nIPL2r; nBR_IOB.CLK = FCLK; // GCK - nBR_IOB_OBUF.EXP = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 + nBR_IOB_OBUF.EXP = nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RefUrg & !fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 17 | ram/RASEL +MACROCELL | 7 | 16 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 4 | 5 -INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RS_FSM_FFd2 | fsb/ASrf | ram/RefUrg | EXP19_.EXP | ram/RefReq.EXP -INPUTMC | 7 | 7 | 10 | 7 | 8 | 4 | 6 | 0 | 10 | 0 | 1 | 4 | 0 | 4 | 16 +OUTPUTMC | 10 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 10 | 4 | 4 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrg | nAS_FSB | fsb/ASrf | ram/BACTr | ram/RefReq | ram/RS_FSM_FFd2.EXP +INPUTMC | 8 | 7 | 15 | 7 | 13 | 7 | 3 | 7 | 0 | 2 | 11 | 2 | 9 | 7 | 2 | 7 | 15 INPUTP | 1 | 54 -IMPORTS | 2 | 4 | 0 | 4 | 16 -EQ | 27 | - !ram/RASEL.D = ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 +IMPORTS | 1 | 7 | 15 +EQ | 12 | + ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & !ram/RefUrg - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & fsb/ASrf -;Imported pterms FB5_1 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf -;Imported pterms FB5_17 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq; + !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & ram/RefUrg + # nAS_FSB & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + ram/RefUrg & !fsb/ASrf + # !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/BACTr & ram/RefReq +;Imported pterms FB8_16 + # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & + ram/RefReq & fsb/ASrf; ram/RASEL.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 6 | ram/RS_FSM_FFd2 +MACROCELL | 7 | 15 | ram/RS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 13 | 4 | 9 | 4 | 17 | 4 | 4 | 7 | 10 | 7 | 8 | 4 | 2 | 4 | 3 | 4 | 15 | 4 | 0 | 4 | 1 | 4 | 7 | 4 | 16 | 7 | 9 -INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | ram/BACTr | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | fsb/ASrf | fsb/Ready0r.EXP -INPUTMC | 8 | 7 | 10 | 7 | 8 | 0 | 1 | 4 | 16 | 4 | 12 | 2 | 13 | 0 | 10 | 4 | 7 -INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 1 | 4 | 7 -EQ | 15 | - !ram/RS_FSM_FFd2.T = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & +OUTPUTMC | 9 | 7 | 8 | 7 | 16 | 7 | 15 | 7 | 3 | 7 | 13 | 7 | 17 | 7 | 4 | 7 | 0 | 7 | 14 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrg | ram/RefReq | ram/BACTr | nAS_FSB | fsb/ASrf | nBR_IOB_OBUF.EXP +INPUTMC | 8 | 7 | 15 | 7 | 13 | 7 | 3 | 7 | 0 | 7 | 2 | 2 | 9 | 2 | 11 | 7 | 14 +INPUTP | 1 | 54 +EXPORTS | 1 | 7 | 16 +IMPORTS | 1 | 7 | 14 +EQ | 13 | + !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf -;Imported pterms FB5_8 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 +;Imported pterms FB8_15 # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RefUrg & !fsb/ASrf; ram/RS_FSM_FFd2.CLK = FCLK; // GCK + ram/RS_FSM_FFd2.EXP = !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & + ram/RefReq & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 10 | ram/RS_FSM_FFd1 +MACROCELL | 7 | 3 | ram/RS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 4 | 9 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 4 | 2 | 4 | 3 | 4 | 15 | 4 | 1 | 4 | 4 | 4 | 7 | 7 | 9 -INPUTS | 9 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RAMEN | fsb/ASrf -INPUTMC | 6 | 2 | 13 | 4 | 6 | 7 | 10 | 7 | 8 | 4 | 2 | 0 | 10 -INPUTP | 3 | 36 | 30 | 54 -EQ | 7 | - ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/RAMEN & - fsb/ASrf; +OUTPUTMC | 8 | 7 | 8 | 7 | 16 | 7 | 15 | 7 | 13 | 7 | 17 | 7 | 4 | 7 | 0 | 7 | 14 +INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 +INPUTMC | 2 | 7 | 15 | 7 | 13 +EQ | 2 | + ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3; ram/RS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 8 | ram/RS_FSM_FFd3 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 4 | 1 | 4 | 3 | 4 | 0 | 4 | 4 | 4 | 7 | 4 | 16 | 7 | 9 -INPUTS | 10 | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<22> | A_FSB<23> | nAS_FSB | ram/RefUrg | fsb/ASrf | IOL0.EXP -INPUTMC | 7 | 2 | 13 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 0 | 10 | 7 | 9 -INPUTP | 3 | 30 | 36 | 54 -IMPORTS | 1 | 7 | 9 -EQ | 16 | - !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & ram/RefUrg - # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & ram/RefUrg & fsb/ASrf -;Imported pterms FB8_10 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf - # !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RAMEN; - ram/RS_FSM_FFd3.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd3 +MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 14 | 5 | 16 +OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 0 | 14 | 5 | 9 | 5 | 17 | 5 | 2 | 5 | 7 INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | C8M | iobm/IOREQr | nAoutOE -INPUTMC | 5 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 17 | 3 | 1 +INPUTMC | 5 | 0 | 14 | 5 | 9 | 5 | 3 | 0 | 6 | 3 | 1 INPUTP | 1 | 35 EQ | 6 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 @@ -834,11 +674,27 @@ EQ | 6 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 15 | iobm/IOS_FSM_FFd1 +MACROCELL | 7 | 13 | ram/RS_FSM_FFd3 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 7 | 16 | 7 | 15 | 7 | 3 | 7 | 13 | 7 | 17 | 7 | 0 +INPUTS | 6 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RefUrg | fsb/ASrf +INPUTMC | 5 | 7 | 15 | 7 | 3 | 7 | 13 | 7 | 0 | 2 | 11 +INPUTP | 1 | 54 +EQ | 7 | + !ram/RS_FSM_FFd3.T = !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & ram/RefUrg + # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & ram/RefUrg & fsb/ASrf; + ram/RS_FSM_FFd3.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 14 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 16 | 5 | 14 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 0 | 14 | 5 | 9 | 5 | 17 | 5 | 2 | 5 | 7 | 5 | 16 INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 -INPUTMC | 3 | 5 | 2 | 5 | 9 | 0 | 15 +INPUTMC | 3 | 5 | 3 | 5 | 9 | 0 | 14 EQ | 3 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; @@ -846,79 +702,42 @@ EQ | 3 | GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 15 | 5 | 17 | 0 | 16 | 5 | 6 | 5 | 16 -INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 0 | 0 | 9 | 0 | 8 | 0 | 7 | 0 | 6 | 1 | 16 | 1 | 15 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 0 | 14 | 5 | 9 | 5 | 17 | 5 | 2 | 5 | 7 | 5 | 16 +INPUTS | 8 | C8M | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/BERRrf | iobm/DTACKrf | iobm/ETACK | iobm/RESrf +INPUTMC | 7 | 5 | 9 | 5 | 3 | 0 | 14 | 0 | 10 | 0 | 9 | 5 | 0 | 0 | 5 INPUTP | 1 | 35 -EQ | 11 | - iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/ETACK - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/DTACKrf & iobm/DTACKrr - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/BERRrf & iobm/BERRrr - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/IOS_FSM_FFd2 & iobm/RESrf & iobm/RESrr; +EQ | 6 | + iobm/IOS_FSM_FFd2.D = !C8M & iobm/IOS_FSM_FFd2 + # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 + # iobm/IOS_FSM_FFd2 & !iobm/BERRrf & !iobm/DTACKrf & + !iobm/ETACK & !iobm/RESrf; iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 1 | ram/RefUrg +MACROCELL | 4 | 12 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 17 | 4 | 6 | 7 | 8 | 4 | 2 | 4 | 3 | 4 | 0 | 4 | 1 | 4 | 4 | 4 | 7 | 4 | 16 | 7 | 9 -INPUTS | 2 | ram/RefDone | ram/RegUrgSync -INPUTMC | 2 | 4 | 9 | 0 | 0 -EQ | 2 | - ram/RefUrg.D = !ram/RefDone & ram/RegUrgSync; - ram/RefUrg.CLK = FCLK; // GCK +OUTPUTMC | 12 | 4 | 16 | 4 | 17 | 4 | 6 | 4 | 3 | 4 | 9 | 4 | 12 | 4 | 2 | 4 | 7 | 0 | 13 | 4 | 15 | 4 | 1 | 4 | 14 +INPUTS | 12 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 7 | 4 | 12 | 4 | 2 | 2 | 10 | 4 | 16 | 5 | 13 | 2 | 11 | 7 | 12 +INPUTP | 5 | 54 | 36 | 30 | 29 | 28 +EQ | 8 | + !iobs/TS_FSM_FFd2.D = !iobs/TS_FSM_FFd2 & iobs/TS_FSM_FFd1 + # iobs/TS_FSM_FFd1 & iobs/IOACTr + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + iobs/TS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 6 | iobs/PS_FSM_FFd2 +MACROCELL | 5 | 6 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 19 | 7 | 16 | 2 | 0 | 7 | 9 | 0 | 17 | 2 | 10 | 2 | 2 | 7 | 11 | 7 | 6 | 7 | 12 | 7 | 2 | 7 | 13 | 2 | 15 | 2 | 17 | 2 | 1 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 -INPUTS | 12 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | nRAMLWE_OBUF.EXP | nRAMUWE_OBUF.EXP -INPUTMC | 8 | 7 | 12 | 0 | 3 | 7 | 6 | 5 | 13 | 7 | 16 | 0 | 10 | 7 | 5 | 7 | 7 -INPUTP | 4 | 36 | 54 | 30 | 29 -IMPORTS | 2 | 7 | 5 | 7 | 7 -EQ | 29 | - iobs/PS_FSM_FFd2.T = iobs/PS_FSM_FFd1 & iobs/IOACTr - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB8_6 - # A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB8_8 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; - iobs/PS_FSM_FFd2.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 5 | iobm/ES<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 0 | 5 | 0 | 4 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +INPUTMC | 7 | 5 | 6 | 0 | 8 | 0 | 7 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -928,11 +747,11 @@ EQ | 6 | iobm/ES<0>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 4 | iobm/ES<1> +MACROCELL | 5 | 5 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 -INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 5 | 5 | 4 | 0 | 5 | 0 | 4 +OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 +INPUTS | 4 | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> +INPUTMC | 4 | 0 | 8 | 0 | 7 | 5 | 6 | 5 | 5 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -940,39 +759,11 @@ EQ | 4 | iobm/ES<1>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 2 | ram/RAMEN +MACROCELL | 5 | 15 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 1 | 7 | 10 | 4 | 0 | 4 | 2 | 4 | 3 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | ram/RefUrg | ram/RefReq | ram/BACTr | ram/RS_FSM_FFd2 | nROMCS_OBUF.EXP | ram/RAMReady.EXP -INPUTMC | 10 | 2 | 13 | 7 | 10 | 4 | 2 | 0 | 10 | 0 | 1 | 4 | 16 | 4 | 12 | 4 | 6 | 4 | 1 | 4 | 3 -INPUTP | 3 | 36 | 30 | 54 -IMPORTS | 2 | 4 | 1 | 4 | 3 -EQ | 18 | - ram/RAMEN.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RAMEN - # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & - ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & - !ram/RefReq - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd1 & ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd1 & ram/RAMEN & fsb/ASrf -;Imported pterms FB5_2 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & ram/RAMEN - # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf -;Imported pterms FB5_4 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf; - ram/RAMEN.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 12 | iobm/ES<2> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 -INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 0 | 5 | 0 | 4 | 5 | 3 | 5 | 7 +OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 +INPUTS | 7 | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 0 | 8 | 0 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 4 | 5 | 12 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -982,22 +773,62 @@ EQ | 6 | iobm/ES<2>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 12 | iobs/PS_FSM_FFd1 +MACROCELL | 4 | 2 | iobs/TS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 19 | 7 | 16 | 2 | 0 | 7 | 9 | 0 | 17 | 2 | 10 | 7 | 6 | 7 | 12 | 7 | 2 | 7 | 13 | 2 | 15 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 14 | 2 | 16 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 -INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 6 | 7 | 12 | 0 | 3 +OUTPUTMC | 9 | 4 | 1 | 4 | 17 | 4 | 6 | 4 | 3 | 4 | 9 | 4 | 12 | 4 | 2 | 4 | 7 | 4 | 15 +INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 3 | 4 | 12 | 4 | 2 | 2 | 10 EQ | 3 | - iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr; - iobs/PS_FSM_FFd1.CLK = FCLK; // GCK + iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 + # iobs/TS_FSM_FFd1 & iobs/IOACTr; + iobs/TS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 3 | iobm/ES<3> +MACROCELL | 7 | 0 | ram/RefUrg +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 7 | 16 | 7 | 15 | 7 | 13 | 7 | 17 | 7 | 0 | 7 | 14 +INPUTS | 8 | ram/RefDone | ram/RegUrgSync | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | fsb/ASrf +INPUTMC | 7 | 7 | 8 | 0 | 1 | 7 | 15 | 7 | 3 | 7 | 13 | 7 | 0 | 2 | 11 +INPUTP | 1 | 54 +EXPORTS | 1 | 7 | 17 +EQ | 6 | + ram/RefUrg.D = !ram/RefDone & ram/RegUrgSync; + ram/RefUrg.CLK = FCLK; // GCK + ram/RefUrg.EXP = nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 0 | cnt/INITS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +OUTPUTMC | 5 | 7 | 14 | 3 | 0 | 3 | 17 | 7 | 6 | 3 | 1 +INPUTS | 7 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> +INPUTMC | 7 | 0 | 15 | 6 | 14 | 3 | 0 | 3 | 17 | 0 | 12 | 0 | 11 | 6 | 9 +EQ | 3 | + cnt/INITS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; + cnt/INITS_FSM_FFd1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 17 | cnt/INITS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 7 | 14 | 3 | 0 | 3 | 17 | 7 | 6 | 3 | 1 +INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 15 | 6 | 14 | 3 | 0 | 3 | 17 | 0 | 12 | 6 | 9 +EQ | 5 | + cnt/INITS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> + # cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & + !cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/INITS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 5 | 4 | iobm/ES<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 0 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 5 | 5 | 4 | 5 | 12 +INPUTMC | 6 | 5 | 4 | 0 | 8 | 0 | 7 | 5 | 6 | 5 | 5 | 5 | 15 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -1005,11 +836,11 @@ EQ | 4 | iobm/ES<3>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 7 | iobm/ES<4> +MACROCELL | 5 | 12 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 7 | 5 | 0 +OUTPUTMC | 5 | 5 | 1 | 5 | 6 | 5 | 15 | 5 | 12 | 5 | 0 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 7 | 0 | 5 | 0 | 4 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 +INPUTMC | 7 | 5 | 12 | 0 | 8 | 0 | 7 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -1021,139 +852,29 @@ EQ | 8 | iobm/ES<4>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 2 | cnt/INITS_FSM_FFd1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 3 | 1 -INPUTS | 7 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> -INPUTMC | 7 | 3 | 6 | 6 | 0 | 3 | 2 | 3 | 13 | 0 | 12 | 0 | 11 | 3 | 3 -EQ | 3 | - cnt/INITS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; - cnt/INITS_FSM_FFd1.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 13 | cnt/INITS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 3 | 1 -INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 3 | 6 | 6 | 0 | 3 | 2 | 3 | 13 | 0 | 12 | 3 | 3 -EQ | 5 | - cnt/INITS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> - # cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & - !cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/INITS_FSM_FFd2.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 3 | iobs/IOACTr +MACROCELL | 5 | 17 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 15 | 7 | 11 | 7 | 6 | 7 | 12 | 7 | 4 -INPUTS | 1 | IOACT -INPUTMC | 1 | 5 | 15 -EQ | 2 | - iobs/IOACTr.D = IOACT; - iobs/IOACTr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 1 | 17 | iobm/IOREQr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 2 | 5 | 15 | 0 | 16 | 5 | 6 -INPUTS | 1 | IOREQ -INPUTMC | 1 | 2 | 15 -EQ | 2 | - iobm/IOREQr.D = IOREQ; - !iobm/IOREQr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 7 | 2 | iobs/Load1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 14 | 0 | 13 | 5 | 13 -INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | A_FSB_19_IBUF$BUF0.EXP | iobs/IOReady.EXP -INPUTMC | 8 | 5 | 13 | 7 | 16 | 7 | 6 | 7 | 12 | 0 | 10 | 2 | 13 | 7 | 1 | 7 | 3 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 7 | 1 | 7 | 3 -EQ | 17 | - !iobs/Load1.D = iobs/Once - # !nADoutLE1 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay -;Imported pterms FB8_2 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<22> & nWE_FSB -;Imported pterms FB8_4 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22>; - iobs/Load1.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 5 | 15 | IOACT -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 1 | 0 | 3 -INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nADoutLE0_OBUF.EXP -INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 17 | 5 | 0 | 0 | 9 | 0 | 8 | 0 | 7 | 0 | 6 | 5 | 14 +OUTPUTMC | 8 | 5 | 1 | 2 | 15 | 2 | 0 | 2 | 8 | 2 | 10 | 2 | 7 | 2 | 9 | 2 | 17 +INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr | iobm/DTACKrf | C8M | nDinLE_OBUF.EXP +INPUTMC | 6 | 5 | 3 | 0 | 14 | 5 | 9 | 0 | 6 | 0 | 9 | 5 | 16 INPUTP | 1 | 35 -IMPORTS | 1 | 5 | 14 -EQ | 13 | - !IOACT.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & - !iobm/IOREQr - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/ETACK - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/DTACKrf & iobm/DTACKrr - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/BERRrf & iobm/BERRrr -;Imported pterms FB6_15 - # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr; +IMPORTS | 1 | 5 | 16 +EQ | 10 | + IOACT.D = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd1 & iobm/IOREQr + # !C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & + !iobm/DTACKrf +;Imported pterms FB6_17 + # iobm/IOS_FSM_FFd2 & !iobm/BERRrf & !iobm/DTACKrf & + !iobm/ETACK & !iobm/RESrf; IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 17 | IOBERR -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 16 | 7 | 11 | 5 | 17 | 7 | 4 -INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IOBERR | C8M | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nDinLE_OBUF.EXP -INPUTMC | 10 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 9 | 0 | 8 | 0 | 7 | 0 | 6 | 5 | 16 -INPUTP | 2 | 35 | 123 -IMPORTS | 1 | 5 | 16 -EQ | 26 | - IOBERR.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 & IOBERR - # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/ETACK - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/ETACK - # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/BERRrf & - iobm/BERRrr -;Imported pterms FB6_17 - # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/RESrf & - iobm/RESrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/BERRrf & - iobm/BERRrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/RESrf & - iobm/RESrr; - IOBERR.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 5 | iobm/Er +MACROCELL | 0 | 8 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 0 | 4 +OUTPUTMC | 6 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 0 | 7 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1161,42 +882,121 @@ EQ | 2 | !iobm/Er.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 4 | 12 | ram/BACTr +MACROCELL | 0 | 6 | iobm/IOREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 16 | 4 | 6 | 4 | 2 | 4 | 4 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 10 -INPUTP | 1 | 54 +OUTPUTMC | 4 | 5 | 3 | 5 | 17 | 5 | 2 | 5 | 7 +INPUTS | 1 | IOREQ +INPUTMC | 1 | 4 | 15 EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = FCLK; // GCK + iobm/IOREQr.D = IOREQ; + !iobm/IOREQr.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 2 | 10 | iobs/IOACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 2 | 14 | 4 | 12 | 4 | 2 | 4 | 15 | 2 | 9 +INPUTS | 3 | IOACT | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 5 | 17 | 2 | 11 +INPUTP | 1 | 54 +EXPORTS | 1 | 2 | 9 +EQ | 3 | + iobs/IOACTr.D = IOACT; + iobs/IOACTr.CLK = FCLK; // GCK + iobs/IOACTr.EXP = nAS_FSB & !fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 16 | ram/RefReq +MACROCELL | 4 | 7 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 4 | 16 | 4 | 6 | 4 | 2 | 4 | 3 | 4 | 0 | 4 | 4 | 4 | 17 -INPUTS | 10 | ram/RefDone | ram/RefReqSync | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | A_FSB<22> | cs/nOverlay | ram/RefReq -INPUTMC | 8 | 4 | 9 | 0 | 2 | 4 | 6 | 7 | 8 | 0 | 1 | 4 | 12 | 2 | 13 | 4 | 16 -INPUTP | 2 | 36 | 30 -EXPORTS | 1 | 4 | 17 -EQ | 10 | +OUTPUTMC | 3 | 7 | 10 | 7 | 9 | 5 | 13 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | iobs/TS_FSM_FFd1 | fsb/ASrf +INPUTMC | 5 | 4 | 16 | 4 | 12 | 5 | 13 | 4 | 2 | 2 | 11 +INPUTP | 4 | 36 | 30 | 47 | 54 +EQ | 9 | + iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & + iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1; + iobs/Load1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 7 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 +INPUTS | 1 | iobm/Er +INPUTMC | 1 | 0 | 8 +EQ | 2 | + iobm/Er2.D = iobm/Er; + iobm/Er2.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 2 | 9 | ram/BACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 7 | 16 | 7 | 15 | 7 | 17 | 2 | 8 +INPUTS | 24 | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/DTACKEN | fsb/Ready1r | nDTACK_FSB | IOACT | iobs/IODTACKr | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/IOACTr.EXP +INPUTMC | 7 | 2 | 11 | 2 | 14 | 2 | 15 | 2 | 8 | 5 | 17 | 0 | 3 | 2 | 10 +INPUTP | 17 | 54 | 36 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 30 | 29 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 10 +EQ | 16 | + !ram/BACTr.D = ;Imported pterms FB3_11 + nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = FCLK; // GCK + ram/BACTr.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/DTACKEN & !fsb/Ready1r & + nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & nDTACK_FSB & IOACT & + !iobs/IODTACKr + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 17 | ram/RAMEN +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 7 | 17 | 7 | 5 | 7 | 7 +INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RefUrg | ram/RAMEN | ram/RefReq | ram/BACTr | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | ram/RefUrg.EXP +INPUTMC | 8 | 7 | 3 | 7 | 0 | 7 | 17 | 7 | 2 | 2 | 9 | 7 | 13 | 7 | 15 | 7 | 0 +IMPORTS | 1 | 7 | 0 +EQ | 13 | + ram/RAMEN.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RAMEN + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & ram/RAMEN + # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/BACTr & + ram/RAMEN + # !ram/RS_FSM_FFd1 & !ram/RefUrg & ram/RAMEN & + !ram/RefReq +;Imported pterms FB8_1 + # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf; + ram/RAMEN.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 2 | ram/RefReq +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 7 | 16 | 7 | 15 | 7 | 17 +INPUTS | 2 | ram/RefDone | ram/RefReqSync +INPUTMC | 2 | 7 | 8 | 0 | 2 +EQ | 2 | ram/RefReq.D = !ram/RefDone & ram/RefReqSync; ram/RefReq.CLK = FCLK; // GCK - ram/RefReq.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & ram/BACTr - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 2 | ram/RefReqSync ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 4 | 9 | 4 | 16 +OUTPUTMC | 2 | 7 | 8 | 7 | 2 INPUTS | 1 | RefReq -INPUTMC | 1 | 3 | 14 +INPUTMC | 1 | 0 | 16 EQ | 2 | ram/RefReqSync.D = RefReq; ram/RefReqSync.CLK = FCLK; // GCK @@ -1204,7 +1004,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 12 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 6 | 3 | 14 | 6 | 0 | 3 | 2 | 3 | 13 | 3 | 3 +OUTPUTMC | 23 | 0 | 17 | 6 | 6 | 6 | 12 | 6 | 16 | 6 | 17 | 3 | 16 | 3 | 15 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 0 | 15 | 0 | 16 | 6 | 14 | 3 | 0 | 3 | 17 | 6 | 9 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1214,7 +1014,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 11 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 14 | 3 | 2 +OUTPUTMC | 2 | 7 | 14 | 3 | 0 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1222,72 +1022,62 @@ EQ | 2 | cnt/nIPL2r.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 7 | iobm/DTACKrf +MACROCELL | 0 | 10 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +OUTPUTMC | 2 | 5 | 9 | 5 | 16 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 123 +EQ | 2 | + iobm/BERRrf.D = !nBERR_IOB; + !iobm/BERRrf.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M + +MACROCELL | 0 | 9 | iobm/DTACKrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 9 | 5 | 17 | 5 | 16 INPUTS | 1 | nDTACK_IOB INPUTP | 1 | 127 EQ | 2 | iobm/DTACKrf.D = !nDTACK_IOB; - !iobm/DTACKrf.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 6 | iobm/DTACKrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 127 -EQ | 2 | - iobm/DTACKrr.D = !nDTACK_IOB; - iobm/DTACKrr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M + !iobm/DTACKrf.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 0 | iobm/ETACK ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 15 | 5 | 17 +OUTPUTMC | 2 | 5 | 9 | 5 | 16 INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +INPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 EQ | 3 | iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; iobm/ETACK.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 4 | iobm/Er2 +MACROCELL | 0 | 5 | iobm/RESrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 -INPUTS | 1 | iobm/Er -INPUTMC | 1 | 0 | 5 +OUTPUTMC | 2 | 5 | 9 | 5 | 16 +INPUTS | 1 | nRES.PIN +INPUTP | 1 | 145 EQ | 2 | - iobm/Er2.D = iobm/Er; - iobm/Er2.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M + iobm/RESrf.D = !nRES.PIN; + !iobm/RESrf.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 14 | iobm/VPArf +MACROCELL | 0 | 4 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB INPUTP | 1 | 125 EQ | 2 | - iobm/VPArf.D = !nVPA_IOB; - !iobm/VPArf.CLK = C16M; // GCK + iobm/VPAr.D = !nVPA_IOB; + !iobm/VPAr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 13 | iobm/VPArr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 1 -INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 125 -EQ | 2 | - iobm/VPArr.D = !nVPA_IOB; - iobm/VPArr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 16 | ALE0M +MACROCELL | 5 | 2 | ALE0M ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr -INPUTMC | 4 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 17 +INPUTMC | 4 | 0 | 14 | 5 | 9 | 5 | 3 | 0 | 6 EQ | 4 | !ALE0M.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & @@ -1295,59 +1085,42 @@ EQ | 4 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 13 | ALE0S +MACROCELL | 0 | 13 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 -INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 7 | 6 | 7 | 12 +INPUTS | 1 | iobs/TS_FSM_FFd2 +INPUTMC | 1 | 4 | 12 EQ | 2 | - ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + ALE0S.D = iobs/TS_FSM_FFd2; ALE0S.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 15 | IOREQ +MACROCELL | 4 | 15 | IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 17 -INPUTS | 10 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/PS_FSM_FFd2 | iobs/IOACTr | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | EXP18_.EXP | nROMWE_OBUF.EXP -INPUTMC | 8 | 7 | 12 | 5 | 13 | 7 | 6 | 0 | 3 | 7 | 16 | 0 | 10 | 2 | 14 | 2 | 16 -INPUTP | 2 | 36 | 54 -IMPORTS | 2 | 2 | 14 | 2 | 16 -EQ | 30 | - IOREQ.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd2 & !iobs/IOACTr - # !iobs/PS_FSM_FFd1 & !nADoutLE1 - # A_FSB<23> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & - fsb/ASrf -;Imported pterms FB3_15 - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 -;Imported pterms FB3_17 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf; +OUTPUTMC | 2 | 0 | 6 | 4 | 16 +INPUTS | 8 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr | A_FSB<23> | iobs/Sent | A_FSB<22> | nWE_FSB | RA_6_OBUF.EXP +INPUTMC | 5 | 4 | 12 | 4 | 2 | 2 | 10 | 4 | 16 | 4 | 14 +INPUTP | 3 | 36 | 30 | 47 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 14 +EQ | 12 | + !IOREQ.D = !iobs/TS_FSM_FFd2 & iobs/TS_FSM_FFd1 + # iobs/TS_FSM_FFd1 & iobs/IOACTr +;Imported pterms FB5_15 + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IOREQ.CLK = FCLK; // GCK + IOREQ.EXP = A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd1 + # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd1 + # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 3 | cnt/Er<1> +MACROCELL | 6 | 9 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 15 | 3 | 6 | 3 | 14 | 6 | 0 | 3 | 2 | 3 | 13 +OUTPUTMC | 22 | 0 | 17 | 6 | 6 | 6 | 12 | 6 | 16 | 6 | 17 | 3 | 16 | 3 | 15 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 15 | 0 | 15 | 0 | 16 | 6 | 14 | 3 | 0 | 3 | 17 INPUTS | 1 | cnt/Er<0> INPUTMC | 1 | 0 | 12 EQ | 2 | @@ -1355,9 +1128,23 @@ EQ | 2 | cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | fsb/ASrf +MACROCELL | 2 | 12 | cs/ODCSr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 36 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 7 | 3 | 7 | 11 | 2 | 7 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 4 | 12 | 2 | 15 | 4 | 3 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 16 | 2 | 17 | 4 | 0 | 4 | 1 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 2 | 11 +INPUTP | 5 | 36 | 30 | 29 | 28 | 54 +EQ | 5 | + cs/ODCSr.D = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + fsb/ASrf; + cs/ODCSr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 11 | fsb/ASrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 4 | 16 | 4 | 17 | 7 | 12 | 2 | 14 | 2 | 15 | 2 | 0 | 4 | 9 | 2 | 12 | 7 | 16 | 7 | 15 | 7 | 13 | 4 | 12 | 4 | 7 | 4 | 14 | 7 | 14 | 2 | 9 | 2 | 10 | 2 | 16 | 2 | 17 | 7 | 0 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1365,31 +1152,11 @@ EQ | 2 | !fsb/ASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 9 | iobm/BERRrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 123 -EQ | 2 | - iobm/BERRrf.D = !nBERR_IOB; - !iobm/BERRrf.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 8 | iobm/BERRrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 123 -EQ | 2 | - iobm/BERRrr.D = !nBERR_IOB; - iobm/BERRrr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 6 | iobm/DoutOE +MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 3 | 4 INPUTS | 6 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOREQr | nAoutOE -INPUTMC | 6 | 2 | 0 | 5 | 2 | 5 | 9 | 0 | 15 | 1 | 17 | 3 | 1 +INPUTMC | 6 | 4 | 17 | 5 | 3 | 5 | 9 | 0 | 14 | 0 | 6 | 3 | 1 EQ | 5 | iobm/DoutOE.D = !IORW0 & iobm/IOS_FSM_FFd3 # !IORW0 & iobm/IOS_FSM_FFd2 @@ -1398,122 +1165,51 @@ EQ | 5 | iobm/DoutOE.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 16 | iobm/RESrf +MACROCELL | 4 | 0 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 14 | 5 | 16 -INPUTS | 1 | nRES.PIN -INPUTP | 1 | 145 -EQ | 2 | - iobm/RESrf.D = !nRES.PIN; - !iobm/RESrf.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 1 | 15 | iobm/RESrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 14 | 5 | 16 -INPUTS | 1 | nRES.PIN -INPUTP | 1 | 145 -EQ | 2 | - iobm/RESrr.D = !nRES.PIN; - iobm/RESrr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 2 | 17 | iobs/Clear1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 2 | 0 -INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/IORW1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | IORW0 | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf -INPUTMC | 7 | 7 | 6 | 7 | 12 | 5 | 13 | 2 | 10 | 2 | 0 | 7 | 16 | 0 | 10 -INPUTP | 5 | 36 | 29 | 28 | 47 | 54 -EXPORTS | 1 | 2 | 0 -EQ | 10 | - iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; +OUTPUTMC | 2 | 5 | 13 | 4 | 17 +INPUTS | 10 | nWE_FSB | IORW0 | nADoutLE1 | iobs/IORW1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nROMCS_OBUF.EXP +INPUTMC | 5 | 4 | 17 | 5 | 13 | 4 | 9 | 7 | 12 | 4 | 1 +INPUTP | 5 | 47 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 17 +IMPORTS | 1 | 4 | 1 +EQ | 9 | + iobs/Clear1.D = ;Imported pterms FB5_2 + iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1; iobs/Clear1.CLK = FCLK; // GCK - iobs/Clear1.EXP = !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !IORW0 & - nADoutLE1 - # A_FSB<23> & !iobs/Once & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + iobs/Clear1.EXP = nWE_FSB & IORW0 & nADoutLE1 + # !nWE_FSB & !IORW0 & nADoutLE1 + # IORW0 & iobs/IORW1 & !nADoutLE1 + # !IORW0 & !iobs/IORW1 & !nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 15 | nRESout +MACROCELL | 0 | 3 | iobs/IODTACKr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 7 | 7 | 16 -INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<13> | nBR_IOB_OBUF.EXP -INPUTMC | 6 | 7 | 16 | 7 | 6 | 7 | 12 | 0 | 10 | 2 | 13 | 7 | 14 -INPUTP | 11 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 54 | 18 -EXPORTS | 1 | 7 | 16 -IMPORTS | 1 | 7 | 14 -EQ | 16 | - nRESout.D = ;Imported pterms FB8_15 - cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; - nRESout.CLK = FCLK; // GCK - nRESout.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 3 | ram/RAMReady -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 7 | 2 | 4 | 2 | 8 | 4 | 2 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RAMEN | nAS_FSB | fsb/ASrf | ram/RefReq | nCAS_OBUF.EXP -INPUTMC | 9 | 2 | 13 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 4 | 2 | 0 | 10 | 4 | 16 | 4 | 4 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 2 -IMPORTS | 1 | 4 | 4 -EQ | 23 | - ram/RAMReady.D = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & !ram/RefUrg - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !ram/RefUrg & - !ram/RAMEN -;Imported pterms FB5_5 - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr; - ram/RAMReady.CLK = FCLK; // GCK - ram/RAMReady.EXP = nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 15 | ram/RefRAS -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 2 | 4 | 6 | 7 | 10 +OUTPUTMC | 7 | 2 | 15 | 2 | 0 | 2 | 8 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 17 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 127 EQ | 2 | - ram/RefRAS.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; - ram/RefRAS.CLK = FCLK; // GCK + iobs/IODTACKr.D = !nDTACK_IOB; + iobs/IODTACKr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 0 | ram/RegUrgSync +MACROCELL | 7 | 6 | nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 1 +OUTPUTMC | 1 | 3 | 7 +INPUTS | 2 | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 +INPUTMC | 2 | 3 | 0 | 3 | 17 +EQ | 2 | + nRESout.D = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; + nRESout.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 1 | ram/RegUrgSync +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 7 | 0 INPUTS | 1 | RefUrg -INPUTMC | 1 | 3 | 17 +INPUTMC | 1 | 0 | 17 EQ | 2 | ram/RegUrgSync.D = RefUrg; ram/RegUrgSync.CLK = FCLK; // GCK @@ -1522,7 +1218,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 13 | 149 EQ | 2 | RA<0> = A_FSB<10> & !ram/RASEL @@ -1531,7 +1227,7 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 15 | 153 EQ | 2 | RA<1> = A_FSB<11> & !ram/RASEL @@ -1540,7 +1236,7 @@ EQ | 2 | MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 17 | 155 EQ | 2 | RA<2> = A_FSB<12> & !ram/RASEL @@ -1549,7 +1245,7 @@ EQ | 2 | MACROCELL | 4 | 10 | RA_3_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 18 | 157 EQ | 2 | RA<3> = A_FSB<13> & !ram/RASEL @@ -1558,7 +1254,7 @@ EQ | 2 | MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 19 | 4 EQ | 2 | RA<4> = A_FSB<14> & !ram/RASEL @@ -1567,7 +1263,7 @@ EQ | 2 | MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 21 | 6 EQ | 2 | RA<5> = A_FSB<15> & !ram/RASEL @@ -1575,191 +1271,136 @@ EQ | 2 | MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 4 | 17 -INPUTP | 2 | 22 | 8 -EQ | 2 | +OUTPUTMC | 1 | 4 | 15 +INPUTS | 13 | A_FSB<16> | ram/RASEL | A_FSB<7> | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 6 | 7 | 16 | 4 | 16 | 4 | 12 | 5 | 13 | 2 | 11 | 7 | 12 +INPUTP | 7 | 22 | 8 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 15 +EQ | 7 | RA<6> = A_FSB<16> & !ram/RASEL # ram/RASEL & A_FSB<7>; + RA_6_OBUF.EXP = iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 23 | 11 EQ | 2 | RA<7> = A_FSB<8> & ram/RASEL # A_FSB<17> & !ram/RASEL; -MACROCELL | 6 | 7 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 6 | A_FSB<18> | cs/nOverlay | A_FSB<22> | A_FSB<23> | ram/RASEL | A_FSB<9> -INPUTMC | 2 | 2 | 13 | 4 | 17 -INPUTP | 4 | 24 | 30 | 36 | 12 -EQ | 6 | - RA<8> = A_FSB<23> & A_FSB<18> - # A_FSB<22> & A_FSB<18> - # A_FSB<18> & !cs/nOverlay - # A_FSB<18> & !ram/RASEL - # A_FSB<9> & !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RASEL; - MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 4 | 17 +INPUTMC | 1 | 7 | 16 INPUTP | 2 | 26 | 28 EQ | 2 | RA<9> = A_FSB<20> & ram/RASEL # A_FSB<19> & !ram/RASEL; +MACROCELL | 7 | 11 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | iobs/DTACKEN | nBERR_IOB +INPUTMC | 1 | 2 | 14 +INPUTP | 1 | 123 +EQ | 1 | + !nBERR_FSB = iobs/DTACKEN & !nBERR_IOB; + MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | iobm/DoutOE | nAoutOE -INPUTMC | 2 | 5 | 6 | 3 | 1 +INPUTMC | 2 | 5 | 7 | 3 | 1 EQ | 1 | !nDoutOE = iobm/DoutOE & !nAoutOE; MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 4 -INPUTS | 3 | nWE_FSB | nAS_FSB | ram/RASEL -INPUTMC | 1 | 4 | 17 +INPUTS | 2 | nWE_FSB | nAS_FSB INPUTP | 2 | 47 | 54 -EXPORTS | 1 | 4 | 4 -EQ | 2 | +EQ | 1 | !nOE = nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = !ram/RASEL MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 15 -INPUTS | 14 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<13> -INPUTMC | 4 | 7 | 16 | 2 | 13 | 7 | 12 | 0 | 10 -INPUTP | 10 | 47 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 18 +INPUTS | 10 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | fsb/Ready1r | nADoutLE1 | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 4 | 2 | 15 | 5 | 13 | 2 | 11 | 7 | 12 +INPUTP | 6 | 47 | 54 | 36 | 30 | 29 | 28 EXPORTS | 1 | 2 | 15 -EQ | 10 | +EQ | 9 | !nROMWE = !nWE_FSB & !nAS_FSB; - nROMWE_OBUF.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf + nROMWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !nWE_FSB & !fsb/Ready1r & + !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !nWE_FSB & !fsb/Ready1r & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !fsb/Ready1r & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !fsb/Ready1r & fsb/ASrf MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 2 | 5 +INPUTMC | 1 | 2 | 0 INPUTP | 1 | 54 EQ | 1 | !nVPA_FSB = fsb/VPA & !nAS_FSB; MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 5 | 15 -INPUTS | 7 | ALE0M | ALE0S | C8M | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr -INPUTMC | 6 | 0 | 16 | 7 | 13 | 5 | 2 | 0 | 15 | 1 | 16 | 1 | 15 -INPUTP | 1 | 35 -EXPORTS | 1 | 5 | 15 -EQ | 3 | +INPUTS | 2 | ALE0M | ALE0S +INPUTMC | 2 | 5 | 2 | 0 | 13 +EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; - nADoutLE0_OBUF.EXP = C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 4 | 3 -INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/BACTr | ram/RefReq | A_FSB<22> | cs/nOverlay | nOE_OBUF.EXP -INPUTMC | 8 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 4 | 12 | 4 | 16 | 2 | 13 | 4 | 5 -INPUTP | 2 | 36 | 30 -EXPORTS | 1 | 4 | 3 -IMPORTS | 1 | 4 | 5 -EQ | 13 | - nCAS.D = ;Imported pterms FB5_6 - !ram/RASEL; +INPUTS | 1 | ram/RASEL +INPUTMC | 1 | 7 | 16 +EQ | 2 | + nCAS.D = !ram/RASEL; !nCAS.CLK = FCLK; // GCK - nCAS_OBUF.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & !ram/RefReq - # !cs/nOverlay & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !ram/RefUrg & ram/BACTr GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 -INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | IOBERR | iobm/RESrf | iobm/RESrr | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr -INPUTMC | 10 | 0 | 15 | 5 | 9 | 5 | 2 | 5 | 17 | 1 | 16 | 1 | 15 | 0 | 7 | 0 | 6 | 0 | 9 | 0 | 8 -INPUTP | 2 | 35 | 123 +INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/BERRrf | iobm/DTACKrf | iobm/ETACK | iobm/RESrf +INPUTMC | 6 | 0 | 14 | 5 | 9 | 0 | 10 | 0 | 9 | 5 | 0 | 0 | 5 EXPORTS | 1 | 5 | 17 -EQ | 14 | +EQ | 4 | nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; !nDinLE.CLK = C16M; // GCK - nDinLE_OBUF.EXP = C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & IOBERR & iobm/RESrf & - iobm/RESrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/BERRrf & - iobm/BERRrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !IOBERR & iobm/RESrf & - iobm/RESrr + nDinLE_OBUF.EXP = iobm/IOS_FSM_FFd2 & !iobm/BERRrf & !iobm/DTACKrf & + !iobm/ETACK & !iobm/RESrf GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 13 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RAMEN | iobs/Once | iobs/IOReady | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | fsb/ASrf -INPUTMC | 10 | 4 | 15 | 2 | 13 | 4 | 2 | 7 | 16 | 7 | 3 | 7 | 6 | 0 | 3 | 5 | 17 | 5 | 13 | 0 | 10 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 3 -EQ | 9 | - !nRAS = ram/RefRAS - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RAMEN; - nRAS_OBUF.EXP = iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1 - MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 INPUTS | 1 | nRESout -INPUTMC | 1 | 7 | 15 +INPUTMC | 1 | 7 | 6 EQ | 2 | nRES = Gnd; nRES.OE = !nRESout; +MACROCELL | 6 | 7 | A_FSB_18_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<18> +INPUTP | 1 | 24 +EQ | 1 | + RA<8> = A_FSB<18>; + MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 2 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP20_.EXP -INPUTMC | 1 | 7 | 0 -INPUTP | 7 | 36 | 30 | 29 | 26 | 23 | 22 | 47 -EXPORTS | 1 | 7 | 2 -IMPORTS | 1 | 7 | 0 -EQ | 7 | - RA<11> = ;Imported pterms FB8_1 - A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<22> & nWE_FSB +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 26 +EQ | 1 | + RA<11> = A_FSB<19>; MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 ATTRIBUTES | 264962 | 0 @@ -1770,9 +1411,9 @@ EQ | 1 | MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 19 | 7 | 4 | 2 | 0 | 7 | 9 | 0 | 17 | 2 | 12 | 2 | 4 | 2 | 10 | 2 | 13 | 7 | 11 | 2 | 8 | 7 | 6 | 7 | 2 | 2 | 15 | 2 | 17 | 5 | 13 | 2 | 1 | 2 | 2 | 2 | 6 | 7 | 17 +OUTPUTMC | 14 | 4 | 16 | 4 | 17 | 2 | 14 | 4 | 6 | 4 | 3 | 2 | 13 | 4 | 9 | 2 | 7 | 4 | 12 | 4 | 7 | 4 | 14 | 5 | 13 | 2 | 16 | 4 | 0 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 17 | 5 | 13 | 7 | 2 +INPUTMC | 3 | 4 | 0 | 5 | 13 | 4 | 7 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -1781,9 +1422,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 6 | 3 | 4 | 3 | 1 +OUTPUTMC | 8 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 7 | 3 | 4 | 3 | 1 INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nAoutOE -INPUTMC | 4 | 7 | 14 | 3 | 2 | 3 | 13 | 3 | 1 +INPUTMC | 4 | 7 | 14 | 3 | 0 | 3 | 17 | 3 | 1 EQ | 5 | !nAoutOE.D = !nBR_IOB & cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 @@ -1794,65 +1435,51 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> -INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 -EQ | 3 | +INPUTS | 7 | A_FSB<20> | nWE_FSB | nAS_FSB | A_FSB<21> | A_FSB<23> | A_FSB<22> | cs/nOverlay +INPUTMC | 1 | 7 | 12 +INPUTP | 6 | 28 | 47 | 54 | 29 | 36 | 30 +EQ | 4 | !nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; + # A_FSB<21> & nWE_FSB & !nAS_FSB + # A_FSB<20> & nWE_FSB & !nAS_FSB + # !A_FSB<22> & nWE_FSB & cs/nOverlay & !nAS_FSB; MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 6 -INPUTS | 17 | nLDS_FSB | nWE_FSB | nAS_FSB | ram/RAMEN | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay -INPUTMC | 6 | 4 | 2 | 7 | 16 | 7 | 6 | 7 | 12 | 0 | 10 | 2 | 13 -INPUTP | 11 | 49 | 47 | 54 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 7 | 6 -EQ | 10 | - !nRAMLWE = !nLDS_FSB & !nWE_FSB & !nAS_FSB & ram/RAMEN; - nRAMLWE_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +INPUTS | 4 | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RAMEN +INPUTMC | 1 | 7 | 17 +INPUTP | 3 | 47 | 49 | 54 +EQ | 1 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN; MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 6 -INPUTS | 17 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<13> -INPUTMC | 6 | 4 | 2 | 7 | 16 | 2 | 13 | 7 | 6 | 7 | 12 | 0 | 10 -INPUTP | 11 | 47 | 56 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 18 -EXPORTS | 1 | 7 | 6 -EQ | 10 | +INPUTS | 4 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN +INPUTMC | 1 | 7 | 17 +INPUTP | 3 | 47 | 56 | 54 +EQ | 1 | !nRAMUWE = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; - nRAMUWE_OBUF.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 7 | 4 | nRAS_OBUF +ATTRIBUTES | 8684294 | 0 +INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 +INPUTMC | 2 | 7 | 15 | 7 | 3 +EQ | 2 | + !nRAS.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; + nRAS.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 2 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RAMEN | ram/RS_FSM_FFd1 | nAS_FSB | ram/RefUrg | fsb/ASrf -INPUTMC | 7 | 2 | 13 | 4 | 6 | 7 | 8 | 4 | 2 | 7 | 10 | 0 | 1 | 0 | 10 -INPUTP | 5 | 36 | 30 | 29 | 28 | 54 -EXPORTS | 1 | 4 | 2 -EQ | 6 | +OUTPUTMC | 1 | 4 | 0 +INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 +INPUTMC | 3 | 7 | 12 | 4 | 12 | 4 | 2 +INPUTP | 4 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 0 +EQ | 3 | !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; - nROMCS_OBUF.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & ram/RAMEN - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & ram/RAMEN - # nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & !ram/RefUrg & !fsb/ASrf + nROMCS_OBUF.EXP = iobs/TS_FSM_FFd2 & !iobs/TS_FSM_FFd1 MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 @@ -1866,285 +1493,117 @@ INPUTS | 0 EQ | 1 | C20MEN = Vcc; -MACROCELL | 2 | 1 | EXP10_ +MACROCELL | 2 | 13 | $OpTx$$OpTx$FX_DC$48_INV$124 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 2 | 2 | 0 | 2 | 14 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | nWE_FSB | nADoutLE1 | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/DTACKEN +INPUTMC | 3 | 5 | 13 | 7 | 12 | 2 | 14 +INPUTP | 5 | 36 | 30 | 47 | 29 | 28 +EXPORTS | 1 | 2 | 14 +EQ | 5 | + $OpTx$$OpTx$FX_DC$48_INV$124 = !A_FSB<23> & !A_FSB<22> & !nWE_FSB & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay; + $OpTx$$OpTx$FX_DC$48_INV$124.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + cs/nOverlay & !iobs/DTACKEN + +MACROCELL | 2 | 6 | EXP10_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 18 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/DTACKEN | iobs/IODTACKr +INPUTMC | 2 | 2 | 14 | 0 | 3 +INPUTP | 16 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 7 +EQ | 4 | + EXP10_.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr + +MACROCELL | 2 | 7 | EXP11_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 11 | A_FSB<22> | A_FSB<21> | iobs/DTACKEN | fsb/Ready1r | nDTACK_FSB | cs/nOverlay | nWE_FSB | nADoutLE1 | IOACT | iobs/IODTACKr | EXP10_.EXP +INPUTMC | 8 | 2 | 14 | 2 | 15 | 2 | 8 | 7 | 12 | 5 | 13 | 5 | 17 | 0 | 3 | 2 | 6 +INPUTP | 3 | 30 | 29 | 47 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 +EQ | 15 | + EXP11_.EXP = A_FSB<22> & A_FSB<21> & !iobs/DTACKEN & + !fsb/Ready1r & nDTACK_FSB + # A_FSB<22> & !cs/nOverlay & !iobs/DTACKEN & + !fsb/Ready1r & nDTACK_FSB + # !A_FSB<22> & nWE_FSB & !iobs/DTACKEN & + !fsb/Ready1r & nDTACK_FSB + # !A_FSB<22> & !iobs/DTACKEN & !fsb/Ready1r & + nDTACK_FSB & !nADoutLE1 + # !A_FSB<22> & !fsb/Ready1r & nDTACK_FSB & IOACT & + !iobs/IODTACKr & !nADoutLE1 +;Imported pterms FB3_7 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & iobs/IODTACKr + +MACROCELL | 2 | 17 | EXP12_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 0 -INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Once | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | EXP11_.EXP -INPUTMC | 7 | 7 | 16 | 7 | 6 | 7 | 12 | 5 | 13 | 0 | 10 | 2 | 13 | 2 | 2 -INPUTP | 10 | 30 | 29 | 47 | 54 | 28 | 19 | 26 | 24 | 23 | 22 +INPUTS | 22 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | fsb/ASrf | iobs/DTACKEN | nAS_FSB | IOACT | iobs/IODTACKr +INPUTMC | 5 | 2 | 15 | 2 | 11 | 2 | 14 | 5 | 17 | 0 | 3 +INPUTP | 17 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 EXPORTS | 1 | 2 | 0 -IMPORTS | 1 | 2 | 2 -EQ | 25 | - EXP10_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<21> & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 -;Imported pterms FB3_3 - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - -MACROCELL | 2 | 2 | EXP11_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 1 -INPUTS | 16 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | nAS_FSB -INPUTMC | 6 | 7 | 16 | 2 | 13 | 7 | 6 | 7 | 12 | 0 | 10 | 5 | 13 -INPUTP | 10 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 54 -EXPORTS | 1 | 2 | 1 -EQ | 12 | - EXP11_.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - -MACROCELL | 2 | 3 | EXP12_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 4 -INPUTS | 18 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | fsb/ASrf -INPUTMC | 2 | 2 | 12 | 0 | 10 -INPUTP | 16 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 4 -EQ | 4 | +EQ | 22 | EXP12_.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf - -MACROCELL | 2 | 4 | EXP13_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 5 -INPUTS | 21 | A_FSB<22> | A_FSB<20> | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | A_FSB<23> | cs/nOverlay | fsb/Ready0r | nAS_FSB | ram/RAMReady | A_FSB<14> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nADoutLE1 | A_FSB<13> | EXP12_.EXP -INPUTMC | 9 | 2 | 12 | 2 | 5 | 7 | 3 | 0 | 10 | 2 | 13 | 4 | 7 | 4 | 3 | 5 | 13 | 2 | 3 -INPUTP | 12 | 30 | 28 | 36 | 54 | 19 | 29 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 5 -IMPORTS | 1 | 2 | 3 -EQ | 19 | - EXP13_.EXP = A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 -;Imported pterms FB3_4 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf - -MACROCELL | 2 | 6 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 5 -INPUTS | 24 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | fsb/Ready1r | fsb/VPA | nWE_FSB | iobs/IOReady | fsb/ASrf | nADoutLE1 | A_FSB<13> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | nAS_FSB -INPUTMC | 6 | 2 | 13 | 2 | 12 | 2 | 5 | 7 | 3 | 0 | 10 | 5 | 13 -INPUTP | 18 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 12 | 11 | 21 | 17 | 15 | 13 | 36 | 30 | 54 -EXPORTS | 1 | 2 | 5 -EQ | 20 | - EXP14_.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 - # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !fsb/Ready1r & fsb/VPA & !nWE_FSB & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !nAS_FSB & !IOACT # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !nAS_FSB & + iobs/IODTACKr # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & !IOACT & fsb/ASrf # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf + A_FSB<17> & A_FSB<16> & iobs/DTACKEN & fsb/ASrf & + iobs/IODTACKr -MACROCELL | 2 | 7 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 21 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> -INPUTMC | 4 | 0 | 10 | 2 | 12 | 7 | 3 | 2 | 8 -INPUTP | 17 | 54 | 36 | 30 | 28 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 29 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 8 -EQ | 13 | - EXP15_.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady - -MACROCELL | 2 | 9 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 10 -INPUTS | 9 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | cs/nOverlay | nWE_FSB | nDTACK_FSB_OBUF.EXP -INPUTMC | 3 | 0 | 10 | 2 | 13 | 2 | 8 -INPUTP | 6 | 54 | 36 | 30 | 29 | 26 | 47 -EXPORTS | 1 | 2 | 10 -IMPORTS | 1 | 2 | 8 -EQ | 7 | - EXP16_.EXP = nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay - # !A_FSB<23> & !A_FSB<22> & nWE_FSB -;Imported pterms FB3_9 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> - -MACROCELL | 2 | 11 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 10 -INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> -INPUTP | 7 | 36 | 30 | 28 | 24 | 23 | 22 | 29 -EXPORTS | 1 | 2 | 10 -EQ | 5 | - EXP17_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> - -MACROCELL | 2 | 14 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 15 -INPUTS | 14 | A_FSB<22> | A_FSB<21> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB -INPUTMC | 4 | 7 | 16 | 7 | 12 | 0 | 10 | 2 | 13 -INPUTP | 10 | 30 | 29 | 54 | 28 | 19 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 2 | 15 -EQ | 11 | - EXP18_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<21> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & - !iobs/PS_FSM_FFd1 - # A_FSB<22> & A_FSB<20> & !iobs/Once & - !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 - -MACROCELL | 4 | 0 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 17 -INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrg | ram/RefReq | A_FSB<22> | nAS_FSB | fsb/ASrf | cs/nOverlay | ram/RAMEN -INPUTMC | 7 | 4 | 6 | 7 | 8 | 0 | 1 | 4 | 16 | 0 | 10 | 2 | 13 | 4 | 2 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 17 -EQ | 10 | - EXP19_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # A_FSB<22> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !ram/RefReq - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !ram/RefUrg & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf - -MACROCELL | 7 | 0 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 1 -INPUTS | 1 | A_FSB<19> -INPUTP | 1 | 26 -EXPORTS | 1 | 7 | 1 -EQ | 1 | - EXP20_.EXP = A_FSB<19> - -MACROCELL | 7 | 17 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 16 -INPUTS | 18 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<14> | A_FSB<23> | A_FSB<22> | nAS_FSB | nADoutLE1 -INPUTMC | 6 | 7 | 16 | 2 | 13 | 7 | 6 | 7 | 12 | 0 | 10 | 5 | 13 -INPUTP | 12 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 | 54 -EXPORTS | 1 | 7 | 16 -EQ | 15 | - EXP21_.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Once & cs/nOverlay & - !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & !nAS_FSB & nADoutLE1 - # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !iobs/Once & cs/nOverlay & !nWE_FSB & fsb/ASrf & nADoutLE1 - -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 4 | 2 | 3 | 2 | 7 | 6 | 7 | 2 | 6 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 4 | 2 | 3 | 2 | 7 | 6 | 4 | 2 | 6 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 4 | 2 | 3 | 2 | 7 | 4 | 11 | 2 | 6 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 16 | 7 | 15 | 4 | 8 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 7 | 3 | 7 | 7 | 7 | 17 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 13 | 7 | 15 | 4 | 10 | 2 | 6 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 3 | 2 | 16 | 2 | 13 | 2 | 2 | 2 | 3 | 7 | 7 | 7 | 17 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 4 | 2 | 3 | 2 | 7 | 4 | 13 | 2 | 6 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 4 | 2 | 3 | 2 | 7 | 6 | 1 | 2 | 6 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 4 | 2 | 3 | 2 | 7 | 6 | 5 | 2 | 6 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 32 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 16 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 2 | 15 | 4 | 3 | 6 | 7 | 7 | 4 | 3 | 5 | 4 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 17 | 4 | 0 | 4 | 4 | 7 | 1 | 7 | 3 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 34 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 4 | 16 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 2 | 14 | 4 | 3 | 6 | 7 | 7 | 4 | 3 | 5 | 4 | 1 | 2 | 1 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 4 | 0 | 4 | 4 | 7 | 1 | 7 | 3 | 7 | 5 | 7 | 15 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 26 | 7 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 8 | 7 | 6 | 7 | 1 | 2 | 14 | 6 | 8 | 3 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 11 | 2 | 16 | 2 | 17 | 7 | 3 | 7 | 5 | 7 | 7 | 7 | 15 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 23 | 7 | 16 | 2 | 13 | 4 | 1 | 2 | 12 | 2 | 5 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 17 | 2 | 14 | 6 | 10 | 3 | 5 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 16 | 7 | 3 | 7 | 7 | 7 | 15 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 19 | 7 | 15 | 7 | 0 | 2 | 12 | 2 | 4 | 2 | 9 | 2 | 8 | 7 | 5 | 7 | 1 | 2 | 14 | 6 | 10 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 7 | 2 | 13 | 2 | 16 | 7 | 7 | 7 | 17 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 18 | 7 | 15 | 6 | 7 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 16 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 7 | 3 | 7 | 7 | 7 | 17 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 18 | 7 | 15 | 6 | 4 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 7 | 1 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 16 | 7 | 7 | 7 | 17 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 18 | 7 | 15 | 4 | 14 | 2 | 12 | 2 | 4 | 2 | 7 | 2 | 8 | 7 | 5 | 7 | 1 | 2 | 14 | 2 | 1 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 16 | 7 | 7 | 7 | 17 -PIN | C16M | 4096 | 0 | N/A | 33 | 28 | 5 | 1 | 5 | 2 | 0 | 15 | 5 | 9 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 5 | 17 | 0 | 6 | 5 | 0 | 0 | 4 | 1 | 13 | 0 | 16 | 0 | 8 | 5 | 6 | 1 | 15 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 17 | 0 | 7 | 1 | 14 | 0 | 9 | 1 | 16 | 5 | 16 -PIN | FCLK | 16384 | 0 | N/A | 42 | 65 | 7 | 16 | 3 | 17 | 3 | 0 | 3 | 8 | 3 | 15 | 3 | 16 | 6 | 17 | 6 | 16 | 3 | 12 | 3 | 11 | 3 | 9 | 6 | 14 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 2 | 13 | 2 | 0 | 6 | 15 | 3 | 6 | 4 | 9 | 7 | 9 | 0 | 17 | 3 | 14 | 6 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 0 | 14 | 2 | 10 | 7 | 3 | 0 | 13 | 7 | 11 | 2 | 8 | 7 | 14 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 0 | 1 | 7 | 6 | 4 | 2 | 7 | 12 | 3 | 2 | 3 | 13 | 0 | 3 | 7 | 2 | 4 | 12 | 4 | 16 | 0 | 2 | 0 | 12 | 0 | 11 | 7 | 13 | 2 | 15 | 3 | 3 | 2 | 17 | 7 | 15 | 4 | 3 | 4 | 15 | 0 | 0 | 5 | 13 | 3 | 1 | 0 | 10 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 39 | 7 | 16 | 2 | 13 | 2 | 0 | 4 | 7 | 2 | 12 | 2 | 5 | 2 | 9 | 7 | 3 | 7 | 11 | 2 | 7 | 4 | 17 | 4 | 6 | 7 | 10 | 7 | 8 | 7 | 6 | 4 | 2 | 7 | 2 | 4 | 12 | 2 | 15 | 0 | 10 | 4 | 3 | 4 | 5 | 2 | 16 | 3 | 10 | 7 | 4 | 3 | 5 | 7 | 5 | 7 | 7 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 14 | 2 | 17 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 | 7 | 17 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 19 | 7 | 15 | 7 | 7 | 2 | 12 | 2 | 4 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 1 | 2 | 14 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 9 | 2 | 13 | 7 | 17 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 7 | 9 | 0 | 14 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 17 | 0 | 13 | 7 | 7 -PIN | C8M | 8256 | 0 | N/A | 35 | 7 | 5 | 2 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 14 | 5 | 16 | 0 | 5 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 9 | 0 | 8 | 5 | 16 -PIN | E | 64 | 0 | N/A | 37 | 2 | 0 | 5 | 0 | 12 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 4 | 2 | 0 | 2 | 6 | 2 | 9 | 2 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 5 | 2 | 0 | 2 | 6 | 6 | 4 | 2 | 9 | 2 | 17 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 5 | 2 | 0 | 2 | 6 | 4 | 11 | 2 | 9 | 2 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 5 | 2 | 0 | 2 | 6 | 4 | 8 | 2 | 9 | 2 | 17 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 5 | 2 | 0 | 2 | 6 | 4 | 10 | 2 | 9 | 2 | 17 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 5 | 2 | 0 | 2 | 6 | 4 | 13 | 2 | 9 | 2 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 5 | 2 | 0 | 2 | 6 | 6 | 1 | 2 | 9 | 2 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 5 | 2 | 0 | 2 | 6 | 6 | 5 | 2 | 9 | 2 | 17 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 18 | 4 | 16 | 4 | 15 | 2 | 14 | 2 | 9 | 2 | 0 | 4 | 9 | 2 | 6 | 4 | 12 | 4 | 7 | 4 | 14 | 2 | 12 | 3 | 5 | 4 | 1 | 2 | 13 | 2 | 16 | 2 | 17 | 4 | 0 | 4 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 20 | 4 | 16 | 4 | 15 | 2 | 14 | 2 | 9 | 2 | 0 | 4 | 9 | 2 | 8 | 4 | 12 | 4 | 7 | 4 | 14 | 2 | 12 | 3 | 5 | 4 | 1 | 2 | 13 | 2 | 6 | 2 | 7 | 2 | 16 | 2 | 17 | 4 | 0 | 4 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 16 | 4 | 0 | 2 | 17 | 2 | 9 | 2 | 7 | 2 | 0 | 2 | 8 | 4 | 12 | 4 | 14 | 2 | 12 | 6 | 8 | 3 | 5 | 4 | 1 | 2 | 13 | 2 | 6 | 2 | 16 | 4 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 15 | 4 | 0 | 2 | 17 | 2 | 9 | 2 | 6 | 2 | 0 | 2 | 8 | 4 | 12 | 4 | 14 | 2 | 12 | 6 | 10 | 3 | 5 | 4 | 1 | 2 | 13 | 2 | 16 | 4 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 6 | 2 | 0 | 2 | 6 | 6 | 10 | 7 | 1 | 2 | 9 | 2 | 17 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 5 | 2 | 0 | 2 | 6 | 6 | 7 | 2 | 9 | 2 | 17 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 5 | 2 | 0 | 2 | 6 | 6 | 4 | 2 | 9 | 2 | 17 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 5 | 2 | 0 | 2 | 6 | 4 | 14 | 2 | 9 | 2 | 17 +PIN | C16M | 4096 | 0 | N/A | 33 | 20 | 5 | 1 | 5 | 3 | 0 | 14 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 15 | 5 | 4 | 5 | 12 | 5 | 17 | 0 | 7 | 5 | 0 | 5 | 2 | 5 | 7 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 6 | 0 | 4 | 5 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 64 | 4 | 16 | 0 | 17 | 6 | 6 | 6 | 12 | 6 | 16 | 6 | 17 | 3 | 16 | 3 | 15 | 3 | 14 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 8 | 3 | 6 | 3 | 3 | 3 | 2 | 4 | 17 | 6 | 15 | 0 | 15 | 7 | 12 | 2 | 14 | 7 | 8 | 4 | 6 | 4 | 3 | 0 | 16 | 6 | 14 | 2 | 15 | 2 | 0 | 7 | 10 | 4 | 9 | 7 | 9 | 2 | 8 | 7 | 14 | 7 | 16 | 7 | 15 | 7 | 3 | 7 | 13 | 4 | 12 | 4 | 2 | 7 | 0 | 3 | 0 | 3 | 17 | 2 | 10 | 4 | 7 | 2 | 9 | 7 | 17 | 7 | 2 | 0 | 2 | 0 | 12 | 0 | 11 | 0 | 13 | 4 | 15 | 6 | 9 | 2 | 12 | 4 | 0 | 0 | 3 | 7 | 6 | 0 | 1 | 5 | 13 | 3 | 1 | 7 | 4 | 2 | 11 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 26 | 4 | 16 | 4 | 17 | 7 | 12 | 2 | 14 | 2 | 15 | 2 | 0 | 4 | 9 | 7 | 7 | 7 | 16 | 7 | 15 | 7 | 13 | 4 | 12 | 4 | 7 | 7 | 5 | 7 | 14 | 4 | 14 | 2 | 12 | 2 | 11 | 4 | 5 | 2 | 16 | 3 | 10 | 3 | 5 | 2 | 9 | 2 | 10 | 2 | 17 | 7 | 0 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 14 | 4 | 16 | 4 | 15 | 2 | 14 | 2 | 7 | 4 | 9 | 2 | 8 | 4 | 7 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 7 | 7 | 2 | 13 | 4 | 0 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 4 | 6 | 7 | 10 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 4 | 3 | 7 | 9 | 7 | 7 +PIN | C8M | 8256 | 0 | N/A | 35 | 7 | 5 | 3 | 5 | 9 | 5 | 17 | 0 | 8 | 0 | 10 | 0 | 9 | 0 | 5 +PIN | E | 64 | 0 | N/A | 37 | 2 | 0 | 8 | 0 | 12 PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 11 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 7 | 0 | 6 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 14 | 1 | 13 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 2 | 0 | 10 | 7 | 11 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 9 | 0 | 3 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 0 | 4 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 13 @@ -2156,7 +1615,6 @@ PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 PIN | nBR_IOB | 536871040 | 0 | N/A | 116 PIN | RA<0> | 536871040 | 0 | N/A | 86 @@ -2167,8 +1625,8 @@ PIN | RA<4> | 536871040 | 0 | N/A | 63 PIN | RA<5> | 536871040 | 0 | N/A | 68 PIN | RA<6> | 536871040 | 0 | N/A | 72 PIN | RA<7> | 536871040 | 0 | N/A | 82 -PIN | RA<8> | 536871040 | 0 | N/A | 88 PIN | RA<9> | 536871040 | 0 | N/A | 92 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 PIN | nDoutOE | 536871040 | 0 | N/A | 143 PIN | nOE | 536871040 | 0 | N/A | 60 PIN | nROMWE | 536871040 | 0 | N/A | 57 @@ -2176,7 +1634,7 @@ PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 PIN | nCAS | 536871040 | 0 | N/A | 59 PIN | nDinLE | 536871040 | 0 | N/A | 140 -PIN | nRAS | 536871040 | 0 | N/A | 103 +PIN | RA<8> | 536871040 | 0 | N/A | 88 PIN | RA<11> | 536871040 | 0 | N/A | 102 PIN | RA<10> | 536871040 | 0 | N/A | 90 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 @@ -2184,7 +1642,8 @@ PIN | nAoutOE | 536871040 | 0 | N/A | 141 PIN | nDinOE | 536871040 | 0 | N/A | 144 PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 +PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 PIN | C20MEN | 536871040 | 0 | N/A | 96 -PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 2 | 13 | 1 | 16 | 1 | 15 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 7 | 12 | 0 | 5 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index be98f8d..89c2e07 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4ge0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=20;1>5<592:406>03:2<>>6891:51?2653>897::=;641?2153>297:7>;908<54<08804?<48408<34<0>8044?4939:47=>9;12>?56339:07=>=;12:?56749BH@6J?2KGI=C!D99BH@6J&M;37LBJ0L,G6a=FDL:F"IGKM9G%H?Q_T^34?DJB8D$Z56OCE1O-V@A23HFN<^94AMG3W+B?3HFN<^ K199BH@6T&M8<7LBJ0R,R=>GKM9Y%^HI;;@NF56=FFM30MC@@T@VF@<=FWOYI0<>1d:C\BVD;994%\LJ6;@]EWG:697n0MRH\B=32:+VFL01JSK]M<00=`>GXNZH7=?0!P@F:?DYA[K6:?3j4A^DPF9746'ZJH45N_GQA8429l2KTJ^L315<-TDB>3HUM_O2>5?f8EZ@TJ5;>2#^ND89B[CUE48<5h6OPFR@?538)XHN27LQISC>23;b/RB@<=FWOYI0<61d:C\BVD;914%\LJ6;@]EWG:617n0MRH\B=3::+VFL11JSK]M<0<`?DYA[K6:2#^ND89B[CUE4;:5h6OPFR@?658)XHN27LQISC>15;b>/RB@<=FWOYI0?<1d:C\BVD;:;4%\LJm;@]EWG:5;3;556OPFR@?668c3HUM_O2=3?,SEA>7$[MI64A^DPF919k2KTJ^L37?,SEA>GXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_M=?5N_GQA[4?XAK_M(O[I0068EZ@TJV;2SDLZF%@VB5(C9<1JSK]M_0;\MGSA,K_M<#J>149B[CUEW83TEO[I$CWE4+B59?1JSK]M_0;\MGSA,K_M<#KWP0`8EZ@TJV;2SDLZF%@VB5(BPYU[XR>>b:C\BVDX90UBNXH+BTD3*@^WWY^T=W@H^J)LZF1,F\UYW\V8:n6OPFR@\50MRH\B^02[LDRN-H^J= K149B[CUEW;;TEO[I$CWE4+B69<1JSK]M_33\MGSA,K_M<#J=159B[CUEW;;TEO[I$CWE4+Wc3HUM_OQ=2^KAQCb5MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L21OFJd=D:9GNB#^ND79@60KBFh1H>8CJN/RB@468CJN^MAQC"E]O:%H<=4C37NAKYHJ\L/NXH?.E327>E5=DOESBLZF%@VB5(C:880O?;BEO]LFP@#J\L;"\j4C37NAKYHJ\L/]i5L24OFJZIE]O$Oi6M=5LGM[JDRN'N:i6M=5LGM[JDRN'N9h6M=5LGM[JDRN'[87N6B7:A;I+VFL11H4@QFBTDf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_11]-A]Va3LR[==Q!EYR\TQY7n2OS\<>P.DZS[URX9o1NT]??_/G[TZVSW;l0IU^>0^,F\UYW\V9m7HV_11]-A]VXX]U?j6KWP02\*@^WWY^T9_/G[TZVSW;;i7HV_10]-A]VX|zcf~h`nmdf\j`rXi2OS\<i;DZS56Y)MQZT\YQ>f:G[T45X&LR[S]ZP2g9F\U74W'OS\R^[_2d8A]V6;V$NT]Q_T^6e?@^W9:U%IU^PPU]65g=BPY;8S#KWP^vpmhtbfhgnhR`jt^c8A]V6i;DZS51Y)MQZT\YQ>f:G[T42X&LR[S]ZP2g9F\U73W'OS\R^[_2d8A]V6C_X8>T"HV__uqjiwciidooSck{_`9F\U72W'OS\k5JXQ36[+C_XVZ_S=h4EYR21Z(BPYU[XR?i;DZS50Y)MQZT\YQ=f:G[T43X&LR[S]ZP3g9F\U72W'OS\R^[_53a?@^W99^,F\UYW\V:m7HV_18]-A]VXX]U:j6KWP0;\*@^WWY^T>k5JXQ3:[+C_XVZ_S>h4EYR2=Z(BPYU[XR:>b:G[T4?X&LR[Sy}fmsgmehccWgoSl5JXQ03[+C_X8h0IU^=0^,F\UYs{`gyicobee]maqYf3LR[>C_X;;T"HV__QV\5c=BPY8:S#KWP^RW[7`_/G[TZrtadxnblcjd^lfpZ0259JJ4533@D:895FN077?LH6>=1BB<9;;HL2<1=NF8387D@=4:KM6529:4IO060>OI:?>0EC<84:KM6=25FN518MK343@D=?6GA729JJ=58:KLEFR)L;n0EBOLT/F1[URX8m1BCLM[.E0\TQY6l2CDMNZ!D3]SPZ4c3@EJOY K2^RW[6bOHIJ^%]45FO@AW*WC@>2CDNH\]8:KLF@TU&M30EBLJRS,G5<=NGKOY^#J=e:KLF@TU&M8T\YQ?e:KLF@TU&M8T\YQ>e:KLF@TU&M8T\YQ=e:KLF@TU&M8T\YQ7:KLH5(C:j1BCA>!D3]SPZ6d3@EG<#J=_QV\5==NGE:%IU^k;HMO4+C_XVZ_S=j4INN3*@^WWY^T=<74INN3*@^WW}ybakaalgg[kcsW?1BCA>!Q99JKI6)ZLM>7DA]EP58MJTBY'N37DA]EP,G5==NG[OZ"Ik;HMQS5(C:VZ_Si5FOSU3*A4XX]U8h6G@RV2-@7YW\V>o7DA]W1,G6ZVSW>1BC_Y?.P;8MJTP8'XNK95FOT24?LIR8'IN:6G@U1,G3>OH]9$O=:5FOT2-@7eOH]9$O>R^[_048MJS7&X20EB[?.SGD<>Oi|Mogmt=4LNA4?II@AJKGo6CnjnpUawunggi0Ad``rWgqwlii:2D;96@?$NG`?K6)J\LDI#AZT59M4+B23G:%H<;4N1,G61=I8'[<7C>!TSQW7>H79>1EIYY@RJ18KKC53FX87B[[3:RB@1=WI[^<7]GAN@OFe>VNFVH^_DJWb:RJJZDR[GKFI;5_eskl`==Wg{~[myf:;SC?4;?/RB@==UI5;:6=09;SC?548f3[K7=<0!P@F6?WG;9730^L2>>/RB@0=UI58556\N<3<-TDB23[K7?374R@>0:+VFL<1YM1:19:PB818)XHN>7_O35?;8VD:26'ZJH85]A=4==>TF4?4%\LJ:;SC?3;?912XJ050!P@F6?WG;1730^L26>/RB@<=UIV:TCO[Ib:PB[5YHJ\L%Hn5]A^2\KGSA&M;h7_OP0^MAQC(C:8;0^LQ?_N@VB+B5WY^T<TFW8UDNXH!D332?WGX9VEIYK K2^RW[5763[KT=RAMUG,G6ZVSW8h0^LQ>_N@VB+W>3[KT>RAMUG`8VDY5WFH^J#Jl;SC\6ZIE]O$O=n5]A^0\KGSA&M8:=6\N_3]LFP@)L;U[XR>>1:PB[7YHJ\L%H?Q_T^3a?WGX:VEIYK ^9:PB[6YHJ\Li7_OP3^MAQC(Ck2XJS>Q@BTD-@4eTFW=UDNXH!Db9QEZ2XGK_M"I?l;SC\0ZIE]O$O>TCO[I.P;8VDY2WFH^Jo5]A^7\KGSA&Mi0^LQ:_N@VB+B6k2XJS8Q@BTD-@7763[KT9RAMUG,G6ZVSW9;:7_OP5^MAQC(C:VZ_SVEIYK K1b9QEZ0XGK_M"I<>1:PB[3YHJ\L%H?Q_T^225>TFW?UDNXH!D3]SPZ7e3[KT:RAMUG,R=>TFW>UDNXHm;SC\3ZIE]O$Oo6\N_6]LFP@)L8i0^LQ8_N@VB+B5981YMR9POCWE*A4XX]U;=<5]A^5\KGSA&M8T\YQ>b:PB[2YHJ\L%]45]A^:\KGSAj2XJS5Q@BTD-@f=UIV2TCO[I.E3`?WGX0VEIYK K2038VDY?WFH^J#J=_QV\447WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_S"TP334%.Txloo,Kgce;"Uawungg*8"=';;YFNH4b3:+B6k2iex"K}<1<-@7d/Sg?fhs'Lx7<3 ]EF;8gkr(M{6:2o5lnu-Fv979&Mi0ocz Es>2:+B6k2iex"K}<0<-@7d>/Sg?fhs'Lx7=3 ]EF31?fhs'@DBX^QISL]EBa76<2iex"GAIUQ\BVKXNOn:"I?:;blw+LHN\ZUM_@QIFe3-@4723jd#D@FTR]EWHYANm;%H??;;blw+LHN\ZUM_@QIFe3-U400ocz IOKWWZ@TEVLMh? K149`jq)NF@^XSK]B_GDg6+B69<1hby!FNHVP[CUJWOLo>#J=1`9`jq)NF@^XSK]B_GDg6+B5WY^T<0:amp*JSadoy0=0!CDd8gkr(D]cfi2?>/F24>ei|&F_e`k}<1<-@4773jd#AZfmdp?4;(C:o1hby!CThofv969&X;:7n`{/MVji`t;87$YIJ?j;blw+IRnelx7<3Q{sho`v`gsmmUscQj;blw+IRnelx7==0>1:amp*JSadoy0<>1.BG24>ei|&F_e`k}<02=*A763jd#AZfmdp?558)L8;:7n`{/MVji`t;994%H???;blw+IRnelx7==0!Q008gkr(D]cfi2>0?,QAB7a3jd#AZfmdp?558X|zcfokntdf\|jtXm2iex"B[ilgq8479981hby!CThofv9766'IN==5lnu-OPlkbz5;:2#J>1:amp*JSadoy0ei|&F_e`k}<03=*A4682iex"B[ilgq8479&X;97n`{/MVji`t;984%^HI>f:amp*JSadoy0038gkr(D]cfi2>2?,@A4626;(C981hby!CThofv9756'N:=<5lnu-OPlkbz5;92#J=119`jq)K\`gn~1?=>/S26>ei|&F_e`k}<00=*WC@9o1hby!CThofv9756V~xe`m}e`vf`Z~hzVn0ocz LUknaw:668:0ocz LUknaw:66'INj6mat.NWmhcu484%H<>4cov,HQojm{6:2#J>119`jq)K\`gn~1?1.E0e?fhs'E^bah|31?,R54=df}%GXdcjr=3=*WC@9l1hby!CThofv979W}yban|jaugg[}iuWm1hby!CThofv949991hby!CThofv949&JOm7n`{/MVji`t;:7$O==5lnu-OPlkbz585"I?>0:amp*JSadoy0?0!D3d8gkr(D]cfi2=>/S25>ei|&F_e`k}<3<-V@A6m2iex"B[ilgq878X|zcfokntdf\|jtXl2iex"B[ilgq868682iex"B[ilgq868)KLl0ocz LUknaw:46'N:<6mat.NWmhcu4:4%H4cov,HQojm{6?2#J=f:amp*JSadoy090!Q038gkr(D]cfi2;>/PFC4c7:Zrtadiyilzjd^zlvZb6:466:+EBn2iex"B[ilgq808)L8:0ocz LUknaw:26'N:==5lnu-OPlkbz5?5"I1:amp*JSadoy0;0!RDE2a>ei|&F_e`k}<7<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<6<24>ei|&F_e`k}<6<-G@`4:+B682iex"B[ilgq828)L8;;7n`{/MVji`t;?7$O>k5lnu-OPlkbz5=5"\?>;blw+IRnelx7;3 ]EF3f?fhs'E^bah|37?]wwlkdzlkiiQwos]g?fhs'E^bah|38?33?fhs'E^bah|38?,@Ac=df}%GXdcjr=:=*A773jd#AZfmdp?<;(C98:0ocz LUknaw:?6'N9j6mat.NWmhcu414%]^vpmheumh~nhRv`r^f8gkr(D]cfi26>028gkr(D]cfi26>/AFb>ei|&F_e`k}<8<-@46::+B6991hby!CThofv9?9&M8m7n`{/MVji`t;17$Z=<5lnu-OPlkbz535"_KH1d9`jq)K\`gn~171_uqjiftbi}ooSua}_b9`jq)K\`gn~YMi;blw+IRnelx_O#MJe:amp*JSadoyXN Kf:amp*JSadoyXN K1g9`jq)K\`gn~YM!D3g8gkr(D]cfiZL.P33?fhs'E^bah|[C/PFCf=df}%_e`k}<1/AFa>ei|&^bah|30?,Gb>ei|&^bah|30?,G5c=df}%_e`k}<1<-@7c3:+TBO8n0ocz Thofv969W}yban|jaugg[}iuWj1hby![ilgq848a3jd#Ygbes>2:+EBm2iex"Zfmdp?5;(Cn2iex"Zfmdp?5;(C9o1hby![ilgq848)L;;?7n`{/Uknaw:66'N9S]ZP0068gkr(\`gn~1?1.E0\TQY69=1hby![ilgq848)L;U[XR0:amp*Rnelx7=3 ]EFa8gkr(\`gn~1<1f:amp*Rnelx7>3 LEd9`jq)Sadoy0?0!Dg9`jq)Sadoy0?0!D0d8gkr(\`gn~1<1.E020>ei|&^bah|32?,G6ZVSW9;?7n`{/Uknaw:56'N9S]ZP1068gkr(\`gn~1<1.E0\TQY59=1hby![ilgq878)L;U[XR=j;blw+Qojm{692#_>0:amp*Rnelx7>3 ]EF`8gkr(\`gn~YMj;blw+Qojm{^H"NKk;blw+Qojm{^H"Ik4cov,Plkbz]I%Hei|&dB\A<}.SGDf>et'gE}ibnye9`w*hH~lxgmt Ke:ap+kIqm{fju#J>e:ap+kIqm{fju#J=129`w*hH~lxgmt K2^RW[5743jy$bBxjrmcz*A4XX]U:j6m|/oMuawjfq'OS\?>4cr-mKscudhs%IU^Ptrknv`hfelnTbhzPd:ap+kIqm{fju#_i;bq,jJpbzekr"_KH119`w*hH~lxgmt ]SUD2`>et'gE}ibny^vpmheumh~nhR~}le]2g>et'gE}ibny^vpmheumh~nhRv`r^68aaab02lxn"O\rgc8bvd(IZxm"Il4fr`,EVta&M;i7k}m/@Qqb+B5i2lxn"O\rg,Rg>`tj&KX~k ]EF`8bvd(Zlkou=|k;gqa+Wcflp:y"Ik4fr`,V`gcq9x%H}.DZS[URX9;:0j~l Rdcg}5t)MQZTx~gbrdlbi`bXfl~Th6h|b.Pfea7z'[m7k}m/Sgb`|6u&[OLn6h|b.Pfea6zm1mo!]e`fz5w(Cm2lxn"\jae{2v+B6m2lxn"\jae{2v+B59:1mo!]e`fz5w(C:VZ_S=?<;gqa+Wcflp;y"Ih6h|b.Pfea6z'[m7k}m/Sgb`|7u&[OL;6h|b.TSE<=a{k%]\L Ka:dpf*PWI'N:m6h|b.TSE+B5n2lxn"X_A/F1[URX8o1mo!YP@,G6ZVSW8l0j~l VQC-@7YW\V8m7k}m/WRB*A4XX]U8j6h|b.TSE+B5WY^T8k5isc-UTD(C:VZ_S8h4fr`,RUG)L;U[XR86;gqa+SVF&Xh0j~l VQC-V@Ae3`eia"LJRSpe`>ohjd%II_\}f/Ff?liee&HN^_|i.E3f?liee&HN^_|i.E0g?liee&HN^_|i.Pd8mjdj'KOY^h!RDEa?liee&HN^_|}d:klfh)EM[Xy~#Jj;hmai*DBZ[xy"I?j;hmai*DBZ[xy"I0:klfh)C\HI@~k ]EFa8mjdj'M^JOF|}e:klfh)C\HI@~ Kf:klfh)C\HI@~ K1g9jkgk(L]KHG|!D3g8mjdj'M^JOF|}.P33?liee&N_MNE}r/PFCg=ngkg$Hb{{ODf8mjdj'Me~xBK!Dd9jkgk(LfCH K1d9jkgk(LfCH K2018mjdj'Me~xBK!D3]SPZ66;2cdn`!KotvLA+B5WY^T=<=4in`n+Air|FO%H?Q_T^0g?liee&NdyyAJ.Pd8mjdj'Me~xBK!RDEb?liee&OX0=0l;hmai*CT494%Hi5foco,AV:76'N:h6g`bl-FW969&M8:>6g`bl-FW969&M8T\YQ?139jkgk(MZ6;2#J=_QV\5443:Zrtadiyilzjd^zlvZgohjd%N_1?1.E0\TQY69;1bcoc ER>2:+B5WY^T>n5foco,AV:66'[n7damm.GP848)ZLMj7damm.GP878d3`eia"K\<3<-@a=ngkg$I^2=>/F2`>ohjd%N_1<1.E026>ohjd%N_1<1.E0\TQY79;1bcoc ER>1:+B5WY^T=<<4in`n+@U;:7$O>R^[_331?liee&OX0?0!D3]SPZ56:2cdn`!JS=0=*A4XX]U?o6g`bl-FW949&Xo0eblb/DQ?6;(UMNk0eblb/DQ?7;eohjd%N_1=1.E3g?liee&OX0>0!D331?liee&OX0>0!D3]SPZ66:2cdn`!JS=1=*A4XX]U:=?5foco,AV:46'N9S]ZP2b9jkgk(MZ682#_j;hmai*CT4:4%^HI>b:klfh)B[595Sy}fmbpfeqccWqeySl5foco,AV:36j1bcoc ER>7:+Bc3`eia"K\<5<-@4b2:klfh)B[5>5"I/F1[URX;j1bcoc ER>7:+Wb3`eia"K\<5<-V@A6j2cdn`!JS=6=[qunejxnmykk_ymq[d=ngkg$IYOLKb9jkgk(M]KHG#Jk;hmai*CSIJA%Hn5foco,AQGDC'[n7damm.GWEFM)ZLM<7damm.Gq=>ohjd%N~#Jn;hmai*Cu&M;j7damm.Gq*A4>3`eia"K}.P`8mjdj'Lx%^HI7;hmai*Cu:h1bcoc Es0-@g=ngkg$Iohjd%BC_K^r/PFC474:klfh)NGZUM_@QIFe3-@4733`eia"G@S^DPIZ@Al8$O><74in`n+LITWOYFSKHk1/F1[URX8830eblb/HMP[CUJWOLo=#J=_QV\545ohjd%BC^QISL]EBa46;2cdn`!FOR]EWHYANm8%H<:4in`n+LITWOYFSKHk2/F251=ngkg$EB]PFRO\BCb5&M8:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)L;U[XR<>9:klfh)NGZUM_@QIFe0-@7YW\V9:56g`bl-JKVYA[DUMJi?<;hmai*OH[VLXARHId2,G51=ngkg$EB]PFRO\BCb4&M;:86g`bl-JKVYA[DUMJi=!D33:?liee&CD_RH\M^DE`6(C:VZ_S=?6;hmai*OH[VLXARHId2,G6ZVSW8;27damm.KLWZ@TEVLMh> K2^RW[7743`eia"G@S^DPIZ@Al:$Z=85foco,MJUXNZGTJKj<.SGDe>ohjd%YI^|ic:klfh)UMZxm"Ij4in`n+WCTzo$O=i5foco,V@Uun'N9o6g`bl-QAVta&Xo0eblb/SGPvc(UMNk0eblb/SGPvweohjd%YI^|}.E3g?liee&XN_|!D3a8mjdj'[OX~ ^e:klfh)UMZxy"_KHa:klfh)QXHxmo6g`bl-UTDta&Mn0eblb/WRBvc(C9m1bcoc VQCqb+B5k2cdn`!YP@pe*Tc0ebl|/Bnfew7)MQZT\YQ?159jkgu(Keoj~< JXQ]SPZ76<2cdn~!Lldcq5+C_XVZ_S??;;hmaw*Ekmhx:"HV__QV\776ohjz%BCLM[r/F2a>ohjz%BCLM[r/F1`>ohjz%BCLM[r/Se?lie{&CDMNZ}.SGD=>ohjz%BCA?l;hmaw*OHD8$HIo5focq,MJJ6&Mi0ebl|/HMO5+B6k2cdn~!FOM3-@7d>2:klfv)NG[]:"I?=;hmaw*OHZ^;%H?Q_T^626>ohjz%BC_Y>.E0\TQY29;1bco} INPT5+B5WY^T:n5focq,MJTP9'[n7dams.KLVR7)ZLM:n6g`br-JKWQ6W}yban|jaugg[}iuWj1bco} INPfeab3`ei"G@Rdcg}+Ba3`ei"G@Rdcg}+B6n2cdn~!FOSgb`|(C:8>0ebl|/HMQadb~&M8T\YQ?159jkgu(AFXnmiw!D3]SPZ7682cdn~!FOSgb`|(BPY;>7dams.KLV`gcq'OS\R^[_136?lie{&CD^hoky/G[TZVSW8;>7dams.KLV`gcq'OS\R^[_336?lie{&CD^hoky/G[TZVSW:8:7dams.KLV`gcq'OS\Rz|ilpfjdkblVdnxRk4in`p+LIUmhnr"\??;hmaw*OHZlkou#\JG0f8mjdt'@EYiljv_uqjiftbi}ooSua}_89jkgu(AF_:o6g`br-JKP7)KLh0ebl|/HMV5+Bd3`ei"G@U0,G5f=ngky$EB[>.E0a?lie{&CDY< ^d:klfv)NG\;%^HIn;hmaw*Jhim;h7dams.Nlea7)Lm1bco} Lncg5+B6l2cdn~!Co`f2*A46:2cdn~!Co`f2*A4XX]U;=?5focq,Hjgc9'N9S]ZP1008mjdt'Eejh< K2^RW[7753`ei"B`ae3-@7YW\V9:>6g`br-Okdb6&M8T\YQ;139jkgu(Dfko=#J=_QV\1443:klfv)W[VLXARHId0,G64>6g`br-SWZ@TEVLMh< ^159jkgu(XZUM_@QIFe3-V@A682cdn~!_S^DPIZ@Al;;97dams.RP[CUJWOLo>#J>3:klfv)W[VLXARHId3,G545R^[_53;?lie{&ZXSK]B_GDg6+B5WY^T9<64in`p+UUXNZGTJKj=.E0\TQY19;1bco} PR]EWHYANm8%]<:4in`p+UUXNZGTJKj=.SGD64=ngky$\^QISL]EBa4X|zcfokntdf\|jtX12dJHb{{LD2g?kGCg|~GI= _AEg8jDBh}}FN<<4n@FlqqJB8VEIYK JXQ00?kGCg|~GI=Q@BTD-A]VX|zcf~h`nmdf\j`rX991eMIaztMG3[JDRN'[27cOKotvOA4b_N@VB46R^[_033?kGCg|~GIhF[VCDNb{{d:lBWZOHJf(BK>4:lBWZOHJf"O[IOD,LQQehF[VCDNb{{.E3g?kGTW@EIcxz!D331?kGTW@EIcxz!D3]SPZ66:2dJ_RG@Bnww*A4XX]U:o6`NS^KLFjss&Xo0bL]PIN@lqq(UMNl0bL]PIN@lqq(SZZ^<7cO`uuMFf>hFg|~DI#^NDb9mEjssGLUDNXHj;oClqqIBWFH^J#Ji;oClqqIBWFH^J#J>f:lBkprHMVEIYK K2068jDir|FOTCO[I.E0\TQY79=1eMb{{OD]LFP@)L;U[XR?j;oClqqIBWFH^J#_>0:lBkprHMVEIYK ]EF;8jGCUZVLXNi5aBDPQ[CUE&YKOi6`MESP\BVDXGK_M==5aBDPQ[CUEWFH^J#J>1:lAAWTXNZHTCO[I.E325>hEM[XTJ^LPOCWE*A46>2dII_\PFR@\KGSA&M8T\YQ?179mF@TUWOYISBLZF/F1[URX98<0bOK]R^DPFZIE]O$O>R^[_335?kDBZ[UM_OQ@BTD-@7YW\V9:<6`MESP\BVDXGK_M"\?=;o@FVWYA[KUDNXH!RDE26>hEM[XTJ^LPOCWE*POJ9o1eNH\]_GQA[JDRNV~xe`m}e`vf`Z~hzV30bOK]R^KLFa=iJLXYSDAM.QCGa>hEM[XTEBLPICWE3>hEZVCDNo5aBS]JKG(WIMi0bO\PIN@\KGSAm2dI^RG@B^MAQC"Vm2dI^RG@B^MAQC(Cn2dI^RG@B^MAQC(C9o1eN_QFOC]LFP@)L;;?7cL]_HMA[JDRN'N9S]ZP0068jGTXAFHTCO[I.E0\TQY6991eN_QFOC]LFP@)MQZ9=6`MR^KLFZIE]O$NT]Q{shoqakgjmmUeiyQj;o@Q[LIEWFH^J#_>0:lAVZOHJVEIYK ]EF3g?kDUW@EISBLZF^vpmheumh~nhRv`r^68jFGT02dHM^ _AE;8jFGTWFH^Jo5aC@Q\KGSA&Mi0bNO\_N@VB+B6k2dHM^Q@BTD-@7b2:l@EVYHJ\L%IU^PPU]157=iKHYTCO[I.DZS[URX;880bNO\_N@VB+C_XVZ_S9?j;oABWZIE]O$NT]Q{shoqakgjmmUeiyQm;oABWZIE]O$Zh6`LAR]LFP@)ZLMj7cJ[ABI\BVDb3gN_MNEPFR@-TDBa3gN_MNEPFR@\KGSA981eHYOLK^DPFZIE]O$O=?5aDUC@OZ@TJVEIYK K1008jARFKBUM_OQ@BTD-@7703gN_MNEPFR@\KGSA&M8T\YQ?169m@QGDCVLXNRAMUG,G6ZVSW8;<7cJ[ABI\BVDXGK_M"I?8;oFWEFMXNZHTCO[I.E0\TQY39:1eHYOLK^DPFZIE]O$NT]<;;oFWEFMXNZHTCO[I.DZS[qune{oem`kk_ogw[473:lGPDELWOYISBLZF/WJId=iL]KHGRG@Bd9m@QGDCVCDN#^NDg9m@QGDCVCDNRGMUG48jAoiDLk0bIgaLD,SEAdhCagFNSBLZF/G[TZVSW9;?7cJfnMG\KGSA&LR[S]ZP1068jAoiDLUDNXH!EYR\TQY59=1eHd`CE^MAQC(BPYU[XR==0:lGmkJBWFH^J#KWP^vpmhtbfhgnhR`jt^f8jAoiDLUDNXH!Qg9m@lhKMVEIYK ]EF48jAoiGLk0bIgaOD,SEAdhCagENSBLZF/S4?kBh}}ENn6`KotvLA+VFLj1eHb{{OD]LFP@b3gNdyyAJ_N@VB+Ba3gNdyyAJ_N@VB+B6n2dOcxz@E^MAQC(C:l1eHb{{OD]LFP@)Y<1eE]B=9:lJTI4)XHNj7cG_L3]JFP@?3gFO_RH\Bb9mHAUXNZH%\LJk;oNGWZ@TJVCIYK64nMFP[LIEk2dGH^QFOC,SEAdhKLZUBCOazt/@VBJC)G\^o7cBKS^KLFjss&Mo0bAJ\_HMAkpr)L8o0bAJ\_HMAkpr)L;;87cBKS^KLFjss&M8T\YQ?129mHAUXAFHdyy K2^RW[4743gFO_RG@Bnww*A4XX]U9h6`CDR]JKGir|'[m7cBKS^KLFjss&[OL==5aLEQ\MJDh}}$_^^Z<;oMF3>hHM'ZJH55aOD]LFP@f3gENSBLZF/Fa?kIBWFH^J#J>b:lLAZIE]O$O>n5aOD]LFP@)MQZ:h6`@E^MAQC(BPYUdc}eocnaaYim}Uj7cAJ_N@VB+W03gXJAAYJb:lQEHJPM'ZJHn5aR@OOS@YHJ\Ln7c\NMMUF[JDRN'Nm7c\NMMUF[JDRN'N:j6`]ALNTAZIE]O$O><>4nSCNHRCXGK_M"HV_149mVDKK_LUDNXH!EYR\TQY79<1e^LCCWD]LFP@)MQZT\YQ>149mVDKK_LUDNXH!EYR\TQY59<1e^LCCWD]LFP@)MQZT\YQ<209mVDKK_LUDNXH!EYR\pvojzldjahjPndv\a>hUIDF\IRAMUG,R3>hUID_\Io5aR@OVS@(WIMi0b_OBUVG\KGSAm2dYM@[XE^MAQC(Cn2dYM@[XE^MAQC(C9o1e^LCZWD]LFP@)L;;;7c\NMTUF[JDRN'OS\<;4nSCNQRCXGK_M"HV__QV\443hUIZUDNXH!Db9mVDUXGK_M"I?l;oPBWZIE]O$O>hUIZUDNXH!EYR\pvojzldjahjPndv\f>hUIZUDNXH!Q59mV@U?3gXN_#^ND69mV@Uh}}30b_K\otv-@d=iZLYdyy K1`9mV@Uh}}$O>o5aRDQlqq(BPY;;7c\JSnww*@^WWY^T<<>4nSGPkpr)MQZT\YQ>119mV@Uh}}$NT]Q_T^024>hUMZe~x#KWP^RW[6773gXN_b{{.DZS[URX<8i0b_K\otv-A]VX|zcf~h`nmdf\j`rX12dYI^azt/Sa?kTB[f"_KH6:lQKHETi2dYC@M\.QCGf>hUGDIXSBLZFe9mVJKD[VEIYK Ke:lQKHETWFH^J#J>e:lQKHETWFH^J#J=129mVJKD[VEIYK K2^RW[5743gXDAN]POCWE*A4XX]U:j6`]OLAP[JDRN'OS\<:4nSMNGVYHJ\L%IU^PPU]351=iZFGH_RAMUG,F\UYW\V;:86`]OLAP[JDRN'OS\R^[_303?kTHEJYTCO[I.DZS[qune{oem`kk_ogw[a=iZFGH_RAMUG,R2>hUGD]Nm6`]OLUF*UGCj2dYC@YJ_N@VBa=iZFG\IRAMUG,Ga>hUGD]NSBLZF/F2a>hUGD]NSBLZF/F1b>hUGD]NSBLZF/G[T42hUGD]NSBLZF/G[TZVSW;8;7c\@MVG\KGSA&LR[Sy}fmsgmehccWgoSi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNi7c[KS^KLFjssm2d^H^QFOCmvp!IB9<1eYI]PIN@lqq(E]OEN"B[[d:lV@VYNGKe~x#Jj;oWGWZOHJf"I?j;oWGWZOHJf"I<>3:lV@VYNGKe~x#J=_QV\445hQEHUBCOm4nWOB[LIE&YKOn6`YM@]JKGir|l1eZ@OPIN@lqq"HMm1eZ@OPIN@lqq"V9<1eZ@OPIN@lqq(E]OEN"B[[d:lUIDYNGKe~x#Jj;oTNEZOHJf"I?j;oTNEZOHJf"I<>3:lUIDYNGKe~x#J=_QV\445hQXHUM_OQ@BTD-@46hQXHUBCOQFBTD4?kQBWOYIn6`XE^DPF+VFLj1e[HQISC]JFP@33gkfi:5aefggc`1tfe&XJAH@!D3]SPZ6692xja"\NMDL-@7YW\V;:=6|nm.PBI@H)L;U[XR<>1:pbi*TFELD%H?Q_T^125>tfe&XJAH@!D3]SPZ2692xja"\NMDL-@7YW\V?:=6|nm.PBI@H)L;U[XR8m;scn+WGJMG$Zh6|nm.PBI@H)ZLMh7ob/SCNV`gcql1ym`!]ALPfea)Lo1ym`!]ALPfea)L8l0~lc R@OQadb~&M8:86|nm.PBIWcflp$O>R^[_137?wgj'[KF^hoky/F1[URX98>0~lc R@OQadb~&M8T\YQ=159qeh)UIDXnmiw!D3]SPZ56<2xja"\NMSgb`|(C:VZ_S9??;scn+WGJZlkou#KWP338vdk(ZHGYiljv.DZS[qune{oem`kk_ogw[`=uid%YM@\jae{-U46tfe&XXSK]B_GDg547.E023>tfe&XXSK]B_GDg5+B5WY^T<<94r`o,VVYA[DUMJi?!D3]SPZ76?2xja"\\_GQN[C@c9'N9S]ZP2038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7417:pbi*TTWOYFSKHk2/F1[URX=8;0~lc RR]EWHYANm8%]<=4r`o,VVYA[DUMJitfe&XXSK]B_GDg6Zrtadiyilzjd^zlvZ`6|nm.PP[CUJWOLo?#J>139qeh)U[VLXARHId2,G641>7:pbi*TTWOYFSKHk3/F1[URX98=0~lc RR]EWHYANm9%H?Q_T^023>tfe&XXSK]B_GDg7+B5WY^T?<94r`o,VVYA[DUMJi=!D3]SPZ26?2xja"\\_GQN[C@c;'N9S]ZP5038vdk(ZZUM_@QIFe1-U45tfe&Xnj_k~.DZS56=uid%Yik\jq/G[TZVSW9;87ob/SgeV`w)MQZT\YQ>129qeh)UmoXn}#KWP^RW[7743{kf#_kiRds-A]VXX]U8=k5}al-QacTby'OS\Rz|ilpfjdkblVdnxRm4r`o,V``Umx$Zi6|nm.PfbWcv&[OLi6|nm.PfbWcv[pdh==5}al-QacTbyZseo#J>1:pbi*Tbn[oz_t`l.E325>tfe&Xnj_k~Sxl`*A4682xja"\jfSgrW|hd&X;97ob/SgeV`wTqgi%^HIn;scn+Wca]{mh7ob/SgeQwa)Lm1ym`!]egWqc+B6l2xja"\jfTpd*A4d3{kf#_kiUse-U`=uid%Yik[}g/PFC`=uid%Yij[}gR{mg46tfe&XnkX|hSxl`*WC@=2|j`xkr@Ar52=435`3f2<598i9>522g8yVbc2;9o6=4>35`3f2<598i<7i<>j50;300g6e?38:=n94vU4b>5<6280?5v{>a782?p7f?3:0q)<<7;3b1>"6j?09?n5m22;94?202=h>697>{I002>"5;<09?45Uc58176=9=0ve4650;&2f<c=?1<7*>b88;3>h6j10376g83;29 4d>21=0b5$0`:>=1b98`?>o1j3:1(4=b88;3>h6j10976g71;29 4d>21=0b5$0`:>=1b985?>o0?3:1(10en;50;9j564=831b88o50;9j13d=831b8i950;9j117=831bh:4?::k7<7<722cn?7>5;h6g0?6=3`>i>7>5;h75b9821>=n0j0;6)?m9;:4?k7e03;?76g7e;29 4d>21=0b=9;10e4<50;&2f<1:9j=1<72-;i5768;o3a9?4?::m71a<722e?hl4?::m601<722e?;94?::m7e7<722e?484?::m2ff<72-;i57?l0:l2f=4?:%3a=?7d82d:n54n;:m2g0<72-;i57?l0:l2f=<>32e:o:4?:%3a=?7d82d:n547;:m2g<<72-;i57?l0:l2f=<032e:oo4?:%3a=?7d82d:n549;:m2ga<72-;i57?l0:l2f=<232e:ok4?:%3a=?7d82d:n54;;:m2`4<72-;i57?l0:l2f=<432e:h>4?:%3a=?7d82d:n54=;:m7ga<722e?i54?::m670<722e>:<4?::m702<722e?5>4?::m7f0<722e>4?::m7b4<722e?jh4?::m662<722ehn7>5;na:>5<7E<<6:k2f0<72-8?>7?m5:&2e1<392.:n:4;1:9~ff7=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635?3:1=7>50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>6e<,8h<6>m4;|``4?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=7d=#=?l1m6sr}|9~f20=83;1<7>t$361>4d23A88:6g>b483>!43:3;i96*>a5821>"6j>0:965rb8d94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:718b?!31n3k0qpsr;|`40?6=93:1?62c:n84?:%076?7e=2.:m94>2:&2f2<6:21vn4j50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4n;%75b?gb49K6606=4+25095g3<,8k?647<3th2o7>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn;k50;394?6|,;>96o6j<0;6)<;2;3a1>"6i=0>=6*>b6865>=zj0h1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90j7);9f;c8yx{z3th=o7>51;294~"5<;0:n85G2248m4d2290/>9<51c78 4g32=30(i3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj131<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<592.:n:4=1:9~fg?=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&6353:1=7>50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>4b<,8h<6N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=7d=#=?l1m6sr}|9~f=2=83;1<7>t$361>4d23A88:6g>b483>!43:3;i96*>a582f>"6j>0:n65rbc094?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:718b?!31n3k0qpsr;|`;6?6=93:1?62c:n84?:%076?7e=2.:m94>9:&2f2<6121vnl=50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4n;%75b?gb49K6606=4+25095g3<,8k?6<64$0`4>4><3thj>7>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn:o50;394?6|,;>96o6j<0;6)<;2;3a1>"6i=0:;6*>b6823>=zjh;1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90j7);9f;c8yx{z3th<47>51;294~"5<;0:n85G2248m4d2290/>9<51c78 4g328<0(7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zjj<1<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<6m2.:n:4>e:9~ff1=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<13-?=j784}|~?xdd03:1>7>50z&1075<#:=81=o:4H362?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<784$44e>3=zutw0qo?<3;295?6=8r.98?4>b49K6606=4+25095g3<,8k?6?=4$0`4>75<3th:?94?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<784$44e>3=zutw0qo?<5;296?6=8r.98?4l3:J173=n9:21<7*=43827==:7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?0<,<50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>7><,8h<6?64;|`71f<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?g<,<50z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>73<,8h<6?;4;|`62a<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?g<,<7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj=n36=4>:183!43:3;i96F=379j5g3=83.98?4>b49'5d2=:>1/=o952698yg2c13:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj<>96=4>:183!43:3;i96F=379j5g3=83.98?4>b49'5d2=:01/=o952898yg33;3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zjm21<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<6n2.:n:4>f:9~fa?=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&6357>50z&1075<#:=81=o:4H362?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<784$44e>3=zutw0qo:73;295?6=8r.98?4>b49K6606=4+25095g3<,8k?69;4$0`4>13<3th?494?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7o4$44e>d=zutw0qok;:182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>1>?5+1c5967=52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9j::182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>1995+1c5911=o:7>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9l<:182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>18:5+1c5902=i87>52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn886:182>5<7s-8?>7?m5:J173=n9k?1<7*=4382f0=#9h>18;5+1c5903=52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5>50;394?6|,;>96o6j<0;6)<;2;3a1>"6i=0>:6*>b6862>=zjk:1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90j7);9f;c8yx{z3th3n7>51;294~"5<;0:n85G2248m4d2290/>9<51c78 4g32<=0(7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 0172h1/9;h5a:~yx=zj1n1<7?50;2x 72528h>7E<<6:k2f0<72-8?>7?m5:&2e1<202.:n:4:8:9~fgd=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&63550z&107<6j<1C>>84i0`6>5<#:=81=o;4$0c7>0?<,8h<6874;|`ag?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=7d=#=?l1m6sr}|9~f<7=83;1<7>t$361>4d23A88:6g>b483>!43:3;i96*>a582g>"6j>0:o65rbcf94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:718b?!31n3k0qpsr;|`:7?6=93:1?62c:n84?:%076?7e=2.:m94=e:&2f2<5m21vnoh50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4n;%75b?g4<729q/>9<51c78L7513f;i97>5$361>4d23-;j87:;;%3a3?2332wi98<50;194?6|,;>96?=;;I002>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>399U567=:r.>;=47;%75b?>9:51zN103<6s_o96?u+1c`9`c=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{>i7>51;294~"5<;0:n85G2248k4d2290/>9<51c78 4g32=h0(4?:1y'614=::>0D?=9;h3096<=7;W070?7|D;>=63081!308320(88i:99~yx{P5<=0:wA<;6;3xR`4=:r.:no4kf:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1be290:6=4?{%076?7e=2B9?;5`1c794?"5<;0:n85+1`690==#9k=18554}c6ge?6=;3:1745?3_;8=7==#=?l146sr}|9j`c<72-8?>7ji;W070?7|D;>=61<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm55794?7=83:p(?:=:0`6?M44>2e:n84?:%076?7e=2.:m94;a:&2f2<3i21vn8:;:180>5<7s-8?>7<<4:J173=n9:21<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512:8R4562;q/9:>58:&62c7a`<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?;84?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>97)?m7;61?>{e<>>1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?m>4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38?7)?m7;07?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?4;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>87)?m7;60?>{e<1?1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:ni4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39=7)?m7;15?>{e9ki1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:o94?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39?7)?m7;17?>{e9j91<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:o;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3997)?m7;11?>{e9j?1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:o54?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39;7)?m7;13?>{e9j=1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:ol4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38m7)?m7;0e?>{e9j31<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:on4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39:7)?m7;12?>{e9jh1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:oh4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3987)?m7;10?>{e9jn1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:h=4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39>7)?m7;16?>{e9jl1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:h?4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39<7)?m7;14?>{e9m;1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th:h94?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3927)?m7;1:?>{e9m91<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?oh4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3?;7)?m7;73?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?i44?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38o7)?m7;0g?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>?;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38j7)?m7;0b?>{e=:?1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>:?4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3?>7)?m7;76?>{e=?;1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?854?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>o7)?m7;6g?>{e<==1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?594?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<38h7)?m7;0`?>{e<091<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th?n;4?:083>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<3>n7)?m7;6f?>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>5}#:=81=o;4H315?j7e=3:1(?:=:0`6?!7f<39n7)?m7;1f?>{e=9k1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?9037);9f;:8yx{z3th>=44?:083>5}#:=81=o;4H315?.7e=3:1(?:=:0`6?!7f<3?87)?m7;70?>{e=821<7=50;2x 7252;9?7E<<6:k27=<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?55Y12396~"2?9037);9f;:8yx{z3`nm6=4+2509`c=Q:=>1=vB=4782Sc52;q/=ol5dg9U567=:r.>;=4>;%75b?750z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>6b<,8h<6>j4;|`2g4<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>6><,8h<6>64;|`2f`<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>1e<,8h<69m4;|`715<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>1`<,8h<69h4;|`72d<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>04<,8h<68<4;|`7g6<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>6`<,8h<6>h4;|`7b4<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>16<,8h<69>4;|`7b`<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<50z&107<6j<1C>>84o0`6>5<#:=81=o;4$0c7>7d<,8h<6?l4;|`662<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?><,<5<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+5629<>"2>o037psr}:agd<7280;6=u+25095g3<@;9=7b?m5;29 72528h>7)?n4;1a?!7e?39i76smc883>7<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;:8 00a211vqps4}c762?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f03329096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e=96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>9:4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;90;297?6=8r.98?4=3g9K660<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed81?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`272<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm22:94?7=83:p(?:=:0`1?M44>2e:n94?:%076?7e<21vn8;7:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;:9;295?6=8r.98?4=489K6609o4?:683>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f03d290:=7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1o6*:6g8`?x{zu2c:9;4?:%076?7402\9894>{M072?7|^l81>v*>bc8213=Q9:;1>v*:718`?!31n3i0qpsr;h363?6=,;>96<=7;W070?7|D;>=6;6X>3081!3083i0(88i:b9~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6;j0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3b9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<=j;W305?4|,<=;6<5+57d95>{zut1b=>h50;&107<6;11]>9:51zN103<6s_o96?u+1c`956`<^89:6?u+56295>"2>o0:7psr}:k205<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8=5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c76e?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`61c<72:0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083>0(88i:59~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?2<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9;i:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm47294?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`724<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1==>7>53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?:>4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn989:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3>=0;6>4?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4;;%75b?25$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6gg?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1bc29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e96<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?i;4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl;dg83>6<729q/>9<522d8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1c7290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c6f6?6=<3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=o86=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1c3290>6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4d594?5=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<33-?=j7:4}|~?l74i3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7187?!31n3>0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8:8:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm55:94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`615<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<53t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd2<00;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8:n:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=54;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8:j:186>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm55`94?2=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=<;1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4;;%75b?25$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c617?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f15729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4n;%75b?g50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=987>53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?>84?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e<;=1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<;21<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<;31<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{<^;>?69851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a07g=83<1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7m4$44e>f=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72;2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn95<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?05$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0e<;<:18'614=9:20D?:>;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;38 00a281vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 017281/9;h51:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>51:&62c<63twvq6g>5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635<63-?=j7?4}|~?l72=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:5:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f74129096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e::;1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;c8 00a2h1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;9;6=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn?<7:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<=9;295?6=8r.98?4=489K660o4?:483>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;8h6=4::183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>?j50;794?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c01e?6==3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7182?!31n3;0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083;0(88i:09~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo<98;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>;o50;394?6|,;>96i6j=0;6)<;2;3a0>=zj;<<6=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7b229096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e:mi1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;c8 00a2h1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;ni6=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn?j8:180>5<7s-8?>7<745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`1`=<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm2e;94?3=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:m<1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?jk:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2d494?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg9=>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd5m;0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5m:0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?k>:187>5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7bb29086=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?h>:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:89~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb3g:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5mh0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:lo1<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9io4?:483>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1=6*:6g82?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{53;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c041?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1m6*:6g8b?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`131<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<>3t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd5?90;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:>;1<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f715290:=7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629g>"2>o0h7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90h7);9f;a8yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;a8 00a2j1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172j1/9;h5c:~yx=n9<=1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51458R4562;q/9:>5c:&62c5983>!43:3;846X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&6354=zutw0e<=l:18'614=9:20Z?:;:0yO610=9r\n>745d3_;8=74=#=?l1=6sr}|9j56b=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>j4V012>7}#=>:1=6*:6g82?x{zu2c:?h4?:%076?7402\9894>{M072?7|^l81>v*>bc827`=Q9:;1>v*:7182?!31n3;0qpsr;h30b?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{;6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:?l1<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a620=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5?m0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:>i1<7850;2x 725289=7E<<6:&21<5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo156sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi>:650;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?96:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=51083>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>5c:&62c5483>!43:3;846X=4582I43>3;pZh<52z&2fg<6=<1]=>?52z&6353:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:6:T274<5s-?<<7m4$44e>f=zutw0e<;8:18'614=9:20Z?:;:0yO610=9r\n>74303_;8=7f=#=?l1o6sr}|9j50>=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1o6*:6g8`?x{zu2c:?o4?:%076?7402\9894>{M072?7|^l81>v*>bc827g=Q9:;1>v*:718`?!31n3i0qpsr;h30g?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6;o0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3g9U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?98:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;2=6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>5j50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?6l:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:89~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb3:;>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c0;=?6=93:107pl=8`83>6<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th94h4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<65;297?6=8r.98?4=3g9K660<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed8:?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`1=5<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6<7=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb3;1>5<4290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?79:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm28f94?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083k0(88i:`9~yx{?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf><=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f7??29086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?713:1=7>50z&107<5<01C>>84o0`7>5<#:=81=o:4;|`1=d<72=0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?em3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;k>6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>l<50;794?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e3:1>7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;ko6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>lo50;494?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?oj:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2c794?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083k0(88i:`9~yx{?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf><=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f7d729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`1f7<72>0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9n;4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed8:?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`1f=<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6g?=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb3`b>52c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><^;>?6:3y'126=k2.>:k4l;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6g1=83>1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2cg94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`1g0<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6l5+57d9e>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6f2=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e:j:1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;i:6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg4d:3:157>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629g>"2>o0h7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90h7);9f;a8yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;a8 00a2j1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2cd94?2=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635f=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>n850;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172h1/9;h5a:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2ba94?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg9=>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7e>290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c0`e?6=980;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=k2.>:k4l;|~y>o6=<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>549U567=:r.>;=4l;%75b?e2\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;8;W305?4|,<=;6n5+57d9g>{zut1b=8650;&107<6;11]>9:51zN103<6s_o96?u+1c`950><^89:6?u+56295>"2>o0:7psr}:k27g<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?o5Y12396~"2?90:7);9f;38yx{z3`;8o7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30g>P6;809w);80;38 00a281vqps4i01g>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289o7[?<1;0x 017281/9;h51:~yx=n9:o1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512g8R4562;q/9:>51:&62c<63twvq6g>3g83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;o1]=>?52z&635<63-?=j7?4}|~?l7383:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;0:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7e0290?6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a753=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd48k0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;9k1<7=50;2x 72528h87E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj::36=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn>>?:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=?1;295?6=8r.98?4=489K6604?:683>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172?1/9;h56:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=73=#=?l1:6sr}|9j506=83.98?4>399K617<^;>?62wvqp5f14194?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6;5+57d92>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm31694?1=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<13-?=j784}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?0<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6n5+57d9g>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm31094?5=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8<;4?:0394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90o7);9f;f8yx{z3`;>47>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&635{M072?7|^l81>v*>bc827g=Q9:;1>v*:718g?!31n3n0qpsr;h30g?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3b9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=j;W305?4|,<=;6<5+57d95>{zut1b=>h50;&107<6;11]>9:51zN103<6s_o96?u+1c`956`<^89:6?u+56295>"2>o0:7psr}:k205<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8=5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c3;`?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4?>290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj82n6=4<:183!43:388j6F=379j56?=83.98?4>399K617<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?78g83>4<729q/>9<525;8L7513f;i87>5$361>4d332wi=4?50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=4<50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=4=50;194?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e=3:187>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c3:2?6==3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=73=#=?l1:6sr}|9j513=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?79683>0<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6;5+57d92>{zut1b=9;50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6190;654?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9;h4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<75;297?6=8r.98?4=3g9K660<^;>?6:3y'126=i2.>:k4n;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51248L7513-;>57h4i01;>5<#:=81=>64V367>4}K:=<1=vX>a281!7ej3;846X>3081!3083;0(88i:09~yx{P5<=0:wA<;6;3xR4g42;q/=ol5dc9U567=:r.>;=4>;%75b?79:52zN103<6s_;j?7ae<^89:6?u+56297>"2>o087psrL366>1}Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?<<6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:01;?!57k3?=j6s+5dg9=>{Q::91?vXj0;0x 00b2mi0(>>l:453?xPb938p(88j:e`8 66d2<=;7p*:ed8:?xP6jh09w[k?:3y'13c=lj1/?=m55628y!3bm3o0qps4i311>5<#:=81>><4V367>7}K:=<1=vX>a281!7ej388>6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=339'75e==>:0q);je;f8yx{?6?uC25495~P6i:09w)?mb;02a>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<59l1/?=m55628y!3bm3n0qps4o0`7>5<#:=81=o:4;|`1<5<72:0;6=u+250966`<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a6=7=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb3:1>5<693:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172j1/9;h5c:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5c:&62c5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635f=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=7f=#=?l1o6sr}|9j501=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1o6*:6g8`?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718`?!31n3i0qpsr;h30f?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6;l0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3d9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:?;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a62`=83>1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2g094?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`1b=<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<>3t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd5n=0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:o?1<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f7`1290>6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?h<:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7`6?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0e>290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd2k?0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2k>0;694?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6g0<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a525=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6?h0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo?85;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77783>4<729q/>9<525;8L7513f;i87>5$361>4d332wi=:650;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e50z&107<6j:1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6?k0;6;4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9j513=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm16a94?0=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&6354=zutw0qo?8d;297?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77583>6<729q/>9<5689K660<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6i;0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml027pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=4j50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj83m6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?n0;297?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2=`<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;;m6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>?;50;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk59:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402B98<5Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`166<72=0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;8;6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf><=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f7b729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`1`7<728;1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635f=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=7f=#=?l1o6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1o6*:6g8`?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:718`?!31n3i0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9ok4?:583>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd49:0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>?j:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb237>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd49<0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:;36=49:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c122?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`05d<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7a=#=?l1h6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;8h1<7850;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6i5+57d9`>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629`>"2>o0o7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90o7);9f;f8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c12g?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`05c<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=53;294~"5<;09?k5G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm33394?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn><<:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn><;:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?05$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c111?6=<3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`063<72<0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8>:4?:483>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`06=<728;1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6;5+57d92>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56292>"2>o0=7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90=7);9f;48yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;48 00a2?1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172?1/9;h56:~yx=n91=vB=4782Sc52;q/=ol51478R4562;q/9:>56:&62c<13twvq6g>5783>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1:6*:6g85?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:718`?!31n3i0qpsr;h3696<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>599U567=:r.>;=4l;%75b?e5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&6354=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=74=#=?l1=6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1=6*:6g82?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7>57;294~"5<;0:n>5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90:7);9f;38yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;38 00a281vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 017281/9;h51:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4:k0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo==c;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi??h50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?>>50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?>?50;494?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm32094?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?72<729q/>9<5689K660<^;>?62wvqp5f12c94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56292>"2>o0=7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7185?!31n3<0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm32794?1=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172?1/9;h56:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=73=#=?l1:6sr}|9j506=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6n5+57d9g>{zut1b=8:50;&107<6;11]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8>h4?:983>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1=6*:6g82?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7182?!31n3;0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1e5?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa??l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg5bl3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4ml0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=jc;297?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo=i2;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1ea?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg5a;3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?k:50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj:l=6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:l<6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0b=<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0b<<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0bd<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0bg<72:0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>hl:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4n<0;654?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?<=4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:?6;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c63`?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg2793:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd38;0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a053=83>1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm41194?5=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3810;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9>6:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>57:&62c<03twvq6g>4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`74d<72<0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<794$44e>2=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f16e290>6=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a05`=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd39<0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml027pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8<<50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj=;86=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`755<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`733<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<7>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=2:6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718b?!31n3k0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo:87;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi8:o50;694?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn99m:184>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0e<;<:18'614=9:20D?:>;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72<2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn99l:18;>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635f=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=7f=#=?l1o6sr}|9j502=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7=<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90h7);9f;a8yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7m4$44e>f=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8:750;794?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?m94?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:m1;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0g6=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=k=6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg2f03:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?0<7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1gf290<6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1ge290:=7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6;5+57d92>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+56292>"2>o0=7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90=7);9f;48yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;48 00a2?1vqps4i075>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:6:T274<5s-?<<784$44e>3=zutw0e<;8:18'614=9:20Z?:;:0yO610=9r\n>74303_;8=7f=#=?l1o6sr}|9j50>=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6n5+57d9g>{zut1b=>m50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289h7[?<1;0x 0172j1/9;h5c:~yx=n9:n1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512f8R4562;q/9:>5c:&62c3d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;l1]=>?52z&635<63-?=j7?4}|~?l74n3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:?:18'614=9:20Z?:;:0yO610=9r\n>74273_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8lm50;32>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6;5+57d92>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56292>"2>o0=7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90=7);9f;48yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;48 00a2?1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172?1/9;h56:~yx=n9<<1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74313_;8=73=#=?l1:6sr}|9j501=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1o6*:6g8`?x{zu2c:954?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;k0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956d<^89:6?u+5629g>"2>o0h7psr}:k27f<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>5c:&62c3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&6354=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=74=#=?l1=6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`7e2<72?0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56295>"2>o0:7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90:7);9f;38yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=kn6=4::183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1;6*:6g84?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56293>"2>o0<7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?454?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:77;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0<4=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?d=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1?6290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj=226=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{3m7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd30j0;694?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<1n1<7;50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1>e29086=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=l2.>:k4k;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629`>"2>o0o7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>5<4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;6d;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=091<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f0?229086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0?129086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0?029086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?62wvqp5f12c94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e13:187>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn87n:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<3i6=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0?329036=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6<5+57d95>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+56295>"2>o0:7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90:7);9f;38yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9o:50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8l<:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb4c:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2ih0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1db=83?1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6ec<72?0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1b=8>50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172j1/9;h5c:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9o>50;594?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?0<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90h7);9f;a8yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;3a7>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;38 00a281vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 017281/9;h51:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>51:&62c<63twvq6g>5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;6e;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7b2?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg3>n3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2i90;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1d5=8331<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?l73=3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7185?!31n3<0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72;2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;a8 00a2j1vqps4i076>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:5:T274<5s-?<<7m4$44e>f=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9l:50;;94?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9j503=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2j<0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629e>"2>o0j7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>o=4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl:b783>6<729q/>9<522d8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0d0290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c7a=?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7ae?6==3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<5689K660<^;>?62wvqp5f12c94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9om50;794?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e<7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&6354=zutw0qo;md;292?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=ko1<7850;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8l7:184>5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=74=#=?l1=6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1=6*:6g82?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>m?:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb2`:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1ae?6=93:107pl6<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm3cg94?0=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:hi6=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7dd=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e;h?1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:k=6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg5f03:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8m:4?:283>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?lm50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172h1/9;h5a:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm3c494?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f6gb290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c1a4?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0f4<72?0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083<0(88i:79~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;k81<7950;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?6:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=49;%75b?09<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7g5=83=1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90=7);9f;48yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm3c694?1=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<13-?=j784}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?lh50;494?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c7f6?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0c0290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd2m<0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8>750;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172h1/9;h5a:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm45794?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f15e290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c60`?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c60a?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c60b?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c674?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<=;1<7850;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7m4$44e>f=zutw0e<;<:18'614=9:20D?:>;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{?65<1290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f124290<6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<13-?=j784}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;a8 00a2j1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7m4$44e>f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f15d29036=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6<5+57d95>{zut1b=8=50;&107<6;11]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+56295>"2>o0:7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90:7);9f;38yx{z3`;>97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:?26=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?;<50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>8>:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb27b>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4=k0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>961<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm34g94?1=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f63a290<6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402B98<5Y25695~J50Z<=>:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4>:0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo=94;297?6=8r.98?4=3g9K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a733=83;1<7>t$361>72>3A88:6a>b583>!43:3;i865rb244>5<4290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8:44?:283>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm37494?2=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=>=1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>4>4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl:7983>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm56;94?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn89m:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn89l:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn89k:187>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3`;?97>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<=n6=4::183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&6354=zutw0qo;70;293?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?62wvqp5f15794?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6;5+57d92>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629g>"2>o0h7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5c:&62c5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&6354=zutw0qo;71;293?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?62wvqp5f15794?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e5Y12396~"2?90h7);9f;a8yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<=j6=47:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>8l:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm36494?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd4?90;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8;?4?:283>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm36194?2=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4?=0;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;?l1<7850;2x 72528h87E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>51:&62c<63twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:<1;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f15529086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`770<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`773<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`771<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a721=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4090;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;>l1<7850;2x 725289=7E<<6:&21<5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo1>6sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi?:650;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>96:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=54;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a72b=83?1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?e<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4?h0;694?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1;f?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1m6*:6g8b?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`09<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<53t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd40;0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;191<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f6>2290?6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<1290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718`?!31n3i0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><^;>?6:3y'126=k2.>:k4l;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6n5+57d9g>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm39:94?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399K617<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo<:6;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90j7);9f;c8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c06f?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg42?3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd5=10;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718`?!31n3i0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083i0(88i:b9~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a633=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?d=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f703290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj;?n6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{j7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd5>80;6:4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:?81<7950;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629g>"2>o0h7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5c:&62c5583>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8:4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`125<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7`3=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4mh0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml097pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?h950;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj:o36=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:3n6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?l=50;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk52:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<6290;w)<;2;07=>N5;?1d=o:50;&107<6j=10qo=n1;297?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo:>6;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f17029086=4?{%076?44n2B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?=54?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e<8k1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<8h1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c62g?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c62`?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c62a?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd39o0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3900;654?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:9l4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?95;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e927E<<6:m2f1<72-8?>7?m4:9~f43b29086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f43a29086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{54;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1b=9;50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm17394?3=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>56:&62c<13twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&6354=zutw0qo?92;292?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>5c:&62c3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6353=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`226<72?0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7185?!31n3<0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e97?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>51:&62c<63twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<63-?=j7?4}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f40129096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e9>81<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;c8 00a2h1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8=:6=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn<88:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?98;295?6=8r.98?4=489K6605}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th::o4?:483>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`22f<7210;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083<0(88i:79~yx{<^;>?62wvqp5f14694?"5<;0:?55Y25695~J50Z<=>:3y'126=k2.>:k4l;|~y>o6=<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>549U567=:r.>;=4l;%75b?e50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6;5+57d92>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172?1/9;h56:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7f=#=?l1o6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`22`<7200;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;W070?7|D;>=6<6X>3081!3083<0(88i:79~yx{<^;>?62wvqp5f14694?"5<;0:?55Y25695~J50Z<=>:3y'126=k2.>:k4l;|~y>o6=<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>549U567=:r.>;=4l;%75b?e2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<8i:18:>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6n5+57d9g>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56292>"2>o0=7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90=7);9f;48yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;a8 00a2j1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172j1/9;h5c:~yx=n9<<1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6>00;6:4?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a7<4=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd41>0;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml097pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{4?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?4:50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj:3>6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<=:6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9:850;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk52:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402B98<5Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`631<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=m:1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>h94?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;kb;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6`7<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm5e194?5=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0b2290=6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635{M072?7|^l81>v*>bc8200=Q9:;1>v*:718g?!31n3n0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4k;%75b?b9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8j8:185>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629`>"2>o0o7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=:0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629`>"2>o0o7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>h54?:783>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;f8 00a2m1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?a=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1a?=83?1<7>t$361>4d43A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=74=#=?l1=6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6`f<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>hh4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e=ml1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:=k1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th98k4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl=4c83>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm25a94?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn?:k:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=49;%75b?09<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn?h6:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm2gg94?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f7`e290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c0eg?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90=7);9f;48yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c170?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f62>290=6=4?{%076?74>2B9?;5+14;9b>o6;10;6)<;2;30<>P5<=0:wA<;6;3xR4g42;q/=ol512:8R4562;q/9:>51:&62c<63twvq6gkb;29 7252mh0Z?:;:0yO610=9r\:m>4={%3af?be3_;8=74=#=?l1=6sr}|9j`f<72-8?>7jl;W070?4|D;>=63081!308390(88i:29~yxJ5<<0?w[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m55628y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`<6;11/?=m557d8y!3bm330q[<<3;1xR`6=:r.>:h4kc:&04f<2?91vZh?52z&62`o5;;0;6)<;2;006>P5<=09wA<;6;3xR4g42;q/=ol52208R4562;q/9:>5d:&62c7553-9;o7;80:'1`c=l2wvq6g=1d83>!43:38:i6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<i6j=0;6)<;2;3a0>=zj:>>6=4<:183!43:388j6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51;294~"5<;09845G2248k4d3290/>9<51c68?xd4<>0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a71`=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e;=h1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:>h6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg53l3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172?1/9;h56:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm39a94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0=4<72?0;6=u+2509560<@;9=7)?:9;d8m45?290/>9<512:8R72328qG>9851zT2e6<5s-;in7?<8:T274<5s-?<<7?4$44e>4=zutw0eil50;&107{M072?7|^8k86?u+1c`9`g=Q9:;1>v*:7182?!31n3;0qpsr;hf`>5<#:=81hn5Y25696~J5:3y'126=;2.>:k4<;|~H7222=q]>>=53zTf4?4|,<0173t\n=745?3-9;o7;80:'1`c=12w]>>=53zTf4?4|,<0173t\n=745?3-9;o7;9f:'1`c=12w]>>=53zTf4?4|,<0173t\n=7ad<,::h689?;|&6a`<53t\:nl4={Wg3>7}#=?o1hn5+31a91269<52208R7232;qG>9851zT2e6<5s-;in7<<2:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d8177=#;9i19:>4}%7fa?b4={%3af?46m2\:?<4={%744?b<,<l09=h5+31a91269<51c68?xd40m0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;1o1<7?50;2x 7252;>27E<<6:m2f1<72-8?>7?m4:9~f6>a290<6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62c5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1o6*:6g8`?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>7k:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb2;:>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1:e?6=93:107pl<9c83>6<729q/>9<5689K660<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1g6?6=>3:1=83.98?4>399U612=9rF98;4>{W3b7?4|,8hi6<=7;W305?4|,<=;6<5+57d95>{zut1bho4?:%076?be3_8?87?tL365>4}Q9h91>v*>bc8gf>P6;809w);80;38 00a281vqps4iea94?"5<;0oo6X=4581I43>3;pZ?52z&635<43-?=j7=4}|O613=4<{Wg3>7}#=?o1hn5+31a9126<,::h689?;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a9126<,::h688i;|&6a`<>3t\9?>4<{Wg3>7}#=?o1hn5+31a91264}%7fa?4l0oo6*<0b8635=z,{W3b7?4|,8hi6?==;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;006>"48j0>;=5r$4gf>a=zut1b>9:52zN103<6s_;j?777b3_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m38:i6*<0b8635=z,b598yg5dm3:1?7>50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4ko0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=k3;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<1290;w)<;2;302>N5;?1/=875f:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f6b329086=4?{%076?44n2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<5<01C>>84o0`7>5<#:=81=o:4;|`0`3<72:0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?0<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8o50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2k3:1=7>50z&107<5<01C>>84o0`7>5<#:=81=o:4;|`6a?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2n3:187>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9i4?:283>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi:?4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo88:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb7194?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?62e:n94?:%076?7e<21vn;;50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7m4$44e>f=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5=e=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e91;1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8296=4>:183!43:38?56F=379l5g2=83.98?4>b598yg7?<3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?73:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:4:4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm19:94?5=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{55;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629g>"2>o0h7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd60h0;684?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1o6*:6g8`?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?78283>=<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7?4$44e>4=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=74=#=?l1=6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`115<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1==7>53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th99?4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e:<91<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;9n1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8=?4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl<0d83>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm31d94?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn>??:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd4;10;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0:?;5G2248 43>2o1b=>650;&107<6;11]>9:51zN103<6s_;j?745?3_;8=74=#=?l1=6sr}|9j`g<72-8?>7jm;W070?7|D;>=63081!3083;0(88i:09~yx{P5<=09wA<;6;3xR4g42;q/=ol5db9U567=:r.>;=4<;%75b?59;54zT176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>0173t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1=>64$22`>00a3t.>ih46;|T176<4s_o;6?u+57g9`f=#;9i19:>4}Wg2>7}#=?o1ho5+31a9126v*:6d8gg>"48j0>;=5r$4gf>`=zut1b>><50;&107<5;;1]>9:52zN103<6s_;j?77553_;8=7a=#=?l1h6sr}M071?7|^;9i6?uYe181!31m388>6*<0b8635=z,{W3b7?4|,8hi6??j;W305?4|,<=;6i5+57d9`>{zuE8?97?tV31a>7}Qm909w);9e;02a>"48j0>;=5r$4gf>a=zut1d=o:50;&107<6j=10qo=<9;297?6=8r.98?4=3g9K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi?>l50;194?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7185?!31n3<0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?07>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:>86=49:183!43:3;8:6F=379'50?=n2c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn>=i:180>5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=;0;295?6=8r.98?4=489K6605}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f63729096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e;<21<7850;2x 725289=7E<<6:&21<5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo1>6sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi?8?50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>;=:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=87>53;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<5689K660<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6n5+57d9g>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a700=83<1<7>t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635{M072?7|^l81>v*>bc8200=Q9:;1>v*:718`?!31n3i0qpsr;h364?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=4l;%75b?e5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c167?6=<3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn>m9:185>5<7s-8?>7?<6:J173=#9<31j6g>3983>!43:3;846X=4582I43>3;pZ:3y'126=92.>:k4>;|~y>ocj3:1(?:=:e`8R72328qG>9851zT2e6<5s-;in7jm;W305?4|,<=;6<5+57d95>{zut1bhn4?:%076?bd3_8?874}Q9h91>v*>bc8gg>P6;809w);80;18 00a2:1vqpB=4487S44;39pZh>52z&62`:h4>399'75e==>:0q);je;;8yS44;39pZh>52z&62`:h4>399'75e==?l0q);je;;8yS44;39pZh>52z&62`:h4kb:&04f<2?91v(8kj:39~R4df2;q]i=4={%75a?bd3-9;o7;80:'1`c=m2wvq6g=3383>!43:388>6X=4581I43>3;pZ:3y'126=l2.>:k4k;|~H72228q]>>l52zTf4?4|,<o59l0;6)<;2;02a>P5<=09wA<;6;3xR4g42;q/=ol520g8R4562;q/9:>5d:&62c77b3-9;o7;80:'1`c=l2wvq6a>b583>!43:3;i865rb2a1>5<4290;w)<;2;00b>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c1`7?6=93:107pl6<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj:i<6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?nm50;494?6|,;>96<=9;I002>"6=00m7d?<8;29 72528937[<;4;3xH72128q]=l=52z&2fg<6;11]=>?52z&635<63-?=j7?4}|~?lbe290/>9<5dc9U612=9rF98;4>{W3b7?4|,8hi6il4V012>7}#=>:1=6*:6g82?x{zu2coo7>5$361>ae<^;>?6?uC25495~P6i:09w)?mb;f`?S74938p(89?:29'13`=;2wvqA<;5;6xR7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2?91v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7?<8:&04f<2>o1v(8kj:89~R7542:q]i=4={%75a?bd3-9;o7;80:Ua4<5s-?=i7jm;%13g?3082w/9hk52:U5gg=:r\n<7ae<,::h689?;|&6a`?52z&6357}#=?o1>><4$22`>0173t.>ih4k;|~?l46m3:1(?:=:33f?S43<38p@?:9:0yU5d5=:r.:no4=1d9U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g964c<,::h689?;|&6a`2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<6290;w)<;2;07=>N5;?1d=o:50;&107<6j=10qo=la;297?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399K617<^;>?62wvqp5`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e;m31<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th8hi4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo=j4;292?6=8r.98?4>379K660<,8?26k5f12:94?"5<;0:?55Y25695~J54=zutw0eim50;&107v*:7180?!31n390qpsC25790~P5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<=;7p*:ed8:?xP5;:08w[k?:3y'13c=lj1/?=m55628ySc62;q/9;k512:8 66d2<:0q);je;08yS7ei38pZh>52z&62`1>vB=4782S7f;38p(745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0`g<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm3ea94?2=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;a8 00a2j1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<2290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629`>"2>o0o7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5d:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1h6*:6g8g?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`0`c<72?0;6=u+25092<=O::<0e<=6:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4i073>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7j4$44e>a=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi?h>50;:94?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083n0(88i:e9~yx{6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e;l;1<7650;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;f8 00a2m1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172m1/9;h5d:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9j502=83.98?4>399K617<^;>?6:3y'126=l2.>:k4k;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;>a;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a170=83<1<7>t$361>4513A88:6*>588e?l7403:1(?:=:01;?S43<3;p@?:9:0yU5d5=:r.:no4>399U567=:r.>;=4>;%75b?79:51zN103<6s_;j?7ad<^89:6?u+56295>"2>o0:7psr}:kgg?6=,;>96im4V367>7}K:=<1=vX>a281!7ej3nh7[?<1;0x 0172:1/9;h53:~yI43=3>pZ?=<:2yUa5<5s-?=i7jl;%13g?3082w]i<4={%75a?7402.80173t.>ih4j;|~?l44:3:1(?:=:311?S43<38p@?:9:0yU5d5=:r.:no4=339U567=:r.>;=4k;%75b?b9;51zT17g<5s_o;6?u+57g9664<,::h689?;|&6a`e;29 7252;;n7[<;4;0xH72128q]=l=52z&2fg<59l1]=>?52z&6357}#=?o1>0173t.>ih4k;|~?j7e<3:1(?:=:0`7?>{e=8h1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<;h6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg36l3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?750z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?1<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083n0(88i:e9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9??50;32>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6:5+57d93>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56293>"2>o0<7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90<7);9f;58yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;58 00a2>1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172>1/9;h57:~yx=n9<<1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74313_;8=72=#=?l1;6sr}|9j501=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;7;W305?4|,<=;6i5+57d9`>{zut1b=>l50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172m1/9;h5d:~yx=n9:i1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745d3_;8=7a=#=?l1h6sr}|9j56b=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>j4V012>7}#=>:1h6*:6g8g?x{zu2c:?h4?:%076?7402\9894>{M072?7|^l81>v*>bc827`=Q9:;1>v*:7182?!31n3;0qpsr;h30b?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{;6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=;81<7?>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;8;W305?4|,<=;6i5+57d9`>{zut1b=8650;&107<6;11]>9:51zN103<6s_o96?u+1c`950><^89:6?u+5629`>"2>o0o7psr}:k27g<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>5d:&62c3b83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>m4V012>7}#=>:1h6*:6g8g?x{zu2c:?i4?:%076?7402\9894>{M072?7|^l81>v*>bc827a=Q9:;1>v*:718g?!31n3n0qpsr;h30a?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?747=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;58 00a2>1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<03-?=j794}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<794$44e>2=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=72=#=?l1;6sr}|9j503=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8;4V012>7}#=>:1;6*:6g84?x{zu2c:9;4?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6=>0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9501<^89:6?u+56293>"2>o0<7psr}:k21=<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol514:8R4562;q/9:>5d:&62c3c83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>l4V012>7}#=>:1h6*:6g8g?x{zu2c:?n4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>>94?:783>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1=6*:6g82?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7182?!31n3;0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a1=b=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2190;6;4?:1y'614=9:<0D?=9;%36=?`<^;>?6P6;809w);80;38 00a281vqps4ie`94?"5<;0on6X=4582I43>3;pZ?52z&635<63-?=j7?4}|~?lbd290/>9<5db9U612=:rF98;4>{W3b7?4|,8hi6im4V012>7}#=>:1?6*:6g80?x{zD;>>69uY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;744>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b28937)=?c;75b>{#=lo156sY22197~Pb838p(88j:ea8 66d2<=;7pXj1;0x 00b2mh0(>>l:453?x"2ml097pX>b`81Sc72;q/9;k5db9'75e==>:0q);je;g8yx{?6?uC25495~P6i:09w)?mb;006>P6;809w);80;f8 00a2m1vqpB=4482S44j38pZh>52z&62`<5;;1/?=m55628y!3bm3n0qps4i33f>5<#:=81>7}K:=<1=vX>a281!7ej38:i6X>3081!3083n0(88i:e9~yxJ5<<0:w[<:h4=1d9'75e==>:0q);je;f8yx{484?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi95850;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj<236=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<226=4::183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172j1/9;h5c:~yx=n9<:1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74373_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi95o50;594?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?e<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;a8 00a2j1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7m4$44e>f=zutw0e<;;:18'614=9:20D?:>;W070?7|D;>=686X>3081!3083i0(88i:b9~yx{?65<0290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5c:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1o6*:6g8`?x{zu2c:9>4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6==0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>4n4?:883>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7f=#=?l1o6sr}|9j506=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6n5+57d9g>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172j1/9;h5c:~yx=n974323_;8=7f=#=?l1o6sr}|9j500=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=1=1<7850;2x 72528h87E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 017281/9;h51:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>51:&62c<63twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;7e;293?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1h6*:6g8g?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629`>"2>o0o7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5d:&62c5583>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8:4V012>7}#=>:1h6*:6g8g?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`6gd<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<6;?1C>>84$07:>c=n9:21<7*=43827==Q:=>1=vB=4782S7f;38p(!43:3ni7[<;4;3xH72128q]=l=52z&2fg7}#9kh1hn5Y12396~"2?9087);9f;18yx{K:=?18vX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:453?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=9:20(>>l:44e?x"2ml027pX=3280Sc72;q/9;k5db9'75e==>:0q[k>:3y'13c=lk1/?=m55628y!3bm380q[?ma;0xR`6=:r.>:h4kc:&04f<2?91v(8kj:d9~yx=n::81<7*=438177=Q:=>1>vB=4782S7f;38p(e:&04f<2?91v(8kj:e9~yx=h9k>1<7*=4382f1=53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>on4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e=jn1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn8k7:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm5df94?0=83:p(?:=:015?M44>2.:944i;h3096<=7;W070?7|D;>=61=vB=4782S7f;38p(51:&62c<63twvq6gkc;29 7252mi0Z?:;:3yO610=9r\:m>4={%3af?bd3_;8=76=#=?l1?6sr}M071?2|^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>;=5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;30<>"48j0>:k5r$4gf><=z^;986>uYe181!31m3nh7)=?c;744>{Qm809w);9e;fa?!57k3?<<6s+5dg96>{Q9kk1>vXj0;0x 00b2mi0(>>l:453?x"2ml0n7psr;h006?6=,;>96?==;W070?4|D;>=65$361>77b3_8?874}Q9h91>v*>bc815`=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=:8o0(>>l:453?x"2ml0o7psr;n3a0?6=,;>96t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f0cf290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c7ff?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629g>"2>o0h7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90h7);9f;a8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c3g1?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4be29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e9m<1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8n<6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg7c13:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:hl4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:h54?:283>5}#:=81=o=4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=im50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7e383>7<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;78 00a2<1vqps4}c3g`?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2``<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm1d294?5=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?f=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4c629086=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&6353=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f4ba29086=4?{%076?7e;2B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=92.>:k4>;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4>;%75b?77>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8o26=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:196*:6g86?x{zu2wi=h:50;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=53;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1:6*:6g85?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7e983>6<729q/>9<5689K660<^;>?6:3y'126=>2.>:k49;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7e783>6<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm1dc94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2b5<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?3<,<50z&107<5;o1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6mj0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8om6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90h7);9f;a8yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8oo6=4<:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>55:&62c<23twvq6sm1g094?5=83:p(?:=:31e?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<6290;w)<;2;07=>N5;?1d=o:50;&107<6j=10qo?i5;297?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1o6*:6g8`?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2b3<72:0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=7f=#=?l1o6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`2b1<72:0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5c>=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6nl0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083?0(88i:49~yx{53;294~"5<;09?k5G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:jl4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e9oi1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083<0(88i:79~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?e<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?if;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56291>"2>o0>7psr}:a656=8391<7>t$361>75a3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f766290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c037?6=;3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5c:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd58=0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172j1/9;h5c:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd58;0;6>4?:1y'614=9k90D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e:9<1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th95}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7;4$44e>0=zutw0qo<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?74<729q/>9<525;8L7513f;i87>5$361>4d332wi>=o50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7f=#=?l1o6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi>=l50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<4290;w)<;2;3a7>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c03`?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f77129096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e:9o1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;:m6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg4693:1?7>50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?050z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?050z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th9=94?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm20794?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?73<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7?4$44e>4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f77029096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e:8n1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90>7);9f;78yx{z3th9=54?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi><750;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj;;i6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;a8 00a2j1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj;;h6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&6354=zutw0qo<>a;297?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6g7?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=70=#=?l196sr}|9~f1b729086=4?{%076?44n2B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?h<4?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9kn:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4dg94?4=83:p(?:=:b18L7513`;847>5$361>45?32e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`7b5<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?3<,<50z&107<5;o1C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8hm50;394?6|,;>96?:6;I002>i6j=0;6)<;2;3a0>=zj=oo6=4<:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>56:&62c<13twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:jf;297?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=7a=#=?l1h6sr}|9j56g=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e=:=1<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>?o4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;;0;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<4290;w)<;2;00b>N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2;00;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96t$361>3?<@;9=7d?<9;29 72528937E<;1:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90=7);9f;48yx{z3`;8m7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<13-?=j784}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;9<5689K660<^;>?6:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6:5+57d93>{zut1b=8:50;&107<6;11]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+56293>"2>o0<7psr}:k210<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:985Y12396~"2?90<7);9f;58yx{z3`;>:7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=?1]=>?52z&635<03-?=j794}|~?l72?3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:718g?!31n3n0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74j2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=l;W305?4|,<=;6i5+57d9`>{zut1b=>j50;&107<6;11]>9:51zN103<6s_o96?u+1c`956b<^89:6?u+56295>"2>o0:7psr}:k27`<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?h5Y12396~"2?90:7);9f;38yx{z3`;8j7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30b>P6;809w);80;38 00a281vqps4i063>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>;7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm52f94?76290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=72=#=?l1;6sr}|9j50>=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6i5+57d9`>{zut1b=>m50;&107<6;11]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?i5Y12396~"2?90:7);9f;38yx{z3`;8i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;38 00a281vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd2;l0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>57:&62c<03twvq6g>5683>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1h6*:6g8g?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718g?!31n3n0qpsr;h30f?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3c9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=k;W305?4|,<=;6<5+57d95>{zut1b=>k50;&107<6;11]>9:51zN103<6s_o96?u+1c`956c<^89:6?u+56295>"2>o0:7psr}:k27c<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?k5Y12396~"2?90:7);9f;38yx{z3`;?<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;374>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<9m6=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a131=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<23-?=j7;4}|~?xd2>=0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn88::182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=53;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?62wvqp5f12c94?"5<;0:?55Y25695~J5:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c67`?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f12a29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e<=k1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90:7);9f;38yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=>i6=4>:183!43:38?56F=379l5g2=83.98?4>b598yg23k3:1?7>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172j1/9;h5c:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm45g94?0=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;f8 00a2m1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172m1/9;h5d:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1?229096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e<031<7<50;2x 7252j90D?=9;h3096<=7;:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?m<4?:783>5}#:=81=>84H315?!7213l0e<=7:18'614=9:20Z?:;:0yO610=9r\:m>4={%3af?7402\:?<4={%744?7<,<7}#9kh1ho5Y12396~"2?90:7);9f;38yx{z3`nh6=4+2509`f=Q:=>1>vB=4782S7f;38p(53:&62c<43twv@?:::5yU665=;r\n<7ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<ae<,::h689?;|Tf5?4|,<0173t.>ih4=;|T2fd<5s_o;6?u+57g9`f=#;9i19:>4}%7fa?c4={%3af?44:2\:?<4={%744?b<,<l09??5+31a91269<520g8R7232;qG>9851zT2e6<5s-;in7<>e:T274<5s-?<<7j4$44e>a=zutF9884>{W00f?4|^l:1>v*:6d815`=#;9i19:>4}%7fa?b07pl;9783>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm48594?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn977:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn97n:184>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?15$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635{M072?7|^l81>v*>bc8215=Q9:;1>v*:718g?!31n3n0qpsr;h367?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=4k;%75b?b5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6:f?6=?3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=:0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629`>"2>o0o7psr}:k211<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:995Y12396~"2?90o7);9f;f8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6:g?6=?3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=:0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9505<^89:6?u+5629`>"2>o0o7psr}:k211<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>5d:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd31m0;6:4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9j513=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>5d:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd31l0;6:4?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7j4$44e>a=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi84h50;494?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c6a3?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1de29096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e5$361>45?3_8?87?tL365>4}Q9h91>v*>bc827==Q9:;1>v*:7182?!31n3;0qpsr;hfa>5<#:=81ho5Y25695~J5:3y'126=92.>:k4>;|~y>ock3:1(?:=:ea8R7232;qG>9851zT2e6<5s-;in7jl;W305?4|,<=;6>5+57d97>{zuE8?97:tV310>6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b8635=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3;846*<0b862c=z,6}Qm909w);9e;f`?!57k3?<<6sYe081!31m3ni7)=?c;744>{#=lo1>6sY1cc96~Pb838p(88j:ea8 66d2<=;7p*:ed8f?x{z3`88>7>5$361>7553_8?874}Q9h91>v*>bc8177=Q9:;1>v*:718g?!31n3n0qpsC25795~P5;k09w[k?:3y'13c=::80(>>l:453?x"2ml0o7psr;h02a?6=,;>96??j;W070?4|D;>=65$361>4d332wi8o650;194?6|,;>96?=i;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn9l6:182>5<7s-8?>7<;9:J173=h9k>1<7*=4382f1=im7>53;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1o6*:6g8`?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{io7>59;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1h6*:6g8g?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<;9;W305?4|,<=;6i5+57d9`>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0gb=8331<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6i5+57d9`>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629`>"2>o0o7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90<7);9f;58yx{z3`;>?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:718g?!31n3n0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083n0(88i:e9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=l2.>:k4k;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?15Y12396~"2?90<7);9f;58yx{z3`;>87>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635a=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8oh50;;94?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7j4$44e>a=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1h6*:6g8g?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?197>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;f8 00a2m1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4b294?3=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?77<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c726?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f07029096=4?{%076?e43A88:6g>3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{e=9n1<7=50;2x 7252;9m7E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;?e;295?6=8r.98?4=489K660==4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6n5+57d9g>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629g>"2>o0h7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th>=<4?:783>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;48 00a2?1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?3=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{<^;>?6:3y'126=k2.>:k4l;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?76<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm50194?1=83:p(?:=:7;8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;f8 00a2m1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?a=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=7a=#=?l1h6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1h6*:6g8g?x{zu2c:9>4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4k;%75b?b50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629`>"2>o0o7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>5d:&62c5183>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1h6*:6g8g?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:718g?!31n3n0qpsr;h360?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4k;%75b?b5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c721?6=03:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629`>"2>o0o7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90o7);9f;f8yx{z3`;?97>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635{M072?7|^l81>v*>bc8215=Q9:;1>v*:718g?!31n3n0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72<2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<;=6=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a5d?=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6ih0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7<1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo?nc;295?6=8r.98?4=489K6605}#:=81>974H315?j7e<3:1(?:=:0`7?>{e9hl1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4;;%75b?25$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cc7>5<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:ae=<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{ei<0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{ei?0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>96745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`b=?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{eih0;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vnll50;694?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?15$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cc`>5<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xdfl3:187>50z&107<112B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xdfm3:1:7>50z&107<6j:1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6g>4483>!43:3;846X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635<63-?=j7?4}|~?l7283:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7?4$44e>4=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2win>4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qol8:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>55:&62c<23twvq6smb583>6<729q/>9<522d8L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6smb483>4<729q/>9<525;8L7513f;i87>5$361>4d332win;4?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6;5+57d92>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56292>"2>o0=7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?9?4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo87:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm44;94?0=83:p(?:=:015?M44>2.:944>0:k27=<72-8?>7?<8:T101<6sE8?:7?tV0c0>7}#9kh1=>64V012>7}#=>:1=6*:6g82?x{zu2con7>5$361>ad<^;>?6!43:3nh7[<;4;0xH72128q]=l=52z&2fg5r}|N100<3s_88?7=tVd296~"2>l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19:>4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d827==#;9i19;h4}%7fa??l0oo6*<0b8635=z^l;1>v*:6d8gf>"48j0>;=5r$4gf>7=z^8hj6?uYe181!31m3nh7)=?c;744>{#=lo1i6sr}:k177<72-8?>7<<2:T101<5sE8?:7?tV0c0>7}#9kh1>><4V012>7}#=>:1h6*:6g8g?x{zD;>>6{zu2c9=h4?:%076?46m2\9894={M072?7|^8k86?u+1c`964c<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;;n7)=?c;744>{#=lo1h6sr}:m2f1<72-8?>7?m4:9~f13429086=4?{%076?44n2B9?;5f12;94?"5<;0:?55G2538R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6<5+57d95>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th?994?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{e<<<1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083i0(88i:b9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e<<=1<7=50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4l;%75b?e5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c661?6=;3:1745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`72f<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<3983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{e<>91<7850;2x 725289=7E<<6:&21<<682c:?54?:%076?7402\9894>{M072?7|^8k86?u+1c`956><^89:6?u+56295>"2>o0:7psr}:kgf?6=,;>96il4V367>4}K:=<1=vX>a281!7ej3ni7[?<1;0x 017281/9;h51:~yx=nlj0;6)<;2;f`?S43<38p@?:9:0yU5d5=:r.:no4kc:T274<5s-?<<7=4$44e>6=zutF9884;{W007?5|^l:1>v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a9126v*:6d8gg>"48j0>;=5rVd396~"2>l0:?55+31a913`v*:6d8gg>"48j0>;=5rVd396~"2>l0on6*<0b8635=z,7}Qm909w);9e;f`?!57k3?<<6s+5dg9a>{zu2c9??4?:%076?44:2\9894={M072?7|^8k86?u+1c`9664<^89:6?u+5629`>"2>o0o7psrL366>4}Q::h1>vXj0;0x 00b2;997)=?c;744>{#=lo1h6sr}:k15`<72-8?>7<>e:T101<5sE8?:7?tV0c0>7}#9kh1>7}#=>:1h6*:6g8g?x{zD;>>6{zu2e:n94?:%076?7e<21vn98k:180>5<7s-8?>7<745>3_;8=74=#=?l1=6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`72`<7280;6=u+250961?<@;9=7b?m4;29 72528h?76sm46294?2=83:p(?:=:7;8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635f=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083i0(88i:b9~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=k2.>:k4l;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4l;%75b?e9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn98i:180>5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo:l5;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56291>"2>o0>7psr}:a0f0=8391<7>t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{h;7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd3k00;694?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=73=#=?l1:6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1:6*:6g85?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`7gd<72<0;6=u+25092<=O::<0e<=6:18'614=9:20Z?:;:0yO610=9r\n>745>3_;8=73=#=?l1:6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1:6*:6g85?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=49;%75b?050z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=>2.>:k49;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=49;%75b?0<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;48 00a2?1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj=i36=4;:183!43:3;i?6F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a0c1=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd3nm0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083?0(88i:49~yx{m87>53;294~"5<;09?k5G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4g794?7=83:p(?:=:36:?M44>2e:n94?:%076?7e<21vn9h9:186>5<7s-8?>786;I002>o6;00;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56292>"2>o0=7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6g>4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1:6*:6g85?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718`?!31n3i0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{m47>57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7184?!31n3=0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7j4$44e>a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1`>290<6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4i077>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<7j4$44e>a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f1`f290<6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm4g`94?76290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=72=#=?l1;6sr}|9j50>=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6i5+57d9`>{zut1b=>m50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289h7[?<1;0x 0172m1/9;h5d:~yx=n9:n1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512f8R4562;q/9:>5d:&62c3d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;l1]=>?52z&635<63-?=j7?4}|~?l74n3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:?:18'614=9:20Z?:;:0yO610=9r\n>74273_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi8km50;794?6|,;>96o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6<5+57d95>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th><=4?:383>5}#:=81o>5G2248m45?290/>9<512:8?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;?4;296?6=8r.98?4l3:J173=n9:21<7*=43827==?65<5290;w)<;2;a0?M44>2c:?54?:%076?74021d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56291>"2>o0>7psr}:a157=8391<7>t$361>75a3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7>51;294~"5<;09845G2248k4d3290/>9<51c68?xd28:0;684?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<784$44e>3=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083<0(88i:79~yx{>6=4+250956><^;>?69851zTf6?4|,8hi6<;?;W305?4|,<=;6;5+57d92>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a153=83;:6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1;6*:6g84?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7184?!31n3=0qpsr;h360?6=,;>96<=7;W070?7|D;>=686X>3081!3083=0(88i:69~yx{6=4+250956><^;>?69851zTf6?4|,8hi6<;9;W305?4|,<=;6:5+57d93>{zut1b=8950;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?<7[?<1;0x 0172m1/9;h5d:~yx=n9<21<7*=43827==Q:=>1=vB=4782Sc52;q/=ol514:8R4562;q/9:>5d:&62c3c83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>l4V012>7}#=>:1h6*:6g8g?x{zu2c:?n4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th><;4?:0394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51458R4562;q/9:>57:&62c<03twvq6g>5983>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1h6*:6g8g?x{zu2c:?o4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;j0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?i5Y12396~"2?90o7);9f;f8yx{z3`;8i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;38 00a281vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd28>0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>57:&62c<03twvq6g>5683>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1h6*:6g8g?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718g?!31n3n0qpsr;h30f?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3c9U567=:r.>;=4k;%75b?b5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30`>P6;809w);80;f8 00a2m1vqps4i01f>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289n7[?<1;0x 017281/9;h51:~yx=n9:l1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512d8R4562;q/9:>51:&62c<63twvq6g>4183>!43:3;846X=4582I43>3;pZh<52z&2fg<6<91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo;?8;290?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4>;%75b?77>50z&1075<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj<8m6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi9>:50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4:;%75b?36<729q/>9<522d8L7513`;857>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f04e290:6=4?{%076?4312B9?;5`1c694?"5<;0:n954}c71`?6==3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172?1/9;h56:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>56:&62c<13twvq6g>4483>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1:6*:6g85?x{zu2c:9=4?:%076?7402B98<5Y25695~J5:3y'126=>2.>:k49;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?70<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?0<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;48 00a2?1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<784$44e>3=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083i0(88i:b9~yx{?65<4290;w)<;2;3a7>N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56295>"2>o0:7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90:7);9f;38yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c704?6=<3:1N5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+5629`>"2>o0o7psr}:k27d<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5d:&62c4483>!43:3;846X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&6354=zutw0qo;<1;290?6=8r.98?499:J173=n9:31<7*=43827==O:=;0Z?:;:0yO610=9r\n>745>3_;8=7a=#=?l1h6sr}|9j56g=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1h6*:6g8g?x{zu2c:884?:%076?7402\9894>{M072?7|^l81>v*>bc8200=Q9:;1>v*:718g?!31n3n0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7>57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm52194?2=83:p(?:=:0`0?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7182?!31n3;0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{>6=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>{el;0;6?4?:1y'614=k:1C>>84i01;>5<#:=81=>64;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:196*:6g86?x{zu2wih>4?:283>5}#:=81>>h4H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<7?4$44e>4=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wih94?:083>5}#:=81>974H315?j7e<3:1(?:=:0`7?>{el<0;6<4?:1y'614=9k80D?=9;n3a0?6=,;>963983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126==2.>:k4:;|~y>{ekl0;6>4?:1y'614=::l0D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{eko0;6<4?:1y'614=:=30D?=9;n3a0?6=,;>967<729q/>9<5c29K660<3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cg5>5<4290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:718a?!31n3h0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083h0(88i:c9~yx{?65$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;58 00a2>1vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;<;W305?4|,<=;6i5+57d9`>{zut1b=8:50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=n974323_;8=7a=#=?l1h6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1h6*:6g8g?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`fN5;?1b=>750;&107<6;11]>9:51zN103<6s_o96?u+1c`956?<^89:6?u+56293>"2>o0<7psr}:k27d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?l5Y12396~"2?90<7);9f;58yx{z3`;?97>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635<03-?=j794}|~?l7283:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:718g?!31n3n0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72<2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;361>P6;809w);80;f8 00a2m1vqps4i075>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sme883><<729q/>9<5689K660<^;>?6:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56293>"2>o0<7psr}:k215<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1h6*:6g8g?x{zu2c:994?:%076?7402B98<5Y25695~J50Z<=>:3y'126=l2.>:k4k;|~y>o6=<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+5629`>"2>o0o7psr}:k213<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9;5Y12396~"2?90o7);9f;f8yx{z3f;i87>5$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}cgb>5<>290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9j503=83.98?4>399K617<^;>?6:3y'126=l2.>:k4k;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?79<5689K660<^;>?6:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6:5+57d93>{zut1b=8:50;&107<6;11]>9:51zN103<6s_o96?u+1c`9502<^89:6?u+56293>"2>o0<7psr}:k210<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:985Y12396~"2?90<7);9f;58yx{z3`;>:7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=?1]=>?52z&635<03-?=j794}|~?l72?3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:7184?!31n3=0qpsr;h3696<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>599U567=:r.>;=4k;%75b?b5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&635a=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=7a=#=?l1h6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1=6*:6g82?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1=6*:6g82?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7182?!31n3;0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6==0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>559U567=:r.>;=4>;%75b?73983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{eml0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51448R4562;q/9:>57:&62c<03twvq6g>5683>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1h6*:6g8g?x{zu2c:954?:%076?7402\9894>{M072?7|^l81>v*>bc821==Q9:;1>v*:718g?!31n3n0qpsr;h30f?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3c9U567=:r.>;=4k;%75b?b5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30`>P6;809w);80;f8 00a2m1vqps4i01f>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289n7[?<1;0x 0172m1/9;h5d:~yx=n9:l1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512d8R4562;q/9:>51:&62c<63twvq6g>4183>!43:3;846X=4582I43>3;pZh<52z&2fg<6<91]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qoki:1825?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<03-?=j794}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=72=#=?l1;6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1;6*:6g84?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:7184?!31n3=0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72>2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;363>P6;809w);80;58 00a2>1vqps4i07;>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:8:T274<5s-?<<7j4$44e>a=zutw0e<=m:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74k2\:?<4={%744?b<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=k;W305?4|,<=;6i5+57d9`>{zut1b=>k50;&107<6;11]>9:51zN103<6s_o96?u+1c`956c<^89:6?u+5629`>"2>o0o7psr}:k27c<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?k5Y12396~"2?90:7);9f;38yx{z3`;?<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;374>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zjo:1<7?>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;8;W305?4|,<=;6i5+57d9`>{zut1b=8650;&107<6;11]>9:51zN103<6s_o96?u+1c`950><^89:6?u+5629`>"2>o0o7psr}:k27g<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>5d:&62c3b83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>m4V012>7}#=>:1h6*:6g8g?x{zu2c:?i4?:%076?7402\9894>{M072?7|^l81>v*>bc827a=Q9:;1>v*:718g?!31n3n0qpsr;h30a?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=92.>:k4>;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?7b29K660<^;>?6:3y'126=92.>:k4>;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4>;%75b?73983>!43:3;8465`1c694?"5<;0:n95Y25695~J50Z<=>:3y'126=92.>:k4>;|~y>{en:0;6??50;2x 7252?30D?=9;h30=?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>519U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;;;W305?4|,<=;6:5+57d93>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+56293>"2>o0<7psr}:k213<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9;5Y12396~"2?90<7);9f;58yx{z3`;>;7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;363>P6;809w);80;58 00a2>1vqps4i07;>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?37[?<1;0x 0172>1/9;h57:~yx=n9:h1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>57:&62c<03twvq6g>3b83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&635<03-?=j794}|~?l74l3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=72=#=?l1;6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1;6*:6g84?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7184?!31n3=0qpsr;h375?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{96=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6<=0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>459U567=:r.>;=4>;%75b?72\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<:8;W305?4|,<=;6<5+57d95>{zut1b=9650;&107<6;11]>9:51zN103<6s_o96?u+1c`951><^89:6?u+56295>"2>o0:7psr}:k20<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:845Y12396~"2?90:7);9f;38yx{z3`;?m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37e>P6;809w);80;38 00a281vqps4i06a>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>i7[?<1;0x 017281/9;h51:~yx=n9=i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol515a8R4562;q/9:>51:&62c<63twvq6g>4e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;e:T274<5s-?<<7?4$44e>4=zutw0e<:i:18'614=9:20Z?:;:0yO610=9r\n>742a3_;8=74=#=?l1=6sr}|9j507=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8?4V012>7}#=>:1=6*:6g82?x{zu2c:9?4?:%076?7402\9894>{M072?7|^l81>v*>bc8217=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wij84?:283>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6o5+57d9f>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+5629f>"2>o0i7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3thm:7>57;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7184?!31n3=0qpsr;h371?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?7282\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4i077>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28??7[?<1;0x 0172m1/9;h5d:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6smf683>=<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=7a=#=?l1h6sr}|9j505=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;;;W305?4|,<=;6i5+57d9`>{zut1b=8;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9503<^89:6?u+5629`>"2>o0o7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3thm47>58;294~"5<;0=56F=379j56?=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<=n;W305?4|,<=;6:5+57d93>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+5629`>"2>o0o7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90o7);9f;f8yx{z3`;>?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635{M072?7|^l81>v*>bc8211=Q9:;1>v*:718g?!31n3n0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083n0(88i:e9~yx{?62c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=72=#=?l1;6sr}|9j50>=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1h6*:6g8g?x{zu2c:?o4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;j0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512f8R4562;q/9:>5d:&62c3d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;l1]=>?52z&6354=zutw0e<:?:18'614=9:20Z?:;:0yO610=9r\n>74273_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wijl4?:0394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51458R4562;q/9:>57:&62c<03twvq6g>5983>!43:3;846X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&635{M072?7|^l81>v*>bc827g=Q9:;1>v*:718g?!31n3n0qpsr;h30g?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3b9U567=:r.>;=4k;%75b?b5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;f8 00a2m1vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xdaj3:1;7>50z&107<6j:1C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 017281/9;h51:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>51:&62c<63twvq6g>4483>!43:3;846X=4582I43>3;pZh<52z&2fg<6<<1]=>?52z&635<63-?=j7?4}|~?l7283:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:0:T274<5s-?<<7?4$44e>4=zutw0e<;<:18'614=9:20Z?:;:0yO610=9r\n>74343_;8=74=#=?l1=6sr}|9j502=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8:4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`eg?6=:3:1N5;?1b=>650;&107<6;110c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~fcb=83;:6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1;6*:6g84?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7184?!31n3=0qpsr;h360?6=,;>96<=7;W070?7|D;>=686X>3081!3083=0(88i:69~yx{6=4+250956><^;>?69851zTf6?4|,8hi6<;9;W305?4|,<=;6:5+57d93>{zut1b=8950;&107<6;11]>9:51zN103<6s_o96?u+1c`9501<^89:6?u+5629`>"2>o0o7psr}:k21=<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:955Y12396~"2?90o7);9f;f8yx{z3`;8n7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;k1]=>?52z&635{M072?7|^l81>v*>bc827f=Q9:;1>v*:718g?!31n3n0qpsr;h30`?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6<5+57d95>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3thmi7>51083>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:885Y12396~"2?90<7);9f;58yx{z3`;><7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;58 00a2>1vqps4i070>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172>1/9;h57:~yx=n9<>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51468R4562;q/9:>57:&62c<03twvq6g>5483>!43:3;846X=4582I43>3;pZh<52z&2fg<6=<1]=>?52z&635<03-?=j794}|~?l72>3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc8213=Q9:;1>v*:7184?!31n3=0qpsr;h363?6=,;>96<=7;W070?7|D;>=6;6X>3081!3083n0(88i:e9~yx{<^;>?69851zTf6?4|,8hi6<=m;W305?4|,<=;6i5+57d9`>{zut1b=>m50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289h7[?<1;0x 0172m1/9;h5d:~yx=n9:n1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745c3_;8=7a=#=?l1h6sr}|9j56c=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>k4V012>7}#=>:1h6*:6g8g?x{zu2c:?k4?:%076?7402\9894>{M072?7|^l81>v*>bc827c=Q9:;1>v*:7182?!31n3;0qpsr;h374?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{?62c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90<7);9f;58yx{z3`;>47>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;36<>P6;809w);80;58 00a2>1vqps4i01a>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172>1/9;h57:~yx=n9:i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>57:&62c<03twvq6g>3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635<03-?=j794}|~?l74m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=72=#=?l1;6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1;6*:6g84?x{zu2c:8<4?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6<;0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>439U567=:r.>;=4k;%75b?b9<512:8R72328qG>9851zTf6?4|,8hi6<:;;W305?4|,<=;6<5+57d95>{zut1b=9850;&107<6;11]>9:51zN103<6s_o96?u+1c`9510<^89:6?u+56295>"2>o0:7psr}:k202<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8:5Y12396~"2?90:7);9f;38yx{z3`;?47>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37<>P6;809w);80;38 00a281vqps4i06:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>27[?<1;0x 017281/9;h51:~yx=n9=k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol515c8R4562;q/9:>51:&62c<63twvq6g>4c83>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73k3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;c:T274<5s-?<<7?4$44e>4=zutw0e<:k:18'614=9:20Z?:;:0yO610=9r\n>742c3_;8=74=#=?l1=6sr}|9j51c=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9k4V012>7}#=>:1=6*:6g82?x{zu2c:8k4?:%076?7402\9894>{M072?7|^l81>v*>bc820c=Q9:;1>v*:7182?!31n3;0qpsr;h365?6=,;>96<=7;W070?7|D;>=6=6X>3081!3083;0(88i:09~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e99:1<7<>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1;6*:6g84?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:7184?!31n3=0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6:5+57d93>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56293>"2>o0<7psr}:k204<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51538R4562;q/9:>57:&62c<03twvq6g>4383>!43:3;846X=4582I43>3;pZh<52z&2fg<6<;1]=>?52z&6354=zutw0e<:;:18'614=9:20Z?:;:0yO610=9r\n>74233_;8=74=#=?l1=6sr}|9j510=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=984V012>7}#=>:1=6*:6g82?x{zu2c:8:4?:%076?7402\9894>{M072?7|^l81>v*>bc8202=Q9:;1>v*:7182?!31n3;0qpsr;h3796<=7;W070?7|D;>=63081!3083;0(88i:09~yx{26=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6P5<=0:wA<;6;3xR`4=:r.:no4>4c9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:k;W305?4|,<=;6<5+57d95>{zut1b=9k50;&107<6;11]>9:51zN103<6s_o96?u+1c`951c<^89:6?u+56295>"2>o0:7psr}:k20c<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8k5Y12396~"2?90:7);9f;38yx{z3`;>=7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;365>P6;809w);80;38 00a281vqps4i071>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?97[?<1;0x 017281/9;h51:~yx=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm11394?46290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90<7);9f;58yx{z3`;>47>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;36<>P6;809w);80;58 00a2>1vqps4i01a>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172>1/9;h57:~yx=n9:i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>57:&62c<03twvq6g>3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635<03-?=j794}|~?l74m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=72=#=?l1;6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1;6*:6g84?x{zu2c:8<4?:%076?7402\9894>{M072?7|^l81>v*>bc8204=Q9:;1>v*:718g?!31n3n0qpsr;h376?6=,;>96<=7;W070?7|D;>=66X>3081!3083n0(88i:e9~yx{86=4+250956><^;>?60Z<=>:3y'126=92.>:k4>;|~y>o6P5<=0:wA<;6;3xR`4=:r.:no4>479U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:7;W305?4|,<=;6<5+57d95>{zut1b=9750;&107<6;11]>9:51zN103<6s_o96?u+1c`951?<^89:6?u+56295>"2>o0:7psr}:k20d<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8l5Y12396~"2?90:7);9f;38yx{z3`;?n7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37f>P6;809w);80;38 00a281vqps4i06`>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>h7[?<1;0x 017281/9;h51:~yx=n9=n1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol515f8R4562;q/9:>51:&62c<63twvq6g>4d83>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73n3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;f:T274<5s-?<<7?4$44e>4=zutw0e<;>:18'614=9:20Z?:;:0yO610=9r\n>74363_;8=74=#=?l1=6sr}|9j504=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8<4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`247<72?0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56295>"2>o0:7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90:7);9f;38yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8:86=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi==:50;194?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{?65<2290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=4k;%75b?b<7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8:=6=49:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7j4$44e>a=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083n0(88i:e9~yx{<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e99=1<7<>:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>>7[?<1;0x 0172>1/9;h57:~yx=n9<:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51428R4562;q/9:>57:&62c<03twvq6g>5283>!43:3;846X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&635<03-?=j794}|~?l72<3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:4:T274<5s-?<<794$44e>2=zutw0e<;::18'614=9:20Z?:;:0yO610=9r\n>74323_;8=72=#=?l1;6sr}|9j500=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=884V012>7}#=>:1;6*:6g84?x{zu2c:9:4?:%076?7402\9894>{M072?7|^l81>v*>bc8212=Q9:;1>v*:7184?!31n3=0qpsr;h3696<=7;W070?7|D;>=646X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6;m0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3e9U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<=i;W305?4|,<=;6:5+57d93>{zut1b=9>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9516<^89:6?u+56293>"2>o0<7psr}:k204<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8<5Y12396~"2?90o7);9f;f8yx{z3`;?>7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;376>P6;809w);80;38 00a281vqps4i060>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>87[?<1;0x 017281/9;h51:~yx=n9=>1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51568R4562;q/9:>51:&62c<63twvq6g>4783>!43:3;846X=4582I43>3;pZh<52z&2fg<6?52z&635<63-?=j7?4}|~?l73?3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;7:T274<5s-?<<7?4$44e>4=zutw0e<:7:18'614=9:20Z?:;:0yO610=9r\n>742?3_;8=74=#=?l1=6sr}|9j51?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=974V012>7}#=>:1=6*:6g82?x{zu2c:8l4?:%076?7402\9894>{M072?7|^l81>v*>bc820d=Q9:;1>v*:7182?!31n3;0qpsr;h37f?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{h6=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6P5<=0:wA<;6;3xR`4=:r.:no4>4d9U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;>;W305?4|,<=;6<5+57d95>{zut1b=8<50;&107<6;11]>9:51zN103<6s_o96?u+1c`9504<^89:6?u+56295>"2>o0:7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:<54?:3394?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9;4V012>7}#=>:1;6*:6g84?x{zu2c:9=4?:%076?7402\9894>{M072?7|^l81>v*>bc8215=Q9:;1>v*:7184?!31n3=0qpsr;h367?6=,;>96<=7;W070?7|D;>=6?6X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=?0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>579U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;7;W305?4|,<=;6:5+57d93>{zut1b=>l50;&107<6;11]>9:51zN103<6s_o96?u+1c`956d<^89:6?u+56293>"2>o0<7psr}:k27f<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?n5Y12396~"2?90<7);9f;58yx{z3`;8h7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30`>P6;809w);80;58 00a2>1vqps4i01f>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289n7[?<1;0x 0172>1/9;h57:~yx=n9:l1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512d8R4562;q/9:>57:&62c<03twvq6g>4183>!43:3;846X=4582I43>3;pZh<52z&2fg<6<91]=>?52z&635<03-?=j794}|~?l7393:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;1:T274<5s-?<<7j4$44e>a=zutw0e<:=:18'614=9:20Z?:;:0yO610=9r\n>74253_;8=74=#=?l1=6sr}|9j515=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9=4V012>7}#=>:1=6*:6g82?x{zu2c:894?:%076?7402\9894>{M072?7|^l81>v*>bc8201=Q9:;1>v*:7182?!31n3;0qpsr;h372?6=,;>96<=7;W070?7|D;>=63081!3083;0(88i:09~yx{<6=4+250956><^;>?6:3y'126=92.>:k4>;|~y>o6<00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>489U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<:m;W305?4|,<=;6<5+57d95>{zut1b=9m50;&107<6;11]>9:51zN103<6s_o96?u+1c`951e<^89:6?u+56295>"2>o0:7psr}:k20a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:8i5Y12396~"2?90:7);9f;38yx{z3`;?i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;37a>P6;809w);80;38 00a281vqps4i06e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28>m7[?<1;0x 017281/9;h51:~yx=n9<;1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51438R4562;q/9:>51:&62c<63twvq6g>5383>!43:3;846X=4582I43>3;pZh<52z&2fg<6=;1]=>?52z&635<63-?=j7?4}|~?j7e<3:1(?:=:0`7?S43<3;p@?:9:0yUa7<5s-;in7?m4:T274<5s-?<<7?4$44e>4=zutw0qo??9;292?6=8r.98?4>b29K660<^;>?6:3y'126=92.>:k4>;|~y>o6<<0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4>;%75b?79<512:8R72328qG>9851zTf6?4|,8hi6<;<;W305?4|,<=;6<5+57d95>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a55g=8381<7>t$361>f5<@;9=7d?<8;29 725289376a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd68k0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172k1/9;h5b:~yx=n9:k1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512c8R4562;q/9:>5b:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd68j0;6>4?:1y'614=>01C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi==j50;694?6|,;>96;74H315?l7413:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?15$361>4d33_8?87?tL365>4}Qm;09w)?mb;3a0>P6;809w);80;38 00a281vqps4}c33a?6=<3:1N5;?1b=>750;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e99l1<7:50;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?15$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8;;6=4;:183!43:3<27E<<6:k27<<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1;6*:6g84?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71083>2<729q/>9<51c18L7513`;857>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;38 00a281vqps4i01b>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 017281/9;h51:~yx=n9=?1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>51:&62c<63twvq6g>5183>!43:3;846X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635<63-?=j7?4}|~?l72;3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7?4$44e>4=zutw0e<;;:18'614=9:20Z?:;:0yO610=9r\n>74333_;8=74=#=?l1=6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=<<50;094?6|,;>96n=4H315?l7403:1(?:=:01;?>i6j=0;6)<;2;3a0>P5<=0:wA<;6;3xR`4=:r.:no4>b59U567=:r.>;=4>;%75b?71283>1<729q/>9<5689K660<@;>:7[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f473290?6=4?{%076?0>3A88:6g>3883>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6<<0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56293>"2>o0<7psr}:m2f1<72-8?>7?m4:T101<6sE8?:7?tVd096~"6jk0:n95Y12396~"2?90:7);9f;38yx{z3th:=84?:583>5}#:=81:45G2248m45>290/>9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30=>P6;809w);80;58 00a2>1vqps4i01b>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?2=zutw0e<:::18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{?65<3290;w)<;2;4:?M44>2c:?44?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956g<^89:6?u+56293>"2>o0<7psr}:k200<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51578R4562;q/9:>57:&62c<03twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd69>0;694?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=74=#=?l1=6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`25<<72;0;6=u+2509g6=O::<0e<=7:18'614=9:207b?m4;29 72528h?7[<;4;3xH72128q]i?4={%3af?7e<2\:?<4={%744?7<,<50z&107<112B9?;5f12;94?"5<;0:?55Y25695~J5:3y'126=?2.>:k48;|~y>o6;h0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3`9U567=:r.>;=48;%75b?1<7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=91]=>?52z&635{M072?7|^l81>v*>bc8216=Q9:;1>v*:718g?!31n3n0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{56;294~"5<;0=56F=379j56?=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>74V012>7}#=>:1;6*:6g84?x{zu2c:?l4?:%076?7402\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:7184?!31n3=0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;f8 00a2m1vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj8;h6=49:183!43:3<27E<<6:k27<<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?45Y12396~"2?90<7);9f;58yx{z3`;8m7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20D?:>;W070?7|D;>=6<6X>3081!3083n0(88i:e9~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?72;2\:?<4={%744?b<,<9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;f8 00a2m1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172m1/9;h5d:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<::;W305?4|,<=;6:5+57d93>{zut1b=8>50;&107<6;11]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+56293>"2>o0<7psr}:k216<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9>5Y12396~"2?90<7);9f;58yx{z3`;>87>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;360>P6;809w);80;58 00a2>1vqps4i076>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?>7[?<1;0x 0172>1/9;h57:~yx=n9<<1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74313_;8=72=#=?l1;6sr}|9j501=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;7;W305?4|,<=;6i5+57d9`>{zut1b=>l50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289i7[?<1;0x 0172m1/9;h5d:~yx=n9:i1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>5d:&62c3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635<63-?=j7?4}|~?l74m3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=74=#=?l1=6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`25c<72?0;6=u+25095g5<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?7<,<9<512:8R72328qG>9851zTf6?4|,8hi6<=n;W305?4|,<=;6<5+57d95>{zut1b=9;50;&107<6;11]>9:51zN103<6s_o96?u+1c`9513<^89:6?u+56295>"2>o0:7psr}:k215<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9=5Y12396~"2?90:7);9f;38yx{z3`;>?7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;367>P6;809w);80;38 00a281vqps4o0`7>5<#:=81=o:4V367>4}K:=<1=vXj2;0x 4de28h?7[?<1;0x 017281/9;h51:~yx=zj88;6=4=:183!43:3i87E<<6:k27=<72-8?>7?<8:9l5g2=83.98?4>b59U612=9rF98;4>{Wg1>7}#9kh1=o:4V012>7}#=>:1=6*:6g82?x{zu2wi=??50;494?6|,;>96;74H315?l7413:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083n0(88i:e9~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?b<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;364>P6;809w);80;f8 00a2m1vqps4i070>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?:3:T274<5s-?<<7j4$44e>a=zutw0c0Z?:;:0yO610=9r\n>74d33_;8=74=#=?l1=6sr}|9~f445290=6=4?{%076?0>3A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<03-?=j794}|~?l74i3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827d=Q9:;1>v*:718g?!31n3n0qpsr;h371?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>449U567=:r.>;=4k;%75b?b?7>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=:1]=>?52z&6354=zutw0qo?=3;292?6=8r.98?499:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>57:&62c<03twvq6g>3`83>!43:3;846F=409U612=9rF98;4>{Wg1>7}#9kh1=>o4V012>7}#=>:1h6*:6g8g?x{zu2c:884?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6=90;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`9506<^89:6?u+5629`>"2>o0o7psr}:k216<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>5d:&62cb583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6:=0;654?:1y'614=>01C>>84i01:>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?<9:T274<5s-?<<794$44e>2=zutw0e<=n:18'614=9:20D?:>;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><@;>:7[<;4;3xH72128q]i?4={%3af?73=2\:?<4={%744?1<,<9<512:8R72328qG>9851zTf6?4|,8hi6<;?;W305?4|,<=;6i5+57d9`>{zut1b=8=50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?87[?<1;0x 0172m1/9;h5d:~yx=n9<>1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74333_;8=7a=#=?l1h6sr}|9j503=83.98?4>399K617<^;>?60Z<=>:3y'126=92.>:k4>;|~y>{e9;?1<7650;2x 7252?30D?=9;h30=?6=,;>96<=7;I075>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?15$361>45?3_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;f8 00a2m1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172m1/9;h5d:~yx=n9<91<7*=43827==O:=;0Z?:;:0yO610=9r\n>74343_;8=7a=#=?l1h6sr}|9j502=83.98?4>399K617<^;>?69851zTf6?4|,8hi6<;:;W305?4|,<=;6i5+57d9`>{zut1d=o:50;&107<6j=1]>9:51zN103<6s_o96?u+1c`95g2<^89:6?u+56295>"2>o0:7psr}:a570=83<1<7>t$361>4d43A88:6g>3883>!43:3;846X=4582I43>3;pZh<52z&2fg<6;01]=>?52z&635<63-?=j7?4}|~?l74i3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?4=zutw0e<:::18'614=9:20Z?:;:0yO610=9r\n>74223_;8=74=#=?l1=6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1=6*:6g82?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{52;294~"5<;0h?6F=379j56>=83.98?4>3998k4d3290/>9<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<<7:180>5<7s-8?>786;I002>o6;00;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>389U567=:r.>;=48;%75b?19<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<<6:181>5<7s-8?>7m<;I002>o6;10;6)<;2;30<>=h9k>1<7*=4382f1=Q:=>1=vB=4782Sc52;q/=ol51c68R4562;q/9:>51:&62c<63twvq6sm13c94?76290;w)<;2;4:?M44>2c:?44?:%076?7402\9894>{M072?7|^l81>v*>bc827<=Q9:;1>v*:7184?!31n3=0qpsr;h30e?6=,;>96<=7;W070?7|D;>=63081!3083=0(88i:69~yx{>6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de28?=7[?<1;0x 0172>1/9;h57:~yx=n9<=1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74303_;8=7a=#=?l1h6sr}|9j50>=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=864V012>7}#=>:1h6*:6g8g?x{zu2c:?o4?:%076?7402B98<5Y25695~J5:3y'126=l2.>:k4k;|~y>o6;j0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956e<^89:6?u+5629`>"2>o0o7psr}:k27a<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:?i5Y12396~"2?90o7);9f;f8yx{z3`;8i7>5$361>45?3_8?87?tL365>4}Qm;09w)?mb;30a>P6;809w);80;38 00a281vqps4i01e>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de289m7[?<1;0x 017281/9;h51:~yx=n9=:1<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51528R4562;q/9:>51:&62c<63twvq6a>b583>!43:3;i86X=4582I43>3;pZh<52z&2fg<6j=1]=>?52z&635<63-?=j7?4}|~?xd6:k0;696<=7;W070?7|D;>=63081!3083=0(88i:69~yx{<@;>:7[<;4;3xH72128q]i?4={%3af?74i2\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;371>P6;809w);80;58 00a2>1vqps4i073>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28?;7[?<1;0x 0172>1/9;h57:~yx=n9<91<7*=43827==Q:=>1=vB=4782Sc52;q/=ol51418R4562;q/9:>57:&62c<03twvq6g>5583>!43:3;846X=4582I43>3;pZh<52z&2fg<6==1]=>?52z&635<03-?=j794}|~?l72=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?:5:T274<5s-?<<794$44e>2=zutw0e<;9:18'614=9:20Z?:;:0yO610=9r\n>74313_;8=72=#=?l1;6sr}|9j501=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=894V012>7}#=>:1;6*:6g84?x{zu2c:954?:%076?7402B98<5Y25695~J5:3y'126=?2.>:k48;|~y>o6;k0;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`956d<^89:6?u+56293>"2>o0<7psr}:k27f<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512a8R4562;q/9:>5d:&62c3e83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;m1]=>?52z&635a=zutw0e<=i:18'614=9:20Z?:;:0yO610=9r\n>745a3_;8=74=#=?l1=6sr}|9j516=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=9>4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|`26f<728;1<7>t$361>3?<@;9=7d?<9;29 72528937[<;4;3xH72128q]i?4={%3af?7412\:?<4={%744?1<,<9<512:8L7263_8?87?tL365>4}Qm;09w)?mb;30e>P6;809w);80;58 00a2>1vqps4i066>5<#:=81=>64H362?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<794$44e>2=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=72=#=?l1;6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1;6*:6g84?x{zu2c:994?:%076?7402\9894>{M072?7|^l81>v*>bc8211=Q9:;1>v*:7184?!31n3=0qpsr;h361?6=,;>96<=7;W070?7|D;>=696X>3081!3083=0(88i:69~yx{<^;>?6:3y'126=?2.>:k48;|~y>o6=10;6)<;2;30<>N5<81]>9:51zN103<6s_o96?u+1c`950><^89:6?u+56293>"2>o0<7psr}:k27g<72-8?>7?<8:J104=Q:=>1=vB=4782Sc52;q/=ol512`8R4562;q/9:>5d:&62c3b83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;j1]=>?52z&635a=zutw0e<=j:18'614=9:20Z?:;:0yO610=9r\n>745b3_;8=7a=#=?l1h6sr}|9j56`=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=>h4V012>7}#=>:1=6*:6g82?x{zu2c:8=4?:%076?7402\9894>{M072?7|^l81>v*>bc8205=Q9:;1>v*:7182?!31n3;0qpsr;n3a0?6=,;>96=63081!3083;0(88i:09~yx{51083>5}#:=81:45G2248m45>290/>9<512:8R72328qG>9851zTf6?4|,8hi6<=6;W305?4|,<=;6:5+57d93>{zut1b=>o50;&107<6;11C>9?4V367>4}K:=<1=vXj2;0x 4de289j7[?<1;0x 0172>1/9;h57:~yx=n9=?1<7*=43827==O:=;0Z?:;:0yO610=9r\n>74223_;8=72=#=?l1;6sr}|9j506=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8>4V012>7}#=>:1;6*:6g84?x{zu2c:9>4?:%076?7402\9894>{M072?7|^l81>v*>bc8216=Q9:;1>v*:7184?!31n3=0qpsr;h360?6=,;>96<=7;W070?7|D;>=686X>3081!3083=0(88i:69~yx{6=4+250956><^;>?6:3y'126=?2.>:k48;|~y>o6=>0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>569U567=:r.>;=48;%75b?15$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6;k1]=>?52z&635<03-?=j794}|~?l74k3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827f=Q9:;1>v*:718g?!31n3n0qpsr;h30`?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=92.>:k4>;|~y>o6<90;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>419U567=:r.>;=4>;%75b?701C>>84i01:>5<#:=81=>64V367>4}K:=<1=vXj2;0x 4de28927[?<1;0x 0172>1/9;h57:~yx=n9:k1<7*=43827==O:=;0Z?:;:0yO610=9r\n>745f3_;8=72=#=?l1;6sr}|9j513=83.98?4>399K617<^;>?6:3y'126=?2.>:k48;|~y>o6=:0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>529U567=:r.>;=48;%75b?19<512:8R72328qG>9851zTf6?4|,8hi6<;:;W305?4|,<=;6:5+57d93>{zut1b=8850;&107<6;11]>9:51zN103<6s_o96?u+1c`9500<^89:6?u+56293>"2>o0<7psr}:k212<72-8?>7?<8:T101<6sE8?:7?tVd096~"6jk0:9:5Y12396~"2?90<7);9f;58yx{z3`;>47>5$361>45?3A8?=6X=4582I43>3;pZh<52z&2fg<6=11]=>?52z&635<03-?=j794}|~?l74j3:1(?:=:01;?M4392\9894>{M072?7|^l81>v*>bc827g=Q9:;1>v*:718g?!31n3n0qpsr;h30g?6=,;>96<=7;W070?7|D;>=63081!3083n0(88i:e9~yx{<^;>?6:3y'126=l2.>:k4k;|~y>o6;o0;6)<;2;30<>P5<=0:wA<;6;3xR`4=:r.:no4>3g9U567=:r.>;=4>;%75b?79<51c68R72328qG>9851zTf6?4|,8hi6{zut1vn<5<7s-8?>7?m3:J173=n9:31<7*=43827==Q:=>1=vB=4782Sc52;q/=ol512;8R4562;q/9:>51:&62c<63twvq6g>3`83>!43:3;846X=4582I43>3;pZh<52z&2fg<6;h1]=>?52z&635<63-?=j7?4}|~?l73=3:1(?:=:01;?S43<3;p@?:9:0yUa7<5s-;in7?;5:T274<5s-?<<7?4$44e>4=zutw0e<;?:18'614=9:20Z?:;:0yO610=9r\n>74373_;8=74=#=?l1=6sr}|9j505=83.98?4>399U612=9rF98;4>{Wg1>7}#9kh1=8=4V012>7}#=>:1=6*:6g82?x{zu2e:n94?:%076?7e<2\9894>{M072?7|^l81>v*>bc82f1=Q9:;1>v*:7182?!31n3;0qpsr;|q:;d93;846s|c083>=}:k80:n952207956?<5o91=>74=gd956?<58:;6<=6;<335?74127:<:4>389>55>=9:30q~79:1808?028h>7S79;45?3tyh<7>58z?`4?7e<279389>b6<6;h16jk4>3`9>556=9:k01<>>:01b?877?3;8m63>09827d=z{>?1<7=t=6495g3?014h512:8yv?a2903w07i:0`7?87ak3;8563i3;371>;an3;?963>018200=:99;1=9;4=024>42234;;47?;5:p36<72:q6;94>b49]36=:1l0:?55rs8g94?7?s43n6389>0dd=9:3019oj:01:?83593;8563:23827<=:9o?1=>74=41`>45>34>mn7?<9:?640<6;016io4>389>a`<6;016j>4>519>b<<6;016ji4>389>bc<6=916==>51428946628?;70??7;364>;6810:9=5210g956?<588i6<=6;<31g?7412wx;<4?:2y>37<6j<1U;<529e827==z{0n1<7?7{<;g>4d3349;:7?<9:?74d<6;h168lm512;891gb289j70;=3;30=>;6ml0:?45252f956?<5<9n6<=6;<732?74127><:4>389>ac<6;016j=4>389>b6<6=:16jl4>389>b`<6;016jk4>529>556=9<901<>>:070?877?3;>?63>098216=:9;k1=>74=00g>45>34;9i7?<9:p2c<72:q6;=4>b49]2c=:1j0:?55rs8a94?>|50i1=o:4=0g4>45>34l86<;;;43334;;<7?:4:?244<6==16==951468946?28??7p}9d;297~;1m3;i96P9d:?:f?7402wx5o4?:9y>=g<6j=16=h>512;89c5=970??1;361>;68>0:985211:95034d23Wi3:14v36a;3a0>;6l00:?452f28213=:no0:9;521129500<58::6<;9;<333?72>27:<54>579~w=>=839p15751c78Z=><5k31=>64}r`:>5<3?r7i57?m4:?76d<6;0168?l512;89664289270=?4;30=>;61?0:?45230:956?<5:8>6<=6;<105?741278??4>389>051=9:3019>7:01:?82713;8563;0`8200=:74=5cf>42234?i<7?<9:?6f4<6;0169l=512;890g3289270;ma;30=>;3<80:?452450956?<5<2;6<=6;<7;5?74127?>=4>389>1a3=9:301<66:01:?87?i3;856374=2g2>45>34?3o7?<9:?154<6;016><;512c891?f289270:6b;30=>;2980:?452a8827<=:ih0:?452ac827<=:ij0:?452ae827<=:74=40g>45>34?9i7?<9:?e7?72?27m;7?<9:?e16==?514589462289270??7;363>;6810:9:5211f956?<58:n6<=6;<33b?74127:==4>389>545=9:30117827<=:98=1=>74=002>45>34;987?<9:?260<6;016=?l512c8944d289j70?=d;30e>;6:l0:?l5rs9794?5|51<1=o;4^9789g>=9:20q~l7:1864~;e03;i863;2b827<=:<;n1=>74=220>45f349;87?;4;:0:?452326956?<5:9>6<=6;<633?74i27?<54>3`9>05g=9<:019o6:01:?82fi3;8m63;ad8215=:=k:1=>o4=4`2>45f34?j?7?;2080:?l52432956g<5>=4>389>1=e=9:k01??=:01:?846=3;?963;9b827<=:<0n1=>74=432>45f34k26<=n;45f34ki6<=n;45f34ko6<=n;<6`e?74127?oo4>389>0c>=9:3019h6:01:?82ai3;8563:2e827d=:m>0:?452e9827<=:m00:?452e`827<=:n:0:9552f7827<=:n>0:?l52f9827d=:no0:9552112950><58::6<;7;<332?74127:<:4>599>55>=9<201<>k:01b?877m3;8m63>0g827d=:98:1=>o4=030>45f34;:87?b;30=>;69j0:?45210f956?<58896<=6;<310?74i27:>84>3`9>57d=9=?01<2d8200=z{191<7=t=9695g3;3:j0:?l523119513<5::?6<::;<132?74i278>;4>3`9>77>=9:k01>=<:01b?854<3;8m63;08827d=:o4=5ca>45f34>jo7?;2:;0:?l52531956g<5<9h6<=n;<70`?74i27>?h4>3`9>e<<6<<16n;4>389>f3<6;h168no512c891`?289j70:ib;30e>;28<0:?l52514956g<5<:<6<=n;<71`?73=27>>h4>3`9>a2<6;h16i54>3`9>ag<6;h16ih4>3`9>ac<6;h16j=4>3`9>b6<6;k16j44>3`9>bd<6;h16ji4>3`9>b`<6;h16jk4>3c9>556=9:h01<>>:01a?877?3;8n63>09827g=:99n1=9;4=034>45f34;:m7?;6:j0:9=5213f9506<588n6<;?;|q;5?6=;r73>7?m5:\;5>;e93;846s|b083>6g|5k;1=o:4=50g>45f349;?7?:0:?041<6=916?=8515789640289j70==8;371>;4;<0:?l524159513<5=:26<::;<6bf?73=27?mn4>449>7a`=9=?01845>34?8o7?;5:?67a<6<<169>k5157891ee289j70:i9;30e>;3nh0:?l524g`9513<5<:>6<::;<732?73=27><:4>449>17b=9<:018289j70kn:01b?8ce28>>70kj:066?8ca28>>70h?:066?8`4289h70h9:01b?8`>28>>70hn:066?8`c28>>70hj:066?8`a289h70??0;30g>;6880:?n52114956g<58:<6<=l;<334>449>541=9=?011d8200=:9;k1=9;4=00a>43434;9o7?:3:?26a<6=:16=?k51418yv1c2908w09j:0`6?[1c34k86<=7;|qb7?6=:1q6m>4>b59>750=9<:01><7:073?82fj3;><63;ab8215=:=;;1=8>4=401>43734?9?7?:0:?15f<6;0169>m51428905c28?;70;;fi3;?963;fc8215=:=9?1=8>4=425>43734?;;7?:0:?ff?72827ni7?:0:?fb?72827m<7?:0:?e7?74l27m57?:0:?ee?72827mh7?:0:?ea?72827mj7?;68l0:885210g9506<58836<=6;<31l4>519>57d=9<>01<863>2d8211=z{>h1<7=t=6a95g3h01l<512:8yvg529095v3n2;3a0>;48?0:9>5233:9505<5=:36<::;<6bf?72;27?mn4>529>177=9<9018<=:070?835;3;>?63=10827d=::881=>o4=330>45>348:87?<9:?67f<6=:169>j51418905b28?870:ib;367>;28<0:9>525149505<5<:<6<;<;43434on6<;<;43434l;6<;<;45b34l26<;<;43434lo6<;<;43434lm6<=j;<334?74m27:<<4>3d9>551=9:o01<>7:01f?876<3;?963>1d8216=:9;k1=8=4=00a>43234;9o7?:5:?26a<6=<16=?k51478yv1>2908w09n:0`6?[1>34k:6<=7;|qb5?6=:?q6m<4>b59>750=9<>01><7:077?82fj3;>863;ab8211=:=;;1=8:4=401>43334?9?7?:4:?14g<6;0169>m51468905c28??70;;fj3;?963;fc8211=:=9?1=8:4=425>43334?;;7?:4:?ff?72<27ni7?:4:?fb?72<27m<7?:4:?e7?74n27m57?:4:?ee?72<27mh7?:4:?ea?72<27mj7?;69<0:885210g9502<588j6<;;;<31f?72>27:>n4>579>57b=9<<01<X0?27j<7?<8:pe5<72;51c68966128?>70==8;361>;3ik0:98524`a9503<5<8:6<;:;<716?72=27>>>4>549>655=9:3018=l:076?834l3;>963:3d8210=:ij0:88524g`9503<5<:>6<;:;<732?72=27><:4>549>ag<6=<16ih4>549>ac<6=<16j=4>549>b6<6<916j44>549>bd<6=<16ji4>549>b`<6=<16jk4>419>556=9=:01<>>:063?877?3;?<63>098205=:98<1=9;4=03f>43234;9m7?:5:?26g<6=>16=?m51458944c28?<70?=e;363>{tk<0;69u2c782f0=Yk<16o:4>399>g=<6;11vn950;378e028h?70;:e;f`?85d83nh70=nb;f`?85e>3nh70=91;f`?851j3nh70=86;f`?850n3nh70=7a;f`?871=3nh70?81;f`?853n3nh70=61;f`?85>l3nh70=k8;f`?8062mi01>:<:ea8963?2mi01>ml:ea8yve?290jw0m7:0`7?82103nh70:j6;f`?83283nh70=n3;f`?85313nh70=k2;f`?854l3nh70=l6;f`?82d:3nh7p}>3383>1}:9:91=o;4^011?874<3;8463>34827==z{89?6=4;0z?271<6j=168?h5db9>666=lj16>;m5db9>6ad=lj16>h85db9>6c7=lj16>::5db9>62e=lj16>5m5db9>6<2=lj16>4m5db9>6d2=lj16>lm5db9>6g2=lj16>om5db9>6f2=lj16>nm5db9>75>=lj16=475db9>6=2=lj16>k65db9>1f?=lj16=:h5db9>5d4=lj16>?;5db9>6a2=lj16?77g=lj16?>95db9>7c7=lj16?kk5db9>05b=lj168<;5db9>02`=lj168o>5db9>0<7=lj1694j5db9>1g5=lj169l85db9>1f6=lj169h95db9>013=lj1695=5db9>06>=lj16>8l5db9>632=lj16?ho5db9>074=lj169:85db9>1ad=lj169h?5db9>61`=lj16>kk5db9>225=4kc:?6gcii4kc:?71<4kc:p563=839p1<=::0`7?856:3nh70:n1;f`?xu3=h0;6>u244`95g3{t<44>389>07g=9:k019o4=05a>45>349:;7?<9:?05g<6;016??:512;89656289j70=<3;371>;4;<0:8852417956?<5==j6<=6;<64g?74127>554>389>1d`=9:3018l?:066?83f;3;?963:be827<=:<=;1=>o4=560>42234?3<7?;5:?75`<6;0169::512;894>>289j70=>0;30=>;4990:?l523d2956g<5<8:6<;9;<717?72>27>4l4>389>1=c=9:3019kk:01:?834i3;8563:3e8213=:=?<1=>74=432>42234?:87?<9:?7g<<6;h168no5157891ee28>>70:i6;30=>;3n00:88524g`9500<5<:86<=6;<732?72>27>??4>389>a2<6<<16i44>449>ag<6=?16ik4>579>b2<6<<16j44>579>bd<6=?16jk4>409>556=9=;01<>;:01:?877k3;8563>1`8200=:98i1=9;4=03f>43134;9?7?<9:?261<6<<16=?l514:8944c28?37p}:6c83>6}:=?i1=o;4^44a?831l3;846s|57f94?4bs4?=h7?m4:?043<6=?16??65144896`f289270=ib;30=>;3ik0:9;524`a9500<5:o;6<::;<1f5?74i27>><4>569>174=9<<018<<:074?82bl3;8m63:3`827d=:=:i1=884=41g>43034?8i7?:6:?b`?73=27?o44>449>0fg=9<:019mm:073?82a>3;8m63;fc8212=:=991=>o4=426>43134?;:7?:7:?642<6=?16i:4>519>a=<6<<16i44>519>ad<6<<16io4>569>a`<6=?16ik4>569>b5<6=?16j44>569>bd<6=>16ji4>579>b`<6=?16==h51578947b28?<70?=a;362>;6:k0:?o5213a950><588o6<=m;<31a?7202wx9<650;6x94d7289370;>9;3a1>X291169<651c68yv7e83:1;v3>b182f1=:;931=>74=22:>45f349h87?<9:?0g1<6;h16?no512;896ef289j7p};d683>6}:389>7``=9:k019h9:066?xu2<80;6>u255095g3:70;;3;30<>{t==91<7;t=460>4d334;2j7?;4j;0:9=523c19506<5:h?6<;?;<355?73=27::?4>449>535=9=?01<8l:073?871l3;><63>6d8215=:9?l1=8>4=56f>42234>io7?:0:?7fa<6=9168ok5142891da28?;7p}ka;296~;ci3;i863<968gg>{t<181<7=t=5:0>4d23W>3>63;85827==z{=2?6=4k{<6;0?7e<27::n4>529>53b=9<901<8j:070?871n3;>?63<3c827<=:;:h1=>o4=262>45>349?=7?{tm:0;6>u2e582f0=Ym:16=>>512:8yv7483:19v3>3182f1=:;0?1=>74=2;6>45f348?h7?<9:?10a<6;h1v9j;:18082c=3;i96P;d59>0a0=9:20q~:k6;297~;3l?0:n9522ga956?<5;lh6<=n;|q7f7<72:q68o=51c78Z1d534>i87?<8:p0g2=83?p19l;:0`7?853?3;8563<46827d=:;=n1=>74=26g>45f3ty>:54?:2y>13?=9k?0R887;<75e?7402wx9;o50;7x900f28h?70=k0;30=>;4l90:?l523e4956?<5:n=6<=n;|q4b?6=;r73<7?m5:\4b>;e83;846s|b183>7}:j90:n9521ec956g4d23W2j70ln:01;?xuei3:1>v3ma;3a0>;6m80:?l5rs9a94?5|51n1=o;4^9a89gd=9:20q~lm:1818de28h?70?j8;30e>{t0l0;6>u28g82f0=Y0l16nn4>399~wge=838p1om51c6894ca289j7p}60;297~;>93;i96P60:?a`?7402wxni4?:3y>fa<6j=16=k8512c8yv?52908w07<:0`6?[?534hn6<=7;|qaa?6=:r7ii7?m4:?2ba<6;h1v4:50;1x9<3=9k?0R4:4=cd956>4d3348;87?9?4>b59~w0312909w0;:2;30<>;2=?0:n95rs476>5<5s4?>>7ji;<761?7e<2wx88j50;1x913b28h>7S::d:?71a<6j=1v9;i:181822l3;8463;5g82f1=z{=<;6=4={<66`?ba34>=<7?m4:p0ag=839p19jm:0`6?[2ci27?hl4>b59~w1bd2909w0:ka;30<>;3lj0:n95rs5fg>5<5s4>om7ji;<6g`?7e<2wx99:50;1x902228h>7S;;4:?601<6j=1v8:9:181833<3;8463:4782f1=z{<><6=4={<770?ba34??;7?m4:p022=839p199::0`6?[20<27?;94>b59~w1112909w0:84;30<>;3??0:n95rs5c1>5<4s4>j?7?m5:\7e7=:399>0d2=9k>0q~:75;297~;30?0:n85Q497891>228h?7p};8983>7}:<1?1=>64=5:;>4d33ty:nn4?:2y>5gb=9k?0R{t9j91<7=t=0a7>4d23W;h?63>c282f1=z{8nh6=4={<3`7?74027:hn4>b59~w4e22908w0?l6;3a1>X6k<16=n;51c68yv7b;3:1>v3>c4827==:9l91=o:4}r3`3?6=;r7:o54>b49]5f1<58i<6c883>6}:9jk1=o;4^0a:?87d13;i86s|1g394?4|58i26<=7;<3e5?7e<2wx=nl50;1x94ed28h>7S?lb:?2gg<6j=1vf982f1=z{8io6=4<{<3`a?7e=2T:oi521bf95g252z?2ga<6;116=kh51c68yv7dn3:1?v3>d182f0=Y9jl01<5;:=6:01;?847l3;i86s|1e194?5|58n?6;6l:0:n95rs334>5<5s4;o?7?<8:?152<6j=1v9mk:18082dm3;i96P;ce9>0fb=9k>0q~:lf;296~;3km0:?5524bd95g2n47>53z?7a<<6j<1U8h64=5g;>4d33ty?il4?:3y>0`>=9:2019kn:0`7?xu2;<0;6>u252495g370;<5;3a0>{t=:=1<745?34?8;7?m4:p137=839p188=:0`6?[31927>:<4>b59~w0042909w0;91;30<>;2>:0:n95rs564>5<4s4>?47?m5:\702=:<==1=o:4}r67=?6=:r7?8:4>399>01?=9k>0q~:63;297~;31=0:n85Q481891?428h?7p};9483>7}:<091=>64=5;6>4d33ty?n84?:2y>0g0=9k?0R9l:;<6a1?7e<2wx8o950;0x91d2289370:m7;3a0>{t=9k1<7=t=42a>4d23W?;m63:0`82f1=z{<:h6=4={<73e?74027>b59~w4g?2909w0;>8;30<>;6i10:n95rs0c:>5<5s4?:47ji;<3b=?7e<2wx=n?50;1x94e528h>7S?l1:?2g4<6j=1vl:50;0x94e6289370o;:0`7?xu6jl0;6>u21cd95g3{tj:0;6?u21cg956><5k91=o:4}r664?6=;r7?9<4>b49]006<5=?;6512:8913528h?7p};6`83>6}:7S:l3:?7g6<6j=1v9m::18182d;3;8463;c482f1=z{=l:6=4<{<6e6?7e=2T?j<524g395g2m?7>52z?7b4<6;1168k=51c68yv2am3:1?v3;fg82f0=Y<5<:;66}:kj0:n85Qcc9>gg<6j=1vi<50;0x9fd=9:201i<51c68yve>2908w0mn:0`6?[e>34i26;2>90:?l5254g95g287>54z?611<6j=1698l512;8903d289270=7f;30=>{t=<=1<745?34?>;7?m4:p10`=838p18;8:01;?832n3;i86s|54:94?4|5<<;6<=6;<7650;0x900728h?70;:e;30<>{t9:=1<7lk{<303?7e<27>9h4=339>10c=:8o01987:311?821038:i63;e78177=:75534?><7<>e:?76c<5;;168?h520g897572;9970<<0;02a>;5>j09??5227a964c<5;ni6?==;<0gf?46m279i;4=339>6`0=:8o01?h>:311?84a938:i63=758177=::>>1>755348e:?15m520g897?32;9970<64;02a>;51j09??5228a964c<5;k?6?==;<0b0?46m279mn4=339>6de=:8o01?l;:311?84e<38:i63=bb8177=::ki1>755348h87<>e:?1gf<5;;16>nm520g8966f289j70=?8;006>;61009??5218;964c<5;2?6?==;<0;0?46m279j54=339>6c>=:8o018m6:311?83d138:i63>7g8177=:9>l1>75534;j>7<>e:?160<5;;16>?;520g897b32;9970;49l09??5230g964c<5:8j6?==;<11e?46m278?:4=339>761=:8o01>h>:311?85a938:i6375534>;h7<>e:?750<5;;168<;520g8911a2;9970:8f;02a>;3j909??524c2964c<5=3:6?==;<6:5?46m27>5i4=339>175534?h<7<>e:?0g5<5;;16?n>520g896ge2;9970=nb;02a>;4j?09??523c4964c<5013=:8o01>8>:311?851938:i63<6c8177=:;?h1>75534?3?7<>e:?033<5;;16?:8520g8915?2;9970:<8;02a>;4?o09??5236d964c<5:2j6?==;<1;e?46m2799o4=339>60d=:8o01?8;:311?841<38:i63755349j?7<>e:?767<5;;168?<520g894022;9970?95;02a>;6?809??52163964c<5:3<6?==;<1:3?46m27>;;4=339>120=:8o018jm:311?83cj38:i63:e08177=:=l;1>755348?j7<>e:?1b`<5;;16>kk520g8962>2;9970=;9;02a>;47j=:311?85c:38:i6375534;3o7<>e:?110<5;;16>8;520g896752;9970=>2;02a>;4;m09??5232f964c<5:>86?==;<177?46m278954=339>70>=:8o01>m9:311?85d>38:i63755349n87<>e:?663<5;;169?8520g890?72;9970;60;02a>;2ko09??525bd964c<50d7=:8o019m=:311?82d:38:i63;588177=:<<31>75534>e:p66>=83;;nv3=3982f1=:=<59n4>3e9>10e=9:o018;l:01e?832k3;?<63;698gf>;3m?0on63:518gf>;3:o0on63=73827g=::>81=>m4=351>45c348<>7?:<51528971f289h70<8a;30`>;5?h0:?h5226c956`<5;=j6<:?;<0`e?720279ol4>3c9>6fg=9:i01?mn:01g?84di3;8i63=c`827c=::jk1=9>4=22;>ad<5::=6<=k;<132?74m278<;4>3g9>750=9=:01<76:e`897>5289o70<72;30a>;50;0:?k522909516<545b348o>7?77g=lk16??6512f8964?289n70==8;30b>;4:10:8=523259`g=:;oo1ho5241f9`g=:<>l1ho524c29`g=:k4=5ca>45a34>jn7?;0:?7ef<6;l168lm512d891gd28>;70:61;fa?83>l3ni70;m3;fa?83f>3ni70;l0;fa?85d83ni70=nb;fa?85e>3ni70;j7;fa?823=3ni70=91;fa?851j3ni70;73;fa?850>3ni70:<8;fa?850n3ni70=7a;fa?842j3ni70<94;fa?85bi3ni70=n3;fa?825:3ni70?95;fa?87093ni70=67;fa?830>3ni70;kb;fa?83b93ni70<;f;fa?84am3ni70=;9;fa?853n3ni70=61;fa?85>l3ni70=k2;fa?85c03ni708>:e`8931=lk16=5m5dc9>603=lk16?<<5dc9>76b=lk16?9=5dc9>70>=lk16?n85dc9>7fe=lk16?h:5dc9>170=lk169??512g89046289m70;=1;374>;2:;0:?h52530956`<5<896<:?;<717?74m27>>>4>3g9>175=9=:0187?:e`890ea2mh018kk:e`8905d289o70;;2;j0:?k5252a9516<5<9o6<=k;<70`?74m27>?i4>3g9>16b=9=:018=j:01g?834m3;8i63:3d827c=:=:o1=9>4=5c2>ad<5=i96il4=57:>ad<5==86il4=5da>45b34>mn7?;28?0:?h52514956`<5<:=6<:?;<733?74m27><:4>3g9>151=9=:01hl512d89`d=9=:01hk512d89`c=9=:01hh512d89``=9=:01k>512d89c6=9=:01k=515189c5=9=>01k=515489c5=9==01k=515:89c5=9=301k=515c89c5=9=h01k=515a89c5=9=n01k=515g89c5=9=l01k=514389c5=9<801k7512d89c?=9=:01ko512d89cg=9=:01kj512d89cb=9=:01kk512d89cc=9=:01kh515189c`=9=>01kh515489c`=9==01kh515:89c`=9=301kh515c89c`=9=h01kh515a89c`=9=n01kh515g89c`=9=l01kh514389c`=9<801<>?:060?87783;?863>018203=:99:1=994=023>42?34;;<7?;9:?245<6515`8946728>h70??0;37`>;6890:8h52112951`<58:;6<;>;<334?72:27:<<4>429>557=9=>01<>>:065?87793;?;63>00820==:99;1=974=022>42f34;;=7?;b:?244<6n70??1;37b>;6880:9<521139504<58:<6<:=;<333?73;27:<:4>459>551=9=<01<>8:064?877?3;?463>06820<=:99=1=9o4=024>42e34;;;7?;c:?242<6m70??7;365>;68>0:9?5211:9514<58:36<:<;<33479>55>=9==01<>7:06;?87703;?563>09820d=:9921=9l4=02;>42d34;;47?;d:?24=<6;69l0:?i5210g956c<58;n6<=i;<32a?73827:>l4>3d9>57g=9:l01<2c8205=:9;i1=>h4=00`>42734;9h7?;7p}:5883>7}:=<21=>74=47:>4d33ty>9l4?:3y>10>=9:k018;n:0`7?xu4=00;6<>t=47a>45f34?>o7?;4>>0:?45237:956?<5:=;6<=6;<147?741278;n4>389>72b=9:301>69:01:?85??3;8563<89827<=:;1l1=>o4}r157?6=nr7>9o4>449>10e=9=?01>;j:01b?852n3;8m63<6282f1=:;?=1=>o4=24;>45f349<=7?<9:?036<6;h16?:m512c8961c289j70=76;30e>;40>0:?l5239:956g<5:2m6<::;|q02f<72oq698l51428903d28?;70=:e;371>;4=o0:885237a95g2<5:=;6<=n;<145?74i278;>4>449>722=9:301>9l:066?850l3;?963<878200=:;1=1=9;4=2:;>4223493j7?:0:p721=83hp18;m:070?832k3;>?63<5d8215=:;4=257>45f349<;7?m4:?03g<6;016?585142896>028?;70=78;364>;40o0:9>5rs2:2>5<>s4?>n7?:4:?61f<6==16?8k51418963a28?870=84;371>;4080:n952397956?<5:236<;<;<1;b?72<2wx98l50;0x903e28h?70;:a;30=>{t9432349n47?<9:?0a=<6;h16=8o51c68yv5dl3:1>v3:5b8213=:;jn1=o:4}r1g7?6=:r7>9n4>569>7a5=9k>0q~;:c;296~;2=j0:n95254c956g9k4>3`9>03?=9:301986:01b?82b?3;8563;e6827d=:=<;1=>74=472>45f349ii7?<9:?013<6;0168i<512;89f4=9k>0199?:01:?xu3>>0;6?u244d956><5=<36512:8910628h?7p};6883>7}:64=54:>4d33ty?:?4?:3y>03>=9:20198=:0`7?xu3>:0;6?u2470956?<5=<8676|5=<>6<=6;<6f6?74127?i94>389>11e=9:3018:j:01:?85ek3;8563o4=2c7>4d3349j47?<9:?0f5<6;016?o?512;896d5289270=m3;30=>;4j=0:?45214d956?<58<:6<=6;<356?74127::>4>389>53d=9:301<8l:01:?871l3;8563>6d827<=:9?l1=>74=4g956?<5:?=6<=n;<67a?74127?nl4>389>0ge=9:3019lk:01:?82em3;8563;bg827<=z{:kh6=4=0z?720<6;h168;8512;891c5289j70:j3;30=>;2449>7d?=9:301>ol:0`7?85e83;8m63o4=2`0>45f349i87?512;8940e289j70?9c;30e>;6>m0:?l5217g956g<5845f34?m6<=6;<160?7412789;4>449>0gg=9:k019ll:01b?82el3;8m63;bd827d=:o4}r651?6=:r7?:84>b59>032=9:30q~=m8;295c}:o4=5g0>45f34>n87?;4i10:?l523`;956g<5:h;6<::;<1a5?73=278n?4>449>7g5=9=?01>l;:066?87183;8m63>60827d=:9?81=>o4=040>45f34;=n7?;5:?22f<6<<16=;j51578940b28>>70?9f;371>;2n3;8m63<54827<=:;<<1=8>4=56f>45f34>io7?;5:?7fa<6<<168ok5157891da28>>7p};6783>7}:45f3ty?i84?:3y>0ae=9:2019k9:0`7?xu3ll0;6?u24ef956><5=nn67}:64=5fe>4d33ty?i=4?:3y>0a`=9:3019k?:0`7?xu3m80;6?u24ed956g<5=o:6>70:j4;371>;6?:0:n95rs5g1>5<5s4>n>7?m4:?7a4<6;01v9k<:18182b;3;i863;e0827d=z{82o6=4m{<6f0?72827>8h4>449>5=b=9k>01<7<:01:?87><3;8563>94827<=:90<1=9;4=0;4>45>349>87?v3;e582f1=:8;4>399>106=9k>0q~;;8;296~;2<>0:?55255:95g2=7>52z?60=<6;11698?51c68yv3313:1>v3:51827==:==31=o:4}r77e?6=:r7>844>389>11g=9k>0q~;;b;296~;2<00:?l5255`95g254z?60f<6<<1699j51578902b28?;70?6a;3a0>{t==i1<74d334??n7?<9:p11b=838p18:k:0`7?833j3;8m6s|55g94?4|5<>n6;3:o0:n95rs500>5<41r7?>>4>b59>07?=9:k0194=0;6>45f349m:7?<9:?0b3<6;h168:l512;8911d289j70:8d;30=>;3<80:8852450956g<5=>86<;?;<62e?74127?=l4>3`9>5=?=9=?01<6n:01b?85b83;><6342234?9=7?:8:?667<6=>169?=514:8905d28?<70;;2;l0:9:52501956?<5<;?6<=n;<721?74127?j54>449>0c?=9<:019hn:066?82aj3;>463:048212=:=9<1=864=424>43034o<6<;<;43734o26<;<;43734oi6<;7;43034om6<;7;43034;:m7?:0:?25g<6<<16=>70?>e;36<>;6:h0:9:5213`956e<588h6<=m;<31`?74k27:>h4>3c9~w1432909w0:<0;30=>;3:=0:n95rs513>5<5s4>8<7?m4:?76c<6;11v9<::181825<3;8563;2482f1=z{=8=6=4={<610?74i27?>;4>b59~w07b2908w0:=7;30=>;3:>0:?l5250g95g29;7>52z?762<6j=168?8512;8yv7513:1?v3;29827<=:<;21=>o4=00:>4d33ty?>54?:3y>07>=9k>019<9:01b?xu48m0;6>7t=50:>42234>9n7?;5:?041<6=:16=4951578941e289j70=>7;30e>;49k0:?l52336956g<5:996<=n;<100?73=27?<84>3`9>02g=9:k0199k:01b?83>13;8563:ag827d=:=k;1=9;4=4c7>42234?ii7?<9:?707<6<<1695?51578917b289j70;84;30e>;60h0:885231f95g2<5:o:6<;?;<72b?72827>>=4>519>174=9<20186n:01b?83?m3;8m63:3b821==:=:o1=864=436>45f34>m47?:0:?7bd<6=9169=;514:8906028?370;<2;30e>;b03;>?63ja;367>;bm3;>463i0;36<>;a;3;?=63i6;371>;a03;?963id;363>;am3;>;63>008204=:99>1=>o4=02`>45f34;:n7?:0:?25a<6=916=?=512c8944228>>70?=a;36<>;6:j0:?n5213g956e957>52z?76<<6j=168?851578yv2413:1??u243c9506<5=8i6<;?;<61g?72;27?>i4>529>5<5=9:k01<9;:01:?87>j3;8563h1=>o4=55`>42234>512;8912628?;70:;2;364>;3<:0:9>52427956?<5=9>6<=n;<62b?74127=97?<9:?2<3<6;016=59512;896bd289270=ke;30=>;4m90:9>523d39505<5<;m6<;<;<714?72;27>><4>3c9>174=9:h018<<:01a?836;3;8m63:158200=:=8?1=9;4=5d;>43434>m57?:3:?7bd<6=:168kl512`89062289i70;?6;30f>;28>0:?o52e68211=:m10:9952e88211=:mh0:9952ec827g=:ml0:?o52eg827g=:n90:?o5213c956d8=7>537y>07g=9<9019863;2e8211=:90>1=>o4=057>45f34;2n7?h512;89127289j70:;1;367>;3<;0:9>524519502<5=9:63`9>20<6;h16=58512c894>?289270?79;364>;60h0:9=523ea956g<5:nn6<=n;<1f4?72<278i<4>559>14`=9<>018m4=41`>45e34?8h7?28??70:ia;360>;3nk0:?n52517956e<5<:=6<=l;<733?74k27n;7?:5:?f529>54d=9<901?63>1d827g=:9;k1=>m4}r61e?6=:r7?>l4>b59>070=9<:0q~:=b;296~;3:k0:n95243495059o7>52z?76f<6j=168?851468yv25l3:1>v3;2e82f1=:<;<1=8;4}r01b?6=;r79>;4>399>667=9:k01?=?:0`7?xu5:?0;6;u223495g2<5;8n6<::;<0e2?728279>>4>449>1`d=9:3018km:01b?xu5:10;6?u2223956?<5;836>?51c68975728937p}=2683>7}::::1ho5223595g252z?16=<6;016>?751c68yv45i3:1>v3=29827d=::;k1=o:4}r0g1?6=ir79>o4>389>67e=9:301?74=3g0>45>348no7?<9:?1a`<6;016>k8512;8yv4cl3:1mv3=2c827d=::;i1=>o4=30g>45f348oh7?m4:?1a7<6;h16>h=512c897cc289270;5n?0:?l52231956?59z?16g<6<<16>?m51578974c28>>70;5mj0:?l522df956g<5;on6<::;<0e2?73=279>>4>3`9~w72f290:jv3=2c8215=::;o1=>74=304>45>348=;7?<9:?1`<<6;h16>i8512;897c3289270;5mo0:?4522d:956?<5;389>6=`=9:301?78:01:?84>n3;8563=a6827<=::hl1=>74=3`4>45>348ij7?<9:?1g2<6;016>:h512;897`4289270<=0;30=>;5ko0:?45224;956?<5;<:6<=6;<056?7412798l4>b59>605=9:301?;<:01b?xu5:k0;6?u223`95g2<5;8j6<=6;|q115<728np1?o4=3f:>422348o:7?ik512c897ca289j70;5>o0:?l52265956g<5;2<6<=n;<0;b?74i2795:4>3`9>6<`=9:k01?o8:01b?84fn3;8m63=b6827d=::kl1=>o4=3a4>45f348?>512c897ea289j70<:9;30e>;5>80:?l52270956g<5;?;6?m51c68974f289j7p}=f383>41|5;8o6<;?;<01a?728279::4>449>6a?=9<:01?k;:066?84bn3;?963=6g8200=::>=1=9;4=3:4>4223483j7?;5:?1=2<6<<16>4h5157897g028>>70;5j>0:88522cd9513<5;i<6<::;<04b?73=279j?4>b59>6f`=9=?01?;6:066?84193;?963=638200=z{;8o6=4={<01`?7e<279>l4>449~w74b2909w0<=e;3a0>;5:h0:9=5rs34a>5<4s48=:7?<8:?12a<6;h16>;m51c68yv41>3:1jv3=6782f1=::>81=>74=35b>45>3483m7?<9:?14<512;897?f289270;5ih0:?4522c0956?<5;hj6<=6;<0`6?741279ol4>389>6=4=9:301?j=:01:?xu5>10;6?u227f956?<5;<36;j51c68970d28937p}=6683>7}::?i1ho5227595g252z?12=<6;016>;751c68yv41i3:1>v3=69827d=::?k1=o:4}r0ge?6=;r79h84>399>6ae=9:k01?jm:0`7?xu5l>0;6?u22ea956?<5;n<6im51c6897be28937p}=d783>7}::mh1ho522e495g252z?1`2<6;016>i651c68yv4c13:1>v3=d6827d=::m31=o:4}r0f1?6=:r79hi4>399>6`0=9k>0q~52z?1a3b59~w7c72909w0;5m90:n95rs3g2>5<5s48oj7?3`9~w7c32909w0;5m80:885rs3d3>5<5s48n;7?<8:?1b4<6j=1v?k6:18184a93;8463=e882f1=z{;o36=4={<0e5?be348n47?m4:p6`g=838p1?k6:01:?84bi3;i86s|2d`94?4|5;o26<=n;<0ff?7e<2wx>hm50;0x97cd28h?70{t:ln1<74d3348nn7?:=50;1x970b289370<85;30e>;5?=0:n95rs34f>5<3s48=i7?m4:?13d<6;k16>5<512`897b5289i7p}=7183>7}::>?1=>74=353>4d33ty9;84?:3y>623=9k>01?9;:01;?xu5>o0;6?u22669`g=::?l1=o:4}r045?6=:r79;=4>389>627=9k>0q~<82;296~;5?90:?l5226095g25dz?137<6;h16>:o512c897>128h?70<62;30e>;51h0:?l522`0956g<5;kj6<=n;<0a6?74i279nl4>3`9>6f4=9:k01?mn:01b?84?:3;8m63=d3827d=z{;2n6=4l{<046?73=279;l4>449>6=c=9k>01?7n:066?84f:3;?963=a`8200=::k81=9;4=3`b>422348h>7?;5:?1gd<6<<16>5<5157897b528>>7p}=9783>g}::>81=8>4=35b>4373482:7?m4:?1e7<6=916>lo5142897d528?;70;5k;0:9=522bc9506<5;296<;?;<0g6?7282wx>4k50;cx971528?870<8a;367>;51l0:n9522`c9505<5;h96<;<;<0ae?72;279o?4>529>6fg=9<901?6=:070?84c:3;>?6s|2`494??|5;=96<;;;<04e?72<279m;4>b59>6g4=9<>01?ln:077?84d:3;>863=c`8211=::181=8:4=3f1>4333ty9mh4?:9y>624=94323483>7?:5:?1`7<6=<1v?l9:184840:3;>:63=7`8213=::k<1=o:4=3a1>431348hm7?:6:?1<7<6=?16>i<51448yv4em3:1:v3=738212=::>k1=894=3`f>4d3348hm7?:7:?1<7<6=>16>i<51458yv4d>3:19v3=73821==::>k1=864=3a5>4d33483>7?:8:?1`7<6=11v?9m:180840>3;8463=7e827d=::>i1=o:4}r042?6=;r79;;4>b59>6=4=9:i01?j=:01`?xu5?10;6?u226f956?<5;=36:j51c68971d28937p}=7683>7}::>i1ho5226595g252z?13=<6;016>:751c68yv40i3:1>v3=79827d=::>k1=o:4}r0;f?6=;r794;4>399>6=b=9:k01?6l:0`7?xu5010;6?u229f956?<5;2365j51c6897>d28937p}=8683>7}::1i1ho5229595g252z?1<=<6;016>5751c68yv4?i3:1>v3=89827d=::1k1=o:4}r0:7?6=;r794h4>399>6<3=9:k01?7;:0`7?xu5190;6?u2287956?<5;3;64;51c6897?328937p}=8g83>7}::0>1ho5229d95g252z?1=5<6;016>4?51c68yv4>:3:1>v3=91827d=::081=o:4}r0:f?6=;r795;4>399>64j51c6897?d28937p}=9683>7}::0i1ho5228595g252z?1==<6;016>4751c68yv4>i3:1>v3=99827d=::0k1=o:4}r0b7?6=;r795h4>399>6d3=9:k01?o;:0`7?xu5i90;6?u22`7956?<5;k;6l;51c6897g328937p}=9g83>7}::h>1ho5228d95g252z?1e5<6;016>l?51c68yv4f:3:1>v3=a1827d=::h81=o:4}r0bf?6=;r79m;4>399>6db=9:k01?ol:0`7?xu5i10;6?u22`f956?<5;k36lj51c6897gd28937p}=a683>7}::hi1ho522`595g252z?1e=<6;016>l751c68yv4fi3:1>v3=a9827d=::hk1=o:4}r0a7?6=;r79mh4>399>6g3=9:k01?l;:0`7?xu5j90;6?u22c7956?<5;h;6o;51c6897d328937p}=ag83>7}::k>1ho522`d95g252z?1f5<6;016>o?51c68yv4e:3:1>v3=b1827d=::k81=o:4}r0af?6=;r79n;4>399>6gb=9:k01?ll:0`7?xu5j10;6?u22cf956?<5;h36oj51c6897dd28937p}=b683>7}::ki1ho522c595g252z?1f=<6;016>o751c68yv4ei3:1>v3=b9827d=::kk1=o:4}r0`7?6=;r79nh4>399>6f3=9:k01?m;:0`7?xu5k90;6?u22b7956?<5;i;6n;51c6897e328937p}=bg83>7}::j>1ho522cd95g252z?1g5<6;016>n?51c68yv4d:3:1>v3=c1827d=::j81=o:4}r0`f?6=;r79o;4>399>6fb=9:k01?ml:0`7?xu5k10;6?u22bf956?<5;i36nj51c6897ed28937p}=c683>7}::ji1ho522b595g252z?1g=<6;016>n751c68yv4di3:1>v3=c9827d=::jk1=o:4}r133?6=;r79jk4>399>75d=9:k01>>7:0`7?xu5no0;6>9t=3de>4d3349;?7?:4:?041<6==16?=851458967?28>>70==8;363>;3ih0:88524``9501<5=kh6<;8;<7a4?72827>n<4>519>1d5=9<:018o;:073?83ek3;8563:818215=:=1;1=8>4=503>42234?o;7?<9:?6`=<6;0169??512f89045289o70;=3;30`>;20k0:?45259a9513<5;;86<=n;<021?72827>?n4>3b9>16b=9:i018=j:01`?82>m3;8563:108215=:j4=426>45c34?;:7?512f89c1=9<:01k6514289c?=9<201ko514:89cb=9<201kk514:8947728>>70?>e;30g>;6:=0:9=521379506<588j6<=k;<31f?74l27:>n4>3e9>57b=9:n01<<5::=6{t;9:1<745>349;<7?m4:p75d=838p1>>m:0`7?85703;846s|31;94?4|5::j6<=6;<13=?7e<2wx?=o50;0x966f28h?70=?8;02a>{t;9;1<745>349;=7?m4:p754=838p1>>?:01b?857:3;i86s|31194?4|5::86{t;8l1<7?7{<132?720278=k4>b59>773=9:k01><9:066?855?3;?963<29821==:;:;1=9;4=211>4223498?7?:0:?071<6=916?>;5142891g>28>>70:nb;36<>;3ij0:9552f28207=:n?0:9=52f8827g=:nh0:?o52fe827g=:nl0:?o52fg8207=:99?1=>o4=025>42234;;;7?;1:p056=83;hw0=?6;30f>;4:<0:88523349506<5:8<6<;?;<11519>764=9<:01>=<:070?854<3;>?63<348216=:<9:1=o:4=526>42234>j57?:0:?7eg<6;k168lm512`8907428>>70;>4;364>;29<0:9=52f78216=:n00:?n52f`827f=:nm0:?n52fd827f=:99:1=9<4=022>42534;;97?;5:?243<6=916==651538yv0?2909iv3<07827f=:90?1=9;4=0;5>43734;2;7?:0:?23=<6;016=:7512;894?a289270?n0;30=>;4:10:?n523g5956?<5:l<6<=n;<64f?73=27?;n4>519>02b=9<:019om:01`?82fk3;8o63;418200=:<8h1=>74=53a>45f34;347?;4m80:98525019506<5<;?6<;<;<721?72;27=47?m4:?712<6;016i:4>579>a=<6=?16i44>579>ad<6=?16io4>3d9>a`<6;l16ik4>3d9>b5<6;l16j44>3e9>bd<6;m16ji4>3e9>b`<6;m16=?l512g8944d289n70?=d;30a>;6:l0:?h5rs0;;>5<5s4;3h7?<8:?2=<<6j=1v<6j:18187>13;8463>8d82f1=z{82m6=4={<3;a?74127:4k4>b59~w4?72909w0?7e;30e>;6190:n95rsd794?5|583:6<=6;<3:5?74i27n97?m4:p5<7=838p1<7>:0`7?87>83;856s|3ef94?5|58396<=6;<3:6?74i278hi4>b59~w4?52909w0?62;3a0>;6190:?l5rs0;0>5<5s4;2?7?m4:?2=5<6<<1v<7;:18187><3;i863>918215=z{83>6=4={<3:1?7e<27:5=4>529~w4?12909w0?66;3a0>;6190:995rs0;4>5<5s4;2;7?m4:?2=5<6=<1v?6<:180840m3;8463=84827d=::1>1=o:4}r04a?6=:r79;h4>b59>6a4=9:n0q~<70;296~;50<0:?45229295g252z?1<0<6j=16>5:512:8yv40n3:1>v3=858gf>;5?o0:n95rs3:2>5<5s483<7?<9:?1<4<6j=1v?6=:18184?83;8m63=8382f1=z{;l<6=4={<0e6?740279j54>b59~w7`32909w0;5n=0:n95rs3d0>5<5s48m47jm;<0e7?7e<2wx>k;50;0x97`3289270{t:o<1<745f348m:7?m4:p1f>=838p18m=:01;?83d13;i86s|5b094?2|5i84>389>1a5=9:30q~;l3;296~;2k00:?5525b195g252z?6g6<6;0169n:51c68yv3d=3:1>v3:c2827d=:=j?1=o:4}r7gg?6=o;4>3`9>1f1=9:3018j<:01b?83ck3;i86s|5b494?4|57c8200=:9>i1=>74=23b>45>349:n7?;5:?6==<6;h16947512c890?f289270;6b;30=>;2i10:n9525`0956?<5nl4>3`9>1gd=9:k018ll:01b?83el3;8m63:bd827d=:=>n1=>74=4f6>45f34?o:7?>289270;7a;371>;20k0:?l5259a9506<5<2n6<::;<7``?74127?5l4>3`9>0l3;8m63;9d827d=:=::1=>74=411>42234;9=7?{t=0o1<7<:{<7`3?73=27:;o4>519>52e=9:k01>?m:073?83>?3;8563:9`827d=:=hn1=>74=4cf>45>34?jj7?;5:?6f5<6=:169o?5141890?b28h?70;n3;360>;2i=0:99525cc9513<5ni4>449>1gc=9=?0189k:01b?830m3;8563:7g827<=:=1:1=8=4=4:2>43434?357?d28?870;7e;364>;2km0:?l5248c9513<5=3i6<::;<6:g?73=27?5i4>449>0:01:?834:3;><6s|5b594?4|5{t9>n1<745?34;6=4={<34b?74027:;84>b59~w4132909w0?8f;fa?870<3;i86s|16494?4|58=>6<=6;<342?7e<2wx=:950;0x9412289j70?87;3a0>{t9>21<74d334;<;7?<9:p7`d=838p1<96:01b?85bj3;i86s|16;94?4|58=26?63>7b8200=:;8k1=>o4=4;4>45f34?247?;5:?6=<<6<<1694o5157890?e289j70;nd;30e>;2il0:?l525`d9506<5m?4>3`9>1d5=94=4``>43734?ih7?:0:?6f`<6=9169i;5157890b128>>70;k7;371>;2l10:885259;9513<5<2j6<;<;<7;f?72827>4n4>559>1=c=9<90197n:073?82>j3;><63;9b8215=:<0n1=8>4=5;f>43734?8<7?<51418944628>>70?=2;371>;6::0:9=521369502<588>6<;;;|q23g<72;q6=:l51c68941c28927p}:e383>4b|58=h6<;?;<12f?72;27>5o4>449>1db=9=?018oj:066?83fn3;>?63:be8216=:=ko1=8=4=4g1>4d334?;2l10:9=5259;9506<5<2j6<;;;<7;f?72;27>4n4>549>1=c=9<>0197n:070?82>j3;>?63;9b8216=:<0n1=8=4=5;f>43434?8>7?:4:?264<6=916=?<51428944428?87p}:7683>47|58=h6<;<;<7b7?72>27>m94>579>121=9k>0189k:066?830m3;?963:7g8200=:=1:1=8:4=4:2>43334?3o7?:6:?654<6=:168k851428906428>>70;<0;371>;2;80:88521369503<588>6<;:;|q23f<72;q6=:m51c68941c289j7p}>a083>7}:90k1=>64=0c1>4d33ty:5n4?:3y>5d4=9:201<7l:0`7?xu61k0;6?u21`09`g=:90h1=o:4}r3:`?6=:r7:5n4>389>50q~?6e;296~;61j0:?l5218g95g252z?2=c<6j=16=4k512;8yv27n3:1>v3>a1827d=:<9l1=o:4}r3b4?6=:r7:m=4>b59>553z?15c<6j=169ih512;890ba289j7p}=2083>7}::;?1=>64=302>4d33ty9>=4?:3y>673=lk16>?>51c68yv45:3:1>v3=20827<=::;81=o:4}r017?6=:r79><4>3`9>675=9k>0q~54z?1g`<6j=16>8751428970628?;70<92;364>{t:m:1<745?348o<7?m4:p6f`=838p1?j;:e`897ea28h?7p}=d083>7}::m:1=>74=3f2>4d33ty9h?4?:3y>6a6=9:k01?j=:0`7?xu49m0;6?u2301956><5:;n6;a?3;>?63i8;367>{t;8i1<745?349:o7?m4:p74?=839p1>?6:0`7?83fk3;8563:ab827d=z{:;?6=4={<12a?740278=94>b59~w6722909w0=>4;30=>;49<0:n95rs235>5<5s49:87??8:181856?3;i863<17827<=z{<2?6=4:{<12529>1=2=9k>01k9514689c>=9<>0q~=>8;296~;4910:n952304956g52z?05d<6j=16?v3<1c82f1=:;8i1=>o4}r11=?6=:r78=k4>399>77g=9k>0q~==0;296~;4:h0:?55233295g252z?065<6;016???51c68yv55:3:1>v3<21827d=:;;81=o:4}r117?6=:r78>>4>b59>774=9:30q~==4;296~;4:=0:n952330956g52z?060<6j=16??<51578yv55>3:1>v3<2782f1=:;;81=8>4}r113?6=:r78>:4>b59>774=9<90q~==8;296~;4:10:n952330950252z?06g<6;116?>951c68yv55j3:1iv3<2c82f1=:;:;1=8=4=211>4343498?7?:4:?071<6==16?>;514689001289j70h9:077?8`028?>70h7:076?8`>289n70hn:01f?8`c289n70hj:01f?xu4:j0;6?u2325956><5:8h67}:;;i1=>o4=20f>4d33tym87>53z?06c<6;016??h512c89c2=9k>0q~==f;296~;4:o0:n95233g956?3`9>bf<6j=1v>=?:18185483;i863<2d827d=z{:9:6=4={<105?7e<278>h4>449~w6552909w0=<2;3a0>;4:l0:9=5rs210>5<5s498?7?m4:?06`<6=:1v>=;:181854<3;i863<2d8211=z{:9>6=4={<101?7e<278>h4>549~w6`72909w0=jb;30<>;4n80:n95rs2gg>5<5s49m=7?<8:?0aa<6j=1v>kl:18185a93ni70=jc;3a0>{t;lo1<745>349ni7?m4:p7``=838p1>kk:01b?85bn3;i86s|40494?1|5:oh6<=6;<1fg?74i27?==4>389>046=9:k019?9:0`7?822>3;8563;57827d=z{:lo6=4<{<1e6?740278jk4>3`9>7cc=9k>0q~=i2;290~;4n;0:n9523gc956g<5:li6<=n;<1ga?7282wx?k=50;0x96`a289270=i3;3a0>{t;ol1<74d3349mi7?<8:p7c2=838p1>h<:01:?85a<3;i86s|3g794?4|5:l86<=n;<1e1?7e<2wx?k850;0x96`128h?70=i5;30=>{t;o=1<74d3349m97?h7:01:?85a03;8m63>0`82f1=z{:l36=4={<1e449~w4752908w0=i9;30=>;4n00:?l5210095g252z?0b<<6j=16?k;51428yv5ai3:1>v3b59>7c3=9<>0q~=ic;296~;4nj0:n9523g79503;o7>53z?745<6;1168=k512c8916c28h?7p};0c83>7}:<9<1=>64=52a>4d33ty?<;4?:2y>050=9k>019?l:01:?826k3;8m6s|41394?4|5=:n6<=6;<635?7e<2wx8=k50;0x916b28h?70:?d;30<>{t<981<745>34>;>7?m4:p055=838p19>>:01b?827;3;i86s|50094?5|5=:?6<=6;<630?74i27>=?4>b59~w1632909w0:?4;3a0>;38:0:?45rs526>5<5s4>;97?m4:?746<6;h1v9>8:181827?3;i863;0c827<=z{=:36=4={<633`9~w16>2909w0:?9;3a0>;38k0:885rs52b>5<5s4>;m7?m4:?74g<6=91v9?;:181827n3;8463;1482f1=z{=;:6=4={<621?74027?=<4>b59~w1772909w0:>5;fa?82683;i86s|40094?4|5=;:6<=6;<626?7e<2wx8<=50;0x9176289j70:>3;3a0>{t<>o1<7:t=555>45?34>3<7?<8:?7<4<6;h168:h51c68yv2?83:19v3;8182f1=:<>k1=9;4=55a>43734>399~w11?2909w0:87;30=>;3?10:n95rs55:>5<5s4><;7?6=4n{<64f?72;27?;n4>559>02b=9<>019=i:01b?824>3;8m63o4=430>43434?:87?:4:?650<6==1v<89:1824~;3?k0:995246a9503<5==o6<;:;<352?7e<27::o4>519>53e=9<>01<8k:077?871m3;>863>6g8211=:43334>ii7?:4:?7fc<6==169<=51468907328?>70;>5;361>{t<>h1<74d334><57?;3j90:n95rs5`2>5<0s4>i=7?m4:?7e<<6=:168lo5146891ge289o70:nc;30`>;68<0:9=521149505ji7>52z?7ea<6;1168lk51c68yv2fl3:1?v3;ae82f1=:99h1=>74=02a>45f3ty?m84?:3y>0g6=9:2019o::0`7?xu3i?0;6?u24`7956?<5=k=60283>6}:74=5c;>45f34;;?7?m4:p0d>=838p19o7:0`7?82f?3;856s|4`;94?4|5=k26{t4d334>j;7?:0:p0de=838p19ol:0`7?82f?3;>?6s|48294?2|5=236<=7;<6;3?74027?5?4>3`9>0<7=9k>0q~:77;290~;30>0:n95249a956?<5=2o6<=6;<645?7412wx85h50;0x91>b289370:7f;3a0>{t<1o1<7=t=5:f>4d334?:h7?<9:?65a<6;h1v966:18182>:3;8563;8882f1=z{=396=4={<6:6?7e<27?5<4>399~w1>f2909w0:79;30=>;30h0:n95rs5:a>5<5s4>357?74=375>4d3348>57?:3:?124<6=:16>;<514189117289j70:81;30e>{t:42234>3h7?;5:?78751468973c28h?70<91;360>;5>;0:99524629513<5==:6<::;|q7e28927p}=f883>6}:<1n1=8>4=37:>432348m57?m4:p0=b=838p196k:0`7?82?j3;8m6s|58a94?4|5<3:6<=7;<7:`?7e<2wx94?50;3483>93;i863>d8827d=:9mk1=>74=0g3>45f34;n=7?<9:?2a2<6;h16=h6512;894cb289j70?jf;30=>;6n<0:?l521g4956?<58lh6<=n;<3e`?741279<>4>3`9>652=9:301?>n:01b?847j3;8m63=15827d=::8?1=8=4=33a>45f348:o7?:3:1>v3:9e827==:=081=o:4}r7:7?6=:r7>5?4>389>1<5=9k>0q~;64;296~;21;0:?l5258695g253z?6=0<6;01694;512c8944728h?7p}:9483>7}:=0?1=o:4=4;7>45>3ty>h94?:2y>1<0=9:301879:01b?83c<3;i86s|58494?4|5<3=6{t=021<74d334?287?:0:p1<3;>?6s|58c94?4|5<3j6{t=k81<7=t=4c;>45?34?i87?n>4>399~w0gf2909w0;n9;30=>;2ih0:n95rs4ca>5<5s4?j57?;h4>519>1a6=9k>018j8:070?83?j3;>863;9`8211=:<0i1=8:4=002>43434;9>7?:3:p1db=838p18ok:0`7?83fj3;8m6s|56394??|5;<4>b59>1a3=9<9018j9:070?83c03;>?63;9c8211=:<0n1=8:4=5;f>4333ty>mh4?:3y>1dc=9k>018om:066?xu2io0;6?u25`d95g2<551c6890ge28?87p}:b083>7}:=k;1=o:4=4ca>4333ty>m84?:2y>13;i86s|58d94?4|5{t=h:1<745>34?j<7?m4:p1d7=838p187i:01b?83f93;i86s|5`094?4|5{t=h>1<74d334?j=7?;5:p1g`=839p18l::01;?83d93;8m63:c182f1=z{n;4>b59~w0e62909w0;l1;3a0>;2k90:?55rs4`4>5<5s4?i:7?<9:?6f2<6j=1v8l7:18183e>3;8m63:b982f1=z{n54>389~w0df2909w0;ma;3a0>;2j10:?l5rs4`a>5<5s4?in7?m4:?6f=<6<<1v8ll:18183ek3;i863:b98215=z{n54>529~w0db2909w0;me;3a0>;2j10:995rs2`e>5<5s49i47?<8:?0g5<6j=1v>l6:18185d83;8463b59~w6de2909w0=m9;30e>;4jk0:n95rs2``>5<5s49io7?m4:?0fg<6;01v>lk:18185el3;i863b59>536=9=?018h51578963128?87p}7}:;ko1=o:4=2`a>4223ty8ml4?:3y>7d2=9:201>om:0`7?xu4i<0;6?u23``956><5:k>67}:;h?1=>o4=2c4>4d33ty8m54?:3y>7d>=9k>01>o8:01:?xu4i00;6?u23`;95g2<5:k<6<=n;|q0f0<72:q6?lm512:896d0289j70=m6;3a0>{t;hn1<745>349jh7?m4:p7g1=838p1>l8:0`7?85e>3;846s|3`g94?4|5:ko6<=6;<1ba?7e<2wx?lh50;0x96gc289j70=nf;3a0>{t;k:1<74d3349jj7?<9:p7=e=83?p1>l>:070?87193;><63>6b8210=:9?n1=8;4=2:`>4d33ty8n<4?:3y>7g7=9k>01>oi:01b?xu4;10;68u23c09505<58<96<;?;<35a?72=278?54>b59>0gb=9579~w6d52909w0=m2;3a0>;4io0:885rs267>5<2s49i?7?:3:?226<6=916=;h51478962328h?70:me;361>{t;=k1<7;t=2`0>43334;=?7?:3:?22c<6=?16?9o51c6891db28?=7p}7}:;k91=o:4=2ce>4373ty8o<4?:4y>7g2=9<901>m>:0`7?823m3;><63;bb8210=:559>7f1=9k>019:j:070?82ek3;>:63;bg8213=z{:h?6=4={<1a0?7e<278mk4>529~w0c12909w0;j2;30<>;2m>0:n95rs4g0>5<5s4?n;7?<8:?6a6<6j=1v8k;:18183b;3;8563:e582f1=z{6=4={<7f7?74i27>i84>b59~w0c?2909w0;j5;30e>;2m10:n95rs567>5<4s4>857?<8:?703<6;h1689;51c68yv24i3:1>v3;47827<=:<:k1=o:4}r672?6=:r7?8;4>b59>013=9:20q~:8o7>52z?77d<6;h168>m51c68yv2a?3:1?v3;3e827<=:<:n1=>o4=5d4>4d33ty??i4?:3y>06b=9k>019=l:01:?xu28=0;6>u242g956?<5=9n6<=n;<730?7e<2wx8>k50;0x915b28h?70:{t<:l1<74d334>8o7?;5:p016=838p19:?:0`7?824k3;><6s|45394?4|5=>:6{t<=91<74d334>8o7?:5:p736=839p1>;6:01;?851:3;8m63<6082f1=z{:?j6=4={<156?7412789l4>b59~w6052909w0=92;3a0>;4>80:?55rs27a>5<5s49>m7?<9:?01g<6j=1v>;l:181852i3;8m63<5b82f1=z{:396=4m{<16`?74i2789h4>559>73?=9:301>9=:01:?850j3;8m63<7b8215=:;1?1=>o4=2:5>4343492>7?m4:?0=g<6;016?4l512c8yv5>03:15v3<5e8200=:;45f349<>7?228>>70=77;367>;4110:n95rs27g>5<5s49>h7?m4:?01f<6;01v>;j:181852m3;i863<5b827d=z{:?m6=4={<16b?7e<2789n4>449~w60f2909w0=93;30<>;4>k0:n95rs247>5<5s49=n7?<8:?021<6j=1v>8::181851<3;8563<6482f1=z{:<=6=4={<150?74i278:;4>b59~w6002909w0=97;3a0>;4>?0:?45rs24;>5<5s49=47?m4:?023<6;h1v>86:18185113;i863<678200=z{<296=4={<743?74027>4>4>b59~w01?2909w0;73;30<>;2?10:n95rs45:>5<5s4?<47?<9:?63<<6j=1v89n:18183003;8m63:7`82f1=z{<8m6=4<{<74f?74127>;o4>3`9>17`=9k>0q~;8b;296~;2?k0:n95256c956?53z?63f<6;0169:m512c890>c28h?7p}:7b83>7}:=>i1=o:4=45b>45f3ty>;i4?:3y>12b=9k>0189n:066?xu2?l0;6?u256g95g2<5<=j6<;?;|q63c<72;q69:h51c68901f28?87p}:8183>7}:=1:1=o:4=45b>4333ty>4<4?:3y>1=7=9k>0189n:076?xu4?<0;6?u237a956><5:==67}:;?n1=>74=24f>4d33ty8:k4?:3y>73b=9:k01>8i:0`7?xu4?90;6?u236295g2<5:7}:;>81=o:4=24e>4223ty8;>4?:3y>725=9k>01>8i:073?xu4?=0;6?u236695g2<5:?512:8915?28h?7p};3383>7}:<:21=>64=511>4d33ty??>4?:3y>064=9:3019=<:0`7?xu3;=0;6?u2420956g<5=9?6;51c68915328927p};3783>7}:<:<1=o:4=517>45f3ty8;h4?:2y>721=9:201>6?:01b?850n3;i86s|36:94?4|5:2;6<=6;<1450;0x96>728h?70=8f;30<>{t;>31<745>349<57?m4:p72g=838p1>97:01b?850i3;i86s|36`94?4|5:=i6{t;>n1<74d33496>:01;?85?j3;8m63<8`82f1=z{:296=4={<1;f?7412784?4>b59~w6>e2909w0=7b;3a0>;40h0:?55rs2:0>5<5s493>7?<9:?0<6<6j=1v>6;:18185?:3;8m63<8582f1=z{:2>6=4={<1;1?7e<278494>389~w6>12909w0=76;3a0>;40=0:?l5rs2:4>5<5s493;7?m4:?0<1<6<<1v>67:18185?03;i863<858215=z{;?j6=4<{<062?7402799n4>3`9>60d=9k>0q~<:7;296~;5=j0:?45224595g2o7>52z?11f<6j=16>8l512:8yv4203:1>v3=56827<=::<21=o:4}r06=?6=:r799:4>3`9>60?=9k>0q~<93;297~;5=m0:?552277956g<5;;;512;8973b28h?7p}=6483>7}::??1=o:4=347>45?3ty99k4?:3y>60c=9:301?;i:0`7?xu5>90;6?u224g956g<5;<;6;?51c68970728927p}=6383>7}::?81=o:4=343>45f3ty8i44?:3y>7`3=9:201>kn:0`7?xu4m?0;6?u23dc956><5:o=67}:;l<1=>o4=2g;>4d33ty8m?4?:3y>7o<:0`7?xu41o0;6?u23`1956><5:3m67}:;0l1=>o4=2c2>4d33ty:4=4?:2y>7d7=9:301>o>:01b?87?83;i86s|43394?4|5=;=6<=7;<616?7e<2wx8<950;0x9145289370:>7;3a0>{t<821<745>34>:47?m4:p04?=838p19?8:01b?82613;i86s|40c94?4|5=;j69;30e>{t<8i1<74d334>:57?;5:pe=<72:q6828?87p};1g83>7}:<8l1=o:4=53:>4333ty?>=4?:3y>076=9k>019?6:076?xu6>=0;6?u214c956><58<>65b83>7}:974=07`>4d33ty:9i4?:3y>50d=9:k01<;k:0`7?xu3u214g956?<58?n6<=n;<67`?7e<2wx=8k50;0x943b28h?70?:d;30=>{t94d334;>h7?{t9?91<74d334;>h7?:4:p526=839p1<89:01;?870:3;8m63>7082f1=z{8<<6=4={<346?74127:::4>b59~w4152909w0?82;3a0>;6?80:?55rs04;>5<5s4;=;7?<9:?22=<6j=1v<86:181871?3;8m63>6882f1=z{=hi6=4<{<35e?74127::l4>3`9>0gd=9k>0q~?9a;296~;6>h0:n95217;956?52z?22g<6j=16=;7512c8yv71k3:1>v3>6b82f1=:9?31=9;4}r35`?6=:r7::i4>b59>53?=9<:0q~?9e;296~;6>l0:n95217;950552z?22c<6j=16=;751468yv5>>3:1>v3<93827==:;0=1=o:4}r1:7?6=:r785:4>399>7<5=9k>0q~=64;296~;41:0:?45238695g252z?0=6<6;h16?4;51c68yv30=3:1>v3:70827==:=><1=o:4}r746?6=:r7>;;4>399>124=9k>0q~;83;296~;2?;0:?45256195g252z?637<6;h169::51c68yv3ci3:1>v3:d1827==:=mh1=o:4}r7g=?6=:r7>h94>399>1a?=9k>0q~;k1;296~;2lk0:?5525e395g27>52z?6`4<6;0169i<51c68yv3c;3:1>v3:d0827d=:=m91=o:4}r7g1?6=:r7>h84>b59>1a?=9:30q~;k6;296~;2l?0:n9525e;956g52z?6`2<6j=169i751578yv3c03:1>v3:d982f1=:=m31=8>4}r7f4?6=:r7>hn4>399>1`7=9k>0q~;kd;296~;2m80:?5525ef95g252z?6`a<6;0169ik51c68yv3cn3:1>v3:de827d=:=ml1=o:4}r07a?6=:r798l4>399>61`=9k>0q~<;b;296~;552z?10g<6;016>9m51c68yv43l3:1>v3=4c827d=::=n1=o:4}r0e`?6=:r79j44>399>6cc=9k>0q~52z?1bd<6;016>kl51c68yv4ak3:1>v3=f`827d=::oi1=o:4}r17399>71?=9k>0q~=;5;296~;4<00:?55235795g252z?000<6;016?9851c68yv53?3:1>v3<44827d=:;==1=o:4}r17a?6=:r788l4>399>71`=9k>0q~=;b;296~;452z?00g<6;016?9m51c68yv53l3:1>v3<4c827d=:;=n1=o:4}r1:4?6=:r784n4>399>7<7=9k>0q~=7d;296~;4180:?55239f95g252z?0v3<8e827d=:;1l1=o:4}r1:g?6=:r78554>399>70q~=69;296~;41m0:?55238;95g252z?0=<<6;016?4o51c68yv5>j3:1>v3<98827d=:;0h1=o:4}r1g5?6=:r78oi4>399>7a4=9k>0q~=le;296~;4l;0:?5523bg95g252z?0g`<6;016?nh51c68yv5c83:1>v34>399>7a>=9k>0q~=k4;296~;4l10:?5523e695g252z?0`1<6;016?i;51c68yv5c>3:1>v35<5s4?j6<=7;<42>4d33ty>m7>52z?6e?7e<27?8n4>389~w0d=838p1;?512:890d=9k>0q~;l:18183e289270;l:0`7?xu2l3:1>v3:b;30e>;2l3;i86s|5d83>7}:=l0:n9525e827<=z{o4}r45>5<5s4<96<=7;<44>4d33ty=>7>52z?56?7e<27?8n4>3`9~w35=838p1;9512:8935=9k>0q~8;:1818042892708;:0`7?xu1=3:1>v393;30e>;1=3;i86s|19`94?4|582;6<=7;<3;g?7e<2wx=5?50;0x94>d289370?71;3a0>{t9181<745>34;3>7?m4:p5=5=838p1<6>:01b?87?;3;i86s|10;94?5|582?6<=6;<3;0?74i27:=44>b59~w4>32909w0?74;3a0>;60:0:?45rs41a>5<4s4;397?<9:?2<0<6;h169>l51c68yv7?=3:1>v3>8482f1=:9191=>o4}r3;2?6=:r7:4;4>b59>5=5=9=?0q~?77;296~;60>0:n952191950652z?2<=<6j=16=5=51418yv7?13:1>v3>8882f1=:9191=8:4}r3;e?6=:r7:4l4>b59>5=5=9=7>52z?110<6;116>8?51c68yv42:3:1>v3=50827<=::<81=o:4}r067?6=:r799<4>3`9>605=9k>0q~=>1;296~;48m0:?55230095g252z?057<6;116?=k51c68yv57n3:1>v3<0d827<=:;9l1=o:4}r124?6=:r78

3`9>746=9k>0q~=52z?07a<6;116?>751c68yv54i3:1>v3<38827<=:;:k1=o:4}r10f?6=:r78?44>3`9>76d=9k>0q~=;2;296~;4;l0:?55235195g252z?006<6;116?>h51c68yv5383:1>v3<3g827<=:;=:1=o:4}r175?6=:r78?k4>3`9>717=9k>0q~=:7;296~;4=90:?55234:95g2<7>52z?015<6j=168i<512c8yv5293:1>v3<59827==:;<;1=o:4}r166?6=:r789<4>389>704=9k>0q~=:3;296~;4=80:?l5234195g287>52z?011<6j=16?8=512;8yv52=3:1>v3<5482f1=:;<91=>o4}r162?6=:r789;4>b59>705=9=?0q~=l5;296~;4k80:?5523b495g27>52z?0g3<6;116?n<51c68yv5d;3:1>v33`9>7f2=9k>0q~=lb;296~;4k>0:?5523ba95g252z?0gf<6;116?n651c68yv5d13:1>v33`9>7fg=9k>0q~=j3;296~;4l00:?5523d695g252z?0`<<6j=16889512c8yv5b:3:1>v3399>7ag=9k>0q~=kb;296~;4lh0:?4523e`95g252z?0`d<6;h16?im51c68yv5cm3:1>v374}r1gb?6=:r78hk4>b59>7`4=9:k0q~=j0;296~;4m90:n9523d0951352z?0a4<6j=16?h<51428yv35=3:1>v3:1`827==:=;<1=o:4}r72e?6=;r7>=l4>b59>5d`=9:301<5<8?67}:=8h1=>74=43`>4d33ty>=i4?:3y>14d=9:k018?k:0`7?xu29o0;6?u250d95g2<5<8?6<=6;|q665<72;q69?>51c689043289j7p}:2083>7}:=;;1=o:4=407>4223ty>>?4?:3y>174=9k>018<;:073?xu2::0;6?u253195g2<5<8?6<;<;|q67}:=1n1=>64=4:f>4d33ty>484?:3y>1<6=9:20186::0`7?xu20?0;6?u2597956?<5<2=6028h?7p};9883>6}:=121=>74=4:;>45f34>257?m4:p1=>=838p1867:0`7?83??3;856s|59;94?4|5<226f28h?70;77;371>{t=1h1<74d334?3;7?:0:p1=e=838p186l:0`7?83??3;>?6s|5bg94?4|50;30=>;2990:?l5rs4aa>5<5s4?hj7?<8:?6gg<6j=1v8ml:18183dj3;8563:cb82f1=z{oi4>b59~w0cd2909w0;j8;30<>;2mm0:n95rs4g:>5<5s4?nh7?<8:?6a<<6j=1v8kn:18183b13;8563:e`82f1=z{io4>b59~w4be2909w0?k5;30<>;6lk0:n95rs0f5>5<5s4;on7?<8:?2`3<6j=1v3;8563>d682f1=z{8n36=4={<3g2?74i27:h54>b59~w4b>2909w0?k9;3a0>;6l10:?45rs0fb>5<5s4;om7?m4:?2`=<6;h1ve382f1=z{8no6=4={<3f6?74027:hi4>b59~w4bb2909w0?kd;30=>;6ll0:n95rs0fe>5<5s4;oh7?dg827<=z{8o:6=4={<3f5?7e<27:hk4>3`9~w4c>2909w0?j3;30<>;6m00:n95rs0g7>5<5s4;n57?<8:?2a1<6j=1ve482f1=z{8o=6=4={<3f0?74i27:i;4>b59~w4c02909w0?j7;3a0>;6m?0:?45rs0g;>5<5s4;n47?m4:?2a3<6;h1vf182f1=z{8oi6=4={<3e4?74027:io4>b59~w4cd2909w0?jb;30=>;6mj0:n95rs0gg>5<5s4;nn7?ee827<=z{8om6=4={<3fb?7e<27:ii4>3`9~w4`02909w0?i1;30<>;6n>0:n95rs0d1>5<5s4;m;7?<8:?2b7<6j=1vf282f1=z{8l?6=4={<3e6?74i27:j94>b59~w4`22909w0?i5;3a0>;6n=0:?45rs0d5>5<5s4;m:7?m4:?2b1<6;h1vfd82f1=z{8l26=4={<3ea?74027:j44>b59~w4`f2909w0?i9;30=>;6nh0:n95rs0da>5<5s4;m57?fc827<=z{8lo6=4={<3e`?7e<27:jo4>3`9~w7622909w0?if;30<>;58<0:n95rs323>5<5s48;97?<8:?145<6j=1v?>>:18184783;8563=0082f1=z{;:96=4={<034?74i279b59~w7642909w0;58;0:?45rs327>5<5s48;87?m4:?147<6;h1v?>l:181847>3;8463=0b82f1=z{;:<6=4={<03g?740279<:4>b59~w76?2909w0;5810:n95rs32:>5<5s48;;7?n:181847i3;i863=08827<=z{;:i6=4={<03f?7e<279<44>3`9~w7712909w0;59?0:n95rs32f>5<5s48::7?<8:?14`<6j=1v?>i:181847m3;8563=0g82f1=z{;;;6=4={<03a?74i279==4>b59~w7762909w0<>1;3a0>;5990:?45rs331>5<5s48:>7?m4:?155<6;h1v??<:181846;3;i863=118200=z{;;?6=4={<020?7e<279==4>519~w7722909w0<>5;3a0>;5990:9>5rs33g>5<5s48:;7?<8:?15a<6j=1v??7:181846l3;8463=1982f1=z{;;26=4={<02b59~w77f2909w0<>8;30e>;59h0:n95rs33a>5<5s48:n7?m4:?15d<6;01v??l:181846k3;i863=1`827d=z{=n86=4={<6`b?74027?h>4>b59~w1b72909w0:k3;30<>;3l90:n95rs5f2>5<5s4>o<7?<9:?7`4<6j=1v9j=:18182c83;8m63;d382f1=z{=l;6=4={<6fe?74027?j=4>b59~w1ca2909w0:je;30<>;3mo0:n95rs5gf>5<4s4>ni7?m4:?7==<6;016846512c8yv2bj3:1>v3;f1827==:389>0`e=9k>0q~:jd;296~;3mk0:?l524df95g252z?672<6;11699>51c68yv34n3:1>v3:3c827==:=:l1=o:4}r708=4>399>16>=9k>0q~;<9;296~;2;10:?45252;95g252z?67=<6;h169>o51c68yv34k3:1>v3:3b82f1=:=:l1=>74}r70`?6=:r7>?i4>b59>16`=9:k0q~;52z?626<6;1169;951c68yv31<3:1>v3:66827==:=?>1=o:4}r751?6=:r7>:94>389>133=9k>0q~;96;296~;2>=0:?l5257495g2?j7>52z?70<<6;11689h51c68yv23m3:1>v3;4e827==:<=o1=o:4}r67e?6=:r7?8k4>399>01g=9k>0q~:;b;296~;3?o7>52z?70d<6;h1689m51c68yv2f83:1>v3;94827==:399>0<`=9k>0q~:66;296~;3i80:?55248495g22;7>52z?7=3<6;01684951c68yv2>03:1>v3;97827d=:<021=o:4}r6:e?6=:r7?5l4>b59>0<`=9:30q~:6b;296~;31k0:n95248d956g2o7>52z?7=f<6j=1684h51578yv2>l3:1>v3;9e82f1=:<0l1=8>4}r6:a?6=:r7?5h4>b59>0<`=9<90q~:l1;296~;3j>0:?5524b095g2h<7>52z?7fg<6;1168n>51c68yv2e03:1>v3;c3827==:389>0g?=9k>0q~:ma;296~;3j10:?l524cc95g2io7>52z?7ff<6j=168n>512;8yv2el3:1>v3;be82f1=:o4}r6aa?6=:r7?nh4>b59>0f6=9=?0q~:mf;296~;3jo0:n9524b2950652z?64f<6;1169<951c68yv36>3:1>v3:13827==:=8<1=o:4}r73`?6=:r7>=:4>399>15b=9k>0q~;?e;296~;28m0:?45251g95g252z?64a<6;h169=h51c68yv3683:1>v3:1182f1=:=9l1=>74}r725?6=:r7>=<4>b59>15`=9:k0q~;>3;296~;29:0:n952504956?52z?651<6j=169<8512c8yv36=3:1>v3:1482f1=:=8<1=9;4}r3ba?6=:r7:m54>399>5dc=9k>0q~?na;296~;6i00:?5521`c95g252z?2ed<6;116=lh51c68yv7fj3:1>v3>ad827==:9hh1=o:4}r3bg?6=:r7:mo4>389>5de=9k>0q~?nd;296~;6ik0:?l521`f95g245?34km6ec<6;116m84>b59~wd0=838p1l;512;89d0=9k>0q~o8:1818g2289j70o8:0`7?xu6:>0;6>u2a6827<=:i>0:?l5213595g24d334kn6<=6;|qbe?6=:r7jm7?m4:?ba?74i2wxmo4?:3y>eg<6j=16mh4>449~wde=838p1lm51c689dc=9<:0q~ok:1818gc28h?70oj:070?xue?3:1>v3m3;30<>;e?3;i86s|b583>7}:j>0:?552b582f1=z{k?1<75<5s4h?6<=n;<`5>4d33ty?954?:2y>004=9:201;6512:8913>28h?7p};5283>7}:<<31=>64=570>4d33ty?994?:3y>005=9:3019;;:0`7?xu3=<0;6?u2441956g<5=?>67}:<<=1=o:4=576>45f3ty?;?4?:2y>03e=9:201n<512:8911428h?7p};6e83>7}:<>91=>64=54g>4d33ty?:h4?:3y>03b=9:30198j:0`7?xu3>o0;6?u247f956g<5=51c68910a28927p};7083>7}:<>;1=o:4=54e>45f3ty?on4?:3y>0f3=9:2019ml:0`7?xu3k?0;6?u24ba956><5=i=67}:o4=5a;>4d33ty?o44?:3y>0f?=9k>019m7:01:?xu3kh0;6?u24bc95g2<5=i36<=n;|q7gg<72;q68nl51c6891e?28>>7p};fe83>7}:64=5dg>4d33ty?jn4?:3y>0c1=9:2019hl:0`7?xu3n=0;6?u24gf956><5=l?67}:1=>o4=5d5>4d33ty?j54?:3y>0c>=9k>019hl:01:?xu3n00;6?u24g;95g2<5=lh6<=n;|q7bd<72;q68ko51c6891`d28>>7p};fc83>7}:4373ty><44?:3y>156=9:2018>6:0`7?xu2810;6?u2516956><5<:367}:=9;1=>74=421>4d33ty><>4?:3y>157=9:k018><:0`7?xu28<0;6?u251795g2<5<:36<=6;|q643<72;q69=851c68906?289j7p}:0683>7}:=9=1=o:4=42;>4223ty>?94?:3y>17?=9:2018=;:0`7?xu2;:0;6?u253d956><5<986:512:8904f28h?7p}:2c83>7}:=;k1=>74=40a>4d33ty>>n4?:3y>17g=9:k0187}:=::1=o:4=410>45>3ty>?<4?:3y>167=9k>018=<:01b?xu2;;0;6?u252095g2<5<986<::;|qg2?6=:r7o>7?<8:?g2?7e<2wxh>4?:3y>`3<6;116h>4>b59~wa2=838p1i=512;89a2=9k>0q~j::1818b4289j70j::0`7?xuc93:1>v3ld;30<>;c93;i86s|cd83>7}:l80:?552cd82f1=z{jl1<75<5s4in6<=n;4d33tyno7>52z?f1?74027no7?m4:paa<72:q6i;4>389>a3<6;h16ii4>b59~w`0=838p1h851c689`e=9:30q~k8:1818c028h?70kl:01b?xub03:1>v3j8;3a0>;bk3;?96s|e883>7}:m00:n952eb8215=z{lk1<75<5s4oi64333tym=7>52z?f`?74027m=7?m4:pa`<72;q6ih4>b59>b4<6;01vhh50;0x9``=9k>01k?512c8yv`72909w0h?:0`7?8`628>>7p}i3;296~;a:3;8463i3;3a0>{tn;0;6>u2f382f1=:n<0:?452f4827d=z{oh1<7<5oh1=o:4}rd6>5<5s4l>645>3tym:7>52z?e2?7e<27mn7?b59>bg<6<<1vk650;0x9c>=9k>01kl51428yv`>2909w0h6:0`7?8`e28?87p}ia;296~;ai3;i863ib;360>{t9981<7<58:9601<>=:01b?xuan3:1>v3if;3a0>;68;0:885rs023>5<5s4;;<7?m4:?247<6=91v<>>:18187793;i863>038216=z{8:26=4={<337?74027:<44>b59~w4632909w0??4;3a0>;6800:?45rs026>5<5s4;;97?m4:?24<<6;h1v<>9:181877>3;i863>088200=z{8:<6=4={<333?7e<27:<44>519~w46?2909w0??8;3a0>;6800:9>5rs032>5<5s4;;m7?<8:?254<6j=1v<>m:181877j3;i863>10827<=z{8:h6=4={<33g?7e<27:=<4>3`9~w46c2909w0??d;3a0>;6980:885rs02f>5<5s4;;i7?m4:?254<6=91v<>i:181877n3;i863>108216=z{8;;6=4={<324?7e<27:=<4>559~w47?2909w0?>2;30<>;6910:n95rs030>5<5s4;:?7?m4:?25=<6;01v19827d=z{8;>6=4={<321?7e<27:=54>449~w4712909w0?>6;3a0>;6910:9=5rs034>5<5s4;:;7?m4:?25=<6=:1v1g82f1=z{8;j6=4={<32e?7e<27:=k4>389~w47e2909w0?>b;3a0>;69o0:?l5rs03`>5<5s4;:o7?m4:?25c<6<<1v1g8215=z{8;n6=4={<32a?7e<27:=k4>529~w4412909w0?=0;30<>;6:?0:n95rs002>5<5s4;9=7?m4:?263<6;01v<<=:181875:3;i863>27827d=z{8886=4={<317?7e<27:>;4>449~w4432909w0?=4;3a0>;6:?0:9=5rs006>5<5s4;997?m4:?263<6=:1v<<7:181875?3;8463>2982f1=z{88m6=4={<31=?74027:>k4>b59~w44f2909w0?=a;3a0>;6:o0:?45rs00a>5<5s4;9n7?m4:?26c<6;h1v<2g8200=z{88o6=4={<31`?7e<27:>k4>519~w44b2909w0?=e;3a0>;6:o0:9>5r}o7g25<728qvb8j91;295~{i=m<96=4>{|l6`35=83;pqc;k6583>4}zf51zm1a01290:wp`:d7594?7|ug?o:54?:0y~j0b113:1=vsa5e4b>5<6std>h;l50;3xyk3c>j0;6{|l6`25=83;pqc;k7583>4}zf51zm1a11290:wp`:d6594?7|ug?o;54?:0y~j0b013:1=vsa5e5b>5<6std>h:l50;3xyk3c?j0;6l1<7?t}o7g<5<728qvb8j71;295~{i=m296=4>{|l6`=5=83;pqc;k8583>4}zf51zm1a>1290:wp`:d9594?7|ug?o454?:0y~j0b?13:1=vsa5e:b>5<6std>h5l50;3xyk3c0j0;6{|l6`<5=83;pqc;k9583>4}zf51zm1a?1290:wp`:d8594?7|ug?o554?:0y~j0b>13:1=vsa5e;b>5<6std>h4l50;3xyk3c1j0;6{|l6`d5=83;pqc;ka583>4}zf51zm1ag1290:wp`:d`594?7|ug?om54?:0y~j0bf13:1=vsa5ecb>5<6std>hll50;3xyk3cij0;6{|l6`g5=83;pqc;kb583>4}zf51zm1ad1290:wp`:dc594?7|ug?on54?:0y~j0be13:1=vsa5e`b>5<6std>hol50;3xyk3cjj0;6{|l6`f5=83;pqc;kc583>4}zf51zm1ae1290:wp`:db594?7|ug?oo54?:0y~j0bd13:1=vsa5eab>5<6std>hnl50;3xyk3ckj0;6{|l6`a5=83;pqc;kd583>4}zf51zm1ab1290:wp`:de594?7|ug?oh54?:0y~j0bc13:1=vsa5efb>5<6std>hil50;3xyk3clj0;6{|l6``5=83;pqc;ke583>4}zf51zm1ac1290:wp`:dd594?7|ug?oi54?:0y~j0bb13:1=vsa5egb>5<6std>hhl50;3xyk3cmj0;6{|l6`c5=83;pqc;kf583>4}zf51zm1a`1290:wp`:dg594?7|ug?oj54?:0y~j0ba13:1=vsa5edb>5<6std>hkl50;3xyk3cnj0;6{|l6a55=83;pqc;j0583>4}zf51zm1`61290:wp`:e1594?7|ug?n<54?:0y~j0c713:1=vsa5d2b>5<6std>i=l50;3xyk3b8j0;6j:182xh2m9l1<7?t}o7f55<728qvb8k>1;295~{i=l;96=4>{|l6a45=83;pqc;j1583>4}zf51zm1`71290:wp`:e0594?7|ug?n=54?:0y~j0c613:1=vsa5d3b>5<6std>i{|l6a75=83;pqc;j2583>4}zf51zm1`41290:wp`:e3594?7|ug?n>54?:0y~j0c513:1=vsa5d0b>5<6std>i?l50;3xyk3b:j0;6{|l6a65=83;pqc;j3583>4}zf51zm1`51290:wp`:e2594?7|ug?n?54?:0y~j0c413:1=vsa5d1b>5<6std>i>l50;3xyk3b;j0;696=4>{|l6a15=83;pqc;j4583>4}zf51zm1`21290:wp`:e5594?7|ug?n854?:0y~j0c313:1=vsa5d6b>5<6std>i9l50;3xyk3b{|l6a05=83;pqc;j5583>4}zf97>51zm1`31290:wp`:e4594?7|ug?n954?:0y~j0c213:1=vsa5d7b>5<6std>i8l50;3xyk3b=j0;6{|l6a35=83;pqc;j6583>4}zf51zm1`01290:wp`:e7594?7|ug?n:54?:0y~j0c113:1=vsa5d4b>5<6std>i;l50;3xyk3b>j0;6{|l6a25=83;pqc;j7583>4}zf51zm1`11290:wp`:e6594?7|ug?n;54?:0y~j0c013:1=vsa5d5b>5<6std>i:l50;3xyk3b?j0;6l1<7?t}o7f<5<728qvb8k71;295~{i=l296=4>{|l6a=5=83;pqc;j8583>4}zf51zm1`>1290:wp`:e9594?7|ug?n454?:0y~j0c?13:1=vsa5d:b>5<6std>i5l50;3xyk3b0j0;6{|l6a<5=83;pqc;j9583>4}zf51zm1`?1290:wp`:e8594?7|ug?n554?:0y~j0c>13:1=vsa5d;b>5<6std>i4l50;3xyk3b1j0;6{|l6ad5=83;pqc;ja583>4}zf51zm1`g1290:wp`:e`594?7|ug?nm54?:0y~j0cf13:1=vsa5dcb>5<6std>ill50;3xyk3bij0;6{|l6ag5=83;pqc;jb583>4}zf51zm1`d1290:wp`:ec594?7|ug?nn54?:0y~j0ce13:1=vsa5d`b>5<6std>iol50;3xyk3bjj0;6{|l6af5=83;pqc;jc583>4}zf51zm1`e1290:wp`:eb594?7|ug?no54?:0y~j0cd13:1=vsa5dab>5<6std>inl50;3xyk3bkj0;6{|l6aa5=83;pqc;jd583>4}zf51zm1`b1290:wp`:ee594?7|ug?nh54?:0y~j0cc13:1=vsa5dfb>5<6std>iil50;3xyk3blj0;6{|l6a`5=83;pqc;je583>4}zf51zm1`c1290:wp`:ed594?7|ug?ni54?:0y~j0cb13:1=vsa5dgb>5<6std>ihl50;3xyk3bmj0;6{|l6ac5=83;pqc;jf583>4}zf51zm1``1290:wp`:eg594?7|ug?nj54?:0y~j0ca13:1=vsa5ddb>5<6std>ikl50;3xyk3bnj0;6{|l6b55=83;pqc;i0583>4}zf51zm1c61290:wp`:f1594?7|ug?m<54?:0y~j0`713:1=vsa5g2b>5<6std>j=l50;3xyk3a8j0;6j:182xh2n9l1<7?t}o7e55<728qvb8h>1;295~{i=o;96=4>{|l6b45=83;pqc;i1583>4}zf51zm1c71290:wp`:f0594?7|ug?m=54?:0y~j0`613:1=vsa5g3b>5<6std>j{|l6b75=83;pqc;i2583>4}zf51zm1c41290:wp`:f3594?7|ug?m>54?:0y~j0`513:1=vsa5g0b>5<6std>j?l50;3xyk3a:j0;6{|l6b65=83;pqc;i3583>4}zf51zm1c51290:wp`:f2594?7|ug?m?54?:0y~j0`413:1=vsa5g1b>5<6std>j>l50;3xyk3a;j0;696=4>{|l6b15=83;pqc;i4583>4}zf51zm1c21290:wp`:f5594?7|ug?m854?:0y~j0`313:1=vsa5g6b>5<6std>j9l50;3xyk3a{|l6b05=83;pqc;i5583>4}zf97>51zm1c31290:wp`:f4594?7|ug?m954?:0y~j0`213:1=vsa5g7b>5<6std>j8l50;3xyk3a=j0;6{|l6b35=83;pqc;i6583>4}zf51zm1c01290:wp`:f7594?7|ug?m:54?:0y~j0`113:1=vsa5g4b>5<6std>j;l50;3xyk3a>j0;6{|l6b25=83;pqc;i7583>4}zf51zm1c11290:wp`:f6594?7|ug?m;54?:0y~j0`013:1=vsa5g5b>5<6std>j:l50;3xyk3a?j0;6l1<7?t}o7e<5<728qvb8h71;295~{i=o296=4>{|l6b=5=83;pqc;i8583>4}zf51zm1c>1290:wp`:f9594?7|ug?m454?:0y~j0`?13:1=vsa5g:b>5<6std>j5l50;3xyk3a0j0;6{|l6b<5=83;pqc;i9583>4}zf51zm1c?1290:wp`:f8594?7|ug?m554?:0y~j0`>13:1=vsa5g;b>5<6std>j4l50;3xyk3a1j0;6{|l6bd5=83;pqc;ia583>4}zf51zm1cg1290:wp`:f`594?7|ug?mm54?:0y~j0`f13:1=vsa5gcb>5<6std>jll50;3xyk3aij0;6{|l6bg5=83;pqc;ib583>4}zf51zm1cd1290:wp`:fc594?7|ug?mn54?:0y~j0`e13:1=vsa5g`b>5<6std>jol50;3xyk3ajj0;6{|l6bf5=83;pqc;ic583>4}zf51zm1ce1290:wp`:fb594?7|ug?mo54?:0y~j0`d13:1=vsa5gab>5<6std>jnl50;3xyk3akj0;6{|l6ba5=83;pqc;id583>4}zf51zm1cb1290:wp`:fe594?7|ug?mh54?:0y~j0`c13:1=vsa5gfb>5<6std>jil50;3xyk3alj0;6{|l6b`5=83;pqc;ie583>4}zf51zm1cc1290:wp`:fd594?7|ug?mi54?:0y~j0`b13:1=vsa5ggb>5<6std>jhl50;3xyk3amj0;6{|l6bc5=83;pqc;if583>4}zf51zm1c`1290:wp`:fg594?7|ug?mj54?:0y~j0`a13:1=vsa5gdb>5<6std>jkl50;3xyk3anj0;6?1;295~{i>9:96=4>{|l5455=83;pqc8?0583>4}zf?:;97>51zm2561290:wp`901594?7|ug<;<54?:0y~j36713:1=vsa612b>5<6std=<=l50;3xyk078j0;6j:182xh189l1<7?t}o4355<728qvb;>>1;295~{i>9;96=4>{|l5445=83;pqc8?1583>4}zf?::97>51zm2571290:wp`900594?7|ug<;=54?:0y~j36613:1=vsa613b>5<6std=<=1;295~{i>9896=4>{|l5475=83;pqc8?2583>4}zf?:997>51zm2541290:wp`903594?7|ug<;>54?:0y~j36513:1=vsa610b>5<6std=<1;295~{i>9996=4>{|l5465=83;pqc8?3583>4}zf?:897>51zm2551290:wp`902594?7|ug<;?54?:0y~j36413:1=vsa611b>5<6std=<>l50;3xyk07;j0;6;1;295~{i>9>96=4>{|l5415=83;pqc8?4583>4}zf?:?97>51zm2521290:wp`905594?7|ug<;854?:0y~j36313:1=vsa616b>5<6std=<9l50;3xyk07:1;295~{i>9?96=4>{|l5405=83;pqc8?5583>4}zf?:>97>51zm2531290:wp`904594?7|ug<;954?:0y~j36213:1=vsa617b>5<6std=<8l50;3xyk07=j0;691;295~{i>9<96=4>{|l5435=83;pqc8?6583>4}zf?:=97>51zm2501290:wp`907594?7|ug<;:54?:0y~j36113:1=vsa614b>5<6std=<;l50;3xyk07>j0;681;295~{i>9=96=4>{|l5425=83;pqc8?7583>4}zf?:<97>51zm2511290:wp`906594?7|ug<;;54?:0y~j36013:1=vsa615b>5<6std=<:l50;3xyk07?j0;6l1<7?t}o43<5<728qvb;>71;295~{i>9296=4>{|l54=5=83;pqc8?8583>4}zf?:397>51zm25>1290:wp`909594?7|ug<;454?:0y~j36?13:1=vsa61:b>5<6std=<5l50;3xyk070j0;661;295~{i>9396=4>{|l54<5=83;pqc8?9583>4}zf?:297>51zm25?1290:wp`908594?7|ug<;554?:0y~j36>13:1=vsa61;b>5<6std=<4l50;3xyk071j0;6n1;295~{i>9k96=4>{|l54d5=83;pqc8?a583>4}zf?:j97>51zm25g1290:wp`90`594?7|ug<;m54?:0y~j36f13:1=vsa61cb>5<6std=m1;295~{i>9h96=4>{|l54g5=83;pqc8?b583>4}zf?:i97>51zm25d1290:wp`90c594?7|ug<;n54?:0y~j36e13:1=vsa61`b>5<6std=l1;295~{i>9i96=4>{|l54f5=83;pqc8?c583>4}zf?:h97>51zm25e1290:wp`90b594?7|ug<;o54?:0y~j36d13:1=vsa61ab>5<6std=k1;295~{i>9n96=4>{|l54a5=83;pqc8?d583>4}zf?:o97>51zm25b1290:wp`90e594?7|ug<;h54?:0y~j36c13:1=vsa61fb>5<6std=j1;295~{i>9o96=4>{|l54`5=83;pqc8?e583>4}zf?:n97>51zm25c1290:wp`90d594?7|ug<;i54?:0y~j36b13:1=vsa61gb>5<6std=i1;295~{i>9l96=4>{|l54c5=83;pqc8?f583>4}zf?:m97>51zm25`1290:wp`90g594?7|ug<;j54?:0y~j36a13:1=vsa61db>5<6std=8:96=4>{|l5555=83;pqc8>0583>4}zf?;;97>51zm2461290:wp`911594?7|ug<:<54?:0y~j37713:1=vsa602b>5<6std===l50;3xyk068j0;6j:182xh199l1<7?t}o4255<728qvb;?>1;295~{i>8;96=4>{|l5545=83;pqc8>1583>4}zf?;:97>51zm2471290:wp`910594?7|ug<:=54?:0y~j37613:1=vsa603b>5<6std==8896=4>{|l5575=83;pqc8>2583>4}zf?;997>51zm2441290:wp`913594?7|ug<:>54?:0y~j37513:1=vsa600b>5<6std==?l50;3xyk06:j0;68996=4>{|l5565=83;pqc8>3583>4}zf?;897>51zm2451290:wp`912594?7|ug<:?54?:0y~j37413:1=vsa601b>5<6std==>l50;3xyk06;j0;68>96=4>{|l5515=83;pqc8>4583>4}zf?;?97>51zm2421290:wp`915594?7|ug<:854?:0y~j37313:1=vsa606b>5<6std==9l50;3xyk068?96=4>{|l5505=83;pqc8>5583>4}zf?;>97>51zm2431290:wp`914594?7|ug<:954?:0y~j37213:1=vsa607b>5<6std==8l50;3xyk06=j0;68<96=4>{|l5535=83;pqc8>6583>4}zf?;=97>51zm2401290:wp`917594?7|ug<::54?:0y~j37113:1=vsa604b>5<6std==;l50;3xyk06>j0;68=96=4>{|l5525=83;pqc8>7583>4}zf?;<97>51zm2411290:wp`916594?7|ug<:;54?:0y~j37013:1=vsa605b>5<6std==:l50;3xyk06?j0;6l1<7?t}o42<5<728qvb;?71;295~{i>8296=4>{|l55=5=83;pqc8>8583>4}zf?;397>51zm24>1290:wp`919594?7|ug<:454?:0y~j37?13:1=vsa60:b>5<6std==5l50;3xyk060j0;68396=4>{|l55<5=83;pqc8>9583>4}zf?;297>51zm24?1290:wp`918594?7|ug<:554?:0y~j37>13:1=vsa60;b>5<6std==4l50;3xyk061j0;68k96=4>{|l55d5=83;pqc8>a583>4}zf?;j97>51zm24g1290:wp`91`594?7|ug<:m54?:0y~j37f13:1=vsa60cb>5<6std==ll50;3xyk06ij0;68h96=4>{|l55g5=83;pqc8>b583>4}zf?;i97>51zm24d1290:wp`91c594?7|ug<:n54?:0y~j37e13:1=vsa60`b>5<6std==ol50;3xyk06jj0;68i96=4>{|l55f5=83;pqc8>c583>4}zf?;h97>51zm24e1290:wp`91b594?7|ug<:o54?:0y~j37d13:1=vsa60ab>5<6std==nl50;3xyk06kj0;68n96=4>{|l55a5=83;pqc8>d583>4}zf?;o97>51zm24b1290:wp`91e594?7|ug<:h54?:0y~j37c13:1=vsa60fb>5<6std==il50;3xyk06lj0;68o96=4>{|l55`5=83;pqc8>e583>4}zf?;n97>51zm24c1290:wp`91d594?7|ug<:i54?:0y~j37b13:1=vsa60gb>5<6std==hl50;3xyk06mj0;63SD@Y$007*A7a3-E{Xu*+OqV{ C^XLJ.?4RGAV%310+B69o1/C}Zw$%MsP}"APVNH(96PIOT'572)L;8?7)ATy&'KuR,ORTHN*;8^KMR!75<'N9S]ZP0368 JvSp-.D|Yv+FY]GG!2?W@D](<<;.E0\TQY6:91/C}Zw$%MsP}"APVNH(96PIOT'572)MQZ8=6*@pUz' JvSp-LSSIM+49]JJS"6:=$NT]Q{shoqakgjmmUeiyQ>e:&LtQ~#,Fz_t)HW_EA'0=YNF_.:>9 ^219'KuR,-E{Xu*IX^F@ 1>XAG\/=?:!UHO6?!Tb~-=0$=4?:1+e?-6=83:",%>50;2*55=/99:;6<>?0;3345/6;2":=:>?:03445<69>:;%<>4(0534?70890:;=>&119+5=67282;<7?701+24>.509:1>5>?:3:34,773!9;<=4<01297567!;;0$>>?0;1345<489:",%=:0180156=;<:;%<>4(2734?5289089=>&d:*745<3890?<='>0:*5456=>9:;6;>?0(33?-17890<<=>57123-46< 0:;<77?018:456.92::7<<41108544<9;;97<<5OTVSQQ5383:7?<42108674<::;?7?=5IORVP?GXNZH7>>4>>39107=5=;19;?5=8391=4=4:29;>6==2:106>53:29>>6=92:145>253=:979?=;501?1553=<978>=;401?0553<>978;=;441?0>53<3:7;<46208214<><80:;?4739447=0:;1<8?58639437=00;1<5<572::36>>6:229>66:2::56>>0:222=67=;821?<75308974==;861?<3530<9749:;@NF4H1B.E0g?DJB8D$O>R^[_1f8EIC7E'N9S]ZP169BH@6J&X30MAK?M/PFC0=FDL:X;6OCE1Q-@==FDL:X"I?7;@NF4V(C:>1J@H>\.P;8EIC7['XNK95NLD30?DHC12KEBBZNTDF:?DYA[K6:<3j4A^DPF9776'ZJH45N_GQA8479l2KTJ^L310<-TDB>3HUM_O2>2?f8EZ@TJ5;92#^ND89B[CUE4895h6OPFR@?568)XHN27LQISC>20;b/RB@<=FWOYI0<;1d:C\BVD;9<4%\LJ6;@]EWG:6>7n0MRH\B=35:+VFL01JSK]M<05=`>GXNZH7=:0!P@F:?DYA[K6:43j4A^DPF97?6'ZJH45N_GQA84?9l2KTJ^L318<-TDB?3HUM_O2>>b9B[CUE484%\LJ6;@]EWG:587n0MRH\B=03:+VFL01JSK]M<33=`>GXNZH7><0!P@F:?DYA[K69>3j4A^DPF9456'ZJHo5N_GQA875=9730MRH\B=00:a=FWOYI0?=1.QCG<>GXNZH7>3m4A^DPF949&YKO46OPFR@?7;eGXNZH783m4A^DPF929&YKO46OPFR@?1;eGXNZH7:3m4A^DPF909&YKO46OPFR@?3;eGXNZH743m4A^DPF9>9&YKO46OPFR@?=;eGXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\L:>6OPFR@\5=YNJ\L/NXH?159B[CUEW82TEO[I$CWE4+B6=2KTJ^LP19]JFP@#J\L;"I?>5:C\BVDX91UBNXH+BTD3*A46<2KTJ^LP19]JFP@#J\L;"\j4A^DPFZ7>W@H^J<<4A^DPFZ7>W@H^J)LZF137?DYA[KU:5RGMUG&AQC6)L8?0MRH\B^3:[LDRN-H^J= K1078EZ@TJV;2SDLZF%@VB5(C:8>0MRH\B^3:[LDRN-H^J= ^c:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_M=?5N_GQA[77XAK_M(O[I0068EZ@TJV8:SDLZF%@VB5(C9<1JSK]M_33\MGSA,K_M<#J>149B[CUEW;;TEO[I$CWE4+B59=1JSK]M_33\MGSA,K_M<#_k;@]EWGY5:VCIYKj4A^DPFZ44W@H^Jn5N_GQA[7YNJ\Lh7LQISC]0[LDRNj1JSK]M_5]JFP@d3HUM_OQ:_H@VBf=FWOYIS;QFBTD`?DYA[KUW@H^J;5NotvLA6=E]O20NX]PIODL0>E6>D20O<8B.QCGa>E6>DUBNXH FBNHb>E6>DUBNXH FBNH)3=D:9GNBl5L21OFJ+VFL?1H>8CJN`9@60KBF'ZJH<>4C37NAKYHJ\L/NXH?139@60KBFVEIYK*MUG2-@45E5=DOESBLZF/F2a>E5=DOESBLZF/F1`>E5=DOESBLZF/S0?F>J?2I3A#^ND99@EHEDC_XHJ8;BPFEQCC;2I_@45LlnahWucd02Njxl]eb78@`jfq81N96K!P@Fb?@^W99U%IU^>b:G[T46X&LR[Sy}fmsgmehccWgoSl5JXQ32[+C_Xo1NT]?>_/G[TZVSW9l0IU^>1^,F\UYW\V;m7HV_10]-A]VXX]U9j6KWP03\*@^WWY^T?k5JXQ32[+C_XVZ_S9h4EYR25Z(BPYU[XR;>b:G[T47X&LR[Sy}fmsgmehccWgoSl5JXQ31[+C_Xo1NT]?=_/G[TZVSW9l0IU^>2^,F\UYW\V;m7HV_13]-A]VXX]U9j6KWP00\*@^WWY^T?k5JXQ31[+C_XVZ_S9?m;DZS57Y)MQZTx~gbrdlbi`bXfl~T:6KPICWE3>Ccol\j`95ICMI;?CEKC'ZJHh5ICMI\MGSA'OIGGk5ICMI\MGSA'OIGG <4FG18Ckb53@D87D@?3:KM51=NF8:?7D@>159JJ4433@D:?95FN067?LH6==1BB<8;;HL231=NF82?7D@>929JJ72>:4IO070>OI:<>0EC<94:KM6225FN718MK143@D3?6GA989JJLRT\H^N96G@ABV4?LIFK]$O46G@ABV-@4>k;HMBGQ(C:VZ_Si5FO@AW*A4XX]U8h6G@ABV-@7YW\V>o7DANCU,G6ZVSW<=0EBOLT/S:?LIFK]$YIJ:4INN33>OHD9$HI;5FOM2-@2=NGE:%H<94INN3*A4d3@EG<#J=_QV\4f=NGE:%H?Q_T^35?LIK8'[37DAC0/PFC0=NG[OZ;6G@RDS-@==NG[OZ"I?7;HMQAT(C:m1BC_K^.E0\TQY7l2CD^H_!D3]SPZ7c3@EYI\ K2^RW[7?3@EYI\ ]EF78MJTP8>1BC_Y?.E:8MJTP8'N:46G@RV2-@7bOHZ^:%H?Q_T^0g?LIU_9$O>R^[_2f8MJTP8'N9S]ZP489JKWQ7&LR[=l5FOSU3*@^WW}ybakaalgg[kcsW>1BC_Y?.P;8MJTP8'XNK<84INPT4Zrtadiyilzjd^zlvZ20B= K5:L3*A723G:%H?:4N1,R3>H7&]XXX>5A0058J@RPG[A87B@J2:MQ7>IR\:1[MI:4P@PW3>VNFGKFIl5_IO]AQVOCPk1[ECQMURLBI@00?c8VD:687$[MI64R@>25?69>2XJ01:<=UI585"]OK5:PB868>3[K7?3 _AE78VD:3601YM1:1.QCG1>TF4<427_O35?,SEA389QE9>9&YKO96\N<8<:?WG;17$[MI74R@]3[JDRNk1YMR>POCWE*AeTFW9UDNXH!D332?WGX8VEIYK K2^RW[5763[KT3[KT=RAMUG`8VDY6WFH^J#Jl;SC\5ZIE]O$O=n5]A^3\KGSA&M8:=6\N_0]LFP@)L;U[XR>>1:PB[4YHJ\L%H?Q_T^3a?WGX9VEIYK ^9:PB[7YHJ\Li7_OP2^MAQC(Ck2XJS?Q@BTD-@4eTFW:UDNXH!Db9QEZ5XGK_M"I?l;SC\7ZIE]O$O>SBLZF/F`?WGX=VEIYK K1b9QEZ3XGK_M"I<>1:PB[0YHJ\L%H?Q_T^225>TFWTFW?UDNXHm;SC\2ZIE]O$Oo6\N_7]LFP@)L8i0^LQ9_N@VB+B5981YMR8POCWE*A4XX]U;=<5]A^4\KGSA&M8T\YQ>d:PB[3YHJ\L%IU^>2:PB[3YHJ\L%IU^PPU]357=UIVTFW>UDNXH!D0a8VDY0WFH^J#J=109QEZ1XGK_M"ITbn\xl"NK7;SgeQwa)L01Yik[}g/F2=>Tbn\xl"Ij;SgeQwa)L;U[XR?j;SgeQwa)L;U[XRUb||zCcaj<;UPJ0>R^XLi0Y^K]_WKPMGJB12\BIZQ[YQG0?Sed>2]j~}]Ja:UbvuUB&gmj96Yfduj1g>^D1<;?8UB"11/WU467(!Y{ihj/Fhnf>%Pbzzcdb-=!0(68\AKK9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON;2RD^;5Wdc]J`g=_laU[~dcFnwa8\anXX{cfXt~jf:ZglZVuad\n~~g`n99[`mYSadoo7Ujg_UknaFnbkbn0TifPThof@`jfq8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`;;[GQK<=df}%N~1>1b:amp*Cu494%Hn5lnu-Fv969&M;h7n`{/Dp?4;(C:k1hby!Jr=2=*Tb/PFC<=df}%N~1?1b:amp*Cu484%Hn5lnu-Fv979&M;h7n`{/Dp?5;(C:k1hby!Jr=3=*Tb>/PFC44ei|&CEEY]PFRO\BCb5&M;:96mat.KMMQUXNZGTJKj=.E02e>ei|&CEEY]PFRO\BCb5&M8T\YQ?1`9`jq)NF@^XSK]B_GDg6+B5WY^T=<:4cov,MKOS[VLXARHId3,R53=df}%BBDZ\_GQN[C@c:'XNK?=4cov,MKOS[VLXARHId3]wwlkdzlkiiQwos]g?fhs'E^bah|30?33?fhs'E^bah|30?,@Ac=df}%GXdcjr=2=*A773jd#AZfmdp?4;(C98:0ocz LUknaw:76'N9j6mat.NWmhcu494%]^vpmheumh~nhRv`r^g8gkr(D]cfi2>0?32?fhs'E^bah|311<-G@773jd#AZfmdp?558)L8;0ocz LUknaw:687$O=0?,R57=df}%GXdcjr=33:+TBO8l0ocz LUknaw:687UdclrdcwaaYg{Un7n`{/MVji`t;984:=6mat.NWmhcu48;5"NK>0:amp*JSadoy0ei|&F_e`k}<00=54=df}%GXdcjr=31:+EB991hby!CThofv9756'N:=6mat.NWmhcu4885"I?>1:amp*JSadoy0<<1.E024>ei|&F_e`k}<00=*T753jd#AZfmdp?578)ZLM:j6mat.NWmhcu4885Sy}fmbpfeqccWqeySi5lnu-OPlkbz5;5==5lnu-OPlkbz5;5"NKi;blw+IRnelx7=3 K119`jq)K\`gn~1?1.E324>ei|&F_e`k}<0<-@7`2:+W692iex"B[ilgq848)ZLM:i6mat.NWmhcu484Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4;4:<6mat.NWmhcu4;4%OHh4cov,HQojm{692#J>0:amp*JSadoy0?0!D033?fhs'E^bah|32?,G6c=df}%GXdcjr=0=*T763jd#AZfmdp?6;(UMN;n7n`{/MVji`t;:7UdclrdcwaaYg{Uo7n`{/MVji`t;;7;;7n`{/MVji`t;;7$HIk5lnu-OPlkbz595"I??;blw+IRnelx7?3 K1028gkr(D]cfi2<>/F1b>ei|&F_e`k}<2<-U470:+TBO8o0ocz LUknaw:46V~xe`m}e`vf`Z~hzVn0ocz LUknaw:368:0ocz LUknaw:36'INj6mat.NWmhcu4=4%H<>4cov,HQojm{6?2#J>119`jq)K\`gn~1:1.E0e?fhs'E^bah|34?,R54=df}%GXdcjr=6=*WC@9l1hby!CThofv929W}yban|jaugg[}iuWm1hby!CThofv939991hby!CThofv939&JOm7n`{/MVji`t;=7$O==5lnu-OPlkbz5?5"I?>0:amp*JSadoy080!D3d8gkr(D]cfi2:>/S25>ei|&F_e`k}<4<-V@A6m2iex"B[ilgq808X|zcfokntdf\|jtXl2iex"B[ilgq838682iex"B[ilgq838)KLl0ocz LUknaw:16'N:<6mat.NWmhcu4?4%H4cov,HQojm{6<2#J=f:amp*JSadoy0:0!Q038gkr(D]cfi28>/PFC4c4:Zrtadiyilzjd^zlvZb;:46;:+EBn2iex"B[ilgq8=8)L8:0ocz LUknaw:?6'N:==5lnu-OPlkbz525"I1:amp*JSadoy040!RDE2a>ei|&F_e`k}<8<\pvojk{ojxhjPxnp\g>ei|&F_e`k}TBd8gkr(D]cfiZL.BGf?fhs'E^bah|[C/Fe?fhs'E^bah|[C/F2b>ei|&F_e`k}TB,G6`=df}%GXdcjrUA-U463:+Ba3jd#Ygbes>3:+B6n2iex"Zfmdp?4;(C:l1hby![ilgq858)Y8:0ocz Thofv969&[OL=i5lnu-Wmhcu494Tx~gbcsgbp`bXpfxTo6mat.Vji`t;97l0ocz Thofv979&JOn7n`{/Uknaw:66'Nm7n`{/Uknaw:66'N:j6mat.Vji`t;97$O><:4cov,Plkbz5;5"IR^[_3g8gkr(\`gn~1?1.P33?fhs']cfi2>>/PFCf=df}%_e`k}<3/AFa>ei|&^bah|32?,Gb>ei|&^bah|32?,G5c=df}%_e`k}<3<-@7733jd#Ygbes>1:+B5WY^T<<:4cov,Plkbz585"IR^[_2g8gkr(\`gn~1<1.P33?fhs']cfi2=>/PFCg=df}%_e`k}TBg8gkr(\`gn~YM!CDf8gkr(\`gn~YM!Dd9`jq)SadoyXN K1d9`jq)SadoyXN K2e9`jq)SadoyXN ^f:amp*Rnelx_O#\JG`9`jq)iAYF9~n5lnu-mMUJ5z'No7n`{/oKSH7t)L8n0ocz nHRO6w(C:j1hby!aIQN1v+Wb3jd#cG_L3p-V@A?3jy$CIM\r`9`w*ICKZx%Ho5ls.MGGVt)L8h0o~!@DBQq*A4682ix#BJLSs,G6ZVSW9;;7n} OEAPv+B5WY^T=l5ls.MGGVt)Yj1h"AKCRp-V@Ae3jy$bBxjrmcz`>et'gE}ibny/Ff?fu(fF|n~aov.E3f?fu(fF|n~aov.E027>et'gE}ibny/F1[URX8890o~!aOwgqhd)L;U[XR?k;bq,jJpbzekr"\h4cr-mKscudhs%^HI>c:ap+kIqm{fjuRz|ilaqadrblVrd~R:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJl4fr`,V`gcq8xo7k}m/Sgb`|7u&Mo0j~l Rdcg}4t)L8o0j~l Rdcg}4t)L;;87k}m/Sgb`|7u&M8T\YQ?129ewg)Umhnr= K2^RW[4743oyi#_kndx3q*A4XX]U9=>5isc-Qadb~9{$O>R^[_230?cue'[ojht?}.E0\TQY39:1mo!]e`fz5w(C:VZ_S8j4fr`,V`gcq8x%]k5isc-Qadb~9{$YIJ?l;gqa+Wcflp;ySy}fmbpfeqccWqeyS:5isc-UTD?ohjd%II_\}f/Ff?liee&HN^_|i.E3f?liee&HN^_|i.E0g?liee&HN^_|i.Pd8mjdj'KOY^h!RDE`?liee&N_MNE}fd9jkgk(L]KHGh!Dg9jkgk(L]KHGh!D0d8mjdj'M^JOF|i.E0f?liee&N_MNE}f/S24>ohjd%OXLMDrg,QABdohjd%Ocxz@E/Se?liee&NdyyAJ.SGDe>ohjd%N_1>1c:klfh)B[5:5"Ij4in`n+@U;87$O=i5foco,AV:76'N9=?5foco,AV:76'N9S]ZP0008mjdj'LY7<3 K2^RW[4753`eia"K\<1<-@7YW\V8h7damm.GP858)Yl1bcoc ER>3:+TBO8h0eblb/DQ?4;Ys{`gh~ho{ee]{kwYf3`eia"K\<0<`?liee&OX0<0!De9jkgk(MZ6:2#J>d:klfh)B[5;5"I<>2:klfh)B[5;5"I>/F1[URX9880eblb/DQ?5;(C:VZ_S?m4in`n+@U;97$Zi6g`bl-FW979&[OLm6g`bl-FW949k2cdn`!JS=0=*Ab/F157=ngkg$I^2=>/F1[URX8880eblb/DQ?6;(C:VZ_Sohjd%N_1<1.E0\TQY49;1bcoc ER>1:+B5WY^T8n5foco,AV:56'[n7damm.GP878)ZLMj7damm.GP868d3`eia"K\<2<-@a=ngkg$I^2<>/F2`>ohjd%N_1=1.E026>ohjd%N_1=1.E0\TQY79;1bcoc ER>0:+B5WY^T=<<4in`n+@U;;7$O>R^[_3a8mjdj'LY7?3 ^e:klfh)B[595"_KH1c9jkgk(MZ682Rz|ilaqadrblVrd~Ro4in`n+@U;<7i0eblb/DQ?0;(Cl2cdn`!JS=6=*A7c3`eia"K\<5<-@7753`eia"K\<5<-@7YW\V::>6g`bl-FW929&M8T\YQ>139jkgk(MZ6?2#J=_QV\6447:Zrtadiyilzjd^zlvZg#J=a:klfh)Bz;$Zo6g`bl-Fv7(UMNh0eblb/HMQATtc3`eia"G@RDSq*Ac.SGD54=ngkg$EB]PFRO\BCb59:1bcoc INQ\BVKXNOn9"I?;;hmai*OH[VLXARHId3,G5425foco,MJUXNZGTJKj=.P36?liee&CD_RH\M^DE`7(UMN;:7damm.KLWZ@TEVLMh>?<;hmai*OH[VLXARHId2,G51=ngkg$EB]PFRO\BCb4&M;:86g`bl-JKVYA[DUMJi=!D33:?liee&CD_RH\M^DE`6(C:VZ_S=?6;hmai*OH[VLXARHId2,G6ZVSW8;27damm.KLWZ@TEVLMh> K2^RW[7743`eia"G@S^DPIZ@Al:$Z=85foco,MJUXNZGTJKj<.SGDe>ohjd%YI^|ic:klfh)UMZxm"Ij4in`n+WCTzo$O=i5foco,V@Uun'N9o6g`bl-QAVta&Xo0eblb/SGPvc(UMN30eblb/WRBvg=ngkg$Z]O}.Ea8mjdj'_ZJ~#J>c:klfh)QXHx%H?l4in`n+SVFz'[o7damm.TSEw(UMNh0ebl|/Bnfew7c3`ei"Mce`p2*Acohjz%H`ho}1/G[TZVSW=8;7dams.Aoadt6&LR[Sy}fmsgmehccWgoSi5focq,Gicfz8$Zj6g`br-@h`gu9'XNKn5focq,@QGDCLDn7dams.FWEFMBF'Nm7dams.FWEFMBF'N:j6g`br-GPDELMG$O><:4in`p+ARFKBOE"IR^[_337?lie{&N_MNEJN/F1[URX;8>0ebl|/EVBGNCI&M8T\YQ;159jkgu(L]KHGH@!D3]SPZ3b3`ei"J[ABIFJ+W682cdn~!KT@AHAK(UMNh0ebl|/HMBGQtc3`ei"G@ABVq*Ac4in`p+LIC\HI@~#J=f:klfv)NGM^JOF|!Q038mjdt'@EOXLMDr/PFC<=ngky$EBB>c:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^Z?l;hmaw*OHZ^;%Hi5focq,MJTP9'N:h6g`br-JKWQ6&M8:>6g`br-JKWQ6&M8T\YQ?139jkgu(AFX\=#J=_QV\544ohjz%BCX?!Db9jkgu(AF_:"I?l;hmaw*OH]8$O>o5focq,MJS6&Xn0ebl|/HMV5+TBOh1bco} Lncg5f=ngky$@bok1/Fg?lie{&Fdmi?!D0f8mjdt'Eejh< K2008mjdt'Eejh< K2^RW[5753`ei"B`ae3-@7YW\V;:>6g`br-Okdb6&M8T\YQ=139jkgu(Dfko=#J=_QV\7f=ngky$@bok1/Sf?lie{&Fdmi?!RDE:?lie{&Ynbyl4in`p+Vci|'Nh7dams.Qfjq(C9j1bco} Sdlw*A4692cdn~!\eov-@7YW\V::=6g`br-Pakr)L;U[XR?>1:klfv)Tmg~%H?Q_T^025>ohjz%Xicz!D3]SPZ5692cdn~!\eov-@7YW\V>:=6g`br-Pakr)L;U[XR;>1:klfv)Tmg~%H?Q_T^4a?lie{&Ynby ^d:klfv)Tmg~%^HI>0:klfv)S[VLXARHId031?lie{&^XSK]B_GDg5+B6;2cdn~![S^DPIZ@Al8$O=<=4in`p+QUXNZGTJKj>.E02<>ohjz%__RH\M^DE`4(C:VZ_S=?7;hmaw*RTWOYFSKHk1/F1[URX9880ebl|/UQ\BVKXNOn:"\?;;hmaw*RTWOYFSKHk1/PFC46ohjz%__RH\M^DE`7(C:820ebl|/UQ\BVKXNOn9"I8:klfv)S[VLXARHId3,G6ZVSW;;37dams.VP[CUJWOLo>#J=_QV\74>hFLf@H?k;oCGkprKM8$[MIk4n@FlqqJB9VEIYK??;oCGkprKM8UDNXH!D038jDBh}}FN=RAMUG,G547R^[_135?kGCg|~GI6`NS^KLFjss&M8T\YQ?139mEVYNGKe~x#J=_QV\5f=iIZUBCOazt/Sf?kGTW@EIcxz!RDEe?kGTW@EIcxz!TSQW3>hFg|~DIo5aAnwwK@(WIMi0bLaztNG\KGSAm2dJcxz@E^MAQC(Cn2dJcxz@E^MAQC(C9o1eMb{{OD]LFP@)L;;?7cO`uuMF[JDRN'N9S]ZP0068jDir|FOTCO[I.E0\TQY6m2dJcxz@E^MAQC(V991eMb{{OD]LFP@)ZLM27cLJRS]EWGbhEZVCDNRAMUG,G6ZVSW9;?7cL]_HMA[JDRN'N9S]ZP1028jGTXAFHTCO[I.DZS64=iJ[UBCOQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dI^RG@B^MAQC(V991eN_QFOC]LFP@)ZLM:h6`MR^KLFZIE]OUdclrdcwaaYg{U?7cMNS99mGDU)XHN27cMNS^MAQCd?8;oFWEFMXNZHTCO[I.E0\TQY39>1eHYOLK^DPFZIE]O$O>R^[_434?kBSIJATJ^LPOCWE*A4XX]U==<5aDUC@OZ@TJVEIYK ^129m@QGDCVLXNRAMUG,QAB743gN_MNEPFR@\KGSA&\CFm6`KT@AH[LIEm2dOXLMD_HMA*UGCn2dOXLMD_HMA[LDRN?1eHd`CE`9m@lhKM'ZJHo5aDhlOAZIE]On0bIgaLD]LFP@)Ll1eHd`CE^MAQC(C9l1eHd`CE^MAQC(C:o1eHd`CE^MAQC(BPY8;7cJfnMG\KGSA&LR[Sy}fmsgmehccWgoSi5aDhlOAZIE]O$Zj6`KioNF[JDRN'XNK;5aDhlLAd=iL`dDI#^NDc9m@lhHMVEIYKj4nEkmK@YHJ\L%Hh5aDhlLAZIE]O$O=h5aDhlLAZIE]O$O><=4nEkmK@YHJ\L%H?Q_T^227>hCagENSBLZF/F1[URX9890bIgaOD]LFP@)L;U[XR<>3:lGmkIBWFH^J#J=_QV\7a=iL`dDIRAMUG,R3>hCg|~DIo5aDnwwK@(WIMi0bIaztNG\KGSAm2dOcxz@E^MAQC(Cn2dOcxz@E^MAQC(C9o1eHb{{OD]LFP@)L;o0bIaztNG\KGSA&X?0bD^C289mMUJ5&YKOm6`FPM0\MGSA02dGH^QISCa8jIBTWOYI"]OKd:lO@VYA[KUBNXH7;oNGWZOHJj1e@I]PIN@-TDBe3gFO_RG@Bnwwa>hKLZUBCOazt%MF50=iDMYTEBL`uu,AQCIB&F__h6`CDR]JKGir|'Nn7cBKS^KLFjss&M;n7cBKS^KLFjss&M8:?6`CDR]JKGir|'N9S]ZP0018jIBTW@EIcxz!D3]SPZ76;2dGH^QFOCmvp+B5WY^T>i5aLEQ\MJDh}}$Zj6`CDR]JKGir|'XNK<>4nMFP[LIEg|~%X_][3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H?o4nNG\KGSA&X=0b_OBLVGa?kTFEE]N"]OKc:lQEHJPMVEIYKk4nSCNHRCXGK_M"Ih4nSCNHRCXGK_M"I?i;oPBIIQBWFH^J#J=e:lQEHJPMVEIYK ^7:lQEHSPMk1e^LCZWD,SEAehUID_\IRAMUG,Gb>hUID_\IRAMUG,G5c=iZHG^[HQ@BTD-@7c4:lQKHQBWFH^J#KWP^RW[6473gXDAZKPOCWE*@^WW}ybakaalgg[kcsWm1e^BCXE^MAQC(V02d^H^QISCa8jPBTWOYI"]OKd:lV@VYA[KUBNXH7;oWGWZOHJj1eYI]PIN@-TDBe3g_O_RG@Bnwwa>hRLZUBCOazt%MF50=i]MYTEBL`uu,AQCIB&F__h6`ZDR]JKGir|'Nn7c[KS^KLFjss&M;n7c[KS^KLFjss&M8:?6`ZDR]JKGir|'N9S]ZP0018jPBTW@EIcxz!D3]SPZ76;2d^H^QFOCmvp+B5WY^T>i5aUEQ\MJDh}}$Zj6`ZDR]JKGir|'XNK<>4nTFP[LIEg|~%X_][8:lUIDYNGKi0b[CN_HMA*UGCj2d]ALQFOCmvp`=i^DKTEBL`uu&LAa=i^DKTEBL`uu&R50=i^DKTEBL`uu,AQCIB&F__h6`YM@]JKGir|'Nn7cXBA^KLFjss&M;n7cXBA^KLFjss&M8:?6`YM@]JKGir|'N9S]ZP0018jSKFW@EIcxz!D3]SPZ7c3g\FMRG@Bnww*T`1eijkkgd58tjublno27ob/CC@Pwdtfe&HJOY|!EYR\TQY69;1ym`!MABVq*@^WWY^T><<4r`o,FDESz'OS\R^[_231?wgj'KKHX JXQ]SPZ26m2xja"LNCUp-A]VX|zcf~h`nmdf\j`rXj2xja"LNCUp-Ua=uid%IMNZ}.SGD=>tfe&XJAH@m;scn+WGJMG$Oo6|nm.PBI@H)L8i0~lc R@OFJ+B5981ym`!]ALGM*A4XX]U;=<5}al-QEHCI&M8T\YQ>109qeh)UIDOE"Itfe&XJ_HB!D0a8vdk(ZHYN@#J=109qeh)UIZOG"Itfe&XXSK]B_GDg547.E025>tfe&XXSK]B_GDg5+W6;2xja"\\_GQN[C@c9'XNK?>4r`o,VVYA[DUMJi?Ptrkngwcf|lnTtb|Pf:pbi*TTWOYFSKHk2038vdk(ZZUM_@QIFe0-@447:pbi*TTWOYFSKHk2/F1[URX88=0~lc RR]EWHYANm8%H?Q_T^323>tfe&XXSK]B_GDg6+B5WY^T><94r`o,VVYA[DUMJi;scn+WUXNZGTJKj=.P30?wgj'[YTJ^CPFGf1*WC@:91ym`!]S^DPIZ@Al;UdclrdcwaaYg{Um7ob/SQ\BVKXNOn8=<5}al-QWZ@TEVLMh> K139qeh)U[VLXARHId2,G5445}al-QWZ@TEVLMh> ]EF03?wgj'[YTJ^CPFGf0[qunejxnmykk_ymq[g=uid%YikJ`ndf8vdk(ZllOcck!Dd9qeh)UmoNdbh K1d9qeh)UmoNdbh K2018vdk(ZllOcck!D3]SPZ66;2xja"\jfEmma+B5WY^T=i5}al-QacBhfl$Zj6|nm.PfbAiim'XNKl5}al-QacTbyj1ym`!]egPfu+Bc3{kf#_kiRds-@4btfe&Xnj_k~Sxl`*A763{kf#_kiRdsP}ke)L8;:7ob/SgeV`wTqgi%H???;scn+WcaZl{Xucm!Q008vdk(ZllYi|]vnb,QABg4`03tYn87?i6;;9562e99;1=9o<<6;=h:<<4>4`1a?sR0;3:1=7?53d4xW`3=9o<157?<4c335?73i:h0Z:1/=::51g78f4`5290?;7:?a;1f2~N6mo1/=hk51g08^fd=:r8265<#9>=15=5a1649a>=n1o0;6)?87;;3?k70>3l07d9j:18'521=191e=:858:9j3f<72-;<;77?;o342??<3`=j6=4+1659=5=i9><1m65f7983>!70?33;7c?86;`8?l11290/=:95919m520=k21b;94?:%343??73g;<:7j4;h;2>5<#9>=15=5a16494>=n0l0;6)?87;;3?k70>3;07d6l:18'521=191e=:852:9j<1865f8583>!70?33;7c?86;78?l>5290/=:95919m520=>21b4=4?:%343??73g;<:794;ha`>5<>obi3:17d::4;29?l27k3:17d:<5;29?l2dk3:17d67:18'521=191e=:851798m<5=83.:;:460:l233<6=21b584?:%343??73g;<:7?;;:k:3?6=,8=<64>4n055>45<3`326=4+1659=5=i9><1=?54i8`94?"6?>02<6`>77825>=n1m0;6)?87;;3?k70>3;;76a;b783>>i4ml0;66a;5`83>>i3i10;66a;2483>>i38o0;66a>7`83>!70?3;778a?>i6080;6)?87;34a>h6??0j76a>8283>!70?3;778:?>i60<0;6)?87;34a>h6??0376a>8683>!70?3;7784?>i6000;6)?87;34a>h6??0=76a>8c83>!70?3;7786?>i60m0;6)?87;34a>h6??0?76a>9083>!70?3;7781?>i38<0;66a;4e83>>i3>10;66a;9983>>i3k<0;66a>i39m0;66a;3983>>-30<0;66a>8g83>!70?3;7780?>i6?o0;6)?87;34a>h6??0;76a>7b83>!70?3;7782?>i4m80;66a>i3<;0;66a;6g83>>i3??0;66a;7e83>>i30m0;66ak2;29?jb72900nl<50;394?6|,8li6<9<;I3fb>o6?:0;6)?ib;347>"6>;0?m6*>7487e>=zjj21<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3;87):k3;30?x{zu2wim=4?:083>5}#9oh1=:=4H0ge?l70;3:1(>7)?85;66?>{ek>0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32890(9j<:018yx{z3th51;294~"6nk0:;>5G1dd8m414290/=kl51618 40528o0(<9::0g8?xdf03:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=9:1/8i=5129~yx{:183!7aj3;eg9j525=83.:jo4>729'534=9j1/=:;51b98ygg029096=4?{%3ef?ef3A;nj6g>0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1<6;2.?h>4>3:~yx=zj>h1<7?50;2x 4`e28=87E?jf:k236<72-;mn7?83:&227<6j2.:;84>b:9~fd0=8381<7>t$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?743->o?7?<;|~y>{e?00;6<4?:1y'5cd=9>90D::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi745<,=n86<=4}|~?xd0?3:1=7>50z&2bg<6?:1C=hh4i050>5<#9oh1=:=4$041>0g<,8=>68o4;|`b0?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e6956=#5r}|8yg12290:6=4?{%3ef?70;2B:ik5f16194?"6nk0:;>5+170917=#9>?19?54}cc0>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d5827>"3l:0:?6sr}|9~f<4=83;1<7>t$0da>4143A;nj6g>7283>!7aj3;6381e>"6?<09m65rbb294?4=83:p(5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;30?!2c;3;87psr}:a7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:018 1b42890qpsr;|`;`?6=93:1fc8236=O9ll0e<9<:18'5cd=9>90(<8=:368 4122;>07plm9;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=5<6290;w)?ib;347>N6mo1b=:=50;&2bg<6?:1/=;<5229'523=::10qol7:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94>3:&7`6<6;2wvqp5rb9594?7=83:p(4?:%3ef?70;2.::?4=2:&230<5:21vno?50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87?<;%6g7?743twvq6sm8483>4<729q/=kl51618L4ca3`;5$0da>4143-;=>7<>;%341?4632wimo4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1(45729K5``4$056>76<3thjm7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1=>5+4e1956=zutw0qo6>:182>5<7s-;mn7?83:J2ac=n9>91<7*>fc8236=#9?81=k5+16795c=739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0:?6*;d2827>{zut1vnnj50;394?6|,8li6<9<;I3fb>o6?:0;6)?ib;347>"6>;09;6*>74813>=zjjo1<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3l0(9j<:g9~yx{739K5cg<^8lo64?:%3ef?70;2.::?4=c:&230<5k21vn<>>:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94i;%6g7?`0383>7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153A;mm6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?`<,=n86k5r}|8yg5bk3:1=7>50z&2bg<6?:1C=hh4i050>5<#9oh1=:=4$041>67<,8=>6>?4;|`0aa<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi745<,=n86<=4}|~?xd3l90;6<4?:1y'5cd=9>90Dt$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?743->o?7?<;|~y>{e9?o1<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3;87):k3;30?x{zu2wi88650;394?6|,8li6<9<;I3fb>o6?:0;6)?ib;347>"6>;08<6*>74804>=zj=?26=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0:?6*;d2827>{zut1vn9o9:182>5<7s-;mn7?83:J2ac=n9>91<7*>fc8236=#9?81??5+167977=j;7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1=>5+4e1956=zutw0qoji:182>5<7s-;mn7?83:J2ac=n9>91<7*>fc8236=#9?81>55+16796==739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0:?6*;d2827>{zut1vnh?50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>N6nh1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58e?!2c;3l0qpsr;|`ff?6=93:1fc8236=O9ll0e<9<:18'5cd=9>90(<8=:3`8 4122;h07plid;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=fc8236=O9ll0e<9<:18'5cd=9>90(<8=:4f8 41227<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:018 1b42890qpsr;|`74a<7280;6=u+1g`9525<@8om7d?83;29 4`e28=87)?92;6f?!70=3>n76sm41g94?4=83:p(5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;30?!2c;3;87psr}:a060=83;1<7>t$0da>4143A;nj6g>7283>!7aj3;63864>"6?<0><65rb514>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d5827>"3l:0:?6sr}|9~f1ec290:6=4?{%3ef?70;2B:ik5f16194?"6nk0:;>5+17090c=#9>?18k54}c6`a?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e6956=#5r}|8yg>>290:6=4?{%3ef?70;2B:ik5f16194?"6nk0:;>5+17091c=#9>?19k54}c`4>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d5827>"3l:0:?6sr}|9~f<2=83;1<7>t$0da>4143A;nj6g>7283>!7aj3;63854>"6?<0=<65rbb394?4=83:p(5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;30?!2c;3;87psr}:a=3<7280;6=u+1g`9525<@8om7d?83;29 4`e28=87)?92;42?!70=3<:76smc383>7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:018 1b42890qpsr;|`:fc8236=O9ll0e<9<:18'5cd=9>90(<8=:708 4122?807pll3;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=5<6290;w)?ib;347>N6mo1b=:=50;&2bg<6?:1/=;<5249'523=:<10qom;:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94>3:&7`6<6;2wvqp5rb8a94?7=83:p(4?:%3ef?70;2.::?4=f:&230<5n21vnn;50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87?<;%6g7?743twvq6sm9d83>4<729q/=kl51618L4ca3`;5$0da>4143-;=>7=8;%341?5032wio;4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1(454<729q/=kl51618L4ca3f;5$0da>4143-;=>7:k;%341?2c32wi8o850;194?6|,8li6o68<0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>049Ub`<5s->o87?>;%6g7?763twvq6gj6;29 4`e2l<0Z`0<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:om6=4>:183!7aj3;eg9l525=83.:jo4>729'534===1/=:;55598yg5bm3:1?7>50z&2bg<6mm1C=hh4i026>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:>7[hj:3y'0a2=981/8i=5109~yx{fc8f2>P6nm0:wA?if;3xR`?=:r.:;44j6:Tea?4|,=n?6l5+4e19e>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`71g<7280;6=u+1g`9525<@8om7b?83;29 4`e28=87)?92;72?!70=3?:76sm44c94?5=83:p({M3eb?7|^l31>v*>788240=Qnl09w):k4;32?!2c;3;:7psr}:kf2?6=,8li6h84V0dg>4}K9ol1=vXj9;0x 41>2l<0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn9o6:182>5<7s-;mn7?83:J2ac=h9>91<7*>fc8236=#9?819>5+167916=j47>53;294~"6nk0:ii5G1dd8m462290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>:;Wdf>7}#1=<5+4e1954=zutw0eh850;&2bg2\:ji4>{M3eb?7|^l31>v*>788f2>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd3:?0;6<4?:1y'5cd=9>90Dt$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?763->o?7?>;|~y>{e<8:1<7?50;2x 4`e28=87E?jf:m236<72-;mn7?83:&227<3k2.:;84;c:9~f16a29096=4?{%3ef?ef3A;nj6g>0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1<692.?h>4>1:~yx=zj8=i6=4>:183!7aj3;eg9l525=83.:jo4>729'534=;o1/=:;53g98yg70i3:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=981/8i=5109~yx{7>51;294~"6nk0:;>5G1dd8k414290/=kl51618 4052:n0(<9::2f8?xd6080;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b328;0(9j<:038yx{z3th:494?:083>5}#9oh1=:=4H0ge?j70;3:1({e9191<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3;:7):k3;32?x{zu2wi=5850;394?6|,8li6<9<;I3fb>i6?:0;6)?ib;347>"6>;0856*>7480=>=zj82>6=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0:=6*;d2825>{zut1vn<67:182>5<7s-;mn7?83:J2ac=h9>91<7*>fc8236=#9?81?55+16797==52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1=<5+4e1954=zutw0qo?7a;295?6=8r.:jo4>729K5``o4$056>6g<3th:444?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1(478b83>4<729q/=kl51618L4ca3f;5$0da>4143-;=>7=l;%341?5d32wi=5l50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87?>;%6g7?763twvq6sm19g94?7=83:p(4?:%3ef?70;2.::?45<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94>1:&7`6<692wvqp5rb0;1>5<6290;w)?ib;347>N6mo1d=:=50;&2bg<6?:1/=;<5439'523=<;10qo?61;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=fc8236=O9ll0c<9<:18'5cd=9>90(<8=:5`8 4122=h07pl;0483>7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:038 1b428;0qpsr;|`70`<7280;6=u+1g`9525<@8om7b?83;29 4`e28=87)?92;7:?!70=3?276sm45f94?4=83:p(5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;32?!2c;3;:7psr}:a03?=83;1<7>t$0da>4143A;nj6a>7283>!7aj3;63802>"6?<08:65rb54;>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d5825>"3l:0:=6sr}|9~f1?>290:6=4?{%3ef?70;2B:ik5`16194?"6nk0:;>5+170976=#9>?1?>54}c6:fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e6954=#3:1=7>50z&2bg<6?:1C=hh4o050>5<#9oh1=:=4$041>0c<,8=>68k4;|`7g0<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi747<,=n8690Dt$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?763->o?7?>;|~y>{e<8o1<7?50;2x 4`e28=87E?jf:m236<72-;mn7?83:&227<4=2.:;84<5:9~f17c29096=4?{%3ef?ef3A;nj6g>0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1<692.?h>4>1:~yx=zj=926=4>:183!7aj3;eg9l525=83.:jo4>729'534==>1/=:;55698yg2403:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=981/8i=5109~yx{3:7>51;294~"6nk0:;>5G1dd8/414290/=kl51618 40524?:1y'5cd=9ln0D:;W3e`?7|D8lm6fg82Sc>2;q/=:75e79Ub`<5s->o87o4$5f0>d=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a5<6=83;1<7>t$0da>4143A;nj6a>7283>!7aj3;63874>"6?<0?<65rb0:e>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d5825>"3l:0:=6sr}|9~f4>7290:6=4?{%3ef?70;2B:ik5`16194?"6nk0:;>5+170903=#9>?18;54}c34b?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e6954=#50z&2bg<6?:1C=hh4o050>5<#9oh1=:=4$041>17<,8=>69?4;|`23f<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi747<,=n8690Dt$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?763->o?7?>;|~y>{e;oi1<7?50;2x 4`e28=87E?jf:m236<72-;mn7?83:&227<202.:;84:8:9~f6`e29096=4?{%3ef?ef3A;nj6g>0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1<692.?h>4>1:~yx=zj=>86=4>:183!7aj3;eg9l525=83.:jo4>729'534==k1/=:;55c98yg23:3:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=981/8i=5109~yx{<<7>51;294~"6nk0:;>5G1dd8k414290/=kl51618 4052=20(<9::5:8?xd3>o0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b328;0(9j<:038yx{z3th?;:4?:083>5}#9oh1=:=4H0ge?j70;3:1(27)?85;6:?>{e<><1<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3;:7):k3;32?x{zu2wi8:k50;394?6|,8li6<9<;I3fb>i6?:0;6)?ib;347>"6>;0?;6*>74873>=zj==o6=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0:=6*;d2825>{zut1vn96j:182>5<7s-;mn7?83:J2ac=h9>91<7*>fc8236=#9?81?95+167971=3h7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1=<5+4e1954=zutw0qoj<:182>5<7s-;mn7?83:J2ac=h9>91<7*>fc8236=#9?818>5+167906=739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0:=6*;d2825>{zut1vni?50;394?6|,8li6<9<;I3fb>i6?:0;6)?ib;347>"6>;0?86*>74870>=zjm:1<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3;:7):k3;32?x{zu2wi8oo50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:m8;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c6af?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a0f2=8391<7>t$0da>4`?3A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=>5+4e1956=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a0f4=83<1<7>t$0da>4643A;nj6*>2782<>o68<0;6)?ib;331>P6nm0:wA?if;3xR4062;q/=:751178Rcc=:r.?h94n;%6g7?g`4<^oo1>v*;d58b?!2c;3k0qpsr;hg0>5<#9oh1i>5Y1gf96~J6no0:w[?91;0x 41>2l90Zkk52z&7`1o?7m4}|O5cc=7}#5+2b;90a21b33t\n474623-8h57:k3:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?c53-8h57:k4:'16b=j2w]=:652zTf3?4|,=n96h=4$3a:>1b33t.>?i4>7:~y>o6mk0;6)?ib;3ff>P6nm09wA?if;3xR4062;q/=:751d`8Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7bj2.9o44;d59~ 05c28<0qps4i0f4>5<#9oh1=i94V0dg>7}K9ol1=vX>6081!7013;o;6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51e58 7e>2=n?7p*:3e822>{zu2e:;?4?:%3ef?70:21vn<>;:182>5<7s-;mn781<7*>fc8237=51;294~"6nk0:;=5G1dd8k415290/=kl51608?xd3jj0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?l76:3:1({M3eb?7|^l31>v*>788257=Qnl09w):k4;36?!2c;3;>7psr}:k25a<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>5:&7`6<6=2wvqp5f13294?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<7}#1=85+4e1950=zutw0e<<>:18'5cd=99?0D7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb5a3>5<>290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788243=Qnl09w):k4;36?!2c;3;>7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;36?!2c;3;>7psr}:k25a<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3;>7):k3;36?x{zu2c:>=4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<6=2.?h>4>5:~yx=n9;;1<7*>fc8240=O9ok0Z4463_ln6?u+4e6950=#7}#1=85+4e1950=zutw0e<<<:18'5cd=99?0Z4443_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi8ok50;194?6|,8li6<9>;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{h?7>53;294~"6nk0<>6F>eg9j550=83.:jo4>049K5cg<^8lo60683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0o7):k3;f8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb2d3>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`0b4<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4n00;6;4?:1y'5cd=9990D5$0da>4623_;mh7?tL0de>4}Q9?;1>v*>788240=Qnl09w):k4;c8 1b42h1vqps4id094?"6nk0n>6X>fe82I7an3;pZ<8>:3y'52?=m;1]jh4={%6g0?g<,=n86l5r}|8m`5=83.:jo4j3:T2ba<5sE;mj7?tV042>7}#9>31i>5Yfd81!2c<3i0(9j<:b9~yxJ6nl0?w[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7<68<1/>n754e68y!34l3;97pX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f0?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b52l80(?m6:5f7?x"2;m0i7pX>7981Sc02;q/8i<5e29'6f?=0q);5$0da>4ce3_;mh74}Q9?;1>v*>7882ag=Qnl09w):k4;35?!2c;3;=7psrL0df>4}Q9o>1>vXj7;0x 1b528oi7){#=:n1=;5r}|9j5a1=83.:jo4>d69U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382`2=#:j318i:4}%70`?713twv7b?82;29 4`e28=976sm3g194?5=83:p({M3eb?7|^l31>v*>788243=Qnl09w):k4;c8 1b42h1vqps4i024>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=i2.?h>4n;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo=i4;295?6=8r.:jo4=039K5``5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0D7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb2d4>5<4290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi?k;50;194?6|,8li6<9>;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{53;294~"6nk0<>6F>eg9j550=83.:jo4>049K5cg<^8lo60683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0o7):k3;f8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb57`>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`71a<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd3>?0;6;4?:1y'5cd=9990D5$0da>4623_;mh7?tL0de>4}Q9?;1>v*>788240=Qnl09w):k4;c8 1b42h1vqps4id094?"6nk0n>6X>fe82I7an3;pZ<8>:3y'52?=m;1]jh4={%6g0?g<,=n86l5r}|8m`5=83.:jo4j3:T2ba<5sE;mj7?tV042>7}#9>31i>5Yfd81!2c<3i0(9j<:b9~yxJ6nl0?w[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7<68<1/>n754e68y!34l3;97pX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f0?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b52l80(?m6:5f7?x"2;m0i7pX>7981Sc02;q/8i<5e29'6f?=0q);5$0da>4ce3_;mh74}Q9?;1>v*>7882ag=Qnl09w):k4;35?!2c;3;=7psrL0df>4}Q9o>1>vXj7;0x 1b528oi7){#=:n1=;5r}|9j5a1=83.:jo4>d69U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382`2=#:j318i:4}%70`?713twv7b?82;29 4`e28=976sm44d94?5=83:p(o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`725<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm47094?2=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=<86=4;:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd3>=0;684?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?l76:3:1(2:Tea?4|,=n?6<;4$5f0>43d;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76l2\mi743<,=n86<;4}|~?j70:3:1({zut1vn98>:187>5<7s-;mn7?81:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb544>5<4290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7j4}|~?l77?3:1({M3eb?7|^l31>v*>788242=Qnl09w):k4;f8 1b42m1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{jn7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8lm50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:m4;292?6=8r.:jo4>029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);5<#9oh1=hl4V0dg>7}K9ol1=vX>6081!7013;nn6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51d`8 7e>2=n?7p*:3e822>{zu2c:h:4?:%3ef?7c?2\:ji4={M3eb?7|^8<:6?u+16;95a1<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;o;6*=c887`1=z,<9o6<84}|8k415290/=kl51608?xd3im0;6>4?:1y'5cd=9o20D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{ji7>51;294~"6nk095<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9<1/8i=5149~yx{5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:m2;291?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e6950=#8;Wdf>7}#1=85+4e1950=zutw0e4753_ln6?u+4e6950=#7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a0d`=83>1<7>t$0da>4163A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87j4$5f0>a=zutw0e<>8:18'5cd=99?0D81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb2a5>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>g=z^8=36?uYe681!2c:3o87){#=:n1=:5r}|9j5`d=83.:jo4>ec9U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382ag=#:j318i:4}%70`?713twv7d?k7;29 4`e28n<7[?id;0xH4`a28q]=;?52z&23<<6l>1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=h9>81<7*>fc8237=53;294~"6nk0:j55G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn>ll:182>5<7s-;mn781<7*>fc8237=53;294~"6nk0<>6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4jo0;6>4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=i2.?h>4n;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87o4$5f0>d=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a7f6=8391<7>t$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7c=#049K5cg<^8lo65<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;j;1<7=50;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`0g7<72=0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69b>"3l:0m7psr}:k242<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g1<729q/=kl5739K5``0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th8o94?:583>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1j6*;d28e?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4jm0;654?:1y'5cd=9>;0D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?g2;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=5$0da>4623_;mh7?tL0de>4}Qm009w)?89;314>Pam38p(9j;:`9'0a5=i2wvqp5f13394?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;;0Zkk52z&7`1o?7o4}|~?l75:3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2`c<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#o?7o4}|~?l77?3:1(45d=#290=6=4?{%3ef?77;2B:ik5+13495==n99?1<7*>fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7809w)?89;3ff>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9lh0(?m6:5f7?x"2;m0::6sr}:k2`2<72-;mn7?k7:T2ba<5sE;mj7?tV042>7}#9>31=i94Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3g3>"5k00?h95r$41g>40807pl>e083>6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn5<7s-;mn781<7*>fc8237=55;294~"6nk0<>6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:96*;d2821>{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9j544=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1b=v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th:i84?:483>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6950=#7}#1=85+4e1950=zutw0e7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb0g5>5<2290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788243=Qnl09w):k4;36?!2c;3;>7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;36?!2c;3;>7psr}:k25a<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6m>0;684?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?l76:3:1(2:Tea?4|,=n?6<;4$5f0>43d;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76l2\mi743<,=n86<;4}|~?j70:3:1({zut1vn5<7s-;mn7?81:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0j7):k3;c8yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6m90;6>4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?j70:3:1({zut1vn??i:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6;2.?h>4>3:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e826>{Q9>21>vXj7;0x 1b52l90(?m6:5f7?x"2;m0:;6sr}:k2ag<72-;mn7?jb:T2ba<5sE;mj7?tV042>7}#9>31=hl4Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3ff>"5k00?h95r$41g>4040<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>i6?;0;6)?ib;346>=zj;8:6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5:;0;6<4?:1y'5cd=:980Dt$0da>4173A;nj6a>7383>!7aj3;<>65rb303>5<3290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb3;6>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb3;7>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>44402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{5}#9oh1=k64H0ge?l77>3:1({M3eb?7|^l31>v*>788243=Qnl09w):k4;c8 1b42h1vqps4i024>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=i2.?h>4n;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo<61;295?6=8r.:jo4=039K5``5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>o69m0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>1e9Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4?n3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi>4850;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo<6f;292?6=8r.:jo4>029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e:021<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9544?:083>5}#9oh1>=<4H0ge?j70:3:1({e:0h1<7=50;2x 4`e2>80D:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;3h6=4<:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a61<7>t$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:k257<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=?5Yfd81!2c<3;>7):k3;36?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c0:e?6=<3:1fc8234=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4>?3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi>l>50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e:h81<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9m>4?:083>5}#9oh1>=<4H0ge?j70:3:1({e:h?1<7=50;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`1e3<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qofc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5i10;694?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?l76:3:1(2:Tea?4|,=n?6<;4$5f0>43d=#6=4?{%3ef?7092B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d58b?!2c;3k0qpsr;h32`?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl5739K5``2\mi743<,=n86<;4}|~?l77?3:1(43d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5:l0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>3:&7`6<6;2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5:m0;6;4?:1y'5cd=9990D5$0da>4623_;mh7?tL0de>4}Q9?;1>v*>788240=Qnl09w):k4;c8 1b42h1vqps4id094?"6nk0n>6X>fe82I7an3;pZ<8>:3y'52?=m;1]jh4={%6g0?g<,=n86l5r}|8m`5=83.:jo4j3:T2ba<5sE;mj7?tV042>7}#9>31i>5Yfd81!2c<3i0(9j<:b9~yxJ6nl0?w[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7<68<1/>n754e68y!34l3;97pX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f0?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b52l80(?m6:5f7?x"2;m0:>6sY16:96~Pb?38p(9j=:d18 7e>2=n?7p*:3e823>{zu2c:io4?:%3ef?7bj2\:ji4={M3eb?7|^8<:6?u+16;95`d<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;nn6*=c887`1=z,<9o6<84}|8m4b0290/=kl51e58R4`c2;qG=kh51zT224<5s-;<57?k7:Tea?4|,=n?6<84$5f0>402wvq6a>7383>!7aj3;<>65rb30:>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g4<729q/=kl52108L4ca3f;<>7>5$0da>41532wi>?l50;32>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>o69;0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6g>1e83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?723->o?7?:;|~y>o6:90;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?:;%6g7?723twvq6g>2083>!7aj3;;96X>fe82I7an3;pZh752z&23<<6:81]jh4={%6g0?723->o?7?:;|~y>o6:;0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>239Ub`<5s->o87?:;%6g7?723twvq6g>2283>!7aj3;;96X>fe82I7an3;pZh752z&23<<6::1]jh4={%6g0?723->o?7?:;|~y>o6:=0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>259Ub`<5s->o87?:;%6g7?723twvq6g>2483>!7aj3;;96X>fe82I7an3;pZh752z&23<<6:<1]jh4={%6g0?723->o?7?:;|~y>o6810;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>099Ub`<5s->o87o4$5f0>d=zutw0e<>6:18'5cd=99?0Z46>3_ln6?u+4e69e>"3l:0j7psr}:k24d<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:0b83>!7aj3;;96X>fe82I7an3;pZh752z&23<<68j1]jh4={%6g0?g<,=n86l5r}|8m46c290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>k;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c01fc846>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9<1/8i=5149~yx{5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c002?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;87):k3;30?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c001?6=>3:1fc8246=O9ll0(<<9:0:8m462290/=kl51178R4`c28qG=kh51zT224<5s-;<57??5:Tea?4|,=n?6l5+4e19e>{zut1bi?4?:%3ef?c53_;mh7?tL0de>4}Q9?;1>v*>788f6>Pam38p(9j;:`9'0a5=i2wvqp5fe283>!7aj3o87[?id;0xH4`a28q]=;?52z&23<f=#uYe681!2c:3o87){Qm109w):k2;331>"5k00?h95r$41g>44v*;d38240=#:j318i=4}%70`?753t\:in4<{Wg4>7}#5+2b;90a27}#5+2b;90a2ec9Ub`<5s->o87?9;%6g7?713twv@4ce3-8h57:k4:'16b=9?1vqp5f1e594?"6nk0:h:5Y1gf96~J6no0:w[?91;0x 41>28n<7[hj:3y'0a2=9?1/8i=5179~yxJ6nl0:w[?i4;0xR`1=:r.?h?4>d69'6f?=0q);7>5$0da>41532wi>>?50;194?6|,8li6o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{7>51;294~"6nk0980D:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328?0(9j<:078yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:078 1b428?0qpsr;h314?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;315>Pam38p(9j;:078 1b428?0qpsr;h316?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328?0(9j<:078yx{z3`;9?7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;317>Pam38p(9j;:078 1b428?0qpsr;h310?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;311>Pam38p(9j;:078 1b428?0qpsr;h33:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33=>Pam38p(9j;:`9'0a5=i2wvqp5f11c94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99k0Zkk52z&7`1o?7o4}|~?l77j3:1({zut1b==m50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;955e<^oo1>v*;d58b?!2c;3k0qpsr;h33`?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g1<729q/=kl5739K5``2\mi743<,=n86<;4}|~?l77?3:1(432;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi743<,=n86<;4}|~?j70:3:1({zut1vn?=i:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6;2.?h>4>3:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e826>{Q9>21>vXj7;0x 1b52l90(?m6:5f7?x"2;m0:;6sr}:k2ag<72-;mn7?jb:T2ba<5sE;mj7?tV042>7}#9>31=hl4Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3ff>"5k00?h95r$41g>4040<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>i6?;0;6)?ib;346>=zj;>:6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5<;0;6<4?:1y'5cd=:980Dt$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi743<,=n86<;4}|~?l77?3:1(43d=#0783>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:96*;d2821>{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9j544=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`102<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#o?7o4}|~?l77?3:1(45d=#fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7809w)?89;3ff>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9lh0(?m6:5f7?x"2;m0::6sr}:k2`2<72-;mn7?k7:T2ba<5sE;mj7?tV042>7}#9>31=i94Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3g3>"5k00?h95r$41g>40807pl=4883>6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn?:n:182>5<7s-;mn781<7*>fc8237=53;294~"6nk0<>6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:96*;d2821>{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9854?:583>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;>m6=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th99;4?:283>5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5827>"3l:0:?6sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9984?:783>5}#9oh1===4H0ge?!75>3;37d??5;29 4`e28:>7[?id;3xH4`a28q]=;?52z&23<<68<1]jh4={%6g0?g<,=n86l5r}|8m`4=83.:jo4j2:T2ba<6sE;mj7?tV042>7}#9>31i?5Yfd81!2c<3k0(9j<:`9~yx{fc8f7>P6nm09wA?if;3xR4062;q/=:75e29Ub`<5s->o87m4$5f0>f=zutF:jh4;{W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a27}#1b43t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf1b33t.>?i4>2:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f73629086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`117<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm24194?2=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;?;6=4;:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5=>0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;?n6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:018 1b42890qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;?o6=49:183!7aj3;;?6F>eg9'570=911b==;50;&2bg<68<1]=kj51zN2bc<6s_;==74623_ln6?u+4e69e>"3l:0j7psr}:kf6?6=,8li6h<4V0dg>4}K9ol1=vX>6081!7013o97[hj:3y'0a2=i2.?h>4n;|~y>ob;3:1(7}#1o6*;d28`?x{zD8ln69uY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`6=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0n>6*=c887`1=z,<9o6<<4}W34v*;d38f7>"5k00?h95r$41g>4140<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>o6l>0;6)?ib;3g3>P6nm09wA?if;3xR4062;q/=:751e58Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7c?2.9o44;d59~ 05c28<0qps4o051>5<#9oh1=:<4;|`11<<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb37b>5<6290;w)?ib;036>N6mo1d=:<50;&2bg<6?;10qo<:b;291?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5f10f94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98n0Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g1<729q/=kl5739K5``2\mi743<,=n86<;4}|~?l77?3:1(432;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi743<,=n86<;4}|~?j70:3:1({zut1vn?;i:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6;2.?h>4>3:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e826>{Q9>21>vXj7;0x 1b52l90(?m6:5f7?x"2;m0:;6sr}:k2ag<72-;mn7?jb:T2ba<5sE;mj7?tV042>7}#9>31=hl4Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3ff>"5k00?h95r$41g>4040<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>i6?;0;6)?ib;346>=zj;<:6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5>;0;6<4?:1y'5cd=:980Dt$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi743<,=n86<;4}|~?l77?3:1(432;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi743<,=n86<;4}|~?l76l3:1(d:Tea?4|,=n?6<;4$5f0>437[?id;3xH4`a28q]i44={%34=?7582\mi743<,=n86<;4}|~?j70:3:1({zut1vn?8?:187>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9::4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vn?8j:180>5<7s-;mn7?i8:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi745<,=n86<=4}|~?j70:3:1({zut1vn?8k:185>5<7s-;mn7??3:J2ac=#9;<1=55f11794?"6nk0:<85Y1gf95~J6no0:w[?91;0x 41>28:>7[hj:3y'0a2=i2.?h>4n;|~y>ob:3:1(7}#1m6*;d28b?x{zu2cn?7>5$0da>`5<^8lo6?uC1gd95~P6>809w)?89;g0?S`b2;q/8i:5c:&7`6`5<,;i269j;;|Tf:;%0`=?2c<2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l:1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4j2:&1g<<3l=1v(8=k:008yS70038pZh952z&7`75<#9oh1=hl4V0dg>7}K9ol1=vX>6081!7013;nn6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51d`8 7e>2=n?7p*:3e822>{zu2c:h:4?:%3ef?7c?2\:ji4={M3eb?7|^8<:6?u+16;95a1<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;o;6*=c887`1=z,<9o6<84}|8k415290/=kl51608?xd5>00;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9j54b=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31={zut1b=?>50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d5821>"3l:0:96sr}|9j577=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`12=<72=0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328?0(9j<:078yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb34e>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`133<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:?6*;d2827>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`130<72?0;6=u+1g`9555<@8om7)?=6;3;?l77=3:1(049Ub`<5s->o87o4$5f0>d=zutw0eh<50;&2bg{M3eb?7|^8<:6?u+16;9a7=Qnl09w):k4;c8 1b42h1vqps4id194?"6nk0n?6X>fe81I7an3;pZ<8>:3y'52?=m:1]jh4={%6g0?e<,=n86n5r}|N2b`<3s_;no7=tVd596~"3l;0n?6*=c887`1=z^l21>v*;d38240=#:j318i:4}%70`?753t\:in4<{Wg4>7}#5+2b;90a21b33t\n47`4<,;i269j;;|&67a<6:2w]=:652zTf3?4|,=n96h=4$3a:>1b33t.>?i4>7:~y>o6mk0;6)?ib;3ff>P6nm09wA?if;3xR4062;q/=:751d`8Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7bj2.9o44;d59~ 05c28<0qps4i0f4>5<#9oh1=i94V0dg>7}K9ol1=vX>6081!7013;o;6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51e58 7e>2=n?7p*:3e822>{zu2e:;?4?:%3ef?70:21vn?9>:180>5<7s-;mn7?i8:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9;?4?:083>5}#9oh1>=<4H0ge?j70:3:1({e:>91<7650;2x 4`e2>80D:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328?0(9j<:078yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:078 1b428?0qpsr;h314?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;315>Pam38p(9j;:078 1b428?0qpsr;h316?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328?0(9j<:078yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb353>5<3290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb35f>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb35g>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>44402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;=j6=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg40j3:157>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<6=2.?h>4>5:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>5:&7`6<6=2wvqp5f13294?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<6=2.?h>4>5:~yx=n9;;1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751338Rcc=:r.?h94>5:&7`6<6=2wvqp5f13094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;80Zkk52z&7`1<6=2.?h>4>5:~yx=n9;91<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751318Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5?10;694?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?l76:3:1(2:Tea?4|,=n?6<;4$5f0>43d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd50?0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>3:&7`6<6;2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd50<0;6;4?:1y'5cd=9990D5$0da>4623_;mh7?tL0de>4}Q9?;1>v*>788240=Qnl09w):k4;c8 1b42h1vqps4id094?"6nk0n>6X>fe82I7an3;pZ<8>:3y'52?=m;1]jh4={%6g0?g<,=n86l5r}|8m`5=83.:jo4j3:T2ba<5sE;mj7?tV042>7}#9>31i>5Yfd81!2c<3i0(9j<:b9~yxJ6nl0?w[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7<68<1/>n754e68y!34l3;97pX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f0?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b52l80(?m6:5f7?x"2;m0:>6sY16:96~Pb?38p(9j=:d18 7e>2=n?7p*:3e823>{zu2c:io4?:%3ef?7bj2\:ji4={M3eb?7|^8<:6?u+16;95`d<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;nn6*=c887`1=z,<9o6<84}|8m4b0290/=kl51e58R4`c2;qG=kh51zT224<5s-;<57?k7:Tea?4|,=n?6<84$5f0>402wvq6a>7383>!7aj3;<>65rb3:2>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g4<729q/=kl52108L4ca3f;<>7>5$0da>41532wi>5=50;32>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>o69;0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6g>1e83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?723->o?7?:;|~y>o6:90;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?:;%6g7?723twvq6g>2083>!7aj3;;96X>fe82I7an3;pZh752z&23<<6:81]jh4={%6g0?723->o?7?:;|~y>o6:;0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>239Ub`<5s->o87?:;%6g7?723twvq6g>2283>!7aj3;;96X>fe82I7an3;pZh752z&23<<6::1]jh4={%6g0?723->o?7?:;|~y>o6:=0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>259Ub`<5s->o87?:;%6g7?723twvq6g>2483>!7aj3;;96X>fe82I7an3;pZh752z&23<<6:<1]jh4={%6g0?g<,=n86l5r}|8m46?290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>7;Wdf>7}#1m6*;d28b?x{zu2c:<44?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>78824<=Qnl09w):k4;c8 1b42h1vqps4i02b>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:j7[hj:3y'0a2=i2.?h>4n;|~y>o68k0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>0c9Ub`<5s->o87o4$5f0>d=zutw0e<>l:18'5cd=99?0Z46d3_ln6?u+4e69e>"3l:0j7psr}:k24a<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo?;a;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c363?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;87):k3;30?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c362?6=>3:1fc8246=O9ll0(<<9:0:8m462290/=kl51178R4`c28qG=kh51zT224<5s-;<57??5:Tea?4|,=n?6l5+4e19e>{zut1bi?4?:%3ef?c53_;mh7?tL0de>4}Q9?;1>v*>788f6>Pam38p(9j;:`9'0a5=i2wvqp5fe283>!7aj3o87[?id;0xH4`a28q]=;?52z&23<f=#uYe681!2c:3o87){Qm109w):k2;331>"5k00?h95r$41g>44v*;d38240=#:j318i=4}%70`?753t\:in4<{Wg4>7}#5+2b;90a2402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{5}#9oh1=k64H0ge?l77>3:1({M3eb?7|^l31>v*>788243=Qnl09w):k4;c8 1b42h1vqps4i024>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=i2.?h>4n;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo?;c;295?6=8r.:jo4=039K5``5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi=9h50;194?6|,8li6:<4H0ge?l77>3:1(437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?j70:3:1({zut1vn<;?:180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{=7>53;294~"6nk0<>6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:96*;d2821>{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th:9?4?:583>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1j6*;d28e?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6;0D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?g2;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=5$0da>4623_;mh7?tL0de>4}Qm009w)?89;314>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6==0;6:4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@4$5f0>467[?id;3xH4`a28q]i44={%34=?77?2\mi746<,=n86<>4}|~?l76:3:1({M3eb?7|^l31>v*>788257=Qnl09w):k4;33?!2c;3;;7psr}:k25a<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>0:&7`6<682wvqp5f13294?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<7}#1=;5+4e1953=zutw0e<<>:18'5cd=99?0Z4463_ln6?u+4e6953=#7}#1m6*;d28b?x{zu2wi>>950;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo<f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?743->o?7?<;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo<029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e::31<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg44i3:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`17g<728;1<7>t$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi743<,=n86<;4}|~?l77?3:1(432;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi743<,=n86<;4}|~?l76l3:1(d:Tea?4|,=n?6<;4$5f0>437[?id;3xH4`a28q]i44={%34=?7582\mi743<,=n86<;4}|~?l7593:1(437[?id;3xH4`a28q]i44={%34=?75:2\mi743<,=n86<;4}|~?l75;3:1(437[?id;3xH4`a28q]i44={%34=?75<2\mi743<,=n86<;4}|~?l75=3:1(437[?id;3xH4`a28q]i44={%34=?7702\mi743<,=n86<;4}|~?l7713:1(437[?id;3xH4`a28q]i44={%34=?77i2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==l4Vgg96~"3l=0j7):k3;c8yx{z3`;;o7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;33g>Pam38p(9j;:`9'0a5=i2wvqp5f11f94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99n0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn?=7:187>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9mo4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vn?l>:185>5<7s-;mn7??3:J2ac=#9;<1=55f11794?"6nk0:<85Y1gf95~J6no0:w[?91;0x 41>28:>7[hj:3y'0a2=i2.?h>4n;|~y>ob:3:1(7}#1m6*;d28b?x{zu2cn?7>5$0da>`5<^8lo6?uC1gd95~P6>809w)?89;g0?S`b2;q/8i:5c:&7`6`5<,;i269j;;|Tf:;%0`=?2c<2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l:1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4j2:&1g<<3l=1v(8=k:008yS70038pZh952z&7`75<#9oh1=hl4V0dg>7}K9ol1=vX>6081!7013;nn6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51d`8 7e>2=n?7p*:3e822>{zu2c:h:4?:%3ef?7c?2\:ji4={M3eb?7|^8<:6?u+16;95a1<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;o;6*=c887`1=z,<9o6<84}|8k415290/=kl51608?xd5im0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049K5cg<^8lo65<#9oh1==;4H0db?S7al3;p@2:Tea?4|,=n?6<;4$5f0>43d;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76l2\mi743<,=n86<;4}|~?j70:3:1({zut1vn?ol:180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`1g5<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#o?7o4}|~?l77?3:1(45d=#fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qof99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi>n<50;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj;i?6=4::183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3l0(9j<:g9~yx{43<,=n86<;4}|~?l76:3:1(2:Tea?4|,=n?6<;4$5f0>43d;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3l0(9j<:g9~yx{5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:g9'0a5=n2wvqp5f11594?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=85+4e1950=zutw0e6Xie;0x 1b328?0(9j<:078yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:g9'0a5=n2wvqp5f13294?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl51638L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn>9k:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e8a?xP6?109w[k8:3y'0a4=m:1/>n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e;>o1<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th8;k4?:083>5}#9oh1>=<4H0ge?j70:3:1({e;1;1<7=50;2x 4`e2>80D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#529086=4?{%3ef?153A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?`<,=n86k5r}|8m460290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:286=4<:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a7=2=8391<7>t$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl5739K5``2\mi743<,=n86<;4}|~?l77?3:1({M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{55;294~"6nk0<>6F>eg9j550=83.:jo4>049K5cg<^8lo60683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0m7):k3;d8yx{z3`;:>7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?`<,=n86k5r}|8m47c290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:2;6=48:183!7aj3;<=6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1o?7o4}|~?l76l3:1(d:Tea?4|,=n?6l5+4e19e>{zut1b=?>50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d58b?!2c;3k0qpsr;h315?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb403>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>g=z^8=36?uYe681!2c:3o87){#=:n1=:5r}|9j5`d=83.:jo4>ec9U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382ag=#:j318i:4}%70`?713twv7d?k7;29 4`e28n<7[?id;0xH4`a28q]=;?52z&23<<6l>1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=h9>81<7*>fc8237=53;294~"6nk0:j55G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e=8h1<7?50;2x 4`e2;:97E?jf:m237<72-;mn7?82:9~f07c29086=4?{%3ef?153A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg36m3:187>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5f10094?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=267}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a14e=8391<7>t$0da>4163A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c307?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a56d=83<1<7>t$0da>4643A;nj6*>2782<>o68<0;6)?ib;331>P6nm0:wA?if;3xR4062;q/=:751178Rcc=:r.?h94n;%6g7?g`4<^oo1>v*;d58b?!2c;3k0qpsr;hg0>5<#9oh1i>5Y1gf96~J6no0:w[?91;0x 41>2l90Zkk52z&7`1o?7m4}|O5cc=7}#5+2b;90a21b33t\n474623-8h57:k3:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?c53-8h57:k4:'16b=9;1vZ<97:3yUa2<5s->o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo?<5;297?6=8r.:jo4>f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi=>850;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj8936=4<:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7h4}|~?j70:3:1({zut1vn<=6:180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`272<72:0;6=u+1g`9527<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb017>5<4290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788243=Qnl09w):k4;36?!2c;3;>7psr}:k242<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6=10;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj8<;6=49:183!7aj3;;?6F>eg9'570=911b==;50;&2bg<68<1]=kj51zN2bc<6s_;==74623_ln6?u+4e69e>"3l:0j7psr}:kf6?6=,8li6h<4V0dg>4}K9ol1=vX>6081!7013o97[hj:3y'0a2=i2.?h>4n;|~y>ob;3:1(7}#1o6*;d28`?x{zD8ln69uY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`6=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0n>6*=c887`1=z,<9o6<<4}W34v*;d38f7>"5k00?h95r$41g>4140<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>o6l>0;6)?ib;3g3>P6nm09wA?if;3xR4062;q/=:751e58Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7c?2.9o44;d59~ 05c28<0qps4o051>5<#9oh1=:<4;|`21d<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb07a>5<6290;w)?ib;036>N6mo1d=:<50;&2bg<6?;10qo?:d;297?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1j6*;d28e?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c36a?6=;3:1fc846>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e97383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg7213:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi=i650;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo?ke;292?6=8r.:jo4>029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e9mk1<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th:ho4?:083>5}#9oh1>=<4H0ge?j70:3:1({e9mi1<7:50;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9<1/8i=5149~yx{5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4??3:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e:1n1<7850;2x 4`e28:87E?jf:&263<602c:<84?:%3ef?77=2\:ji4>{M3eb?7|^8<:6?u+16;9553<^oo1>v*;d58b?!2c;3k0qpsr;hg1>5<#9oh1i?5Y1gf95~J6no0:w[?91;0x 41>2l80Zkk52z&7`1o?7o4}|~?lc4290/=kl5e29U5cb=:rF:jk4>{W355?4|,8=26h=4Vgg96~"3l=0h7):k3;a8yx{K9oo18vX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f7?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3o97){#=:n1=?5rV05;>7}Qm>09w):k2;g0?!4d13>o86s+52f952=zut1b=hl50;&2bg<6mk1]=kj52zN2bc<6s_;==74ce3_ln6?u+4e6953=#d69Ub`<5s->o87?9;%6g7?713twv@4b03-8h57:k4:'16b=9?1vqp5`16094?"6nk0:;?54}c0;=?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{fc8240=O9ok0Z4613_ln6?u+4e6950=#8;Wdf>7}#1=85+4e1950=zutw0e4753_ln6?u+4e6950=#7}#1=85+4e1950=zutw0e<4473_ln6?u+4e6950=#;Wdf>7}#1=85+4e1950=zutw0e<<=:18'5cd=99?0Z4453_ln6?u+4e6950=#7}#1=85+4e1950=zutw0e<<;:18'5cd=99?0Z4433_ln6?u+4e6950=#7}#1=85+4e1950=zutw0e<>7:18'5cd=99?0Z46?3_ln6?u+4e6950=#290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>6;Wdf>7}#1=85+4e1950=zutw0e<>n:18'5cd=99?0Z46f3_ln6?u+4e6950=#m;Wdf>7}#1m6*;d28b?x{zu2c:{M3eb?7|^l31>v*>78824f=Qnl09w):k4;c8 1b42h1vqps4i02g>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:o7[hj:3y'0a2=i2.?h>4n;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo<78;290?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e6950=#8;Wdf>7}#1=85+4e1950=zutw0e4753_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi>i>50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qof99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?743->o?7?<;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);5<#9oh1=hl4V0dg>7}K9ol1=vX>6081!7013;nn6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51d`8 7e>2=n?7p*:3e822>{zu2c:h:4?:%3ef?7c?2\:ji4={M3eb?7|^8<:6?u+16;95a1<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;o;6*=c887`1=z,<9o6<84}|8k415290/=kl51608?xd5l80;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g1<729q/=kl5739K5``0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9h;4?:483>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87h4$5f0>c=zutw0e4753_ln6?u+4e6950=#4}Qm009w)?89;32`>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;n<6=4::183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5f10f94?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=267}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a6a>=83?1<7>t$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3l0(9j<:g9~yx{5<#9oh1==;4H0db?S7al3;p@2:Tea?4|,=n?6<;4$5f0>43d;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76l2\mi743<,=n86<;4}|~?j70:3:1({zut1vn?j6:186>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>o69;0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9j54b=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31={zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`1`6<72>0;6=u+1g`9527<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3`;:>7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:`9'0a5=i2wvqp5f10f94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98n0Zkk52z&7`1o?7o4}|~?l7583:1({zut1b=??50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9577<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;no6=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9i54?:783>5}#9oh1===4H0ge?!75>3;37d??5;29 4`e28:>7[?id;3xH4`a28q]=;?52z&23<<68<1]jh4={%6g0?g<,=n86l5r}|8m`4=83.:jo4j2:T2ba<6sE;mj7?tV042>7}#9>31i?5Yfd81!2c<3k0(9j<:`9~yx{fc8f7>P6nm09wA?if;3xR4062;q/=:75e29Ub`<5s->o87m4$5f0>f=zutF:jh4;{W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a27}#1b43t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf1b33t.>?i4m;|T23=<5s_o<6?u+4e09a6=#:j318i:4}%70`?703twv7d?jb;29 4`e28oi7[?id;0xH4`a28q]=;?52z&23<<6mk1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=n9m=1<7*>fc82`2=Q9on1>vB>fg82S71938p(<96:0f4?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6l>1/>n754e68y!34l3;=7psr;n346?6=,8li6<9=;:a6ac=8391<7>t$0da>4`?3A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c0gb?6=93:1fc8147=O9ll0c<9=:18'5cd=9>807pl=e083>6<729q/=kl5739K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi>h<50;494?6|,8li6:<4H0ge?l77>3:1({M3eb?7|^l31>v*>788243=Qnl09w):k4;d8 1b42o1vqps4i024>5<#9oh1==;4H0db?S7al3;p@432;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:=?5Yfd81!2c<3;>7):k3;36?x{zu2c:=i4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>78825a=Qnl09w):k4;36?!2c;3;>7psr}:k265<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751328Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5m:0;6;4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?l76:3:1({M3eb?7|^l31>v*>788257=Qnl09w):k4;36?!2c;3;>7psr}:k25a<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3;>7):k3;36?x{zu2c:>=4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g2<729q/=kl5739K5``5<#9oh1==;4H0db?S7al3;p@{zut1b=<<50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?l7583:1(437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:><5Yfd81!2c<3;>7):k3;36?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c0f1?6=?3:1fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?l7583:1(437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:><5Yfd81!2c<3;>7):k3;36?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c0f2?6=:80;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69b>"3l:0m7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{1e83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?`<,=n86k5r}|8m447290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<7}#1j6*;d28e?x{zu2c:><4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788264=Qnl09w):k4;d8 1b42o1vqps4i001>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28897[hj:3y'0a2=n2.?h>4i;|~y>o6::0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>229Ub`<5s->o87h4$5f0>c=zutw0e<<;:18'5cd=99?0Z4433_ln6?u+4e69b>"3l:0m7psr}:k260<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:>85Yfd81!2c<3l0(9j<:g9~yx{0883>!7aj3;;96X>fe82I7an3;pZh752z&23<<6801]jh4={%6g0?`<,=n86k5r}|8m46f290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>n;Wdf>7}#1j6*;d28e?x{zu2c:{M3eb?7|^l31>v*>78824g=Qnl09w):k4;d8 1b42o1vqps4i02`>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:h7[hj:3y'0a2=n2.?h>4i;|~y>o68m0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>0e9Ub`<5s->o87h4$5f0>c=zutw0e<>j:18'5cd=99?0Dfc8240=Q9on1=vB>fg82Sc>2;q/=:7511d8Rcc=:r.?h94>5:&7`6<6=2wvqp5f10294?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98:0Zkk52z&7`1o?7o4}|~?l7693:1(1:Tea?4|,=n?6l5+4e19e>{zut1b=<=50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9545<^oo1>v*;d58b?!2c;3k0qpsr;h320?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751078Rcc=:r.?h94n;%6g7?g6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=<94Vgg96~"3l=0j7):k3;c8yx{z3`;:47>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32<>Pam38p(9j;:`9'0a5=i2wvqp5f10;94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9830Zkk52z&7`1o?7o4}|~?l76i3:1(a:Tea?4|,=n?6l5+4e19e>{zut1b=v*;d58b?!2c;3k0qpsr;h32g?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:7510g8Rcc=:r.?h94n;%6g7?gf;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76n2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9i=4?:683>5}#9oh1=:?4H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?7582\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb2;4>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`0=a<72?0;6=u+1g`9555<@8om7)?=6;3;?l77=3:1(049Ub`<5s->o87o4$5f0>d=zutw0eh<50;&2bg{M3eb?7|^8<:6?u+16;9a7=Qnl09w):k4;c8 1b42h1vqps4id194?"6nk0n?6X>fe81I7an3;pZ<8>:3y'52?=m:1]jh4={%6g0?e<,=n86n5r}|N2b`<3s_;no7=tVd596~"3l;0n?6*=c887`1=z^l21>v*;d38240=#:j318i:4}%70`?753t\:in4<{Wg4>7}#5+2b;90a21b33t\n47`4<,;i269j;;|&67a<6:2w]=:652zTf3?4|,=n96h=4$3a:>1b33t.>?i4>7:~y>o6mk0;6)?ib;3ff>P6nm09wA?if;3xR4062;q/=:751d`8Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7bj2.9o44;d59~ 05c28<0qps4i0f4>5<#9oh1=i94V0dg>7}K9ol1=vX>6081!7013;o;6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51e58 7e>2=n?7p*:3e822>{zu2e:;?4?:%3ef?70:21vn>76:180>5<7s-;mn7?i8:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th85l4?:083>5}#9oh1>=<4H0ge?j70:3:1({e;0h1<7=50;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87h4$5f0>c=zutw0e<>8:18'5cd=99?0D81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl5739K5``5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=9<1/8i=5149~yx{5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c1bfc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;87):k3;30?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c1b3?6=>3:1fc8246=O9ll0(<<9:0:8m462290/=kl51178R4`c28qG=kh51zT224<5s-;<57??5:Tea?4|,=n?6l5+4e19e>{zut1bi?4?:%3ef?c53_;mh7?tL0de>4}Q9?;1>v*>788f6>Pam38p(9j;:`9'0a5=i2wvqp5fe283>!7aj3o87[?id;0xH4`a28q]=;?52z&23<f=#uYe681!2c:3o87){Qm109w):k2;331>"5k00?h95r$41g>44v*;d38240=#:j318i=4}%70`?753t\:in4<{Wg4>7}#5+2b;90a2402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:k;6=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg5f:3:157>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1j6*;d28e?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7h4}|~?l76:3:1({M3eb?7|^l31>v*>788257=Qnl09w):k4;d8 1b42o1vqps4i03g>5<#9oh1==;4H0db?S7al3;p@d:Tea?4|,=n?6k5+4e19b>{zut1b=?>50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>288;7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28897[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;h91<7750;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87h4$5f0>c=zutw0e<>8:18'5cd=99?0Dfc8240=O9ok0Z4753_ln6?u+4e69b>"3l:0m7psr}:k25a<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94i;%6g7?`7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:>=5Yfd81!2c<3;>7):k3;36?x{zu2c:><4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788264=Qnl09w):k4;36?!2c;3;>7psr}:k267<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:>?5Yfd81!2c<3;>7):k3;36?x{zu2c:>>4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788266=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{59;294~"6nk0<>6F>eg9j550=83.:jo4>049K5cg<^8lo60683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0m7):k3;d8yx{z3`;:>7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?`<,=n86k5r}|8m47c290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1=85+4e1950=zutw0e<5$0da>4623_;mh7?tL0de>4}Qm009w)?89;315>Pam38p(9j;:078 1b428?0qpsr;h316?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328?0(9j<:078yx{z3`;9?7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;317>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:k>6=46:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{c=#049U5cb=9rF:jk4>{Wg:>7}#9>31={zut1b=?>50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>288;7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28897[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;h;1<7;50;2x 4`e28=:7E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?g<,=n86l5r}|8m47c290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c1b=?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a7d`=83<1<7>t$0da>4643A;nj6*>2782<>o68<0;6)?ib;331>P6nm0:wA?if;3xR4062;q/=:751178Rcc=:r.?h94n;%6g7?g`4<^oo1>v*;d58b?!2c;3k0qpsr;hg0>5<#9oh1i>5Y1gf96~J6no0:w[?91;0x 41>2l90Zkk52z&7`1o?7m4}|O5cc=7}#5+2b;90a21b33t\n474623-8h57:k3:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?c53-8h57:k4:'16b=9;1vZ<97:3yUa2<5s->o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo=nb;297?6=8r.:jo4>f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?lm50;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj:ko6=4<:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb504>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`771<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo:=8;297?6=8r.:jo4>f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8?750;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj=8i6=4<:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg25k3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`76a<72?0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69b>"3l:0m7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{43<,=n86<;4}|~?l76l3:1({M3eb?7|^l31>v*>78825a=Qnl09w):k4;36?!2c;3;>7psr}:k265<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:>=5Yfd81!2c<3;>7):k3;36?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c61a?6=?3:1fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5f10f94?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=267}#1=85+4e1950=zutw0e<5$0da>4623_;mh7?tL0de>4}Qm009w)?89;315>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=8m6=48:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3l0(9j<:g9~yx{1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>o69m0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;954b<^oo1>v*;d5821>"3l:0:96sr}|9j576=83.:jo4>049K5cg<^8lo65<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>288:7[hj:3y'0a2=9<1/8i=5149~yx{5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:g9'0a5=n2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=O9ok0Z4753_ln6?u+4e6950=#4}Qm009w)?89;32`>Pam38p(9j;:078 1b428?0qpsr;h314?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?:;%6g7?723twvq6g>2083>!7aj3;;96X>fe82I7an3;pZh752z&23<<6:81]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:<1;293?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e69b>"3l:0m7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;d8 1b42o1vqps4i03g>5<#9oh1==;4H0db?S7al3;p@d:Tea?4|,=n?6<;4$5f0>437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:>=5Yfd81!2c<3;>7):k3;36?x{zu2c:><4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788264=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{9m7>58;294~"6nk0:;<5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;c8 1b42h1vqps4i031>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=i2.?h>4n;|~y>o69m0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>1e9Ub`<5s->o87o4$5f0>d=zutw0e<4473_ln6?u+4e69e>"3l:0j7psr}:k264<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:><5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg26:3:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e<8;1<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{:47>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6956=#5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8<;I3fb>"6:?0:46g>0483>!7aj3;;96X>fe82I7an3;pZ<8>:3y'52?=99?0Zkk52z&7`1o?7o4}|~?lc5290/=kl5e39U5cb=9rF:jk4>{W355?4|,8=26h<4Vgg96~"3l=0j7):k3;c8yx{z3`o86=4+1g`9a6=Q9on1>vB>fg82S71938p(<96:d18Rcc=:r.?h94l;%6g7?e7}#1b33t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf:;%0`=?2c;2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7k=;%0`=?2c<2w/9>j5b:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f17429086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`751<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm40494?2=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`752<72<0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>o69;0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6g>1e83>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31={zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`750<72:0;6=u+1g`9527<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb53:>5<1290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788243=Qnl09w):k4;33?!2c;3;;7psr}:k242<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>6:&7`6<6>2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<6>2.?h>4>6:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>6:&7`6<6>2wvqp5f13294?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<6>2.?h>4>6:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb5d:>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>g=z^8=36?uYe681!2c:3o87){#=:n1=:5r}|9j5`d=83.:jo4>ec9U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382ag=#:j318i:4}%70`?713twv7d?k7;29 4`e28n<7[?id;0xH4`a28q]=;?52z&23<<6l>1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=h9>81<7*>fc8237=nj7>53;294~"6nk0:j55G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c6e7?6=;3:1fc846>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e1<7:50;2x 4`e2>80D:;W3e`?7|D8lm65$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>o69;0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th?j84?:583>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:i6;292?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;36?!2c;3;>7psr}:k25a<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3;>7):k3;36?x{zu2c:>=4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788265=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{m;7>56;294~"6nk0<>6F>eg9j550=83.:jo4>049K5cg<^8lo60683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?l7583:1(43d=#o?7o4}|~?l77?3:1({zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d58b?!2c;3k0qpsr;h32`?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751328Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?7592\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th><<4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vn8>n:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6;2.?h>4>3:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e8a?xP6?109w[k8:3y'0a4=m:1/>n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e=981<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th><>4?:083>5}#9oh1>=<4H0ge?j70:3:1({e=9?1<7=50;2x 4`e2>80D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th><:4?:483>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1b=v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th><54?:483>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1b=4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=9<1/8i=5149~yx{5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:g9'0a5=n2wvqp5f11594?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=85+4e1950=zutw0e6Xie;0x 1b328?0(9j<:078yx{z3`;:h7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?723->o?7?:;|~y>o6:90;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th><94?:783>5}#9oh1=:?4H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?7582\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=;5+4e1953=zutw0e<>8:18'5cd=99?0D7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?713->o?7?9;|~y>o69m0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;954b<^oo1>v*;d5822>"3l:0::6sr}|9j576=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=?>4Vgg96~"3l=0::6*;d2822>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`7bd<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#o?7o4}|~?l77?3:1(45d=#fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo:ib;297?6=8r.:jo4>f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8km50;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj=lo6=4<:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{n7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?;=50;494?6|,8li6<><;I3fb>"6:?0:46g>0483>!7aj3;;96X>fe82I7an3;pZ<8>:3y'52?=99?0Zkk52z&7`1o?7o4}|~?lc5290/=kl5e39U5cb=9rF:jk4>{W355?4|,8=26h<4Vgg96~"3l=0j7):k3;c8yx{z3`o86=4+1g`9a6=Q9on1>vB>fg82S71938p(<96:d18Rcc=:r.?h94l;%6g7?e7}#1b33t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf:;%0`=?2c;2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7k=;%0`=?2c<2w/9>j5b:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f63d29086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`01a<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm34d94?5=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb243>5<3290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6950=#7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a737=83<1<7>t$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=O9ok0Z4753_ln6?u+4e6950=#4}Qm009w)?89;32`>Pam38p(9j;:g9'0a5=n2wvqp5f13294?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g1<729q/=kl51638L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7o4}|~?l76:3:1(2:Tea?4|,=n?6l5+4e19e>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`64c<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#o?7o4}|~?l77?3:1(45d=#fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo;>0;297?6=8r.:jo4>f99K5``2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb432>5<6290;w)?ib;036>N6mo1d=:<50;&2bg<6?;10qo;>3;290?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e6950=#4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;h326?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg36<3:1:7>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1j6*;d28e?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:k257<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=?5Yfd81!2c<3;>7):k3;36?x{zu2c:=i4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>78825a=Qnl09w):k4;36?!2c;3;>7psr}:k265<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:>=5Yfd81!2c<3;>7):k3;36?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c721?6=>3:1fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5821>"3l:0:96sr}|9j54b=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31={zut1b=?>50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th>=?4?:583>5}#9oh1=:?4H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb273>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>g=z^8=36?uYe681!2c:3o87){#=:n1=:5r}|9j5`d=83.:jo4>ec9U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382ag=#:j318i:4}%70`?713twv7d?k7;29 4`e28n<7[?id;0xH4`a28q]=;?52z&23<<6l>1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=h9>81<7*>fc8237=53;294~"6nk0:j55G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;=h1<7?50;2x 4`e2;:97E?jf:m237<72-;mn7?82:9~f62c29086=4?{%3ef?153A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg53m3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi?9m50;194?6|,8li6<9>;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{=7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?8o50;494?6|,8li6<><;I3fb>"6:?0:46g>0483>!7aj3;;96X>fe82I7an3;pZ<8>:3y'52?=99?0Zkk52z&7`1o?7o4}|~?lc5290/=kl5e39U5cb=9rF:jk4>{W355?4|,8=26h<4Vgg96~"3l=0j7):k3;c8yx{z3`o86=4+1g`9a6=Q9on1>vB>fg82S71938p(<96:d18Rcc=:r.?h94l;%6g7?e7}#1b33t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf:;%0`=?2c;2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7k=;%0`=?2c<2w/9>j5b:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f63529086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`016<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm34794?5=83:p(5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg52>3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi?8950;194?6|,8li6:<4H0ge?l77>3:1(437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1b=4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?j70:3:1({zut1vn>;;:186>5<7s-;mn7?81:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0j7):k3;c8yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4ko0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:n26=49:183!7aj3;;?6F>eg9'570=911b==;50;&2bg<68<1]=kj51zN2bc<6s_;==74623_ln6?u+4e69e>"3l:0j7psr}:kf6?6=,8li6h<4V0dg>4}K9ol1=vX>6081!7013o97[hj:3y'0a2=i2.?h>4n;|~y>ob;3:1(7}#1o6*;d28`?x{zD8ln69uY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`6=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0n>6*=c887`1=z,<9o6o5rV05;>7}Qm>09w):k2;g0?!4d13>o86s+52f952=zut1b=hl50;&2bg<6mk1]=kj52zN2bc<6s_;==74ce3_ln6?u+4e6953=#d69Ub`<5s->o87?9;%6g7?713twv@4b03-8h57:k4:'16b=9?1vqp5`16094?"6nk0:;?54}c1g4?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Dfc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;m>1<7=50;2x 4`e2>80D:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:n>6=4;:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:k257<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4l?0;684?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=n2.?h>4i;|~y>o68>0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9j544=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1b=4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=9<1/8i=5149~yx{=83:p(5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?`<,=n86k5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1j6*;d28e?x{zu2c:=?4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1o?7h4}|~?l76l3:1({M3eb?7|^l31>v*>78825a=Qnl09w):k4;d8 1b42o1vqps4i003>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>288;7[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?l75:3:1(43d=#o?7o4}|~?l77?3:1({zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d58b?!2c;3k0qpsr;h32`?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751328Rcc=:r.?h94n;%6g7?gd=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd48>0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>3:&7`6<6;2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd48?0;6;4?:1y'5cd=9990D5$0da>4623_;mh7?tL0de>4}Q9?;1>v*>788240=Qnl09w):k4;c8 1b42h1vqps4id094?"6nk0n>6X>fe82I7an3;pZ<8>:3y'52?=m;1]jh4={%6g0?g<,=n86l5r}|8m`5=83.:jo4j3:T2ba<5sE;mj7?tV042>7}#9>31i>5Yfd81!2c<3i0(9j<:b9~yxJ6nl0?w[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7<68<1/>n754e68y!34l3;97pX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f0?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b52l80(?m6:5f7?x"2;m0i7pX>7981Sc02;q/8i<5e29'6f?=0q);5$0da>4ce3_;mh74}Q9?;1>v*>7882ag=Qnl09w):k4;35?!2c;3;=7psrL0df>4}Q9o>1>vXj7;0x 1b528oi7){#=:n1=;5r}|9j5a1=83.:jo4>d69U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382`2=#:j318i:4}%70`?713twv7b?82;29 4`e28=976sm2gd94?5=83:p(o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`045<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm31094?2=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo=?3;293?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e6950=#4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;h326?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6g>1e83>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31={zut1b=?>50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>288;7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;9>1<7950;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1b=<<50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?l7583:1({M3eb?7|^l31>v*>788265=Qnl09w):k4;36?!2c;3;>7psr}:k264<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751338Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4880;694?:1y'5cd=9>;0D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?g2;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th8<54?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vn>??:185>5<7s-;mn7??3:J2ac=#9;<1=55f11794?"6nk0:<85Y1gf95~J6no0:w[?91;0x 41>28:>7[hj:3y'0a2=i2.?h>4n;|~y>ob:3:1(7}#1m6*;d28b?x{zu2cn?7>5$0da>`5<^8lo6?uC1gd95~P6>809w)?89;g0?S`b2;q/8i:5c:&7`6`5<,;i269j;;|Tf:;%0`=?2c<2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l:1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4j2:&1g<<3l=1v(8=k:c9~R41?2;q]i:4={%6g6?c43-8h57:k4:'16b=9>1vqp5f1d`94?"6nk0:io5Y1gf96~J6no0:w[?91;0x 41>28oi7[hj:3y'0a2=9?1/8i=5179~yxJ6nl0:w[?i4;0xR`1=:r.?h?4>ec9'6f?=0q);5$0da>4b03_;mh74}Q9?;1>v*>7882`2=Qnl09w):k4;35?!2c;3;=7psrL0df>4}Q9o>1>vXj7;0x 1b528n<7){#=:n1=;5r}|9l524=83.:jo4>7398yg5713:1?7>50z&2bg<6n11C=hh4i025>5<#9oh1==;4H0db?S7al3;p@{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj::j6=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg57k3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd48m0;6>4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c13a?6=;3:1fc846>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;9h1<7:50;2x 4`e28=:7E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo=>b;292?6=8r.:jo4>029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);5<#9oh1=hl4V0dg>7}K9ol1=vX>6081!7013;nn6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51d`8 7e>2=n?7p*:3e822>{zu2c:h:4?:%3ef?7c?2\:ji4={M3eb?7|^8<:6?u+16;95a1<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;o;6*=c887`1=z,<9o6<84}|8k415290/=kl51608?xd49;0;6>4?:1y'5cd=9o20D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{51;294~"6nk094?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c122?6=;3:1fc846>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{43<,=n86<;4}|~?j70:3:1({zut1vn>?8:180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`05=<72=0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e6950=#8;Wdf>7}#1=85+4e1950=zutw0e4753_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi?<750;694?6|,8li6:<4H0ge?l77>3:1({M3eb?7|^l31>v*>788243=Qnl09w):k4;36?!2c;3;>7psr}:k242<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51638L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7o4}|~?l76:3:1(2:Tea?4|,=n?6l5+4e19e>{zut1b=v*;d58b?!2c;3k0qpsr;h314?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb2af>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>g=z^8=36?uYe681!2c:3o87){#=:n1=:5r}|9j5`d=83.:jo4>ec9U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382ag=#:j318i:4}%70`?713twv7d?k7;29 4`e28n<7[?id;0xH4`a28q]=;?52z&23<<6l>1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=h9>81<7*>fc8237=53;294~"6nk0:j55G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;j31<7?50;2x 4`e2;:97E?jf:m237<72-;mn7?82:9~f6ee29086=4?{%3ef?153A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg5dk3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4kh0;6>4?:1y'5cd=9>;0D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd2;80;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj<9=6=49:183!7aj3;;?6F>eg9'570=911b==;50;&2bg<68<1]=kj51zN2bc<6s_;==74623_ln6?u+4e69e>"3l:0j7psr}:kf6?6=,8li6h<4V0dg>4}K9ol1=vX>6081!7013o97[hj:3y'0a2=i2.?h>4n;|~y>ob;3:1(7}#1o6*;d28`?x{zD8ln69uY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`6=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0n>6*=c887`1=z,<9o6o5rV05;>7}Qm>09w):k2;g0?!4d13>o86s+52f952=zut1b=hl50;&2bg<6mk1]=kj52zN2bc<6s_;==74ce3_ln6?u+4e6953=#d69Ub`<5s->o87?9;%6g7?713twv@4b03-8h57:k4:'16b=9?1vqp5`16094?"6nk0:;?54}c71a?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`677<72>0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e6955=#4}Qm009w)?89;333>Pam38p(9j;:048 1b428<0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328<0(9j<:048yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:048 1b428<0qpsr;h314?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?9;%6g7?713twvq6g>2083>!7aj3;;96X>fe82I7an3;pZh752z&23<<6:81]jh4={%6g0?713->o?7?9;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo;<3;293?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>0:&7`6<682wvqp5f11594?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=;5+4e1953=zutw0e6Xie;0x 1b328<0(9j<:048yx{z3`;:h7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?713->o?7?9;|~y>o6:90;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d5822>"3l:0::6sr}|9j577=83.:jo4>049K5cg<^8lo65<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e=:>1<7=50;2x 4`e28=:7E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg47n3:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e:8?1<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e:8>1<7850;2x 4`e28:87E?jf:&263<602c:<84?:%3ef?77=2\:ji4>{M3eb?7|^8<:6?u+16;9553<^oo1>v*;d58b?!2c;3k0qpsr;hg1>5<#9oh1i?5Y1gf95~J6no0:w[?91;0x 41>2l80Zkk52z&7`1o?7o4}|~?lc4290/=kl5e29U5cb=:rF:jk4>{W355?4|,8=26h=4Vgg96~"3l=0h7):k3;a8yx{K9oo18vX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f7?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3o97){#=:n1n6sY16:96~Pb?38p(9j=:d18 7e>2=n?7p*:3e823>{zu2c:io4?:%3ef?7bj2\:ji4={M3eb?7|^8<:6?u+16;95`d<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;nn6*=c887`1=z,<9o6<84}|8m4b0290/=kl51e58R4`c2;qG=kh51zT224<5s-;<57?k7:Tea?4|,=n?6<84$5f0>402wvq6a>7383>!7aj3;<>65rb333>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g4<729q/=kl52108L4ca3f;<>7>5$0da>41532wi><<50;:94?6|,8li6:<4H0ge?l77>3:1({M3eb?7|^l31>v*>788243=Qnl09w):k4;36?!2c;3;>7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;36?!2c;3;>7psr}:k25a<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3;>7):k3;36?x{zu2c:>=4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<6=2.?h>4>5:~yx=n9;;1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751338Rcc=:r.?h94>5:&7`6<6=2wvqp5f13094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;80Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb33f>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb33g>5<1290;w)?ib;337>N6mo1/=?85199j553=83.:jo4>049U5cb=9rF:jk4>{W355?4|,8=26<>:;Wdf>7}#1m6*;d28b?x{zu2cn>7>5$0da>`4<^8lo6809w)?89;g1?S`b2;q/8i:5a:&7`6"3l:0h7psrL0df>1}Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o86s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;331>"5k00?h>5r$41g>44v*;d38f6>"5k00?h95r$41g>g=z^8=36?uYe681!2c:3o87){#=:n1=:5r}|9j5`d=83.:jo4>ec9U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382ag=#:j318i:4}%70`?713twv7d?k7;29 4`e28n<7[?id;0xH4`a28q]=;?52z&23<<6l>1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=h9>81<7*>fc8237=53;294~"6nk0:j55G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e:821<7?50;2x 4`e2;:97E?jf:m237<72-;mn7?82:9~f77f290<6=4?{%3ef?153A;nj6g>0783>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:96*;d2821>{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9j544=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:96*;d2821>{zut1b=v*;d5821>"3l:0:96sr}|9j576=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=?>4Vgg96~"3l=0:96*;d2821>{zut1b=??50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9577<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9=o4?:683>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:078 1b428?0qpsr;h32`?6=,8li6<>:;W3e`?7|D8lm65$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<6:91]jh4={%6g0?723->o?7?:;|~y>o6:80;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9577<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th9=44?:283>5}#9oh1=:?4H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:;h6=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th8>84?:283>5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5827>"3l:0:?6sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th8>94?:783>5}#9oh1===4H0ge?!75>3;37d??5;29 4`e28:>7[?id;3xH4`a28q]=;?52z&23<<68<1]jh4={%6g0?g<,=n86l5r}|8m`4=83.:jo4j2:T2ba<6sE;mj7?tV042>7}#9>31i?5Yfd81!2c<3k0(9j<:`9~yx{fc8f7>P6nm09wA?if;3xR4062;q/=:75e29Ub`<5s->o87m4$5f0>f=zutF:jh4;{W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a27}#1b43t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf1b33t.>?i4m;|T23=<5s_o<6?u+4e09a6=#:j318i:4}%70`?703twv7d?jb;29 4`e28oi7[?id;0xH4`a28q]=;?52z&23<<6mk1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=n9m=1<7*>fc82`2=Q9on1>vB>fg82S71938p(<96:0f4?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6l>1/>n754e68y!34l3;=7psr;n346?6=,8li6<9=;:a74b=8391<7>t$0da>4`?3A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c12a?6=93:1fc8147=O9ll0c<9=:18'5cd=9>807pl<2183>1<729q/=kl5739K5``5<#9oh1==;4H0db?S7al3;p@432;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi743<,=n86<;4}|~?j70:3:1({zut1vn><>:186>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>o69;0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6g>1e83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo==2;291?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5f10f94?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=267}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a74`=83>1<7>t$0da>4163A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;;<1<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{53;294~"6nk0:j55G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;30?!2c;3;87psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{56;294~"6nk0:<>5G1dd8 4412820e<>::18'5cd=99?0Zd=#6Xie;0x 1b32h1/8i=5a:~yx=nm:0;6)?ib;g0?S7al38p@{zuE;mi7:tV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`1=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a57}#v*;d38f7>"5k00?h95r$41g>4140<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>o6l>0;6)?ib;3g3>P6nm09wA?if;3xR4062;q/=:751e58Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7c?2.9o44;d59~ 05c28<0qps4o051>5<#9oh1=:<4;|`062<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb20;>5<6290;w)?ib;036>N6mo1d=:<50;&2bg<6?;10qo==a;290?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=85+4e1950=zutw0e4753_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi??l50;494?6|,8li6:<4H0ge?l77>3:1(437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?l76:3:1(2:Tea?4|,=n?6<;4$5f0>43d;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76l2\mi743<,=n86<;4}|~?l7583:1(43d=#0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>o69m0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>1e9Ub`<5s->o87?:;%6g7?723twvq6g>2183>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=?>4Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`06a<72?0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e6950=#8;Wdf>7}#1=85+4e1950=zutw0e6Xie;0x 1b328?0(9j<:078yx{z3`;:h7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?723->o?7?:;|~y>o6:90;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg5513:197>50z&2bg<6?81C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=i2.?h>4n;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87o4$5f0>d=zutw0e4753_ln6?u+4e69e>"3l:0j7psr}:k25a<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3k0(9j<:`9~yx{5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c306?6=>3:1fc8246=O9ll0(<<9:0:8m462290/=kl51178R4`c28qG=kh51zT224<5s-;<57??5:Tea?4|,=n?6l5+4e19e>{zut1bi?4?:%3ef?c53_;mh7?tL0de>4}Q9?;1>v*>788f6>Pam38p(9j;:`9'0a5=i2wvqp5fe283>!7aj3o87[?id;0xH4`a28q]=;?52z&23<f=#uYe681!2c:3o87){Qm109w):k2;331>"5k00?h95r$41g>44v*;d38240=#:j318i=4}%70`?753t\:in4<{Wg4>7}#5+2b;90a2402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{54?:283>5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj8826=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg75j3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`26f<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj88o6=4<:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94>5:&7`6<6=2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g2d83>6<729q/=kl5739K5``2\mi743<,=n86<;4}|~?l77?3:1(43d=#0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0m7):k3;d8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb013>5<3290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788243=Qnl09w):k4;36?!2c;3;>7psr}:k242<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5f10094?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=267}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a57g=83=1<7>t$0da>4163A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;c8 1b42h1vqps4i03g>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=i2.?h>4n;|~y>o6:90;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87o4$5f0>d=zutw0e<<>:18'5cd=99?0Z4463_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?>m50;494?6|,8li6<><;I3fb>"6:?0:46g>0483>!7aj3;;96X>fe82I7an3;pZ<8>:3y'52?=99?0Zkk52z&7`1o?7o4}|~?lc5290/=kl5e39U5cb=9rF:jk4>{W355?4|,8=26h<4Vgg96~"3l=0j7):k3;c8yx{z3`o86=4+1g`9a6=Q9on1>vB>fg82S71938p(<96:d18Rcc=:r.?h94l;%6g7?e7}#1b33t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf:;%0`=?2c;2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7k=;%0`=?2c<2w/9>j5b:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f65?29086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`07<<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm32c94?5=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:g9'0a5=n2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7h4}|~?j70:3:1({zut1vn>:<:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e8a?xP6?109w[k8:3y'0a4=m:1/>n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e;=>1<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg53=3:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`003<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e6950=#8;Wdf>7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a7=?=8381<7>t$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg5?l3:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;0:1<7850;2x 4`e28:87E?jf:&263<602c:<84?:%3ef?77=2\:ji4>{M3eb?7|^8<:6?u+16;9553<^oo1>v*;d58b?!2c;3k0qpsr;hg1>5<#9oh1i?5Y1gf95~J6no0:w[?91;0x 41>2l80Zkk52z&7`1o?7o4}|~?lc4290/=kl5e29U5cb=:rF:jk4>{W355?4|,8=26h=4Vgg96~"3l=0h7):k3;a8yx{K9oo18vX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f7?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3o97){#=:n1n6sY16:96~Pb?38p(9j=:d18 7e>2=n?7p*:3e823>{zu2c:io4?:%3ef?7bj2\:ji4={M3eb?7|^8<:6?u+16;95`d<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;nn6*=c887`1=z,<9o6<84}|8m4b0290/=kl51e58R4`c2;qG=kh51zT224<5s-;<57?k7:Tea?4|,=n?6<84$5f0>402wvq6a>7383>!7aj3;<>65rb2:b>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g4<729q/=kl52108L4ca3f;<>7>5$0da>41532wi?5m50;194?6|,8li6:<4H0ge?l77>3:1(437[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?j70:3:1({zut1vn>6j:180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87??;%6g7?773twvq6g>0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0::6*;d2822>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`0f5<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#290=6=4?{%3ef?77;2B:ik5+13495==n99?1<7*>fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo=m1;297?6=8r.:jo4>f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?o<50;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj:h?6=47:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{c=#049K5cg<^8lo62183>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=?>4Vgg96~"3l=0:96*;d2821>{zut1b=??50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9577<^oo1>v*;d5821>"3l:0:96sr}|9j574=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=?<4Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`0f0<7210;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Dfc8240=O9ok0Z4603_ln6?u+4e69b>"3l:0m7psr}:k257<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94i;%6g7?`d;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3l0(9j<:g9~yx{43<,=n86<;4}|~?l7593:1(437[?id;3xH4`a28q]i44={%34=?75:2\mi743<,=n86<;4}|~?j70:3:1({zut1vn>l9:18;>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87h4$5f0>c=zutw0e6Xie;0x 1b32o1/8i=5f:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>5:&7`6<6=2wvqp5f13294?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<7}#1=85+4e1950=zutw0e<<>:18'5cd=99?0Z4463_ln6?u+4e6950=#7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a7g1=8321<7>t$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3l0(9j<:g9~yx{c=#049K5cg<^8lo61e83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?723->o?7?:;|~y>o6:90;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d5821>"3l:0:96sr}|9j577=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0:96*;d2821>{zut1b=?<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9574<^oo1>v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th8n>4?:483>5}#9oh1=:?4H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94n;%6g7?gd=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4<;0;6;4?:1y'5cd=9990D5$0da>4623_;mh7?tL0de>4}Q9?;1>v*>788240=Qnl09w):k4;c8 1b42h1vqps4id094?"6nk0n>6X>fe82I7an3;pZ<8>:3y'52?=m;1]jh4={%6g0?g<,=n86l5r}|8m`5=83.:jo4j3:T2ba<5sE;mj7?tV042>7}#9>31i>5Yfd81!2c<3i0(9j<:b9~yxJ6nl0?w[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7<68<1/>n754e68y!34l3;97pX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=99?0(?m6:5f0?x"2;m0:>6sY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b52l80(?m6:5f7?x"2;m0i7pX>7981Sc02;q/8i<5e29'6f?=0q);5$0da>4ce3_;mh74}Q9?;1>v*>7882ag=Qnl09w):k4;35?!2c;3;=7psrL0df>4}Q9o>1>vXj7;0x 1b528oi7){#=:n1=;5r}|9j5a1=83.:jo4>d69U5cb=:rF:jk4>{W355?4|,8=267}#1=;5+4e1953=zutF:jh4>{W3e0?4|^l=1>v*;d382`2=#:j318i:4}%70`?713twv7b?82;29 4`e28=976sm32g94?5=83:p({M3eb?7|^l31>v*>788243=Qnl09w):k4;c8 1b42h1vqps4i024>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=i2.?h>4n;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo=5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi8i;50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:k9;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=;4>8:k240<72-;mn7??5:T2ba<6sE;mj7?tV042>7}#9>31==;4Vgg96~"3l=0j7):k3;c8yx{z3`o96=4+1g`9a7=Q9on1=vB>fg82S71938p(<96:d08Rcc=:r.?h94n;%6g7?g`5<^oo1>v*;d58`?!2c;3i0qpsC1gg90~P6mj08w[k8:3y'0a4=m:1/>n754e68ySc?2;q/8i<51178 7e>2=n?7p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o?6s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;g1?!4d13>o86s+52f9f>{Q9>21>vXj7;0x 1b52l90(?m6:5f7?x"2;m0:;6sr}:k2ag<72-;mn7?jb:T2ba<5sE;mj7?tV042>7}#9>31=hl4Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3ff>"5k00?h95r$41g>4040<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>i6?;0;6)?ib;346>=zj=n=6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049K5cg<^8lo60683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8i950;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj=n36=4<:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg2ci3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<682.?h>4>0:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6953=#7}#1m6*;d28b?x{zu2wi8il50;794?6|,8li6:<4H0ge?l77>3:1(4$5f0>467E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;=7):k3;35?x{zu2c:=?4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<6>2.?h>4>6:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>6:&7`6<6>2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd3lj0;6;4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4H0db?S7al3;p@2:Tea?4|,=n?6<84$5f0>40d;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3;=7):k3;35?x{zu2c:>=4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788265=Qnl09w):k4;35?!2c;3;=7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{oh7>52083>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1==5+4e1955=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6955=#7}#1==5+4e1955=zutw0e47c3_ln6?u+4e6955=#7}#1==5+4e1955=zutw0e<<>:18'5cd=99?0Z4463_ln6?u+4e6955=#7}#1==5+4e1955=zutw0e<<<:18'5cd=99?0Z4443_ln6?u+4e6955=#7}#1==5+4e1955=zutw0e<<::18'5cd=99?0Z4423_ln6?u+4e6955=#7;Wdf>7}#1==5+4e1955=zutw0e<>6:18'5cd=99?0Z46>3_ln6?u+4e6955=#n;Wdf>7}#1==5+4e1955=zutw0e<>m:18'5cd=99?0Z46e3_ln6?u+4e6955=#l;Wdf>7}#1==5+4e1955=zutw0e<>k:18'5cd=99?0Z46c3_ln6?u+4e6955=#j;Wdf>7}#1=;5+4e1953=zutw0e<>i:18'5cd=99?0Z46a3_ln6?u+4e69e>"3l:0j7psr}:k255<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:==5Yfd81!2c<3k0(9j<:`9~yx{1283>!7aj3;;96X>fe82I7an3;pZh752z&23<<69:1]jh4={%6g0?g<,=n86l5r}|8m473290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2c:=84?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788250=Qnl09w):k4;c8 1b42h1vqps4i035>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;=7[hj:3y'0a2=i2.?h>4n;|~y>o69>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>169Ub`<5s->o87o4$5f0>d=zutw0e47?3_ln6?u+4e69e>"3l:0j7psr}:k25<<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=45Yfd81!2c<3k0(9j<:`9~yx{1c83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69k1]jh4={%6g0?g<,=n86l5r}|8m47d290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2c:=h4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>78825`=Qnl09w):k4;c8 1b42h1vqps4i03e>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;m7[hj:3y'0a2=i2.?h>4n;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:ke;2964<729q/=kl5739K5``5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>288:7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28887[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>288>7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:27[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:i7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:o7[hj:3y'0a2=991/8i=5119~yx{40<,=n86<84}|~?l77n3:1(400;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?7682\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=5$0da>4623_;mh7?tL0de>4}Qm009w)?89;327>Pam38p(9j;:`9'0a5=i2wvqp5f10694?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98>0Zkk52z&7`1o?7o4}|~?l76=3:1(5:Tea?4|,=n?6l5+4e19e>{zut1b=<850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9540<^oo1>v*;d58b?!2c;3k0qpsr;h323?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:7510:8Rcc=:r.?h94n;%6g7?g9;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?7612\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32f>Pam38p(9j;:`9'0a5=i2wvqp5f10a94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98i0Zkk52z&7`1o?7o4}|~?l76m3:1(e:Tea?4|,=n?6l5+4e19e>{zut1b=v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=nm6=49:183!7aj3;<=6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1o?7o4}|~?l76l3:1(d:Tea?4|,=n?6l5+4e19e>{zut1b=?>50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=o96=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th?ii4?:783>5}#9oh1===4H0ge?!75>3;37d??5;29 4`e28:>7[?id;3xH4`a28q]=;?52z&23<<68<1]jh4={%6g0?g<,=n86l5r}|8m`4=83.:jo4j2:T2ba<6sE;mj7?tV042>7}#9>31i?5Yfd81!2c<3k0(9j<:`9~yx{fc8f7>P6nm09wA?if;3xR4062;q/=:75e29Ub`<5s->o87m4$5f0>f=zutF:jh4;{W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a27}#1b43t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf1b33t.>?i4m;|T23=<5s_o<6?u+4e09a6=#:j318i:4}%70`?703twv7d?jb;29 4`e28oi7[?id;0xH4`a28q]=;?52z&23<<6mk1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=n9m=1<7*>fc82`2=Q9on1>vB>fg82S71938p(<96:0f4?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6l>1/>n754e68y!34l3;=7psr;n346?6=,8li6<9=;:a0`5=8391<7>t$0da>4`?3A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c6f0?6=93:1fc8147=O9ll0c<9=:18'5cd=9>807pl;e783>6<729q/=kl5739K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8h950;694?6|,8li6:<4H0ge?l77>3:1(437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;>7):k3;36?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{n47>54;294~"6nk0<>6F>eg9j550=83.:jo4>049K5cg<^8lo65<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>o69;0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg2bi3:197>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0D7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:078 1b428?0qpsr;h32`?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb5ga>5<2290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6950=#4}Qm009w)?89;326>Pam38p(9j;:078 1b428?0qpsr;h32`?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb5g6>5<0290;w)?ib;345>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94n;%6g7?gd;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76l2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=?>4Vgg96~"3l=0j7):k3;c8yx{z3`;9=7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;315>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd2:80;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj<8=6=49:183!7aj3;;?6F>eg9'570=911b==;50;&2bg<68<1]=kj51zN2bc<6s_;==74623_ln6?u+4e69e>"3l:0j7psr}:kf6?6=,8li6h<4V0dg>4}K9ol1=vX>6081!7013o97[hj:3y'0a2=i2.?h>4n;|~y>ob;3:1(7}#1o6*;d28`?x{zD8ln69uY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`6=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0n>6*=c887`1=z,<9o6o5rV05;>7}Qm>09w):k2;g0?!4d13>o86s+52f952=zut1b=hl50;&2bg<6mk1]=kj52zN2bc<6s_;==74ce3_ln6?u+4e6953=#d69Ub`<5s->o87?9;%6g7?713twv@4b03-8h57:k4:'16b=9?1vqp5`16094?"6nk0:;?54}c716?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`662<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo;=8;297?6=8r.:jo4>f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi9?750;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj<8j6=4<:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi>=650;494?6|,8li6<><;I3fb>"6:?0:46g>0483>!7aj3;;96X>fe82I7an3;pZ<8>:3y'52?=99?0Zkk52z&7`1o?7o4}|~?lc5290/=kl5e39U5cb=9rF:jk4>{W355?4|,8=26h<4Vgg96~"3l=0j7):k3;c8yx{z3`o86=4+1g`9a6=Q9on1>vB>fg82S71938p(<96:d18Rcc=:r.?h94l;%6g7?e7}#1b33t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf:;%0`=?2c;2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7k=;%0`=?2c<2w/9>j5b:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f76329086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`140<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm21494?5=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:g9'0a5=n2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7h4}|~?j70:3:1({zut1vn?l=:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e8a?xP6?109w[k8:3y'0a4=m:1/>n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e:k91<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4e<3:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`1f0<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69b>"3l:0m7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c0fa?6=>3:1fc8246=O9ll0(<<9:0:8m462290/=kl51178R4`c28qG=kh51zT224<5s-;<57??5:Tea?4|,=n?6l5+4e19e>{zut1bi?4?:%3ef?c53_;mh7?tL0de>4}Q9?;1>v*>788f6>Pam38p(9j;:`9'0a5=i2wvqp5fe283>!7aj3o87[?id;0xH4`a28q]=;?52z&23<f=#uYe681!2c:3o87){Qm109w):k2;331>"5k00?h95r$41g>44v*;d38240=#:j318i=4}%70`?753t\:in4<{Wg4>7}#5+2b;90a2402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;oi6=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg4bk3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1j6*;d28e?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7h4}|~?j70:3:1({zut1vn?ki:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g3<729q/=kl51118L4ca3-;9:7?7;h331?6=,8li6<>:;W3e`?7|D8lm6fc8f6>P6nm0:wA?if;3xR4062;q/=:75e39Ub`<5s->o87o4$5f0>d=zutw0eh=50;&2bgfd87S7bk39pZh952z&7`7=:r.?h?4>049'6f?=0q);n754e68ySc?2;q/8i<51178 7e>2=n87p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:d08 7e>2=n?7p*:3e8a?xP6?109w[k8:3y'0a4=m:1/>n754e68y!34l3;<7psr;h3ff?6=,8li6o86s+52f953=zut1b=i950;&2bg<6l>1]=kj52zN2bc<6s_;==74b03_ln6?u+4e6953=#{e:o:1<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4a93:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`1b7<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Dfc8240=O9ok0Z4603_ln6?u+4e69b>"3l:0m7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?>850;494?6|,8li6<><;I3fb>"6:?0:46g>0483>!7aj3;;96X>fe82I7an3;pZ<8>:3y'52?=99?0Zkk52z&7`1o?7o4}|~?lc5290/=kl5e39U5cb=9rF:jk4>{W355?4|,8=26h<4Vgg96~"3l=0j7):k3;c8yx{z3`o86=4+1g`9a6=Q9on1>vB>fg82S71938p(<96:d18Rcc=:r.?h94l;%6g7?e7}#1b33t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf:;%0`=?2c;2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7k=;%0`=?2c<2w/9>j5b:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f65529086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`076<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm32694?1=83:p(5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5821>"3l:0:96sr}|9j544=83.:jo4>049K5cg<^8lo65<#9oh1==;4H0db?S7al3;p@d:Tea?4|,=n?6<;4$5f0>437E?ia:T2ba<6sE;mj7?tVd;96~"6?00:>=5Yfd81!2c<3;>7):k3;36?x{zu2c:><4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788264=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?;750;494?6|,8li6<><;I3fb>"6:?0:46g>0483>!7aj3;;96X>fe82I7an3;pZ<8>:3y'52?=99?0Zkk52z&7`1o?7o4}|~?lc5290/=kl5e39U5cb=9rF:jk4>{W355?4|,8=26h<4Vgg96~"3l=0j7):k3;c8yx{z3`o86=4+1g`9a6=Q9on1>vB>fg82S71938p(<96:d18Rcc=:r.?h94l;%6g7?e7}#1b33t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf:;%0`=?2c;2w/9>j5139~R4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7k=;%0`=?2c<2w/9>j5b:U52>=:r\n;7`5<,;i269j;;|&67a<6?2wvq6g>ec83>!7aj3;nn6X>fe81I7an3;pZ<8>:3y'52?=9lh0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?jb:&1g<<3l=1v(8=k:048yx{809w)?89;3g3>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9m=0(?m6:5f7?x"2;m0::6sr}:m237<72-;mn7?82:9~f60229086=4?{%3ef?7a02B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`023<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm37594?5=83:p(5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?`<,=n86k5r}|8m460290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:g9'0a5=n2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4>h0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:eg9'570=911b==;50;&2bg<68<1]=kj51zN2bc<6s_;==74623_ln6?u+4e69e>"3l:0j7psr}:kf6?6=,8li6h<4V0dg>4}K9ol1=vX>6081!7013o97[hj:3y'0a2=i2.?h>4n;|~y>ob;3:1(7}#1o6*;d28`?x{zD8ln69uY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`6=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0n>6*=c887`1=z,<9o6o5rV05;>7}Qm>09w):k2;g0?!4d13>o86s+52f952=zut1b=hl50;&2bg<6mk1]=kj52zN2bc<6s_;==74ce3_ln6?u+4e6953=#d69Ub`<5s->o87?9;%6g7?713twv@4b03-8h57:k4:'16b=9?1vqp5`16094?"6nk0:;?54}c15f?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{8k:180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87h4$5f0>c=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a26<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#t$0da>4643A;nj6*>2782<>o68<0;6)?ib;331>P6nm0:wA?if;3xR4062;q/=:751178Rcc=:r.?h94n;%6g7?g`4<^oo1>v*;d58b?!2c;3k0qpsr;hg0>5<#9oh1i>5Y1gf96~J6no0:w[?91;0x 41>2l90Zkk52z&7`1o?7m4}|O5cc=7}#5+2b;90a21b33t\n474623-8h57:k3:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?c53-8h57:k4:'16b=j2w]=:652zTf3?4|,=n96h=4$3a:>1b33t.>?i4>7:~y>o6mk0;6)?ib;3ff>P6nm09wA?if;3xR4062;q/=:751d`8Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7bj2.9o44;d59~ 05c28<0qps4i0f4>5<#9oh1=i94V0dg>7}K9ol1=vX>6081!7013;o;6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51e58 7e>2=n?7p*:3e822>{zu2e:;?4?:%3ef?70:21vn;:50;194?6|,8li6o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g53;294~"6nk0<>6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:96*;d2821>{zut1b==950;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=9<1/8i=5149~yx{1<729q/=kl5739K5``2\mi743<,=n86<;4}|~?l77?3:1({M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:k257<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd1>3:1?7>50z&2bg<6?81C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=i2.?h>4n;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87o4$5f0>d=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a2g<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#t$0da>4643A;nj6*>2782<>o68<0;6)?ib;331>P6nm0:wA?if;3xR4062;q/=:751178Rcc=:r.?h94n;%6g7?g`4<^oo1>v*;d58b?!2c;3k0qpsr;hg0>5<#9oh1i>5Y1gf96~J6no0:w[?91;0x 41>2l90Zkk52z&7`1o?7m4}|O5cc=7}#5+2b;90a21b33t\n474623-8h57:k3:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?c53-8h57:k4:'16b=j2w]=:652zTf3?4|,=n96h=4$3a:>1b33t.>?i4>7:~y>o6mk0;6)?ib;3ff>P6nm09wA?if;3xR4062;q/=:751d`8Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7bj2.9o44;d59~ 05c28<0qps4i0f4>5<#9oh1=i94V0dg>7}K9ol1=vX>6081!7013;o;6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51e58 7e>2=n?7p*:3e822>{zu2e:;?4?:%3ef?70:21vn;m50;194?6|,8li6o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{:183!7aj38;>6F>eg9l524=83.:jo4>7398yg0b29086=4?{%3ef?153A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg74k3:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e9=91<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{56;294~"6nk0:<>5G1dd8 4412820e<>::18'5cd=99?0Zd=#6Xie;0x 1b32h1/8i=5a:~yx=nm:0;6)?ib;g0?S7al38p@{zuE;mi7:tV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`1=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a57}#v*;d38f7>"5k00?h95r$41g>4140<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>o6l>0;6)?ib;3g3>P6nm09wA?if;3xR4062;q/=:751e58Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7c?2.9o44;d59~ 05c28<0qps4o051>5<#9oh1=:<4;|`27a<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg74m3:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`205<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?723->o?7?:;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg73:3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6;o0;694?:1y'5cd=9>;0D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?g2;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th:894?:583>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1==5+4e1955=zutw0e<>8:18'5cd=99?0D7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:048 1b428<0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj8>>6=4;:183!7aj3=97E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;;7):k3;33?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6>2.?h>4>6:~yx=n9881<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>6:&7`6<6>2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd65<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=991/8i=5119~yx{40<,=n86<84}|~?l76:3:1(2:Tea?4|,=n?6<84$5f0>40d=#o?7o4}|~?l77?3:1({zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;:26=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th95}#9oh1===4H0ge?!75>3;37d??5;29 4`e28:>7[?id;3xH4`a28q]=;?52z&23<<68<1]jh4={%6g0?g<,=n86l5r}|8m`4=83.:jo4j2:T2ba<6sE;mj7?tV042>7}#9>31i?5Yfd81!2c<3k0(9j<:`9~yx{fc8f7>P6nm09wA?if;3xR4062;q/=:75e29Ub`<5s->o87m4$5f0>f=zutF:jh4;{W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a27}#1b43t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf1b33t.>?i4m;|T23=<5s_o<6?u+4e09a6=#:j318i:4}%70`?703twv7d?jb;29 4`e28oi7[?id;0xH4`a28q]=;?52z&23<<6mk1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=n9m=1<7*>fc82`2=Q9on1>vB>fg82S71938p(<96:0f4?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6l>1/>n754e68y!34l3;=7psr;n346?6=,8li6<9=;:a65g=8391<7>t$0da>4`?3A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c03f?6=93:1fc8147=O9ll0c<9=:18'5cd=9>807pl=0b83>6<729q/=kl5739K5``5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=9<1/8i=5149~yx{5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c0ab?6=>3:1fc8246=O9ll0(<<9:0:8m462290/=kl51178R4`c28qG=kh51zT224<5s-;<57??5:Tea?4|,=n?6l5+4e19e>{zut1bi?4?:%3ef?c53_;mh7?tL0de>4}Q9?;1>v*>788f6>Pam38p(9j;:`9'0a5=i2wvqp5fe283>!7aj3o87[?id;0xH4`a28q]=;?52z&23<f=#uYe681!2c:3o87){Qm109w):k2;331>"5k00?h95r$41g>44v*;d38240=#:j318i=4}%70`?753t\:in4<{Wg4>7}#5+2b;90a2402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;hj6=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg4ek3:1:7>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1j6*;d28e?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;d8 1b42o1vqps4i031>5<#9oh1==;4H0db?S7al3;p@2:Tea?4|,=n?6k5+4e19b>{zut1b=4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=n2.?h>4i;|~y>o6:90;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d58e?!2c;3l0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;ho6=49:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7[?id;3xH4`a28q]i44={%34=?77?2\mi7c=#049K5cg<^8lo61e83>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=5$0da>4623_;mh7?tL0de>4}Qm009w)?89;314>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;hi6=4<:183!7aj3;<=6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd5kh0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj;im6=49:183!7aj3;;?6F>eg9'570=911b==;50;&2bg<68<1]=kj51zN2bc<6s_;==74623_ln6?u+4e69e>"3l:0j7psr}:kf6?6=,8li6h<4V0dg>4}K9ol1=vX>6081!7013o97[hj:3y'0a2=i2.?h>4n;|~y>ob;3:1(7}#1o6*;d28`?x{zD8ln69uY1da97~Pb?38p(9j=:d18 7e>2=n?7pXj8;0x 1b528:>7){#=:n1=?5rV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`6=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0n>6*=c887`1=z,<9o6o5rV05;>7}Qm>09w):k2;g0?!4d13>o86s+52f952=zut1b=hl50;&2bg<6mk1]=kj52zN2bc<6s_;==74ce3_ln6?u+4e6953=#d69Ub`<5s->o87?9;%6g7?713twv@4b03-8h57:k4:'16b=9?1vqp5`16094?"6nk0:;?54}c0`f?6=;3:1fc82b==O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87h4$5f0>c=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a6c3=8381<7>t$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4al3:1:7>50z&2bg<68:1C=hh4$005>4>6=4+1g`9553<^8lo6809w)?89;331>Pam38p(9j;:`9'0a5=i2wvqp5fe383>!7aj3o97[?id;3xH4`a28q]=;?52z&23<d=#pZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d59~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7<68<1/>n754e18y!34l3;97pX>eb80Sc02;q/8i<5e29'6f?=0q[k7:3y'0a4=m;1/>n754e68y!34l3h0q[?88;0xR`1=:r.?h?4j3:&1g<<3l=1v(8=k:058yx{809w)?89;3ff>Pam38p(9j;:048 1b428<0qpsC1gg95~P6n=09w[k8:3y'0a4=9lh0(?m6:5f7?x"2;m0::6sr}:k2`2<72-;mn7?k7:T2ba<5sE;mj7?tV042>7}#9>31=i94Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3g3>"5k00?h95r$41g>40807pl=f783>6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:`9'0a5=i2wvqp5f11594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn?h8:182>5<7s-;mn781<7*>fc8237=53;294~"6nk0<>6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:96*;d2821>{zut1b==950;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=9<1/8i=5149~yx{5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4aj3:1:7>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0D7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?723->o?7?:;|~y>o69m0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;954b<^oo1>v*;d5821>"3l:0:96sr}|9j576=83.:jo4>049K5cg<^8lo65<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e:o21<7:50;2x 4`e28=:7E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{1383>!7aj3;;96X>fe82I7an3;pZh752z&23<<69;1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?:>50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo=84;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=;4>8:k240<72-;mn7??5:T2ba<6sE;mj7?tV042>7}#9>31==;4Vgg96~"3l=0j7):k3;c8yx{z3`o96=4+1g`9a7=Q9on1=vB>fg82S71938p(<96:d08Rcc=:r.?h94n;%6g7?g`5<^oo1>v*;d58`?!2c;3i0qpsC1gg90~P6mj08w[k8:3y'0a4=m:1/>n754e68ySc?2;q/8i<51178 7e>2=n?7p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o?6s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;g1?!4d13>o86s+52f9f>{Q9>21>vXj7;0x 1b52l90(?m6:5f7?x"2;m0:;6sr}:k2ag<72-;mn7?jb:T2ba<5sE;mj7?tV042>7}#9>31=hl4Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3ff>"5k00?h95r$41g>4040<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>i6?;0;6)?ib;346>=zj:=:6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4?;0;6<4?:1y'5cd=:980Dt$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb256>5<3290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788243=Qnl09w):k4;35?!2c;3;=7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3;;7):k3;33?x{zu2c:=?4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788257=Qnl09w):k4;35?!2c;3;=7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{54;294~"6nk0<>6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0::6*;d2822>{zut1b==950;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=9?1/8i=5179~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e;>=1<7:50;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?9;%6g7?713twvq6g>0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:<6*;d2824>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5822>"3l:0::6sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th8;54?:583>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:048 1b428<0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?9;%6g7?713twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0::6*;d2822>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`03<<72>0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:028 1b428:0qpsr;h326?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87??;%6g7?773twvq6g>1e83>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31={zut1b=?>50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d5822>"3l:0::6sr}|9j577=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0::6*;d2822>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`03d<72?0;6=u+1g`9527<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3`;:>7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:`9'0a5=i2wvqp5f10f94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98n0Zkk52z&7`1o?7o4}|~?l7583:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`0=4<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#fc8240=Q9on1=vB>fg82S71938p(<96:026?S`b2;q/8i:5a:&7`6"3l:0j7psr}:kf7?6=,8li6h=4V0dg>7}K9ol1=vX>6081!7013o87[hj:3y'0a2=k2.?h>4l;|~H4`b2=q]=hm53zTf3?4|,=n96h=4$3a:>1b33t\n474623-8h57:k4:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?77=2.9o44;d29~ 05c2880q[?jc;1xR`1=:r.?h?4j3:&1g<<3l=1vZh652z&7`7o>7k<;%0`=?2c<2w/9>j5169~yx=n9lh1<7*>fc82ag=Q9on1>vB>fg82S71938p(<96:0ga?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6mk1/>n754e68y!34l3;=7psr;h3g3?6=,8li6o86s+52f953=zut1d=:<50;&2bg<6?;10qo=62;297?6=8r.:jo4>f99K5``0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi?4=50;394?6|,8li6?>=;I3fb>i6?;0;6)?ib;346>=zj:3?6=4<:183!7aj3=97E?jf:k243<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c6;g?6=>3:1fc8246=O9ll0(<<9:0:8m462290/=kl51178R4`c28qG=kh51zT224<5s-;<57??5:Tea?4|,=n?6l5+4e19e>{zut1bi?4?:%3ef?c53_;mh7?tL0de>4}Q9?;1>v*>788f6>Pam38p(9j;:`9'0a5=i2wvqp5fe283>!7aj3o87[?id;0xH4`a28q]=;?52z&23<f=#uYe681!2c:3o87){Qm109w):k2;331>"5k00?h95r$41g>44v*;d38240=#:j318i=4}%70`?753t\:in4<{Wg4>7}#5+2b;90a2402wvq6g>d683>!7aj3;o;6X>fe81I7an3;pZ<8>:3y'52?=9m=0Zkk52z&7`1<6>2.?h>4>6:~yI7am3;pZo>7?k7:&1g<<3l=1v(8=k:048yx{5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=226=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg2?i3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=O9ok0Z4603_ln6?u+4e6950=#7}#1m6*;d28b?x{zu2wi9>950;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo;029K5``<,88=6<64i026>5<#9oh1==;4V0dg>4}K9ol1=vX>6081!7013;;96Xie;0x 1b32h1/8i=5a:~yx=nm;0;6)?ib;g1?S7al3;p@{zut1bi>4?:%3ef?c43_;mh74}Q9?;1>v*>788f7>Pam38p(9j;:b9'0a5=k2wvqA?ie;6xR4cd2:q]i:4={%6g6?c43-8h57:k4:Ua=<5s->o>7??5:&1g<<3l=1v(8=k:008yS7bk39pZh952z&7`7=:r.?h?4>049'6f?=n754e68ySc?2;q/8i<5e39'6f?=0q);5<#9oh1=hl4V0dg>7}K9ol1=vX>6081!7013;nn6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51d`8 7e>2=n?7p*:3e822>{zu2c:h:4?:%3ef?7c?2\:ji4={M3eb?7|^8<:6?u+16;95a1<^oo1>v*;d5822>"3l:0::6sr}M3ea?7|^8l?6?uYe681!2c:3;o;6*=c887`1=z,<9o6<84}|8k415290/=kl51608?xd2;10;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#290:6=4?{%3ef?47:2B:ik5`16094?"6nk0:;?54}c70e?6=;3:1fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5821>"3l:0:96sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:96*;d2821>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2=6<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#29096=4?{%3ef?ef3A;nj6g>0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7k4}|~?xd61=0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg7>03:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`d=#o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2=d<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7k4}|~?xd61k0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg7>n3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`d=#o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2e4<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7k4}|~?xd6i;0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg7f>3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`d=#o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2e=<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7k4}|~?xd6i00;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg7fl3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`d=#o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2ec<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7k4}|~?xd6j90;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg7e<3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`d=#o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2f3<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7k4}|~?xd6j>0;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg7ej3:1?7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<6=2.?h>4>5:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`d=#29086=4?{%3ef?7092B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2fa<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6k10;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32l1/8i=5e:~yx=zj8hn6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6jo0;6<4?:1y'5cd=:980Dt$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7c=#049K5cg<^8lo65<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e9j81<7=50;2x 4`e2>80D:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:g9'0a5=n2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6k90;6>4?:1y'5cd=9>;0D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?713->o?7?9;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?9;%6g7?713twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0::6*;d2822>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`2g0<72<0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e6955=#4}Qm009w)?89;333>Pam38p(9j;:048 1b428<0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328<0(9j<:048yx{z3`;:h7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?713->o?7?9;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo?l6;29fc8240=O9ok0Z4613_ln6?u+4e6955=#8;Wdf>7}#1==5+4e1955=zutw0e6Xie;0x 1b328:0(9j<:028yx{z3`;:h7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?773->o?7??;|~y>o6:90;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?9;%6g7?713twvq6g>2083>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0::6*;d2822>{zut1b=?<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9574<^oo1>v*;d5822>"3l:0::6sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th:o:4?:583>5}#9oh1=:?4H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?gc883>7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb0ae>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58f?!2c;3o0qpsr;|`2gd<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb0aa>5<6290;w)?ib;036>N6mo1d=:<50;&2bg<6?;10qo?ld;297?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?j70:3:1({zut1vn5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87h4$5f0>c=zutw0e<>8:18'5cd=99?0D7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb0a`>5<4290;w)?ib;345>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?gd183>7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb0f5>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58f?!2c;3o0qpsr;|`2`4<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb0f1>5<6290;w)?ib;036>N6mo1d=:<50;&2bg<6?;10qo?k4;297?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7[?id;3xH4`a28q]i44={%34=?77?2\mi743<,=n86<;4}|~?j70:3:1({zut1vn5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87h4$5f0>c=zutw0e<>8:18'5cd=99?0D7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb0f0>5<4290;w)?ib;345>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58b?!2c;3k0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm681<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb52a>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58f?!2c;3o0qpsr;|`74=<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg2713:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`74d<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e6950=#4}Qm009w)?89;333>Pam38p(9j;:g9'0a5=n2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd35<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=?86=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0n7):k3;g8yx{z3th?9=4?:283>5}#9oh1=k64H0ge?l77>3:1({M3eb?7|^l31>v*>788243=Qnl09w):k4;c8 1b42h1vqps4i024>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:<7[hj:3y'0a2=i2.?h>4n;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo::1;295?6=8r.:jo4=039K5``5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:078 1b428?0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb54b>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`72`<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7`=#9;Wdf>7}#1m6*;d28b?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e0783>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0m7):k3;d8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:g9'0a5=n2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd31h0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=3n6=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th?m94?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vn97m:180>5<7s-;mn7?i8:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{5<7s-;mn79=;I3fb>o68?0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d58e?!2c;3l0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87h4$5f0>c=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a0<`=83=1<7>t$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;;7):k3;33?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<682.?h>4>0:~yx=n9881<7*>fc8240=O9ok0Z4753_ln6?u+4e6955=#4}Qm009w)?89;32`>Pam38p(9j;:028 1b428:0qpsr;h314?6=,8li6<>:;W3e`?7|D8lm65$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<6:81]jh4={%6g0?713->o?7?9;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:n0;293?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e6955=#4}Qm009w)?89;333>Pam38p(9j;:028 1b428:0qpsr;h326?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87??;%6g7?773twvq6g>1e83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?713->o?7?9;|~y>o6:90;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?9;%6g7?713twvq6g>2083>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0::6*;d2822>{zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`7e4<7210;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:028 1b428:0qpsr;h326?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87??;%6g7?773twvq6g>1e83>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31={zut1b=?>50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>288;7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4H0db?S7al3;p@40d=#0783>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0:<6*;d2824>{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5824>"3l:0:<6sr}|9j544=83.:jo4>049K5cg<^8lo65<#9oh1==;4H0db?S7al3;p@d:Tea?4|,=n?6<>4$5f0>467[?id;3xH4`a28q]i44={%34=?7582\mi740<,=n86<84}|~?l7593:1(407E?ia:T2ba<6sE;mj7?tVd;96~"6?00:>?5Yfd81!2c<3;=7):k3;35?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c6b7?6==3:1fc8234=O9ll0e<>9:18'5cd=99?0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{1e83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69m1]jh4={%6g0?g<,=n86l5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi8n950;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:lb;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`7g<<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm4bc94?5=83:p(5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?`<,=n86k5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a7ae=8381<7>t$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg5b83:1>7>50z&2bg5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=m2.?h>4j;|~y>{e;mn1<7=50;2x 4`e28l37E?jf:k243<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg5cm3:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`0`c<72:0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:>f;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=;4>8:k240<72-;mn7??5:T2ba<6sE;mj7?tV042>7}#9>31==;4Vgg96~"3l=0j7):k3;c8yx{z3`o96=4+1g`9a7=Q9on1=vB>fg82S71938p(<96:d08Rcc=:r.?h94n;%6g7?g`5<^oo1>v*;d58`?!2c;3i0qpsC1gg90~P6mj08w[k8:3y'0a4=m:1/>n754e68ySc?2;q/8i<51178 7e>2=n?7p*:3e826>{Q9li1?vXj7;0x 1b52l90(?m6:5f7?xPb038p(9j=:026?!4d13>o?6s+52f957=z^8oh6>uYe681!2c:3o87){Qm109w):k2;g1?!4d13>o86s+52f9f>{Q9>21>vXj7;0x 1b52l90(?m6:5f7?x"2;m0:;6sr}:k2ag<72-;mn7?jb:T2ba<5sE;mj7?tV042>7}#9>31=hl4Vgg96~"3l=0::6*;d2822>{zuE;mi7?tV0d7>7}Qm>09w):k2;3ff>"5k00?h95r$41g>4040<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>i6?;0;6)?ib;346>=zj=8;6=4<:183!7aj3;m46F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd3:80;6<4?:1y'5cd=:980Dt$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;>7):k3;36?x{zu2c:<:4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99=0Zkk52z&7`1<6=2.?h>4>5:~yx=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb51f>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`704<72?0;6=u+1g`9555<@8om7)?=6;3;?l77=3:1(049Ub`<5s->o87o4$5f0>d=zutw0eh<50;&2bg{M3eb?7|^8<:6?u+16;9a7=Qnl09w):k4;c8 1b42h1vqps4id194?"6nk0n?6X>fe81I7an3;pZ<8>:3y'52?=m:1]jh4={%6g0?e<,=n86n5r}|N2b`<3s_;no7=tVd596~"3l;0n?6*=c887`1=z^l21>v*;d38240=#:j318i:4}%70`?753t\:in4<{Wg4>7}#5+2b;90a21b33t\n47`4<,;i269j;;|&67a7}#5+2b;90a2ec9Ub`<5s->o87?9;%6g7?713twv@4ce3-8h57:k4:'16b=9?1vqp5f1e594?"6nk0:h:5Y1gf96~J6no0:w[?91;0x 41>28n<7[hj:3y'0a2=9?1/8i=5179~yxJ6nl0:w[?i4;0xR`1=:r.?h?4>d69'6f?=0q);7>5$0da>41532wi8>l50;194?6|,8li6o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{8o7>51;294~"6nk094?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=9<1/8i=5149~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e<:l1<7850;2x 4`e2>80D:;W3e`?7|D8lm65$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?713->o?7?9;|~y>o69;0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5822>"3l:0::6sr}|9j54b=83.:jo4>049K5cg<^8lo65<#9oh1==;4H0db?S7al3;p@40d=#0483>!7aj3;;965`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd6>>0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj8<36=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th::n4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vn<86:180>5<7s-;mn7?i8:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th::l4?:083>5}#9oh1>=<4H0ge?j70:3:1({e9?h1<7?50;2x 4`e2;:97E?jf:m237<72-;mn7?82:9~f40c29086=4?{%3ef?153A;nj6g>0783>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0o7):k3;f8yx{z3`;;;7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?b<,=n86i5r}|8k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wimn4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vno>50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87k4$5f0>`=zutw0qook:180>5<7s-;mn7?i8:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?77?2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3thji7>51;294~"6nk0950z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7h4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`a6?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:af3<72;0;6=u+1g`9gd=O9ll0e<>::18'5cd=99?07b?82;29 4`e28=97[?id;3xH4`a28q]i44={%34=?70:2\mi7`=#t$0da>4`?3A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c`7>5<6290;w)?ib;036>N6mo1d=:<50;&2bg<6?;10qol::180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87h4$5f0>c=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69b>"3l:0m7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3thii7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1i6*;d28f?x{zu2wino4?:283>5}#9oh1=k64H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zjki1<7?50;2x 4`e2;:97E?jf:m237<72-;mn7?82:9~fgb=8391<7>t$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7c=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0m7):k3;d8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rb2g0>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`45?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a7`g=83<1<7>t$0da>4643A;nj6*>2782=>o68<0;6)?ib;331>P6nm0:wA?if;3xR4062;q/=:751178Rcc=:r.?h94n;%6g7?g`4<^oo1>v*;d58b?!2c;3k0qpsr;hg0>5<#9oh1i>5Y1gf96~J6no0:w[?91;0x 41>2l90Zkk52z&7`1o?7m4}|O5cc=7}#5+2b;90a21b33t\n474623-8h57:k3:'16b=9;1vZo>7k<;%0`=?2c<2w]i54={%6g6?c53-8h57:k4:'16b=j2w]=:652zTf3?4|,=n96h=4$3a:>1b33t.>?i4>7:~y>o6mk0;6)?ib;3ff>P6nm09wA?if;3xR4062;q/=:751d`8Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7bj2.9o44;d59~ 05c28<0qps4i0f4>5<#9oh1=i94V0dg>7}K9ol1=vX>6081!7013;o;6Xie;0x 1b328<0(9j<:048yx{K9oo1=vX>f581Sc02;q/8i<51e58 7e>2=n?7p*:3e822>{zu2e:;?4?:%3ef?70:21vn>k;:180>5<7s-;mn7?i8:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e69e>"3l:0j7psr}:k242<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3k0(9j<:`9~yx{k8:180>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87?:;%6g7?723twvq6g>0683>!7aj3;;96X>fe82I7an3;pZh752z&23<<68>1]jh4={%6g0?723->o?7?:;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo=j8;297?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e6950=#4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj:o=6=4<:183!7aj3;<=6F>eg9j550=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd4nm0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zjj31<7<50;2x 4`e2jk0D:;:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{;87>56;294~"6nk0:<>5G1dd8 4412830e<>::18'5cd=99?0Zd=#6Xie;0x 1b32h1/8i=5a:~yx=nm:0;6)?ib;g0?S7al38p@{zuE;mi7:tV0g`>6}Qm>09w):k2;g0?!4d13>o86sYe981!2c:3;;96*=c887`1=z,<9o6<<4}W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a57}#v*;d38f7>"5k00?h95r$41g>4140<,=n86<84}|O5cc=9r\:j94={Wg4>7}#1b33t.>?i4>6:~y>o6l>0;6)?ib;3g3>P6nm09wA?if;3xR4062;q/=:751e58Rcc=:r.?h94>6:&7`6<6>2wvqA?ie;3xR4`32;q]i:4={%6g6?7c?2.9o44;d59~ 05c28<0qps4o051>5<#9oh1=:<4;|`0b`<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg5an3:1=7>50z&2bg<58;1C=hh4o051>5<#9oh1=:<4;|`744<72=0;6=u+1g`937=O9ll0e<>9:18'5cd=99?0D5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:078 1b428?0qpsr;h326?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>139Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg27:3:187>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=85+4e1950=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6950=#7}#1=85+4e1950=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a056=8391<7>t$0da>4163A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c670?6=:3:1fc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a01e=8381<7>t$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?c<,=n86h5r}|8yg23=3:1?7>50z&2bg<6n11C=hh4i025>5<#9oh1==;4H0db?S7al3;p@{zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj=>=6=4>:183!7aj38;>6F>eg9l524=83.:jo4>7398yg2303:187>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7h4}|~?l77?3:1({M3eb?7|^l31>v*>788242=Qnl09w):k4;d8 1b42o1vqps4i031>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=n2.?h>4i;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:;9;290?6=8r.:jo482:J2ac=n99<1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751148Rcc=:r.?h94i;%6g7?`7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<:5Yfd81!2c<3l0(9j<:g9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg23i3:187>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7h4}|~?l77?3:1({M3eb?7|^l31>v*>788242=Qnl09w):k4;d8 1b42o1vqps4i031>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=n2.?h>4i;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:;b;291?6=8r.:jo482:J2ac=n99<1<7*>fc8240=O9ok0Z4613_ln6?u+4e69b>"3l:0m7psr}:k242<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`2;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi7c=#049U5cb=9rF:jk4>{Wg:>7}#9>31={zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`702<72<0;6=u+1g`9527<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3`;:>7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:`9'0a5=i2wvqp5f10f94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98n0Zkk52z&7`1o?7o4}|~?j70:3:1({zut1vn99>:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94n;%6g7?g7<729q/=kl5c`9K5``6=4+1g`9553<3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:d9'0a5=m2wvqp5rb551>5<4290;w)?ib;3e<>N6mo1b==850;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=i2.?h>4n;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87o4$5f0>d=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:a025=83;1<7>t$0da>7653A;nj6a>7383>!7aj3;<>65rb557>5<2290;w)?ib;51?M7bn2c:<;4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1o?7h4}|~?l77?3:1({M3eb?7|^l31>v*>788242=Qnl09w):k4;d8 1b42o1vqps4i031>5<#9oh1==;4H0db?S7al3;p@2:Tea?4|,=n?6k5+4e19b>{zut1b=v*;d5821>"3l:0:96sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th?;54?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vn99l:181>5<7s-;mn7mn;I3fb>o68<0;6)?ib;331>=h9>81<7*>fc8237=Q9on1=vB>fg82Sc>2;q/=:751608Rcc=:r.?h94j;%6g7?c6<729q/=kl51g:8L4ca3`;;:7>5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c64e?6=93:1fc8147=O9ll0c<9=:18'5cd=9>807pl;7c83>0<729q/=kl5739K5``2\mi7c=#049K5cg<^8lo61383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0m7):k3;d8yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:078 1b428?0qpsr;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj==m6=4=:183!7aj3ij7E?jf:k240<72-;mn7??5:9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3th?494?:783>5}#9oh1===4H0ge?!75>3;27d??5;29 4`e28:>7[?id;3xH4`a28q]=;?52z&23<<68<1]jh4={%6g0?g<,=n86l5r}|8m`4=83.:jo4j2:T2ba<6sE;mj7?tV042>7}#9>31i?5Yfd81!2c<3k0(9j<:`9~yx{fc8f7>P6nm09wA?if;3xR4062;q/=:75e29Ub`<5s->o87m4$5f0>f=zutF:jh4;{W3fg?5|^l=1>v*;d38f7>"5k00?h95rVd:96~"3l;0:<85+2b;90a27}#1b43t.>?i4>2:U5`e=;r\n;7`5<,;i269j;;|Tf1b33t.>?i4m;|T23=<5s_o<6?u+4e09a6=#:j318i:4}%70`?703twv7d?jb;29 4`e28oi7[?id;0xH4`a28q]=;?52z&23<<6mk1]jh4={%6g0?713->o?7?9;|~H4`b28q]=k:52zTf3?4|,=n96j5179~yx=n9m=1<7*>fc82`2=Q9on1>vB>fg82S71938p(<96:0f4?S`b2;q/8i:5179'0a5=9?1vqpB>fd82S7a<38pZh952z&7`7<6l>1/>n754e68y!34l3;=7psr;n346?6=,8li6<9=;:a0=6=8391<7>t$0da>4`?3A;nj6g>0783>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==84Vgg96~"3l=0j7):k3;c8yx{z3`;;;7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd3080;6<4?:1y'5cd=:980Dt$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi743<,=n86<;4}|~?l77?3:1({M3eb?7|^l31>v*>788242=Qnl09w):k4;36?!2c;3;>7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{3j7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wi84;50;094?6|,8li6no4H0ge?l77=3:1(i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qo:67;296?6=8r.:jo4la:J2ac=n99?1<7*>fc8240=o?7o4}|~?l77?3:1({zut1d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`7=4<7280;6=u+1g`9654<@8om7b?82;29 4`e28=976sm48194?3=83:p(5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?`<,=n86k5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1j6*;d28e?x{zu2c:=?4?:%3ef?77=2B:jl5Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1o?7h4}|~?l76l3:1({M3eb?7|^l31>v*>78825a=Qnl09w):k4;d8 1b42o1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e<0>1<7;50;2x 4`e2>80D:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87h4$5f0>c=zutw0e<>8:18'5cd=99?0Dfc8240=O9ok0Z4753_ln6?u+4e69b>"3l:0m7psr}:k25a<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>5:&7`6<6=2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xd31;0;6>4?:1y'5cd=9>;0D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?713->o?7?9;|~y>o68>0;6)?ib;331>N6nh1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d5822>"3l:0::6sr}|9l524=83.:jo4>739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3tho57>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wihi4?:383>5}#9oh1ol5G1dd8m462290/=kl51178?j70:3:1({zut1vnio50;194?6|,8li6o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87o4$5f0>d=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e69e>"3l:0j7psr}:m237<72-;mn7?82:T2ba<6sE;mj7?tVd;96~"6?00:;?5Yfd81!2c<3k0(9j<:`9~yx{:183!7aj38;>6F>eg9l524=83.:jo4>7398ygbd290:6=4?{%3ef?7082B:ik5`16094?"6nk0:;?54}cf7>5<5290;w)?ib;ab?M7bn2c:<84?:%3ef?77=21d=:<50;&2bg<6?;1]=kj51zN2bc<6s_o26?u+16;9524<^oo1>v*;d58b?!2c;3k0qpsr;|`gfc8`e>N6mo1b==;50;&2bg<68<10c<9=:18'5cd=9>80Z4153_ln6?u+4e69a>"3l:0n7psr}:a`0<72:0;6=u+1g`95c><@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rbe494?7=83:p(i6?;0;6)?ib;346>=zj10;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj<0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=zj>0;6?4?:1y'5cd=kh1C=hh4i026>5<#9oh1==;4;n346?6=,8li6<9=;W3e`?7|D8lm66Xie;0x 1b32l1/8i=5e:~yx=zj90;6>4?:1y'5cd=9o20D:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94n;%6g7?gd=#fc8240=O9ok0Z4613_ln6?u+4e69b>"3l:0m7psr}:k242<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94i;%6g7?`2;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:=?5Yfd81!2c<3l0(9j<:g9~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{e<3:1:7>50z&2bg<0:2B:ik5f11494?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1j6*;d28e?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;d8 1b42o1vqps4i031>5<#9oh1==;4H0db?S7al3;p@2:Tea?4|,=n?6k5+4e19b>{zut1b=4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=n2.?h>4i;|~y>o6:90;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>219Ub`<5s->o87?:;%6g7?723twvq6a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yg4=8391<7>t$0da>4163A;nj6g>0783>!7aj3;;96X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?g<,=n86l5r}|8m460290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>8;Wdf>7}#1m6*;d28b?x{zu2e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}c494?1=83:p(5$0da>4623A;mm6X>fe82I7an3;pZh752z&23<<68?1]jh4={%6g0?773->o?7??;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87??;%6g7?773twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0:<6*;d2824>{zut1b=4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4H0db?S7al3;p@40d=#t$0da>fg<@8om7d??5;29 4`e28:>76a>7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8ygcc2909=7>50z&2bg<0:2B:ik5f11494?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99<0Zkk52z&7`1<682.?h>4>0:~yx=n99=1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751158Rcc=:r.?h94>0:&7`6<682wvqp5f10094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9880Zkk52z&7`1<682.?h>4>0:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>0:&7`6<682wvqp5f13294?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;:0Zkk52z&7`1<682.?h>4>0:~yx=n9;;1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751338Rcc=:r.?h94>0:&7`6<682wvqp5f13094?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;80Zkk52z&7`1<682.?h>4>0:~yx=n9;91<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751318Rcc=:r.?h94>0:&7`6<682wvqp5f13694?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9;>0Zkk52z&7`1<682.?h>4>0:~yx=n9;?1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:751378Rcc=:r.?h94>0:&7`6<682wvqp5f11:94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9920Zkk52z&7`1<682.?h>4>0:~yx=n9931<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7511;8Rcc=:r.?h94>0:&7`6<682wvqp5f11c94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99k0Zkk52z&7`1<682.?h>4>0:~yx=n99h1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7511`8Rcc=:r.?h94>0:&7`6<682wvqp5f11a94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99i0Zkk52z&7`1<682.?h>4>0:~yx=n99n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7511f8Rcc=:r.?h94>0:&7`6<682wvqp5f11g94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=99o0Zkk52z&7`1<6>2.?h>4>6:~yx=n99l1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7511d8Rcc=:r.?h94>6:&7`6<6>2wvqp5f10294?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98:0Zkk52z&7`1o?7o4}|~?l7693:1(1:Tea?4|,=n?6l5+4e19e>{zut1b=<=50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9545<^oo1>v*;d58b?!2c;3k0qpsr;h320?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751078Rcc=:r.?h94n;%6g7?g6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76>2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=<94Vgg96~"3l=0j7):k3;c8yx{z3`;:47>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32<>Pam38p(9j;:`9'0a5=i2wvqp5f10;94?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=9830Zkk52z&7`1o?7o4}|~?l76i3:1(a:Tea?4|,=n?6l5+4e19e>{zut1b=v*;d58b?!2c;3k0qpsr;h32g?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:7510g8Rcc=:r.?h94n;%6g7?gf;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76n2\mi7d=#739U5cb=9rF:jk4>{Wg:>7}#9>31=:<4Vgg96~"3l=0j7):k3;c8yx{z3thni7>52;294~"6nk0hm6F>eg9j553=83.:jo4>0498k415290/=kl51608R4`c28qG=kh51zTf=?4|,8=26<9=;Wdf>7}#1m6*;d28b?x{zu2wiik4?:283>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1=95+4e1951=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6951=#7}#1m6*;d28b?x{zu2wij=4?:783>5}#9oh1;?5G1dd8m461290/=kl51178R4`c28qG=kh51zTf=?4|,8=26<>9;Wdf>7}#1==5+4e1955=zutw0e<>8:18'5cd=99?0Z4603_ln6?u+4e6955=#4}Qm009w)?89;326>Pam38p(9j;:048 1b428<0qpsr;h32`?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>1e9Ub`<5s->o87?9;%6g7?713twvq6g>2183>!7aj3;;96X>fe82I7an3;pZh752z&23<<6:91]jh4={%6g0?713->o?7?9;|~y>i6?;0;6)?ib;346>P6nm0:wA?if;3xR`?=:r.:;44>739Ub`<5s->o87o4$5f0>d=zutw0qoh>:185>5<7s-;mn79=;I3fb>o68?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>079Ub`<5s->o87??;%6g7?773twvq6g>0683>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0::6*;d2822>{zut1b=<<50;&2bg<68<1C=ko4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=9?1/8i=5179~yx{40<,=n86<84}|~?l7583:1(40d=#t$0da>24<@8om7d??6;29 4`e28:>7E?ia:T2ba<6sE;mj7?tVd;96~"6?00:<;5Yfd81!2c<3;;7):k3;33?x{zu2c:<:4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788242=Qnl09w):k4;33?!2c;3;;7psr}:k257<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:751008Rcc=:r.?h94>6:&7`6<6>2wvqp5f10f94?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=267}#1=;5+4e1953=zutw0e<4473_ln6?u+4e6953=#7}#1m6*;d28b?x{zu2wij>4?:783>5}#9oh1;?5G1dd8m461290/=kl51178L4`f3_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:028 1b428:0qpsr;h333?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87?9;%6g7?713twvq6g>1383>!7aj3;;96F>f`9U5cb=9rF:jk4>{Wg:>7}#9>31=<<4Vgg96~"3l=0::6*;d2822>{zut1b=4}K9ol1=vXj9;0x 41>28;o7[hj:3y'0a2=9?1/8i=5179~yx{5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{en=0;6:4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4H0db?S7al3;p@4$5f0>467[?id;3xH4`a28q]i44={%34=?77?2\mi740<,=n86<84}|~?l76:3:1({M3eb?7|^l31>v*>788257=Qnl09w):k4;35?!2c;3;=7psr}:k25a<72-;mn7??5:J2bd=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94>6:&7`6<6>2wvqp5f13294?"6nk0:<85G1gc8R4`c28qG=kh51zTf=?4|,8=26<7}#1=;5+4e1953=zutw0e<<>:18'5cd=99?0Z4463_ln6?u+4e6953=#7}#1m6*;d28b?x{zu2wij84?:683>5}#9oh1=:?4H0ge?l77>3:1({zut1b==950;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9551<^oo1>v*;d58b?!2c;3k0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b32h1/8i=5a:~yx=n98n1<7*>fc8240=Q9on1=vB>fg82Sc>2;q/=:7510f8Rcc=:r.?h94n;%6g7?g7[?id;3xH4`a28q]i44={%34=?7582\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0j7):k3;c8yx{z3f;<>7>5$0da>4153_;mh7?tL0de>4}Qm009w)?89;346>Pam38p(9j;:`9'0a5=i2wvqp5rbg494?4=83:p(5$0da>46232e:;?4?:%3ef?70:2\:ji4>{M3eb?7|^l31>v*>788237=Qnl09w):k4;c8 1b42h1vqps4}cd4>5<593:1fc846>N6mo1b==850;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9550<^oo1>v*;d5824>"3l:0:<6sr}|9j551=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==94Vgg96~"3l=0:<6*;d2824>{zut1b=<<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9544<^oo1>v*;d5824>"3l:0:<6sr}|9j54b=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31={zut1b=?>50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9576<^oo1>v*;d5824>"3l:0:<6sr}|9j577=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=??4Vgg96~"3l=0:<6*;d2824>{zut1b=?<50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9574<^oo1>v*;d5824>"3l:0:<6sr}|9j575=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=?=4Vgg96~"3l=0:<6*;d2824>{zut1b=?:50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9572<^oo1>v*;d5824>"3l:0:<6sr}|9j573=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31=?;4Vgg96~"3l=0:<6*;d2824>{zut1b==650;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;955><^oo1>v*;d5824>"3l:0:<6sr}|9j55?=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==74Vgg96~"3l=0:<6*;d2824>{zut1b==o50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;955g<^oo1>v*;d5824>"3l:0:<6sr}|9j55d=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==l4Vgg96~"3l=0:<6*;d2824>{zut1b==m50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;955e<^oo1>v*;d5824>"3l:0:<6sr}|9j55b=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==j4Vgg96~"3l=0:<6*;d2824>{zut1b==k50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;955c<^oo1>v*;d5822>"3l:0::6sr}|9j55`=83.:jo4>049U5cb=9rF:jk4>{Wg:>7}#9>31==h4Vgg96~"3l=0::6*;d2822>{zut1b=<>50;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;9546<^oo1>v*;d58b?!2c;3k0qpsr;h325?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751018Rcc=:r.?h94n;%6g7?g4;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76<2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=<;4Vgg96~"3l=0j7):k3;c8yx{z3`;::7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;322>Pam38p(9j;:`9'0a5=i2wvqp5f10594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98=0Zkk52z&7`1o?7o4}|~?l7603:1(8:Tea?4|,=n?6l5+4e19e>{zut1b=<750;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;954?<^oo1>v*;d58b?!2c;3k0qpsr;h32e?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:7510`8Rcc=:r.?h94n;%6g7?gc;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76k2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32b>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xda03:1><4?:1y'5cd=?;1C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;97[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>288;7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28897[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>288?7[hj:3y'0a2=991/8i=5119~yx{6=4+1g`9553<^8lo65<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:37[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:j7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:h7[hj:3y'0a2=991/8i=5119~yx{5<#9oh1==;4H0db?S7al3;p@407E?ia:T2ba<6sE;mj7?tVd;96~"6?00:{M3eb?7|^l31>v*>788255=Qnl09w):k4;35?!2c;3;=7psr}:k254<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=<5Yfd81!2c<3k0(9j<:`9~yx{1583>!7aj3;;96X>fe82I7an3;pZh752z&23<<69=1]jh4={%6g0?g<,=n86l5r}|8m472290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2c:=;4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788253=Qnl09w):k4;c8 1b42h1vqps4i034>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;<7[hj:3y'0a2=i2.?h>4n;|~y>o6910;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>199Ub`<5s->o87o4$5f0>d=zutw0e47>3_ln6?u+4e69e>"3l:0j7psr}:k25d<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=l5Yfd81!2c<3k0(9j<:`9~yx{1b83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69j1]jh4={%6g0?g<,=n86l5r}|8m47b290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2c:=k4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>78825c=Qnl09w):k4;c8 1b42h1vqps4o051>5<#9oh1=:<4V0dg>4}K9ol1=vXj9;0x 41>28=97[hj:3y'0a2=i2.?h>4n;|~y>{en00;6??50;2x 4`e2>80D:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;333>Pam38p(9j;:028 1b428:0qpsr;h326?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328:0(9j<:028yx{z3`;:h7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32`>Pam38p(9j;:028 1b428:0qpsr;h314?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;315>Pam38p(9j;:028 1b428:0qpsr;h316?6=,8li6<>:;W3e`?7|D8lm66Xie;0x 1b328:0(9j<:028yx{z3`;9?7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;317>Pam38p(9j;:028 1b428:0qpsr;h310?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;311>Pam38p(9j;:028 1b428:0qpsr;h33:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33=>Pam38p(9j;:028 1b428:0qpsr;h33e?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33f>Pam38p(9j;:028 1b428:0qpsr;h33g?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33`>Pam38p(9j;:028 1b428:0qpsr;h33a?6=,8li6<>:;I3ee>P6nm0:wA?if;3xR`?=:r.:;44>0d9Ub`<5s->o87?9;%6g7?713twvq6g>0g83>!7aj3;;96X>fe82I7an3;pZh752z&23<<68o1]jh4={%6g0?713->o?7?9;|~y>o6990;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>119Ub`<5s->o87?9;%6g7?713twvq6g>1083>!7aj3;;96X>fe82I7an3;pZh752z&23<<6981]jh4={%6g0?g<,=n86l5r}|8m474290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2c:=94?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788251=Qnl09w):k4;c8 1b42h1vqps4i036>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;>7[hj:3y'0a2=i2.?h>4n;|~y>o69?0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>179Ub`<5s->o87o4$5f0>d=zutw0e4703_ln6?u+4e69e>"3l:0j7psr}:k25=<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=55Yfd81!2c<3k0(9j<:`9~yx{1`83>!7aj3;;96X>fe82I7an3;pZh752z&23<<69h1]jh4={%6g0?g<,=n86l5r}|8m47e290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2c:=n4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>78825f=Qnl09w):k4;c8 1b42h1vqps4i03f>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;n7[hj:3y'0a2=i2.?h>4n;|~y>o69o0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>1g9Ub`<5s->o87o4$5f0>d=zutw0c<9=:18'5cd=9>80Z4153_ln6?u+4e69e>"3l:0j7psr}:abd<72;;1<7>t$0da>24<@8om7d??6;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?77>2\mi746<,=n86<>4}|~?l77?3:1(4$5f0>462;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76:2\mi746<,=n86<>4}|~?l76l3:1(d:Tea?4|,=n?6<>4$5f0>467[?id;3xH4`a28q]i44={%34=?7582\mi746<,=n86<>4}|~?l7593:1(4$5f0>467[?id;3xH4`a28q]i44={%34=?75:2\mi746<,=n86<>4}|~?l75;3:1(4$5f0>467[?id;3xH4`a28q]i44={%34=?75<2\mi746<,=n86<>4}|~?l75=3:1(4$5f0>467[?id;3xH4`a28q]i44={%34=?7702\mi746<,=n86<>4}|~?l7713:1(4$5f0>467[?id;3xH4`a28q]i44={%34=?77i2\mi746<,=n86<>4}|~?l77j3:1(4$5f0>467[?id;3xH4`a28q]i44={%34=?77k2\mi746<,=n86<>4}|~?l77l3:1(4$5f0>467E?ia:T2ba<6sE;mj7?tVd;96~"6?00:{M3eb?7|^l31>v*>78824c=Qnl09w):k4;35?!2c;3;=7psr}:k255<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:==5Yfd81!2c<3;=7):k3;35?x{zu2c:=<4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>788254=Qnl09w):k4;c8 1b42h1vqps4i030>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;87[hj:3y'0a2=i2.?h>4n;|~y>o69=0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>159Ub`<5s->o87o4$5f0>d=zutw0e4723_ln6?u+4e69e>"3l:0j7psr}:k253<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=;5Yfd81!2c<3k0(9j<:`9~yx{1983>!7aj3;;96X>fe82I7an3;pZh752z&23<<6911]jh4={%6g0?g<,=n86l5r}|8m47>290/=kl51178R4`c28qG=kh51zTf=?4|,8=267}#1m6*;d28b?x{zu2c:=l4?:%3ef?77=2\:ji4>{M3eb?7|^l31>v*>78825d=Qnl09w):k4;c8 1b42h1vqps4i03a>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28;i7[hj:3y'0a2=i2.?h>4n;|~y>o69j0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>1b9Ub`<5s->o87o4$5f0>d=zutw0e47b3_ln6?u+4e69e>"3l:0j7psr}:k25c<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=k5Yfd81!2c<3k0(9j<:`9~yx{77=83:p(5$0da>4623_;mh7?tL0de>4}Qm009w)?89;332>Pam38p(9j;:028 1b428:0qpsr;h333?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;326>Pam38p(9j;:028 1b428:0qpsr;h32`?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;314>Pam38p(9j;:028 1b428:0qpsr;h315?6=,8li6<>:;W3e`?7|D8lm67>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;316>Pam38p(9j;:028 1b428:0qpsr;h317?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;310>Pam38p(9j;:028 1b428:0qpsr;h311?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33<>Pam38p(9j;:028 1b428:0qpsr;h33=?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33e>Pam38p(9j;:028 1b428:0qpsr;h33f?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33g>Pam38p(9j;:028 1b428:0qpsr;h33`?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;33a>Pam38p(9j;:048 1b428<0qpsr;h33b?6=,8li6<>:;W3e`?7|D8lm65$0da>4623_;mh7?tL0de>4}Qm009w)?89;324>Pam38p(9j;:048 1b428<0qpsr;h325?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:751018Rcc=:r.?h94n;%6g7?g4;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76<2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=<;4Vgg96~"3l=0j7):k3;c8yx{z3`;::7>5$0da>4623_;mh7?tL0de>4}Qm009w)?89;322>Pam38p(9j;:`9'0a5=i2wvqp5f10594?"6nk0:<85Y1gf95~J6no0:w[k6:3y'52?=98=0Zkk52z&7`1o?7o4}|~?l7603:1(8:Tea?4|,=n?6l5+4e19e>{zut1b=<750;&2bg<68<1]=kj51zN2bc<6s_o26?u+16;954?<^oo1>v*;d58b?!2c;3k0qpsr;h32e?6=,8li6<>:;W3e`?7|D8lm6fc8240=Q9on1=vB>fg82Sc>2;q/=:7510`8Rcc=:r.?h94n;%6g7?gc;29 4`e28:>7[?id;3xH4`a28q]i44={%34=?76k2\mi7d=#049U5cb=9rF:jk4>{Wg:>7}#9>31=5$0da>4623_;mh7?tL0de>4}Qm009w)?89;32b>Pam38p(9j;:`9'0a5=i2wvqp5`16094?"6nk0:;?5Y1gf95~J6no0:w[k6:3y'52?=9>80Zkk52z&7`1o?7o4}|~?xdak3:1:7>50z&2bg<6?81C=hh4i025>5<#9oh1==;4V0dg>4}K9ol1=vXj9;0x 41>28:=7[hj:3y'0a2=i2.?h>4n;|~y>o68>0;6)?ib;331>P6nm0:wA?if;3xR`?=:r.:;44>069Ub`<5s->o87o4$5f0>d=zutw0e4753_ln6?u+4e69e>"3l:0j7psr}:k25a<72-;mn7??5:T2ba<6sE;mj7?tVd;96~"6?00:=i5Yfd81!2c<3k0(9j<:`9~yx{7383>!7aj3;<>6X>fe82I7an3;pZh752z&23<<6?;1]jh4={%6g0?g<,=n86l5r}|8yvg62908w0o=:050?[g634i36<>:;|q`28:=70hn:025?8`e28:=7p}6f;297~;f83;g2<6?;16>h85115891bc28:<70:ke;333>;6km0:<;52ee8242=:n>0:<:52f98242=:n00:<:52f`8242=:nk0:<:5rs6g94?5|5>l1=:=4^6g89d>=99?0q~o7:18a8g?28=970;3lm0:=?524eg9544<58hj6<>9;47534l<647534l2647534li6;f?3;;96s|a683>g}:i>0:;?522d4954b<5=no64>079>aa<69m16j:4>1e9>b=<69m16j44>1e9>bd<69m16jo4>1e9~w2g=839p1:l51618Z2g<5h<1==;4}rc5>5219>0ac=9;:01X0027j97??5:pe0<72kq6m84>739>6`0=9;;019jk:002?82cm3;9=63>a48243=:mm0:><52f68264=:n10:><52f88264=:nh0:><52fc8264=z{><1<7=t=659525<01l:51178yvg3290iw0o;:051?84b>3;9>63;de8267=:46134oo6<<=;44534l36<<=;44534lj6<<=;4453ty<87>53z?41?70;2T<863n3;331>{ti:0;6ou2a28237=::l<1=?=4=5fg>44434>oi7?=3:?2=2<68?16ii4>229>b2<6::16j54>229>b<<6::16jl4>229>bg<6::1v4?50;1x9<4=9>90R4?4=b29553079>502=99<01>69:025?84b>3;9863461349j97??6:?0`2<68?16?o:5114896d228:=70=m6;332>;4j>0:<;524e`9550<5=nh6<>9;<6g`?75<27?hh4>259>512=99<01?ll:025?84el3;;:63<788243=:9j<1==84=5;e>46134>j<7??6:?7e4<68?168l<51148912?28:=70:63;332>;31=0:<;523;332>;328:=708511489`b=9;>01k9513689c>=9;>01k7513689cg=9;>01kl51368yv>b2908w06i:050?[>b34hm6<>:;|qab?6=:lq6nk4>739>7f5=99<01<;;:024?85?>3;;;63=e78260=:;h81==94=2c0>460349j87??7:?0e0<68>168?j51148914b28:=70:=f;332>;3;90:<;524239550<5:n<6<>8;<1a0?77?278n84>069>7g0=99=01>l8:024?82cl3;9963;dd8260=:9=?1==84=3``>460348ih7??7:?03<<68>16=n85115891?a28:<70:n0;333>;3i80:<:524`09551<5=>i6<>9;<6:7?77?2786<>8;<69551<5?0:<:52ee8260=:n90:<;52f08243=:n;0:<;52f28243=:n=0:<;52f68260=:n10:>852f88260=:nh0:>852fc8260=z{1i1<7=t=9f9525290:nv3m9;346>;6==0:=?522d4955><5=8n6<>8;<1g3?76:27?hi4>099>0ac=99201?ll:031?84el3;:>63<788257=:9j<1=<<4=5c2>47534>j>7?>2:?a`?77>27ih7??7:?70<<68?1684=5100891?328:<70:5100893<69;16ii4>099>b5<68>16j:4>099>b=<68116j44>099>bd<68116jo4>099~w=g=839p15l51618Z=g<5k21==;4}r`;>5<6ir7i47?82:?211<69m16>h8511;8914c28:<70:=f;333>;4l>0:=i524ef955?<5=nn6<>6;<0ag?76l279ni4>1e9>72?=98n0146134>2?7?>d:?7=1<69;1687?>d:?5>47c34oo6<>6;46>34l36<>6;46>34lj6<>6;46>3ty3:7>53z?;3?70;2T3:63m1;331>{tj80;6iu2b08237=::l<1==o4=5fg>46f34>oi7??a:?2`0<68?16n84>079>f0<68>16ii4>0`9>b2<68h16j54>0`9>b<<68h16jl4>0`9>bg<68h1v5:50;1x9=3=9>90R5:4=``9553415348n:7??b:?7`a<68k168ik511`89d`=99<01lh511589`b=99h01k9511`89c>=99h01k7511`89cg=99h01kl511`8yv>52908w06<:050?[>534kj6<>:;|qbe?6=jr7jm7?82:?1a3<68j168ij511a891bb28:h70?le;332>;bl3;;o63i7;33g>;a03;;o63i9;33g>;ai3;;o63ib;33g>{t090;6>u2808236=Y0916m44>049~wd?=83hp1l75160897c128:o70:kd;33`>;3ll0:4143Wih70mj:026?8ea28:>7p}le;29b~;dm3;<>63;c38f7>;4>:0n?63<518f7>;4=h0n?63<078f7>;4990n?63<1c8f7>;4:=0n?63<2g8f7>;6;;0n?63<438f7>;4;?0n?639a;g0?84al3o87p}lf;293~;dn3;<>63;3>?0n?63;b58f7>;4<10n?63<6g8f7>;3<80n?6s|fg83>1}:99:1=:=4^gd8946628:>70??2;331>{t99;1<7=i{<335?70:278o;4j3:?2a<84j3:?1=1i4j3:?170>=4j3:?27g4j3:?75g?;4j3:?151>n4j3:?14=;87k<;<6;0?c43ty:554=9>801?mi:d1891432l90q~=jb;297~;4mj0:;>5Q3d`896cc28:>7p}7d|5:oo6<9=;<1`4?77>27:9?4>079>6f2=99<01?m::025?85?:3;;:63=d48243=::m<1==94=3f;>461348n>7??6:?1a1<68>16>h8511g896g528;970=n3;326>;3900:<;524g49550<5=l<6<>9;<73=?77>27>=94>079>7a0=99<018==:025?834;3;;:63<8d8243=:;k>1=<<4=2`6>47534>om7??6:?1ff<6:916>nj5114897ec28:<70?l5;332>;3>m0:<;5248f9550<5=3m6079>01>=99=019:6:024?823i3;;;63;4c8242=:<>>1==84=55a>46134l36<>i;46b3ty?ok4?:2y>0a6=9>90R9mi;<6g5?77=2wx8i?50;3a82c93;<>6347c349j?7?>d:?0e1<69;16?l;51008915628;970=m4;32`>;4j<0:=i523c49544<5:h<6278;84>069>721=99=0198k:024?82>l3;;;63;9g825a=:47534>?57?>2:?70d<69;1689l51008911328:<70:8b;333>;428;970h=:024?xu30<0;69u217g9553<5=2=6<9<;_6;1>;30<0:;?5rs04f>5<2s4;=i7?82:?1g1<69m16>n;510f8960028:=70=97;333>{t<<=1<7=t=57;>4143W>>;63;588240=z{=?26=4:{<66=?70:27:?54>069>77m:024?820<3;:>6s|4`794?5|5=k=6<9<;_6b1>;3i>0:<85rs5c4>5<2s4>j;7?82:?21a<68>16?lj5114896gc28:<70:8b;326>{tll0;69u2dg8236=Yll16i=4>049>a4<68<1vh>50;6x9`6=9>801>8>:03g?852?3;;;63>2g8257=z{l;1<7;t=d39524<5:9h6h=4=3gf>`5<5;l?6h=4=24:>`54143Woj70hk:026?xual3:19v3id;346>;4;h0:<;5232c9551<5;:=6<>9;<032?77?2wx88:50;1x913228=87S::4:?713<68<1v9;9:180822>3;<>63=b48243=::k?1==94}r63g?6=;r7?729]05e<5=:n6<>:;|q74`<72=q68=k5160897cd28:=70;38h0:<:5rs516>5<4s4>8:7?83:\770=:<:=1==;4}r603?6==r7??:4>739>6c4=99<01?h=:024?85><3;;:63<958242=z{=ih6=4<{<6``?70;2T?on524bg9553hi7>53z?7g`<6?;16?;j51148960c28:<7p}78;297~;?13;f2<6?;16=4651158yv?42908w07;:050?[?434i:6<>:;|q`5?6=:r7h=7?82:?2=c<68>1v4;50;1x9<0=9>90R4;4=b0955341534;j:7??7:p=2<72:q6554>729]=2=:k:0:<85rsb194?4|5j91=:<4=0cg>4603ty257>53z?:e?70;2T2563l4;331>{tk=0;6?u2c58237=:9k>1==94}r;a>5<4s43h6<9<;_;a?8e228:>7p}l5;296~;d=3;<>63>bc8242=z{0n1<7=t=8g9525;3j?0:;?5rs5`b>5<5s4>i:7??5:?7fd<6?;1v9l6:18182e>3o=70:m9;346>{t;lo1<7=t=2ge>4143W9ni63739~w6`62909w0=je;g5?85a93;<>6s|44c94?5|5=?i6<9<;_66e>;3=h0:;?5rs57`>5<5s4>>m7??5:?71f<6?;1v9;k:181822i3o=70::d;346>{t4143W>j463;a98237=z{=kj6=4={<6b739~w1ge2909w0:n8;g5?82fj3;<>6s|43794?5|5=8=6<9<;_611>;3:<0:;?5rs504>5<5s4>997??5:?762<6?;1v9>i:18082683;05`=9>80q~:>2;296~;38o0:<852400952453z?23g<6?:1U=:o4=05b>4153ty:5>4?:3y>52g=99?01<7<:051?xu6080;6>u21909525{t90k1<746234;2m7?82:p5=5=839p1<6;:050?[7?;27:4>4>739~w4g62909w0?73;331>;6i80:;?5rs0:6>5<4s4;3:7?83:\2<0=:91?1=:<4}r3b049>5d>=9>80q~?77;297~;6010:;>5Q195894>028=97p}>ag83>7}:91=1==;4=0ce>4153ty:444?:2y>5=g=9>90R<66;<3;=?70:2wx=o850;0x94>>28:>70?m6;346>{t91h1<7=t=0:`>4143W;3n63>8c8237=z{8ho6=4={<3;f?77=27:ni4>739~w4>c2908w0?7e;347>X60m16=5j51608yv7d13:1>v3>8e8240=:9j31=:<4}r3:5?6=;r7:5?4>729]5<7<583:6<9=;|q2`5<72;q6=4?5117894b728=97p};0483>6}:<9<1=:=4^526?827=3;<>6s|41594?4|5=:>6<>:;<633?70:2wx89j50;1x912b28=87S:;d:?70a<6?;1v9:i:181823l3;;963;4g8237=z{=<36=4<{<65=?70;2T?:55247:9524=m7>52z?72=<68<168;o51608yv2>03:1?v3;988236=Y<0201977:051?xu31h0;6?u248:9553<5=3j6<9=;|q7g0<72:q68n851618Z1e234>h97?82:p0f1=838p19m::026?82d?3;<>6s|3ec94?5|5:ni6<9<;_1ge>;4lh0:;?5rs2f`>5<5s49om7??5:?0`f<6?;1v9?k:180826m3;04b=9>80q~:>f;296~;39m0:<85240d9524847>53z?77<<6?:1U8>64=51;>4153ty??l4?:3y>06>=99?019=n:051?xu6>?0;6?u24979553<58<=6<9=;|q222<72;q685;5e79>531=9>80q~?7f;297~;6190:;>5Q19d894>a28=97p}nc;296~;60o0:<852ab8237=z{8=m6=4<{<3;4?70;2T:;k5216d95247?82:p52e=839p1<9k:050?[70k27:;n4>739~wgg=838p1<9l:026?8df28=97p}6}:;l81=:=4^2g2?85b93;<>6s|3d194?4|5:o:6<>:;<1f7?70:2wx?kl50;1x96`d28=87S=ib:?0bg<6?;1v>hk:18185aj3;;96396=4<{<677?70;2T?8?524509524?87>52z?707<68<1689:51608yv21n3:1?v3;718236=Y<:7?82:p02>=838p1999:026?82003;<>6s|46f94?5|5==n6<9<;_64`>;3?m0:;?5rs55e>5<5s4>0=b=9>80q~:7f;296~;30m0:<85249d95244143Wn970j=:051?xuc13:1>v3k2;331>;c13;<>6s|d183>6}:l80:;>5Qd19>`5<6?;1vi:50;0x9a6=99?01i:51608yv2d93:18v3;b`8240=:46034>h>7?82:p0g>=83>p19l7:051?82en3;;:63;c18243=:;:>1==84}r6af?6=:r7?n44>049>0gd=9>80q~:l3;296~;3jk0:<8524b19524io7>52z?7g1<68?168om51608yv2d<3:1>v3;c58237=:;4n00:io523g;95a1<5=<=6ec9>0g2=9m=01>m9:0ga?85d>3;o;63>e882ag=:9l31=i94=306>4ce348997?k7:?1=1<6mk16>4:51e5897?a28oi70<6f;3g3>;5ih0:io522`c95a1<5;8o6ec9>663=9m=01?:::0ga?843=3;o;63=4e82ag=::=n1=i94=376>4ce348>97?k7:?11a<6mk16>8j51e58970228oi70<95;3g3>;5>m0:io5227f95a1<5;=>6ec9>62b=9m=01?6::0ga?84?=3;o;63>5782ag=:9<<1=i94=31g>4ce3488h7?k7:?1f4<6mk16>o?51e5897e028oi70;4010:io5239:95a1<5<8;6ec9>56d=9m=01<8?:0ga?87183;o;63>dd82ag=:9mo1=i94=3:g>4ce3483h7?k7:?1`g<6mk16>il51e5897c?28oi70;41m0:io5238f95a1<5:k<6ec9>7d`=9m=019=<:0ga?824;3;o;63;1c82ag=:<8h1=i94=5d:>4ce34>m57?k7:?64a<6mk169=j51e5891`a28oi70:if;3g3>;4>:0:io5237195a1<5<;<6ec9>706=9m=01>;n:0ga?852i3;o;634ce349;:7?k7:?055<6mk16?<>51e58967e28oi70=>b;3g3>;4kl0:io523bg95a1<5<9=6ec9>642=9m=01??k:0ga?846l3;o;63<2582ag=:;;>1=i94=20e>4ce3499j7?k7:?277<6mk16=><51e58965d28oi70=;4<10:io5235:95a1<5:3;6ec9>7g?=9m=01>:=:0ga?853:3;o;63;e082ag=:4ce34>nh7?k7:?663<6mk169?851e58904d28oi70;=c;3g3>;5810:io5221:95a1<5;h<6ec9>6`c=9m=01?h;:0ga?84a<3;o;63<3782ag=:;:<1=i94=24:>4ce349=57?k7:?02c<6mk16?;h51e5893g=9lh01;o51e58926=9lh01:>51e58942>28oi70?;9;3g3>;58l0:io5221g95a1<5;hm6ec9>6f`=9m=01?hk:0ga?84al3;o;63<7b82ag=:;>i1=i94=2;5>4ce3492:7?k7:?7;3:=0:io5243695a1<5=>:6ec9>7`g=9m=019>;:0ga?827<3;o;63;8582ag=:<1>1=i94}r3e5?6=lh6:d0891012l8019l;:d0896e12l801?46d3489n7??d:?176<68016>>=511c8975428:i70<<3;33g>;5;:0:7;<0;7?7712794>4>0`9>6=5=99h01?6<:02`?84?;3;;h63>578f6>;5;k0:l;<00f?77l279o:4j2:?0<=>=4j2:?15l511a897>e28:o70;5m?0:=<522d49545<5;o=6179>6`0=98=01?k9:03;?84b>3;:563=e7825d=::l<1=47d348n:7?>e:?1a3<69o16?l95e39>065=m;1680c?=m;169=j5e39>0c`=m;16?;=5e39>141=m;16?8>5e39>70g=m;16?i75e39>750=m;16?<>5e39>74d=m;16?nk5e39>160=m;16><:5e39>64b=m;16??:5e39>77`=m;16=><5e39>76e=m;16?965e39>7<6=m;16?o75e39>714=m;168h?5e39>0ab=99l019jk:033?82cl3;:=63;de8256=:47234>oh7?>6:?7`a<69>168ij510:891bc28;270:kd;32e>;3lm0:=o524ef954e<5=no6119>0ac=98;019jj:030?82cm3;:863;dd8250=:47034>oi7?>8:?7``<690168ik510c891bb28;i70:ke;32g>;3ll0:=h524eg954`<5=oo6h<4=405>`4<5<8h6h<4=32;>`4<5;h<6h<4=3gf>`4<5;l?6h<4=215>`4<5:<26h<4=24e>`4<5?k1i?52718f6>;6<00n>63=0d8f6>;5jo0n>63=cg8f6>;5nm0n>63<7b8f6>;41?0n>63;8b8f6>;2;j0n>63;258f6>;3<80n>63;38=0n>63;858f6>;bl3;:<63jd;325>;bl3;:?63jd;320>;bl3;:963jd;322>;bl3;:;63jd;32<>;bl3;:563jd;32e>;bl3;:n63jd;32g>;bl3;:i63jd;32b>;a?3;:<63i7;325>;a?3;:?63i7;320>;a?3;:963i7;322>;a?3;:;63i7;32<>;a?3;:563i7;32e>;a?3;:n63i7;32g>;a?3;:i63i7;32b>;a03;:=63i8;327>;a03;:863i8;321>;a03;::63i8;323>;a03;:463i8;32=>;a03;:m63i8;32f>;a03;:o63i8;32a>;a03;:j63i9;325>;a13;:?63i9;320>;a13;:963i9;322>;a13;:;63i9;32<>;a13;:563i9;32e>;a13;:n63i9;32g>;a13;:i63i9;32b>;ai3;:=63ia;327>;ai3;:863ia;321>;ai3;::63ia;323>;ai3;:463ia;32=>;ai3;:m63ia;32f>;ai3;:o63ia;32a>;ai3;:j63ib;325>;aj3;:?63ib;320>;aj3;:963ib;322>;aj3;:;63ib;32<>;aj3;:563ib;32e>;aj3;:n63ib;32g>;aj3;:i63ib;32b>{t46134>ih7?82:p0gc=838p19ll:024?82em3;<>6s|2gg94?77s4>ij7??7:?7g5<68>16>kk51608966528:=70=?3;332>;48=0:<;5231a9550<5::o6<>9;<121?77>278=54>079>777=99<01><=:025?855j3;;:63<2b8243=:;;n1==84=217>4603ty8<54?:gy>0g`=988019m?:031?857;3;;;63<058242=:;921=:<4=22`>460349;h7??7:?053<68?16?<651158964628:<70==2;333>;4:k0:<:5233a9551<5:8o6<>8;<100?76:2wx?;48:0:=?523169544<5:;:6<9=;<121?77?278=;4>069>74>=98801>?6:025?85593;:>63<238257=:;;h1=<<4=20`>4753499h7?>2:?071<69m1v>?l:18a82en3;9<63;c18265=:;991=47c349:57??7:?05f<6?;16??>51148964e28;o70==c;32`>;4:m0:=i52326957659z?7fc<6:8168n>513389664288;70=?4;314>;4900:=?523349524<5:8j6<>9;<11`?758278?94>209~w1da2909w0:mf;346>;3jl0:<;5rs004>5<69r7?o=4>239>6a0=99<01?j8:025?84b<3;;:63=e48243=:<;o1=<<4=50e>47534>8<7??7:?774<68>16=?95160896>d28:=70=7c;333>;3lj0:<:524eg955c<5o>1==94=g:955c<5o31==k4}r15e?6=:r7?o=4>229>73g=9>80q~:l0;296~;3k90:;?524cg955127?o>4>069>7cg=99<01>hn:024?821?3;;:63;668242=:460349==7??6:?1bg<68?1688<511489f?=9>8019>>:025?xu4n10;6?u23g29553<5:l26<9=;|q0b7<72;q6?k?5117896`528=97p}7}:;o81==;4=2db>4153ty8j>4?:3y>7c?=99?01>h<:051?xu4n=0;6?u23g19550<5:l?6<9=;|q0b0<72;q6?k=5115896`228=97p}<4883>47|5:l=6<>9;<656?77>27?:94>079>0g6=99<019l=:025?852n3;;:63<618243=:;?;1==94=26:>415349?h7??6:?010<68?16=?m51148944c28:=70?=e;332>;1?3;;:63=fc8242=:<:n1==84}r165?6=9>q6?k85115896`028:=70:92;333>;3>:0:<;524c29551<5=h:6<>9;<16b?77?278:=4>069>737=98801>:j:025?85293;<>63<578243=:;<=1==84=27;>46134;9o7??7:?26c<68?16=>>51148931=99=01;65114897`>28:=70;3;m0:<:5242d955052z?0b3<6?;16?k;51148yv52j3:1=8;<650?77?27?n<4>069>0g4=99=01>;m:051?85183;:>63<4e8242=:;=o1==94=276>460349>:7??7:?26a<68>16=?h51158945728:<7087:024?84ai3;;:63=fc825a=z{:l<6=4={<1e3?70:278j84>069~w1022909w0::c;331>;3>?0:;?5rs57f>5<5s4>>h7??5:?71`<6?;1v988:181822m3;;963;668237=z{=?m6=4={<652?77=27?9k4>739~w1072909w0::f;332>;3>90:;?5rs542>5<5s4>>j7??7:?724<6?;1v<=<:187821:3;:>63;628257=:1=<<4=010>4153ty?:?4?:3y>034=9>80198>:025?xu3>:0;6?u24719524<5=<:6<>8;|q20d<720q68;:510f891d528;970?;a;346>;5n00:<:522gc9551<5:=>6<>9;<142?77>278;:4>079>72>=99<0q~:94;296~;3>=0:;?524739544i?7>52z?7ed<68<168o:51608yv2fk3:1>v3;ac8240=:049>0g3=9>80q~:nd;296~;3j=0:<8524`f9524ji7>52z?7ea<68?168lk51608yv2fn3:1>v3;ae8242=:139>0g7=988019l=:03g?87203;<>6s|4c294?4|5=h;6<9=;<6bb?77>2wx8o?50;0x91d628=970:nf;333>{t41534>jj7?>2:p7f3=838p1>ln:026?85d>3;<>6s|3cc94?72s49im7?82:?0g4<68?16?n<5115896e428:<70=l4;333>;6=80:<;521469576<5:286<>9;<1b6?758278m>4>219>7d2=9;:01>o::003?85c=3;;:634=2`5>447349i;7?=0:?201<68>16=9;51158942128:<70?l4;332>{t;kh1<7462349in7?82:p7ge=838p1>lm:025?85ek3;<>6s|3cf94?4|5:hi6<>8;<1a`?70:2wx=9=50;1x96db28:=70=me;333>;6<:0:;?5rs2`f>5<5s49ii7?82:?0fa<68?1v<;<:18085en3;;:63739>7gb=99=0q~460348h87??7:?1g0<68>16?5<5115897b228:<70;5l00:<;522d19550<5;o>6<>8;<1b0?76l278m84>1e9>04?=99=019h9:024?83713;;;63:0c8243=:=8?1==84=2f5>46034?8>7??7:?676<68>16?5k5115896d128;o70=m7;32`>;3lh0:<:522cf9576<5;ij6<9=;<3`1?77?27?m=4>1e9>0d4=9;:01k9511g89c?=99l01kl511g8yv5d83:1>v35<5:r78o<4>069>507=99=01<;=:031?85?<3;;:63>398243=:9:31==84=07g>46134;>i7??6:?0e7<6:816?l=5133896g3288:70=n5;315>;4l<0:<:523e49544<5:n<6<209>7g0=9;;01>l8:002?850=3;:>63<778242=:;>=1=<<4=25;>460349<57?=0:?2g1<68>16=n;5100894e1288;70:6f;314>;3i90:>=52708237=:;l21==84=2825a=:n:0:<:52f58257=z{:i:6=4={<1`5?70:278ni4>1e9~w6ea290:5v347534;?i7??6:?20`<68>16=>:51148943>28:=70=n2;316>;4i=0:>?523bd9524<5:n86<>9;<1g1?76:278h;4>1e9>7a1=9;;01>mm:025?85dj3;;;6346134;h87?>2:?2g0<69m16=n85133891?128:=7p}7}:;j81=:<4=2`g>4473ty8o>4?:3y>7f5=9>801>lk:002?xu4k=0;6?u23b69524<5:ho6<<=;|q2a=<72:q6=ih5117894cf28:<70?j9;346>{t9ml1<78t=0fe>41534;n;7?>2:?1ec<69m16=im51008905f28:=70;{t9l;1<746134;n=7?82:p5`g=838p14153ty:i?4?:3y>5`7=99<01;50l0:;?522809550<5;3i6<>9;<0:g?77>279m84>079>6d1=99<01?oi:025?xu51?0;6lu21d69551<58o>6<>8;<3f2?77?2795;4>739>63;;:63=a68242=::hl1==94=0f`>4613ty9m=4?:8y>5`2=988013;:>63=a18237=::h?1==94=3c5>460348j;7?>2:?1ec<69;16=im51158yv47;3:1=ku21d6954b<58o<6<>9;<3f4?77>279>=4>079>6<4=99=01?6i:025?84>l3;;:63=968243=::h21==84=3c2>461348947??6:?175<68?16>9>51148972?28:=70<:0;332>;5=10:<;522729550<5;<36<>9;<044?77>279;54>079>6=6=99<01?=7:025?84fk3;;:63>d88243=::121==84=331>461348:m7??6:?15g<68?16>==51608976d28:=70{t9l>1<741534;n?7??6:p65?=83;ow0?j5;32`>;6m>0:<:521d29551<5;8;6<>8;<0:6?76:2794k4>069>6460348?<7??7:?10=<68>16>8>51158973?28:<70<90;333>;5>10:<:522629551<5;=36<>8;<0;4?77?279?54>069>6de=99=01460348;57?82:p5`3=838p1d:?2a2<69m16>?>5100897?528;o70<6d;326>;5i10:=?5223:9544<5;9;6139>606=98801?;7:031?84183;:>63=698257=::>:1=<<4=35;>4753483<7?>2:?17=<69;16>ll5160897>?28;970<>2;326>;59h0:=?5220`954452z?2a3<6?;16=h=51008yv7b?3:1>v3>e68237=:9l91=049>670=99=01?<::051?xu59o0;6ku220d9524<5;8i6<>9;<007?77>2798>4>079>615=99=01?:m:025?842;3;;:63=5c8243=::?91==84=34a>4613485=51148975e28:=70<7b;332>{t:;;1<74613489=7?82:p670=838p1?<9:051?845=3;;96s|23294?4|5;8>6h<4=303>4153ty9>?4?:3y>677=99<01?<=:051?xu5::0;6?u22339551<5;886<9=;|q1=6<72:q6>5k5117897?228:<70<64;346>{t:0:1<74613482<7?82:p6<3=838p1?7::051?84><3;;96s|29d94?4|5;3?6h<4=3:e>4153ty95<4?:3y>6<6=99<01?7>:051?xu51;0;6?u22829551<5;396<9=;|q1=`<72;q6>485117897?a28=97p}=9983>7}::0l1==;4=3;;>4153ty95:4?:3y>6<`=m;16>4951608yv4>13:1>v3=998243=::031=:<4}r0:e?6=:r79554>069>680q~<6b;296~;51k0:;?5228c955052z?1=f<6?;16>4o51158yv4>l3:1>v3=9e8237=::0k1=<<4}r0b=?6=:r79m=4>049>6dg=9>80q~52z?1ed739~w7g42909w0;5i:0:;?5rs3c7>5<5s48j>7??7:?1e1<6?;1v?o::18184f=3;<>63=a58243=z{;k=6=4={<0b2?70:279m94>069~w7g02909w0;5i=0:=?5rs3c;>5<5s48j47?82:?1e1<69m1v?:4>739>665=99201?=m:02;?84?j3;;46s|23;94?4|5;8n6<>9;<01=?70:2wx>?k50;0x974b28=970<=d;331>{t:;21<7`4<5;836<9=;|q16d<72;q6>?751148974f28=97p}=2c83>7}::;31==94=30a>4153ty9?k4?:ey>67d=99=01?=<:024?844n3;<>63=4c8242=::<91==94=37a>460348=?7??7:?12g<68>16>:=51158971e28:<70<73;333>;5;k0:<:5229`95515cz?16g<69;16>>=51008972028=970<:3;326>;5=k0:=?522719544<5;139>6=5=98801?=m:031?84?j3;:>6s|25d94?d|5;8i6739>60d=98n01?8<:03g?841j3;:h63=72825a=::>h1=47c3488n7?>d:?1447348=n7?=0:?136<6:916>:l5132897>4288;70<;50k0:>=5rs37e>5<>s489n7?=1:?176<6:816>8h51608970e288:70<83;315>;5?k0:><522919577<5;9i6<<>;<0;f?7592wx>;950;:x974e288970<<3;316>;5>>0:;?522619574<5;=i6<<=;<0;7?75:279?o4>239>6=d=9;80q~<9f;293~;5:k0:>>522219575<5;4>229>66d=9;901?6m:000?xu5?>0;6;u223`9572<5;986<<;;<043?70:2794>4>259>66d=9;>01?6m:007?xu5?o0;68u223`9573<5;986<<:;<04b?70:279?o4>249>6=d=9;?0q~<<4;297~;5:o0:<8522249551<5;9>6<9=;|q16c<72:q6>?h51608975e28:270<7b;33=>{t::;1<74613488=7?82:p660=838p1?=9:051?844=3;;96s|22294?4|5;9>6h<4=313>4153ty9??4?:3y>667=99<01?==:051?xu5;:0;6?u22239551<5;986<9=;|q101<72:q6>>h51178972128:<70<;5;346>{t:=;1<7461348?=7?82:p610=838p1?:9:051?843=3;;96s|25294?4|5;>>6h<4=363>4153ty98?4?:3y>617=99<01?:=:051?xu5<:0;6?u22539551<5;>86<9=;|q10f<72:q6>9951178972b28:<70<;d;346>{t:=31<7461348?57?82:p61c=838p1?:j:051?843l3;;96s|25:94?4|5;>o6h<4=36;>4153ty98l4?:3y>61?=99<01?:n:051?xu5i6<9=;|q111<72:q6>9h51178973128:<70<:5;346>{t:<;1<7461348>=7?82:p600=838p1?;9:051?842=3;;96s|24294?4|5;?>6h<4=373>4153ty99?4?:3y>607=99<01?;=:051?xu5=:0;6?u22439551<5;?86<9=;|q11f<72:q6>8951178973b28:<70<:d;346>{t:<31<7461348>57?82:p60c=838p1?;j:051?842l3;;96s|24:94?4|5;?o6h<4=37;>4153ty99l4?:3y>60?=99<01?;n:051?xu5=k0;6?u224;9551<5;?i6<9=;|q121<72:q6>8h51178970128:<70<95;346>{t:?;1<7461348==7?82:p630=838p1?89:051?841=3;;96s|27294?4|5;<>6h<4=343>4153ty9:?4?:3y>637=99<01?8=:051?xu5>:0;6?u22739551<5;<86<9=;|q12f<72:q6>;951178970b28:<70<9d;346>{t:?31<7461348=57?82:p63c=838p1?8j:051?841l3;;96s|27:94?4|5;4153ty9:l4?:3y>63?=99<01?8n:051?xu5>k0;6?u227;9551<5;;h51178971128:<70<85;346>{t:>;1<7461348<=7?82:p620=838p1?99:051?840=3;;96s|26294?4|5;=>6h<4=353>4153ty9;?4?:3y>627=99<01?9=:051?xu5?:0;6?u22639551<5;=86<9=;|q13f<72:q6>:951178971b28:<70<8d;346>{t:>31<7461348<57?82:p62c=838p1?9j:051?840l3;;96s|26:94?4|5;=o6h<4=35;>4153ty9;l4?:3y>62?=99<01?9n:051?xu5?k0;6?u226;9551<5;=i6<9=;|q1<1<72:q6>:h5117897>128:<70<75;346>{t:1;1<74613483=7?82:p6=0=838p1?69:051?84?=3;;96s|29294?4|5;2>6h<4=3:3>4153ty94?4?:3y>6=7=99<01?6=:051?xu50:0;6?u22939551<5;286<9=;|q210<72:q6=9o51178943028:<70?:6;346>{t9<>1<746234;>87?82:p51d=838p1<;8:025?873j3;<>6s|14594?4|58?<6<9=;<362?77=2wx=9m50;0x942e28:=70?;c;346>{t9=n1<746034;?h7?82:p51c=838p1<:j:051?873l3;;:6s|3b594?75s4;?j7??6:?20c<68>16=>:51158943>28:<70=n3;316>;4i<0:>?523e19551<5:n?6<>9;<1`3?70:278on4>079>7g3=9;801>l8:001?87393;;;63>438243=:9=>1=<<4=066>47534;?:7?>2:?7=3<68>1v<:i:181873n3;<>63>4e8242=z{:=?6=4<{<364?77>27:9=4>069>722=9>80q~?:0;296~;6=90:;?5215f9544=7>52z?214<6?;16=9j510f8yv72:3:1>v3>538237=:9=n1=?>4}r0`4?6=nr7:994>209>6f6=9>801?m;:031?84d=3;:>63;318257=:;m=1=?<4=25:>44634;h:7?=2:?7e4<6:8168l<51338912e28;o70:64;32`>;3288;708513289c7=99=0q~<0:<85222g9551<5;9o6<9=;|q172<72;q6>>95160897>e28:j7p}=3883>7}:::o1==84=31:>4153ty9?h4?:3y>66c=9>801?=k:026?xu5;10;6?u222f9a7=:::21=:<4}r00e?6=:r79?44>079>66g=9>80q~<52z?1eg<68<16>o?51608yv4fl3:1>v3=b08240=::hn1=:<4}r0bg?6=:r79n<4j2:?1ef<6?;1v?oj:18184fl3;;:63=ad8237=z{;km6=4={<0b`?77?279mk4>739~w7e12908w0;5k10:<:522b5952452z?1g=<68?16>n?51608yv4d03:1>v3=c98237=::j=1==;4}r0`6?6=:r79o<4>079>6f4=9>80q~52z?1g1<6?;16>n=51148yv4e03:1>v3=c48265=::k21=:<4}r0`1?6=:r79o84>739>6f5=99=0q~=77;296~;4?m0:<85239:9524518y>72b=9>801>6<:024?85?<3;;;63<848243=:;1<1=475348o;7?>2:?1`=<68>16>i75115897c528:<70;3:m0:=?524e`9551<5=nn6<>i;<63e?77>27=6<<>;46b34l;647534l9647534l3647734lj6<>i;46a3ty8;h4?:3y>7=>=99?01>9j:051?xu4?o0;6?u236g9550<5:=m6<9=;|q0<5<72;q6?:k5115896>728=97p}::18085?93;;:63<808242=:=3;<>6s|39394?4|5:2:6<9=;<1;4?77>2wx?5<50;0x96>528=970=70;333>{t;191<74153493<7?>2:p7=2=838p1>6;:051?85?83;:h6s|39;94?3|5:2>6<>8;<1g0?77?278on4>069>7=?=9>801<:=:024?xu40<0;6?u23979524<5:2;6<7288:7p}:1g83>7}:=831==;4=403>4153ty>=44?:5y>14?=9>8018?k:025?83483;;:63:258243=z{<;j6=4={<714?77=27>=l4>739~w07e2909w0;>a;332>;29k0:;?5rs43`>5<5s4?:m7??7:?65f<6?;1v8<8:187836l3;;;63:1d8243=:=;>1==94=404>4153ty>=i4?:3y>14b=9>8018?l:025?xu2880;6<46034>:57?>2:?7b6<68?168k:5114891`228:=70:i7;333>;2880:;?525149550<5<:i6<>8;<6e`?77>27>=>4>079>142=99=018?::024?834:3;:>63:328257=:46134>3>7??6:p0cg=83;>w0;>e;326>;3900:=i524g69551<5=l>6<>8;<6e2?76:27?j:4>139>151=99<018>7:025?83713;:>63:0c8257=:46034?:87?>2:?650<69;169><510f8905428;o70:j8;333>;3m00:<;524dc9550<5=oi6<>9;<6;6?77?2wx9c;333>{t9:k1<746234;8n7?82:p563=838p1<=m:026?874=3;<>6s|12694?4|589i6h<4=017>4153ty:?;4?:3y>563=99<01<=9:051?xu6;>0;6?u21279551<589<6<9=;|q27=<72;q6=>651608945028:=7p}<9683>7}:9:31==94=2;4>4153ty:?44?:3y>56?=9>801<=8:024?xu6=o0;6?u214:9553<58<;6<9=;|q21d<72;q6=;>51178943f28=97p}>5883>7}:9?:1i?5214;9524n7>52z?21d<68?16=8l51608yv72k3:1>v3>5`8242=:9739>50e=99<0q~=n9;296~;6=l0:<:523`;9524i7>52z?21`<6?;16=8m51158yv7cl3:1>v3>d98240=:9mo1=:<4}r3g739>17g=99<0185a?=9>80q~?kb;296~;6lh0:<;521e`952452z?2`d<68>16=im51608yv4?k3:1>v3=868240=::1n1=:<4}r0;3?6=739>644=98n01??n:03g?846j3;:h6s|29;94?4|5;2o6<>:;<0;=?70:2wx>5650;0x97>c2l801?67:051?xu50h0;6?u229;9550<5;2j6<9=;|q1575115897>e28=97p}=d`83>6}::m:1==;4=3f`>460348on7?82:p6a6=83;ow0;5l<0:=?522e4954b<5;n<6139>6`4=98801?k<:031?84b<3;:>63=e48257=::l<1==h4=50g>47c34>9i7?>d:?76c<69m168>>510f8915628;o70:kb;326>;3lj0:=?524ef955c<5=3m6<<>;<6b4?75927?m<4>239>0d4=9;801k>510f89c7=98n01k<510f89c5=98n01k:510f89c1=99l0q~52z?1`f<6?;16>il51178yv4c:3:1>v3=d08243=::m81=:<4}r0g7?6=:r79h<4>069>6a5=9>80q~:6e;297~;5l=0:<;522e69551<5=3n6<9=;|q1`1<72;q6>i:5160897b428:=7p}=d483>7}::m?1=:<4=3f0>4603ty9h;4?:3y>6a0=9>801?j<:031?xu5l>0;6?u22e59524<5;n86i6510f897b>28;o70;5m<0:=i5243g9576<5=8m6<219>0ae=98n01>7>:051?8cc28:m70h;:003?8`f28;;70hm:033?xu5l10;6?u22e:9524<5;n86<i75160897b4288:7p}=e683>7}::mn1==;4=3g;>4153ty9hi4?:7y>6ab=9>801?k=:03g?84b;3;:h63=e58265=::l?1=?>4=5ab>4603ty9hh4?:3y>6`>=99?01?jj:051?xu5lo0;6?u22eg9550<5;nm6<9=;|q1a5<72;q6>ik5115897c728=97p}i6;297~;5m80:<;522d39551<5o<1=:<4}r0f5?6=:r79i<4>739>6`6=99<0q~650;7x97c5288;70;5m=0:><522d79577<510:;?5rs3g1>5<5s48n>7?82:?1a5<68>1v?k<:18184b;3;<>63=e18257=z{;o?6=4={<0f0?70:279i=4>1e9~w7c22909w0;5m90:>=5rs3g5>5<5s48n:7?82:?1a5<6:81v>7l:18185>?3;;963<9e8237=z{:326=4={<1:`?77=278544>739~w6??2909w0=6d;g1?85>03;<>6s|38c94?4|5:326<>9;<1:e?70:2wx?4l50;0x96?>28:<70=6b;346>{t;k:1<79t=2;;>461349247??7:?0ed<68?16?lo5115896d728=970=j7;332>;4m>0:<:5rs2c5>5<4s492i7??5:?0e=<68>16?l951608yv5>m3:1;v3<9d8237=:;h81=?=4=2c0>444349j87?=3:?0e0<6::16?:851008961?28;97p}<9g83>7}:;h21==84=2;e>4153ty8m54?:3y>7d>=9>801>o8:026?xu4i90;6?u238d9550<5:k;6<9=;|q0e4<72;q6?4h5115896g628=97p}7}:;h81=:<4=2c2>4613ty8m>4?:3y>7d5=9>801>o>:024?xu4i=0;6?u23`69524<5:k:67}:;h31==;4=2ce>4153ty8mo4?:3y>7d`=99?01>om:051?xu4ih0;6?u23`d9a7=:;hk1=:<4}r1bg?6=:r78mo4>079>7de=9>80q~=nd;296~;4ik0:<:523`f95248>7>53z?762<68<168>:51178915428=97p};3583>a}:<:>1=:<4=50g>44734>9i7?=1:?76c<6:8168>>513389156288:70:kb;32`>;3lj0:>=52f18265=:n80:>=52f38265=:n:0:>=52f58264=z{=836=4={<607?77=27?>54>739~w14>2909w0:=8;332>;3:00:;?5rs50b>5<5s4>947??7:?76d<6?;1vhk50;1x914e28:=70:=b;333>;bm3;<>6s|43`94?4|5=8i6<9=;<61e?77>2wx8i750;1x914d28:=70:=c;333>;3l00:;?5rs50`>5<5s4>9o7?82:?76d<68>1v963;2`8257=z{=8n6=4={<61a?70:27?>l4>1e9~w14a2909w0:=f;346>;3:h0:>=5rs513>5<5s4>8<7?82:?76d<6:81v9=>:18182493;<>63;2`8267=z{=;j6=4;{<626?77=27?=<4>049>04e=99=019?m:051?xu3980;69u24039524<5=;=6<>9;<623?77>27?079~w17>2909w0:>8;331>;3900:;?5rs53;>5<4s4>:47?82:?640<68?169=;51158yv26;3:1>v3;1b8243=:<891=:<4}r62g?6=:r7?=n4>739>04d=99?0q~:>4;296~;39:0:<;524069524:97>52z?756<68>168<;51608yv47n3:15v3;178242=:<8=1==94=32e>415348:>7?=0:?15d<6:916>f28:=70:?1;333>;38;0:<:5rs335>5<>s4>::7?>2:?752<69;16><<51338977128=970<>a;315>;59k0:><5249c9551<5=::65;332>{t:k81<7=t=534>47c348:>7?=2:?1f7<6?;1v9?8:181826?3;<>63;148242=z{<8o6=4k{<62=?75827?j84>139>0c0=98n018>8:024?83703;;;63:08825a=:=8>1=47c34?9h7?82:?677<6:9169>=5132891cf28:<70:jb;333>{t46234>m57?82:p0`c=83;>w0:je;346>;61>0:<:5218:9550<583n6<>8;<3:b?77>27:m84>069>5d0=99<01b28242=:9k>1==84=0`b>46034;in7??6:?2g4<68>16=n<5114894ec28:<70?le;333>;6l=0:<:521e79551<5=896<>9;<616?77?2wx8hh50;0x91`>28:>70:jf;346>{t46134>m<7?82:p0c7=838p19ki:024?82a93;<>6s|51c94?5|5=l96<>9;<6e6?77?27>739~w1`52909w0:i2;346>;3n80:<;5rs42e>5<68r7?j>4>069>0c2=988019h9:003?837>3;;;63:068257=:=921=<<4=42:>44734>mh7??7:?64c<6?;169<=510089073288;70;>5;314>;2;;0:><525219577<5=o<6<>8;<6f=?77?2wx8k=50;0x91`428=970:i1;333>{t1<741534>m=7?>2:p0c3=838p19h::051?82a93;:h6s|4g494?4|5=l=6<9=;<6e5?7582wx8i;50;7x91`028;o70;?7;32`>;28k0:=i524e79524<5=oj6;3mk0:=?525339524m;7>52z?7b2<6?;168k?51338yv37k3:1?v3:008240=:=9o1==94=42g>4153ty>15g=99?018>m:051?xu28;0;6?u251g9550<5<:96<9=;|q64`<72;q69=k51608906c28:>7p}:0283>7}:=981==84=420>4153ty><94?:3y>154=99=018>;:051?xu28<0;6?u25179524<5<:?6<>9;|q643<72;q69=851608906328:<7p}:0683>7}:=9=1=:<4=427>4753ty><54?:3y>15>=9>8018>;:03g?xu2800;6?u251;9524<5<:?6<{t46134>mn7?82:p156=838p18>?:051?82an3;;96s|4ga94?4|5=li6<>9;<6eg?70:2wx8kj50;0x91`e28:<70:id;346>{t;?81<7462349=?7?82:p70e=838p1>8<:026?852k3;<>6s|34f94?4|5:?h6<>9;<16`?70:2wx?8k50;0x963d28:<70=:e;346>{t;415349>i7??6:p736=838p1>8?:051?852m3;;;6s|35194?3|5:<:6<4>739>2=<69;16>kl51328yv5193:1>v3<608237=:;049>14>=99=018?8:051?xu2990;6?u250:9550<5<;;6<9=;|q65=<72;q69<651608907028:>7p}:1083>7}:=8:1==84=432>4153ty>=?4?:3y>146=99=018?=:051?xu29:0;6?u25019524<5<;96<>9;|q651<72;q69<:51608907528:<7p}:1483>7}:=8?1=:<4=431>4753ty88k4?:3y>71?=99?01>;?:051?xu4j6<9=;|q00g<72;q6?9o51148962e28=97p}<4b83>7}:;=k1==94=26`>4153ty88i4?:3y>71b=9>801>:l:025?xu4h6<>8;|q01<<72;q6?8?51178963f28=97p}<5383>7}:;4153ty89>4?:3y>704=99<01>;<:051?xu4==0;6?u23409551<5:??6<9=;|q010<72;q6?8;51608963328:=7p}<5783>7}:;<<1=:<4=277>4603ty89:4?:3y>701=9>801>;;:031?xu5m00;6>u234:9551<5;o26<9=;<60b?77?2wx>hh50;6x963?28;970?<0;326>;5mo0:;?5242d954453z?01=<69m16?>?51608915a28;o7p}<6583>6}:;<21=?>4=247>41534>8j7?=0:p70>=838p1>;7:051?852<3;:h6s|3e:94?4|5:im6<>:;<1g=?70:2wx?i>50;0x96b>28:>70=k0;346>{t;m;1<7461349o=7?82:p7a4=838p1>j?:024?85c:3;<>6s|3e194?4|5:n86<9=;<1g6?77>2wx?i:50;0x96b328=970=k2;333>{t;m?1<7415349o>7?>2:p7a0=838p1>j9:051?85c:3;:h6s|3e594?4|5:n<6<9=;<1g6?7582wx?=;50;1x97`b28:>70=?7;333>;48?0:;?5rs3de>5<5s49;;7??6:?1bc<6?;1v>>8:181857?3;<>63<078240=z{::;6=4={<0eb?77>278<=4>739~w6662909w0;4880:;?5rs214>57??7:?046<6:816?=k51148967028:=70==0;333>;4:80:=i5233c9551<5:8i6<079>716=99=0q~=8;<123?77?278>=4>139>774=98n01>079~w6642909w0=?3;346>;4880:<:5rs227>5<5s49;87?82:?044<69;1v>>i:18185703;;963<118237=z{::26=4={<124?77=278<44>739~w66f2909w0=?9;332>;48h0:;?5rs22a>5<5s49;57??7:?04g<6?;1v>>l:181857k3;<>63<0c8243=z{::o6=4={<13`?70:278069~w66b2909w0=?e;346>;48k0:=?5rs23b>5<5s49:=7??5:?05g<6?;1v>?=:181856j3;;963<138237=z{:;86=4={<126?77>278=>4>739~w6732909w0=>2;333>;49=0:;?5rs236>5<5s49:97?82:?051<68?1v>?9:181856>3;<>63<158242=z{:;<6=4={<123?70:278=94>139~w67?2909w0=>8;346>;49=0:=i5rs23:>5<5s49:57?82:?051<6:91v>mk:18185d?3;;963739~w6e>2909w0=l8;332>;4k00:;?5rs2ab>5<5s49h47??7:?0gd<6?;1v>mm:18185dj3;<>63069~w0522909w0;=d;331>;2;?0:;?5rs417>5<5s4?8=7??5:?671<6?;1v8=>:18083493;<>63;e78243=:?;4>049>17c=9>80q~;=f;296~;2:l0:<;5253d952452z?66`<68>169>>51608yv34?3:1>v3:318242=:=:=1=:<4}r706?6=:r7>??4>739>162=99<0q~;<3;296~;2;:0:;?52526955153z?14c<68<16><;51158977328=97p}=1183>7}::8?1==84=333>4153ty9=84?:3y>643=9>801??;:026?xu5980;6?u22029550<5;;:6<9=;|q157<72;q6><>51158977528=97p}=1b83>6}::8<1==;4=33f>460348:h7?82:p641=838p1??j:025?846?3;<>6s|20g94?4|5;;n6<9=;<02`?77=2wx><650;0x977028:=70<>8;346>{t:831<7460348:57?82:p64g=838p1??n:051?84613;;:6s|20`94?4|5;;i6<9=;<02=?77?2wx??=50;1x967d28:>70==5;333>;4:=0:;?5rs23g>5<5s49997??6:?05a<6?;1v><::181855=3;<>63<258240=z{:;n6=4={<12`?77>278=h4>739~w67a2909w0=>d;333>;49o0:;?5rs203>5<5s499<7?82:?05c<68?1v><>:18185593;<>63<1g8242=z{:896=4={<116?70:278=k4>139~w64b2908w0==6;331>;4;90:<:5233d952452z?075<68?16??951608yv5483:1>v3<318237=:;;l1==;4}r11:4>079>77>=9>80q~==9;296~;4:>0:<:5233;952452z?06d<6?;16??751148yv55j3:1>v3<2c8237=:;;31==94}r11g?6=:r78>n4>739>77?=9880q~==d;296~;4:m0:;?5233;954b52z?262<68<16=><51608yv7503:1>v3>338240=:9;21=:<4}r31=?6=:r7:>54>079>57?=9>80q~?=a;296~;6:10:<:5213c95248i7>53z?26g<68?16=?l51158915b28=97p}>2c83>7}:9;h1=:<4=00b>4613ty:>n4?:3y>57e=9>801<2g83>7}:9;l1=:<4=00b>4473ty:?=4?:3y>566=9>801<m51178965?28=97p}<3883>7}:;:21==84=21:>4153ty8?l4?:3y>76>=99=01>=n:051?xu4<>0;6?u23519553<5:>36<9=;|q001<72;q6?9651178962328=97p}<4483>7}:;=>1==84=266>4153ty88;4?:3y>712=99=01>:9:051?xu6;j0;6>u23549550<5:>=6<>8;<30g?70:2wx?5h50;0x96>>28:>70=60;346>{t;1o1<74623493i7?82:p7=b=839p1>6k:051?82c03;;:63;d98242=z{:2j6=4={<1:4?77=2784l4>739~w6>e2909w0=7a;332>;40k0:;?5rs2:`>5<5s493m7??7:?0l7:18185e83;;963739~w6d52909w0=m1;332>;4j;0:;?5rs2`0>5<5s49i=7??7:?0f6<6?;1v>l;:18185e<3;<>636=4={<1a1?70:278n>4>069~w6d12909w0=m6;346>;4j:0:=?5rs2`4>5<5s49i;7?82:?0f6<69m1v>:>:181854l3;;963<438237=z{:9n6=4={<176?77=278?h4>739~w65a2909w0=;4;o0:;?5rs263>5<5s498i7??7:?005<6?;1v9k?:18182c=3;;963;e08237=z{=nm6=4={<6g=?77=27?hk4>739~w1b12909w0:j1;331>;3l?0:;?5rs5f4>5<5s4>o:7??6:?7`2<6?;1v9j7:18182c>3;;;63;d98237=z{=nj6=4={<6ge?70:27?hk4>079~w1be2909w0:kb;346>;3lo0:<:5rs5f`>5<5s4>oo7?82:?7`c<69;1v9jk:18182cl3;<>63;dg825a=z{=nn6=4={<6ga?70:27?hk4>219~w1cd2909w0:j2;331>;3mm0:;?5rs5g1>5n>7?82:?7a2<69;168h65100891c>28;970:ja;32`>;3mk0:=i52466954b<5==i67}:4153ty?i84?:3y>0`5=99=019k::051?xu3m?0;6?u24d49524<5=o>6<>9;|q7a2<72;q68h95160891c228:<7p};e983>7}:4753ty?i44?:3y>0`?=9>8019k::03g?xu3mh0;6?u24dc9524<5=o>6<7}:=;;1==;4=405>4153ty>>?4?:3y>170=99?018<=:051?xu2::0;6?u25309550<5<886<9=;|q661<72;q69?<51158904328=97p}:2c83>7}:=;=1==;4=40`>4153ty>>54?:3y>17e=99?018<7:051?xu2:00;6?u253:9550<5<826<9=;|q66d<72;q69?651158904f28=97p}=0683>7}::991==;4=32;>4153ty9<94?:3y>65>=99?01?>;:051?xu58<0;6?u22169550<5;:>6<9=;|q143<72;q6>=:51158976128=97p}=b783>7}::k81==;4=3`4>4153ty9n>4?:3y>6g1=99?01?l<:051?xu5j=0;6?u22c19550<5;h?6<9=;|q1f0<72;q6>o=5115897d228=97p}=ee83>7}::l31==;4=3gf>4153ty9il4?:3y>6`c=99?01?kn:051?xu5mk0;6?u22dc9550<5;oi6<9=;|q1af<72;q6>ho5115897cd28=97p}=f283>7}::ll1==;4=3d7>4153ty9j=4?:3y>6c2=99?01?h?:051?xu5n80;6?u22g29550<5;l:6<9=;|q1b7<72;q6>k>5115897`528=97p}<3483>7}:;:;1==;4=215>4153ty8??4?:3y>760=99?01>==:051?xu4;:0;6?u23209550<5:986<9=;|q071<72;q6?><51158965328=97p}<6983>7}:;?>1==;4=24:>4153ty8:84?:3y>73?=99?01>8::051?xu4>?0;6?u23779550<5:<=6<9=;|q022<72;q6?;;51158960028=97p}<6d83>7}:;?k1==;4=24e>4153ty8:o4?:3y>73`=99?01>8m:051?xu4>j0;6?u237`9550<5:{t>:0;6?u2628237=:;ml1==84}r47>5<5s4:;<47>4153ty=97>52z?50?77>27=97?82:p23<72;q6:94>069>23<6?;1v;950;0x931=9>801;851148yv0?2909w087:051?80128:<7p}9f;296~;1j3;;96380;346>{t>k0;6?u26c8237=:;ml1==94}r4`>5<5s4=;6<>:;<4`>4153ty=h7>52z?5g?77>27=h7?82:p2`<72;q6:n4>069>2`<6?;1v<:7:181874k3;;963>488237=z{8><6=4={<377?77=27:8:4>739~w45c2909w0?;9;331>;6;m0:;?5rs01f>5<5s4;8h7??6:?27`<6?;1v<=i:181874l3;;;63>3g8237=z{8i86=4<{<374?77>27:8=4>069>5f5=9>80q~?;0;296~;6<90:;?5212d955052z?204<6?;16=>h51158yv73:3:1>v3>438237=:9:l1=<<4}r370?6=:r7:894>739>511=99<0q~?;5;296~;6<<0:;?52155955152z?203<6?;16=9951008yv47l3:1>v3=088240=::9o1=:<4}r03e?6=:r79

049>65g=9>80q~52z?14d<68>16>=m51608yv4em3:1>v3=b98240=::kl1=:<4}r0a=?6=:r79nk4>049>6g?=9>80q~52z?1f<<68>16>ol51608yv4ek3:1>v3=bb8237=::kh1==84}r0a`?6=:r79ni4>739>6gd=99=0q~52z?1gc<68<16>nl51608yv4dk3:1>v3=cc8243=::ji1=:<4}r0``?6=:r79oo4>069>6fb=9>80q~52z?1b0<6?;1688<51158yv4a>3:1>v3=fe8240=::o<1=:<4}r0e3?6=:r79j;4>079>6c1=9>80q~52z?1b<<6?;16>k651148yv4ai3:1>v3=f`8237=::o21==94}r0ef?6=:r79jo4>739>6c>=9880q~=8b;296~;4?90:<85236a952452z?035<6?;16?h651158yv50i3:1>v3<758240=:;>k1=:<4}r145?6=:r78;n4>049>727=9>80q~=82;296~;4?80:<;52360952452z?034<68>16?:=51608yv2>=3:1?v3<728243=:;>91==94=5;6>4153ty8;84?:3y>723=9>801>9n:025?xu4??0;6?u23649524<5:=j6<>8;|q032<72;q6?:951608961f28;97p}<7983>7}:;>21=:<4=25b>47c3ty8;44?:3y>72?=9>801>9n:003?xu41<0;6?u23839553<5:3=6<9=;|q0=7<72;q6?485117896?528=97p}<9283>7}:;081==84=2;0>4153ty8594?:3y>7<4=99=01>7;:051?xu30k0;6?u24959553<5=2h6<9=;|q7<2<72:q685951608940c28:=70?9d;333>{t<121<746234>347?82:p0=?=838p1967:025?82?13;<>6s|49c94?4|5=236<>8;<6;e?70:2wx9>l50;0x905028:>70;{t=:21<746234?847?82:p16?=838p18=7:025?83413;<>6s|52c94?4|5<936<>8;<70e?70:2wx=4750;0x94?428:>70?69;346>{t90>1<746234;287?82:p5<3=838p1<7;:025?87>=3;<>6s|18494?4|583?6<>8;<3:2?70:2wx=4950;0x94?028=970?66;332>{t9021<741534;2:7??7:p5d6=838p1<7n:026?87f83;<>6s|18`94?4|58k;6<>:;<3:f?70:2wx=4m50;0x94?e28:=70?6c;346>{t90n1<746034;2h7?82:p5l3;;:6s|18d94?4|583m6<9=;<3:`?77?2wx=l950;0x94g628:>70?n7;346>{t9h81<746234;j>7?82:p5d5=838p16s|1`694?4|58k96<>8;<3b0?70:2wx=l;50;0x94g228=970?n4;332>{t9h<1<741534;j87??7:p5dc=838p16s|1`;94?4|58kn6<>:;<3b=?70:2wx=lo50;0x94g>28:=70?na;346>{t9hh1<746034;jn7?82:p5de=838p170?m5;346>{t9k:1<746234;i<7?82:p5g7=838p16s|1c094?4|58h;6<>8;<3a6?70:2wx=o=50;0x94d428=970?m2;332>{t9k>1<741534;i>7??7:p5ge=838p16s|1c594?4|58hh6<>:;<3a3?70:2wx=o650;0x94d028:=70?m8;346>{t9k31<746034;i57?82:p5gg=838p170?l8;346>{t9j=1<746234;h;7?82:p5gc=838p16s|1cd94?4|58hn6<>9;<3ab?70:2wx=n>50;0x94db28:<70?l0;346>{t9j;1<741534;h<7??6:p5f4=838p12wx=n;50;0x94e228=970?l7;333>{t9j<1<741534;h;7?>2:p5f`=838p16s|1bc94?4|58im6<>:;<3`e?70:2wx=nl50;0x94ef28:=70?lb;346>{t9ji1<746034;ho7?82:p5fb=838p170?k6;346>{t9m;1<746234;o=7?82:p5a4=838p1:025?87c:3;<>6s|1e194?4|58n:6<>8;<3g7?70:2wx=i:50;0x94b328=970?k3;332>{t9m?1<741534;o?7??7:p05d=838p19>8:026?827j3;<>6s|41:94?4|5=:i6<>:;<63{t<9k1<746034>;m7?82:p005=838p19:i:026?822;3;<>6s|44294?4|5=?86<>:;<664?70:2wx88?50;0x913728:=70::1;346>{t<<81<746034>>>7?82:p03c=838p198n:026?821m3;<>6s|47`94?4|5=:;<65f?70:2wx8;m50;0x910e28:=70:9c;346>{t46034>=h7?82:p0d2=838p197n:026?82f<3;<>6s|4`194?4|5=3n6<>:;<6b7?70:2wx84l50;0x91g328:>70:6b;346>{t<0i1<746134>2o7?82:p0l3;<>6s|48d94?4|5=3m6<9=;<6b7?77>2wx8l>50;0x91g728=970:n3;333>{t41534>j?7?>2:p0d4=838p19o=:051?82f;3;:h6s|4b`94?4|5=i<6<>:;<6`f?70:2wx8n650;0x91ee28:>70:l8;346>{t46134>h57?82:p0fg=838p19m7:024?82di3;<>6s|3d294?4|5:nh6<>:;<1f4?70:2wx?ij50;0x96c728:>70=kd;346>{t;mo1<7461349oi7?82:p7a`=838p1>jk:024?85cn3;<>6s|43194?4|5=;m6<>:;<610?70:2wx8?>50;0x914328:>70:=0;346>{t<;;1<746134>9=7?82:p074=838p196s|45294?4|5=9j6<>:;<675?70:2wx8>h50;0x915b28:>70:{t<:h1<746234>8n7?82:p06e=838p19=m:025?824k3;<>6s|42f94?4|5=9i6<>8;<60`?70:2wx=;m50;0x940128:>70?9c;346>{t9?21<746234;=47?82:p53b=838p1<87:026?871l3;<>6s|17;94?4|58:;<35=?70:2wx=;o50;0x940>28:=70?9a;346>{t9?h1<746034;=n7?82:pf5<72;q6mn4>049>f5<6?;1vlj50;0x9g6=99?01lj51608yvgb2909w0ok:025?8gb28=97p}nf;296~;fl3;;;63nf;346>{tj?0;6?u2b38240=:j?0:;?5rsc194?4|5k<1==;4=c1952446134h?6<9=;|qa1?6=:r7i?7??7:?a1?70:2wxnh4?:3y>fd<68<16nh4>739~wgd=838p1ok511789gd=9>80q~ll:1818de28:=70ll:051?xuel3:1>v3mb;333>;el3;<>6s|3d;94?5|5:o86<>:;<52>462349nm7?82:p7`2=838p1>kn:026?85b<3;<>6s|3d794?4|5:o?6<>9;<1f1?70:2wx?h850;0x96c328:<70=j6;346>{t;l=1<7415349n:7??6:p7`>=838p1>k7:051?85b>3;;;6s|41194?5|5:lo6<>:;46234>;87?82:p7cc=838p19>;:026?85am3;<>6s|3gd94?4|5:ln6<>9;<1eb?70:2wx8=>50;0x96`b28:<70:?0;346>{t<9;1<741534>;<7??6:p054=838p19>=:051?82783;;;6s|45a94?4|5=>?6<>:;<67g?70:2wx89;50;0x912d28:>70:;5;346>{t<=<1<746134>?:7?82:p011=838p19:::024?823?3;<>6s|45:94?4|5=>36<9=;<673?77>2wx89750;0x912>28=970:;7;333>{t<=k1<741534>?;7?>2:p01d=838p19:m:051?823?3;:h6s|46794?4|5==:6<>:;<641?70:2wx8:<50;0x911228:>70:82;346>{t<>91<746134>6s|46a94?4|5==36<>:;<64g?70:2wx8:750;0x911d28:>70:89;346>{t<>k1<746134>6s|49194?4|5==m6<>:;<6;0?70:2wx85>50;0x91>328:>70:70;346>{t<1;1<746134>3=7?82:p0=4=838p196?:024?82?:3;<>6s|48594?4|5=2m6<>:;<6:3?70:2wx84850;0x91?228:>70:66;346>{t<0:1<746234>2<7?82:p0<7=838p197?:025?82>93;<>6s|48094?4|5=3;6<>8;<6:6?70:2wx84=50;0x91?428=970:62;332>{t<0>1<741534>2>7??7:p`a<72;q6h44>049>`a<6?;1vio50;0x9ab=99?01io51608yvbe2909w0jn:025?8be28=97p}kc;296~;ci3;;;63kc;346>{tl10;6?u2d58240=:l10:;?5rse794?4|5m21==;4=e7952446134n=6<9=;|qg3?6=:r7o97??7:?g3?70:2wx;7>52z?;>46234=1=:<4}r494?4|5<0:<8526;346>{t83:1>v38:026?86=9>80q~?50;0x95<68?16=7?82:p6?6=:r7;6<>8;<0952463jf;332>;bn3;;;6s|f483>7}:ml0:<852f48237=z{ll1<75<5s4l;6<9=;4603tym=7>52z?e5?70:27m97?>2:pb7<72;q6j?4>739>b0<69m1vk=50;0x9c5=9>801k;51328yv`32909w0h;:051?8`2288:7p}ic;296~;a>3;;963ic;346>{tn>0;6?u2f68237=:nj0:<;5rsg:94?4|5o21=:<4=ga955141534lh6bg<6?;16jn4>219~yk20110;63n6=4>{|l73<`=83;pqc:8a183>4}zf==j=7>51zm02g5290:wp`;7`194?7|ug>5<6std?;l950;3xyk20i10;6kn6=4>{|l73d`=83;pqc:8b183>4}zf==i=7>51zm02d5290:wp`;7c194?7|ug>5<6std?;o950;3xyk20j10;6hn6=4>{|l73g`=83;pqc:8c183>4}zf==h=7>51zm02e5290:wp`;7b194?7|ug>5<6std?;n950;3xyk20k10;6in6=4>{|l73f`=83;pqc:8d183>4}zf==o=7>51zm02b5290:wp`;7e194?7|ug>5<6std?;i950;3xyk20l10;6nn6=4>{|l73a`=83;pqc:8e183>4}zf==n=7>51zm02c5290:wp`;7d194?7|ug>5<6std?;h950;3xyk20m10;6on6=4>{|l73``=83;pqc:8f183>4}zf==m=7>51zm02`5290:wp`;7g194?7|ug>5<6std?;k950;3xyk20n10;6ln6=4>{|l73c`=83;pqc:70183>4}zf=2;=7>51zm0=65290:wp`;81194?7|ug>3<94?:0y~j1>7=3:1=vsa4925>5<6std?4=950;3xyk2?810;6n:182xh309h1<7?t}o6;4f<728qvb96?d;295~{i<1:n6=4>{|l7<5`=83;pqc:71183>4}zf=2:=7>51zm0=75290:wp`;80194?7|ug>3=94?:0y~j1>6=3:1=vsa4935>5<6std?4<950;3xyk2?910;6d;295~{i<1;n6=4>{|l7<4`=83;pqc:72183>4}zf=29=7>51zm0=45290:wp`;83194?7|ug>3>94?:0y~j1>5=3:1=vsa4905>5<6std?4?950;3xyk2?:10;6{|l7<7`=83;pqc:73183>4}zf=28=7>51zm0=55290:wp`;82194?7|ug>3?94?:0y~j1>4=3:1=vsa4915>5<6std?4>950;3xyk2?;10;6{|l7<6`=83;pqc:74183>4}zf=2?=7>51zm0=25290:wp`;85194?7|ug>3894?:0y~j1>3=3:1=vsa4965>5<6std?49950;3xyk2?<10;6n6=4>{|l7<1`=83;pqc:75183>4}zf=2>=7>51zm0=35290:wp`;84194?7|ug>3994?:0y~j1>2=3:1=vsa4975>5<6std?48950;3xyk2?=10;6{|l7<0`=83;pqc:76183>4}zf=2==7>51zm0=05290:wp`;87194?7|ug>3:94?:0y~j1>1=3:1=vsa4945>5<6std?4;950;3xyk2?>10;6{|l7<3`=83;pqc:77183>4}zf=2<=7>51zm0=15290:wp`;86194?7|ug>3;94?:0y~j1>0=3:1=vsa4955>5<6std?4:950;3xyk2??10;6h1<7?t}o6;3f<728qvb968d;295~{i<1=n6=4>{|l7<2`=83;pqc:78183>4}zf=23=7>51zm0=>5290:wp`;89194?7|ug>3494?:0y~j1>?=3:1=vsa49:5>5<6std?45950;3xyk2?010;6{|l7<=`=83;pqc:79183>4}zf=22=7>51zm0=?5290:wp`;88194?7|ug>3594?:0y~j1>>=3:1=vsa49;5>5<6std?44950;3xyk2?110;6{|l7<<`=83;pqc:7a183>4}zf=2j=7>51zm0=g5290:wp`;8`194?7|ug>3m94?:0y~j1>f=3:1=vsa49c5>5<6std?4l950;3xyk2?i10;6{|l74}zf=2i=7>51zm0=d5290:wp`;8c194?7|ug>3n94?:0y~j1>e=3:1=vsa49`5>5<6std?4o950;3xyk2?j10;6{|l74}zf=2h=7>51zm0=e5290:wp`;8b194?7|ug>3o94?:0y~j1>d=3:1=vsa49a5>5<6std?4n950;3xyk2?k10;6{|l74}zf=2o=7>51zm0=b5290:wp`;8e194?7|ug>3h94?:0y~j1>c=3:1=vsa49f5>5<6std?4i950;3xyk2?l10;6{|l74}zf=2n=7>51zm0=c5290:wp`;8d194?7|ug>3i94?:0y~j1>b=3:1=vsa49g5>5<6std?4h950;3xyk2?m10;6{|l7<``=83;pqc:7f183>4}zf=2m=7>51zm0=`5290:wp`;8g194?7|ug>3j94?:0y~j1>a=3:1=vsa49d5>5<6std?4k950;3xyk2?n10;6{|l74}zf=3;=7>51zm0<65290:wp`;91194?7|ug>2<94?:0y~j1?7=3:1=vsa4825>5<6std?5=950;3xyk2>810;6n:182xh319h1<7?t}o6:4f<728qvb97?d;295~{i<0:n6=4>{|l7=5`=83;pqc:61183>4}zf=3:=7>51zm0<75290:wp`;90194?7|ug>2=94?:0y~j1?6=3:1=vsa4835>5<6std?5<950;3xyk2>910;6d;295~{i<0;n6=4>{|l7=4`=83;pqc:62183>4}zf=39=7>51zm0<45290:wp`;93194?7|ug>2>94?:0y~j1?5=3:1=vsa4805>5<6std?5?950;3xyk2>:10;6{|l7=7`=83;pqc:63183>4}zf=38=7>51zm0<55290:wp`;92194?7|ug>2?94?:0y~j1?4=3:1=vsa4815>5<6std?5>950;3xyk2>;10;6{|l7=6`=83;pqc:64183>4}zf=3?=7>51zm0<25290:wp`;95194?7|ug>2894?:0y~j1?3=3:1=vsa4865>5<6std?59950;3xyk2><10;6n6=4>{|l7=1`=83;pqc:65183>4}zf=3>=7>51zm0<35290:wp`;94194?7|ug>2994?:0y~j1?2=3:1=vsa4875>5<6std?58950;3xyk2>=10;6{|l7=0`=83;pqc:66183>4}zf=3==7>51zm0<05290:wp`;97194?7|ug>2:94?:0y~j1?1=3:1=vsa4845>5<6std?5;950;3xyk2>>10;6{|l7=3`=83;pqc:67183>4}zf=3<=7>51zm0<15290:wp`;96194?7|ug>2;94?:0y~j1?0=3:1=vsa4855>5<6std?5:950;3xyk2>?10;6h1<7?t}o6:3f<728qvb978d;295~{i<0=n6=4>{|l7=2`=83;pqc:68183>4}zf=33=7>51zm0<>5290:wp`;99194?7|ug>2494?:0y~j1??=3:1=vsa48:5>5<6std?55950;3xyk2>010;6{|l7==`=83;pqc:69183>4}zf=32=7>51zm02594?:0y~j1?>=3:1=vsa48;5>5<6std?54950;3xyk2>110;6{|l7=<`=83;pqc:6a183>4}zf=3j=7>51zm02m94?:0y~j1?f=3:1=vsa48c5>5<6std?5l950;3xyk2>i10;6{|l7=d`=83;pqc:6b183>4}zf=3i=7>51zm02n94?:0y~j1?e=3:1=vsa48`5>5<6std?5o950;3xyk2>j10;6{|l7=g`=83;pqc:6c183>4}zf=3h=7>51zm02o94?:0y~j1?d=3:1=vsa48a5>5<6std?5n950;3xyk2>k10;6{|l7=f`=83;pqc:6d183>4}zf=3o=7>51zm02h94?:0y~j1?c=3:1=vsa48f5>5<6std?5i950;3xyk2>l10;6{|l7=a`=83;pqc:6e183>4}zf=3n=7>51zm02i94?:0y~j1?b=3:1=vsa48g5>5<6std?5h950;3xyk2>m10;6{|l7=``=83;pqc:6f183>4}zf=3m=7>51zm0<`5290:wp`;9g194?7|ug>2j94?:0y~j1?a=3:1=vsa48d5>5<6std?5k950;3xyk2>n10;6{|l7=c`=83;pqc:n0183>4}zf=k;=7>51zm0d65290:wp`;a1194?7|ug>j<94?:0y~j1g7=3:1=vsa4`25>5<6std?m=950;3xyk2f810;6n:182xh3i9h1<7?t}o6b4f<728qvb9o?d;295~{i{|l7e5`=83;pqc:n1183>4}zf=k:=7>51zm0d75290:wp`;a0194?7|ug>j=94?:0y~j1g6=3:1=vsa4`35>5<6std?m<950;3xyk2f910;6d;295~{i{|l7e4`=83;pqc:n2183>4}zf=k9=7>51zm0d45290:wp`;a3194?7|ug>j>94?:0y~j1g5=3:1=vsa4`05>5<6std?m?950;3xyk2f:10;6{|l7e7`=83;pqc:n3183>4}zf=k8=7>51zm0d55290:wp`;a2194?7|ug>j?94?:0y~j1g4=3:1=vsa4`15>5<6std?m>950;3xyk2f;10;6{|l7e6`=83;pqc:n4183>4}zf=k?=7>51zm0d25290:wp`;a5194?7|ug>j894?:0y~j1g3=3:1=vsa4`65>5<6std?m9950;3xyk2f<10;6n6=4>{|l7e1`=83;pqc:n5183>4}zf=k>=7>51zm0d35290:wp`;a4194?7|ug>j994?:0y~j1g2=3:1=vsa4`75>5<6std?m8950;3xyk2f=10;6{|l7e0`=83;pqc:n6183>4}zf=k==7>51zm0d05290:wp`;a7194?7|ug>j:94?:0y~j1g1=3:1=vsa4`45>5<6std?m;950;3xyk2f>10;6{|l7e3`=83;pqc:n7183>4}zf=k<=7>51zm0d15290:wp`;a6194?7|ug>j;94?:0y~j1g0=3:1=vsa4`55>5<6std?m:950;3xyk2f?10;6h1<7?t}o6b3f<728qvb9o8d;295~{i{|l7e2`=83;pqc:n8183>4}zf=k3=7>51zm0d>5290:wp`;a9194?7|ug>j494?:0y~j1g?=3:1=vsa4`:5>5<6std?m5950;3xyk2f010;6{|l7e=`=83;pqc:n9183>4}zf=k2=7>51zm0d?5290:wp`;a8194?7|ug>j594?:0y~j1g>=3:1=vsa4`;5>5<6std?m4950;3xyk2f110;6{|l7e<`=83;pqc:na183>4}zf=kj=7>51zm0dg5290:wp`;a`194?7|ug>jm94?:0y~j1gf=3:1=vsa4`c5>5<6std?ml950;3xyk2fi10;6{|l7ed`=83;pqc:nb183>4}zf=ki=7>51zm0dd5290:wp`;ac194?7|ug>jn94?:0y~j1ge=3:1=vsa4``5>5<6std?mo950;3xyk2fj10;6{|l7eg`=83;pqc:nc183>4}zf=kh=7>51zm0de5290:wp`;ab194?7|ug>jo94?:0y~j1gd=3:1=vsa4`a5>5<6std?mn950;3xyk2fk10;6{|l7ef`=83;pqc:nd183>4}zf=ko=7>51zm0db5290:wp`;ae194?7|ug>jh94?:0y~j1gc=3:1=vsa4`f5>5<6std?mi950;3xyk2fl10;6{|l7ea`=83;pqc:ne183>4}zf=kn=7>51zm0dc5290:wp`;ad194?7|ug>ji94?:0y~j1gb=3:1=vsa4`g5>5<6std?mh950;3xyk2fm10;6{|l7e``=83;pqc:nf183>4}zf=km=7>51zm0d`5290:wp`;ag194?7|ug>jj94?:0y~j1ga=3:1=vsa4`d5>5<6std?mk950;3xyk2fn10;6{|l7ec`=83;pqc:m0183>4}zf=h;=7>51zm0g65290:wp`;b1194?7|ug>i<94?:0y~j1d7=3:1=vsa4c25>5<6std?n=950;3xyk2e810;6n:182xh3j9h1<7?t}o6a4f<728qvb9l?d;295~{i{|l7f5`=83;pqc:m1183>4}zf=h:=7>51zm0g75290:wp`;b0194?7|ug>i=94?:0y~j1d6=3:1=vsa4c35>5<6std?n<950;3xyk2e910;6d;295~{i{|l7f4`=83;pqc:m2183>4}zf=h9=7>51zm0g45290:wp`;b3194?7|ug>i>94?:0y~j1d5=3:1=vsa4c05>5<6std?n?950;3xyk2e:10;6{|l7f7`=83;pqc:m3183>4}zf=h8=7>51zm0g55290:wp`;b2194?7|ug>i?94?:0y~j1d4=3:1=vsa4c15>5<6std?n>950;3xyk2e;10;6{|l7f6`=83;pqc:m4183>4}zf=h?=7>51zm0g25290:wp`;b5194?7|ug>i894?:0y~j1d3=3:1=vsa4c65>5<6std?n9950;3xyk2e<10;6n6=4>{|l7f1`=83;pqc:m5183>4}zf=h>=7>51zm0g35290:wp`;b4194?7|ug>i994?:0y~j1d2=3:1=vsa4c75>5<6std?n8950;3xyk2e=10;6{|l7f0`=83;pqc:m6183>4}zf=h==7>51zm0g05290:wp`;b7194?7|ug>i:94?:0y~j1d1=3:1=vsa4c45>5<6std?n;950;3xyk2e>10;6{|l7f3`=83;pqc:m7183>4}zf=h<=7>51zm0g15290:wp`;b6194?7|ug>i;94?:0y~j1d0=3:1=vsa4c55>5<6std?n:950;3xyk2e?10;6h1<7?t}o6a3f<728qvb9l8d;295~{zutJKOv:i65873g?>j:8vLMLt0|BCT~{GH \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngc b/cpld/XC95144XL/WarpSE.ngc index 3196f54..b696c65 100644 --- a/cpld/XC95144XL/WarpSE.ngc +++ b/cpld/XC95144XL/WarpSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$54g4=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?0167?DJB9j1J@H?P_np3457c3HFN=RQ`r123542GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X::6LJRSpe=>DBZ[xmSC>n;CGQVw`XF9;=7OK]Rspf?GCUZ{xTbbz?013e?GCUZ{xTbbz?0132<>DR[VCEJB?4C59@53K>3J;=ARGMUG48G76JMGh0O?>BEO]LFP@13J8>AH@m;B06I@HXGK_M?6M7M99@EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:F4?ARFKBxm;6J[ABIqvc=C\HI@~Qaou2344773M^JOF|}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?07g8@jssGLUd~=>?06g8@jssGLUd~=>?0938A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:j6K\_P]2[jt789::>h5JS^S\5Ziu89:;>h5JS^S\5Ziu89:;?h5JS^S\5Ziu89:;8h5JS^S\5Ziu89:;9h5JS^S\5Ziu89:;:h5JS^S\5Ziu89:;;h5JS^S\5Ziu89:;4h5JS^S\5Ziu89:;5h5JS^]b`a67896:2h5JS^]b`a6789692h5JS^]b`a6789682h5JS^]b`a67896?285JT@AH`>CSIJATmcj?012f?@RFKBUjbi>?013f?@RFKBUjbi>?010f?@RFKBUjbi>?011f?@RFKBUjbi>?016f?@RFKBUjbi>?017f?@RFKBUjbi>?0145?@YNJ\L97H|<;Dp11>Cu494>7H|31?68AwY7<2OyS7H|PN168BFJL12LH@FQFBTD1?CB33ONHI>5ISC38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU4<2CIYK74IOKWWQGSM>1BBDZ\TB:8MKOS[]I:i6GAIUQ\BVKXNOn:=<5FNHVP[CUJWOLo= Ga139JJLRTWOYFSKHk1,Km544OIA]YTJ^CPFGf1)Lh59;1BBDZ\_GQN[C@c:$Ce?<<4IOKWWZ@TEVLMh?#Fn531?LHN\ZUM_@QIFe0.Mk3b3@DBX^QISL]Lqq5a3@DBX^QISL]Lqq56n2CEEY]PFRO\Kpr4:8:0ECG[S^antZcv89:8?6GAV39JK0=NGHI_h6G@ABV\ekb789:n7DANCU]bja6789;m7DANCU]bja6789;;i6G@ABV\ekb789:9i6G@ABV\ekb789:8i6G@ABV\ekb789:?i6G@ABV\ekb789:>i6G@ABV\ekb789:=i6G@ABV\ekb789:n7DANCU]nq}6789?n7DANCU]nq}6789>0:KLF@TUWds<=>?1033?LIEM[XTaxv?0122646m7DAMESP\ip~789:9j6G@BDPQ[hs89:;?k5FOCGQVZkrp9:;<9h4IN@FVWYj}q:;<=;i;HMAAWTXe|r;<=>9f:KLF@TUWds<=>?7g9JKGCUZVg~t=>?09d8MJDBZ[Ufyu>?01;7?LIEE=1BCO]n;HMAWZTbimsi7DAMS^Pfea6j2CDN^Q]e`fz6g=NGKYT^hoky2`8MJDTW[ojht:9;HMAQCC33@EH_85FOBQ22>OHKZ;;:6G@CR322>OHKZ;996G@CR06?LID[:?0EBM\449JKFU2=2CDO^8:;HM@W23OHD9i0EBB?_lw{4567l2CD@=Qbuy23457c3@EGOHD9Ufyu>?0177?LIK9j1BCA?Pnnv3457c3@EG=R``t123543k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236c=NG[ojhtQPos2345773@EYiljv_^mq456798:0EB\jae{\[jt789:9==5FOSgb`|YXg{:;<==>0:KLV`gcqVUd~=>?0533?LIUmhnrSRa}0123146<>4INQ\BVKXNOn:!D`<119JKVYA[DUMJi?"Io6`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km5472038MJUXNZGTJKj=-Hl27474INQ\BVKXNOn9!D`=119JKVYA[DUMJi<"Io124>OH[VLXARHId3/Jj1773@EXSK]B_GDg6(Oi=8:0EB]PFRO\BCb5%@d===5FOR]EWHYANm8&Ec9>0:KLWZ@TEVLMh?#Fn933?LITWOYFSKHk2,Km=f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3773@EXSK]B_GDg7(Oi?8:0EB]PFRO\BCb4%@d3==5FOR]EWHYANm9&Ec7;;HMV4f=NG\:Taxv?012g?LIR8Vg~t=>?00f8MJS7Wds<=>?2e9JKP6Xe|r;<=>OH]8Uecy>?00f8MJS6Wge<=>>149JKPBT<2CD[Hk4KDGS[MOBZHXHDT94LNEJGDJf3EZFSUA]2^3:?IRnelx7<3o4LUknaw:687k0@Ygbes>25;g2?;8HQojm{6:245CThofv94912F_e`k}<2<:?IRnelx78374LUknaw:2601GXdcjr=4==>JSadoy0:06;MVji`t;0730@Ygbes>::==K\`gn~YM>3:NWmhcu\JUha}Qjq123442?01020>JSadoyXNQlmq]fu5678:;?7AZfmdpWGZejxVoz<=>?4068HQojm{^HSnc_ds3456202F_e`k}_1:8HQojm{U:56B[ilgq[46>3E^bah|P10;8HQojm{U:>55CThofvZ4?3E^bah|P399OPlkbzV>37AZfmdp\1==K\`gn~R87;MVji`tX?11GXdcjr^:;?IRnelxT5?:4LUknawYJimnTSljk0123[H~hzVXnxb{<02=61=K\`gn~RCnde]\eab789:TAua}_Sgpqir;984986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>2?00?IRnelxTAljk_^cg`5678VGscQ]erwop979::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=1=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;<7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1;1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?2;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz38?00?IRnelxTAljk_^cg`5678VGscQ]erwop9?9:?1GXdcjr^Ob`aYXimn;<=>PRdqvhqY7Wge<=>?10f8HQojm{UFmijP_`fg4567WVkeh=>?00g8HQojm{UFmijP_`fg4567WVkeh=>?003g?IRnelxTAljk_^cg`5678VUjbi>?003f?IRnelxTAljk_^cg`5678VUjbi>?0032`>JSadoyS@okd^]b`a6789UTmcj?0102a>JSadoyS@okd^]b`a6789UTmcj?01025a=K\`gn~RCnde]\eab789:TSl`k01205`=K\`gn~RCnde]\eab789:TSl`k012054bP_`lg45609l1GXdcjr^Ob`aYXimn;<=>P_`lg456098n0@Ygbes]NeabXWhno<=>?_^cm`56708o0@Ygbes]NeabXWhno<=>?_^cm`56708;o7AZfmdp\IdbcWVkoh=>?0^]bja6780;n7AZfmdp\IdbcWVkoh=>?0^]bja6780;:h6B[ilgq[HgclVUjhi>?01]\ekb788::i6B[ilgq[HgclVUjhi>?01]\ekb788::=?5CThofvZYflm:;<=2?>018HQojm{UTmij?012?5586;2F_e`k}_^cg`56785;:2<=4LUknawYXimn;<=>313<26>JSadoySRokd12349799;1GXdcjr^]b`a6789692<<4LUknawYXimn;<=>33?31?IRnelxTSljk01238186:2F_e`k}_^cg`56785?5=?5CThofvZYflm:;<=29>008HQojm{UTmij?012?3;753E^bah|P_`fg4567414:>6B[ilgq[Zgcl9:;<1715:Nlea7b3Eejh>2:L23>HB\^EYG<5@4:MAQC35@R318KW543FX?86Aacda8KkebWVey<=>?d:Mmg`YXg{:;<=?k;Nl`aZYhz9:;VTWOYFSKHk1,Kmb>VTWOYFSKHk1,Km5c=W[VLXARHId0/Jj7d<>4PR]EWHYANm8&Ec? Ga2g9SWZ@TEVLMh?#Fn2d8TVYA[DUMJi<"Io6e?UUXNZGTJKj=-Hl6b>VTWOYFSKHk2,Km2c=W[VLXARHId3/Jj2`a3YYTJ^CPFGf1)Lh>j2ZXSK]B_Nww4f=W[VLXARAzt13`?UUXNZGTCxz?2e9SWZejxVoz<=>?1:S6?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]9;SCNGV713[KFO^<9;SCNGV523[KFICj4R@OFJZkrp9:;>3g9QEHCIWds<=>?15d8VDKBFVg~t=>?007e?WGJMGUfyu>?0135b>TFELDTaxv?01223c=UIDOES`{w01235=`;h4R@OFJZkrp9:;;e:PBI@HXe|r;<=>:e:PBI@HXe|r;<=>9e:PBI@HXe|r;<=>8e:PBI@HXe|r;<=>7e:PBI@HXe|r;<=>68:PBIWcflp;;7_OBRdcg}Zgil9:;<?1028VDKUmhnrS`{w012354=UIDXnmiwPmtz345669;1YM@\jae{\ip~789::<<<4R@OQadb~Wds<=>?1031?WGJZlkouRczx123444692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?>3[KFS_kndxc8VDKXZlkou?e:PBW@JXe|r;<=>>f:PBW@JXe|r;<=>>0g9QEVCKWds<=>?10d8VDUBDVg~t=>?000e?WGTMEUfyu>?0130b>TF[LFTaxv?01220c=UIZOGS`{w012350`k5]ARGO[hs89:;>>h4R@QFHZkrp9:;;e:PBW@JXe|r;<=>:e:PBW@JXe|r;<=>9e:PBW@JXe|r;<=>8e:PBW@JXe|r;<=>7e:PBW@JXe|r;<=>69:PB[5YHJ\Lh7_OP0^ov|5678m1YMR>Pmtz34566l2XJS=Qbuy23454c3[KTTFW8Ufyu>?011g?WGX9Vg~t=>?05;8VDY5WFH^Jn5]A^0\ip~789:o7_OP2^ov|56788n0^LQ=_lw{4567:m1YMR3[KT?RAMUGa8VDY4Wds<=>?d:PB[6Yj}q:;<=?k;SC\7Zkrp9:;Taxv?0122`>TFW=Ufyu>?010g?WGX?02f8VDY3Wds<=>?489QEZ3XGK_Mo6\N_4]nq}6789n0^LQ:_lw{45679m1YMR;Pmtz34565l2XJS8Qbuy23455c3[KT9Rczx12341?k;SC\2Zkrp9:;<i5]A^4\ip~789:8h6\N_7]nq}6789>27_OP7^MAQCeTFW>Ufyu>?013g?WGX?Vg~t=>?03f8VDY0Wds<=>?3e9QEZ1Xe|r;<=>;9:PB[=YHJ\Lh7_OP8^ov|5678m1YMR6Pmtz34566l2XJS5Qbuy23454c3[KT4Rczx12346bTFW1Ufyu>?014:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;o1Y_RH\M^DE`4+Nf;l0^^QISL]EBa7*Ag9m7_]PFRO\BCb6%@d?j6\\_GQN[C@c9$Ce9k5]S^DPIZ@Al8'Bb;h4RR]EWHYANm;&Ec9i;SQ\BVKXNOn:!D`7f:PP[CUJWOLo= Ga9c9QWZ@TEVLMh?k4RR]EWHYANm8&Ech4RR]EWHYANm8&Ec?>0:PP[CUJWOLo> Ga1133?WUXNZGTJKj=-Hl25465028VVYA[DUMJi<"Io3555=U[VLXARHId3/Jj41682XXSK]B_GDg6(Oi91;;7_]PFRO\BCb5%@d:5k5]S^DPIZ@Al;'Bb?h4RR]EWHYANm8&Ec=i;SQ\BVKXNOn9!D`;f:PP[CUJWOLo> Ga5g9QWZ@TEVLMh?#Fn7d8VVYA[DUMJi<"Io5e?WUXNZGTJKj=-Hl;b>TTWOYFSKHk2,Km=g=U[VLXARHId2g8VVYA[DUMJi="Iod8VVYA[DUMJi="Io3e?WUXNZGTJKj<-Hl1b>TTWOYFSKHk3,Km7c=U[VLXARHId2/Jj1`Tbims;;6\jae{3vc=Umhnr<QPos2345773[ojht>}_^mq456798:0^hoky1p\[jt789:9:6\jae{23>Tbims:~k5]e`fz5wYXg{:;<=??;Sgb`|7uWVey<=>?1028V`gcq8xTSb|?01212>Tbims9;6\jfEmmac=UmoNdbhQPos2345773[omHb`j_^mq456798:0^hhKoog\[jt789:9==5]egFlj`YXg{:;<==>0:PfbAiimVUd~=>?05g8V``AzfgY_=@jxud8V``AzfgY_=@jxu324>TbnOxda_]?Ndzw55773[omJabRR2Ma}r698:0^hhIrnoQW5Hbp};9==5]egDqkhTT8Gosx<=>0:PfbCthe[Y;Bhv{15d8V``AzfgY_=@jxu0e?WcaN{ef^^>Aeyv0b>TbnOxda_]?Ndzw0c=UmoLyc`\\0Og{p0`l1YikH}olPP4Utb?l1YikH}olPP4Utb0?1Yik\NS79QacTby11Yik\jqHlb?WcaZl{Xucmj;SgeV`wXign;<=>i;SgeV`wXign;<=>>f:PfbWcvWhdo<=>?2d9QacTbyVddx=>?1g9QacTbyVddx=>?10f8V``UmxUd~=>?0d9QacTbyVey<=>?1d9QacTbyVey<=>?2d9QacTbyVey<=>?3d9QacTbyVey<=>?479QacSuo11Yik[}gHlf?Wca]{mTmcj?012e?Wca]{mTmcj?0122b>Tbn\xlSl`k01236d=Umn_yk^wac79Qavsk|?1XCX\LE49PS979=2Y\0?08;RU?7?79=2Y\0>0n;RlgVDKD[^X?7Y\ZE59W]UC?3]cfi2?>99Wmhcu48437Ygbes>1:==Sadoy0>08;UknawRD9;1_e`k}TB]`iuYby9:;<<=4ThofvQEXkdzTi|>?01327>Rnelx_ORmbp^gr4567:890XdcjrUA\ghvXmx:;<==>3:Vji`tSKVif|Rk~0123045<\`gn~YMPclr\at6789?<7Ygbes]355=SadoyS=QPaof3456692^bah|P0^]bja6789;:=6Zfmdp\4ZYffm:;<=<>1:Vji`tX8VUjbi>?0114?Qojm{U:==5[ilgq[4YXign;<=>>1:Vji`tX9VUjbi>?01325>RnelxT=RQnne2345403]cfiQ=119WmhcuW;UTmcj?01225>RnelxT>RQnne23457692^bah|P2^]bja67898<7Ygbes]055=SadoyS>QPaof3456692^bah|P3^]bja6789;:=6Zfmdp\7ZYffm:;<=<=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=3=67=SadoyS@okd^]b`a6789UFtb|PRdqvhq:56;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7?3?l;UknawYJimnTSljk0123[Zgil9:;<?01]\ekb789;:=<5[ilgq[Zgcl9:;<1?1109WmhcuWVkoh=>?0=0=54=SadoySRokd1234959l2^bah|Paof3456b3]cfiQnne23457d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678d:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1ei|;1h>5isc68mjdjk2cdn`Qaou2345b?2038jDBh}}FNa:lB@jssDL;:i6`NDnwwH@7XGK_M?6`NS69mEVYA[Ki0bL]PFR@\MGSA?2dJ_RG@Be9mEVYNGKUDNXHJa:lBWZOHJf:6`NSnwwa>hF[fSca{0122b>hF[fSca{01225c=iIZe~xR``t12357`>2:lAAWTXNZHTSb|?012257=iJLXYSK]M_^mq4567:880bOK]R^DPFZYhz9:;<>74nCGQVZOHJl1eNH\]_HMA[LDRN>1eNJQFOC58jGTXAFHh7cL]_HMA[JDRNo1eN_QFOC]nq}6789;;7cL]_HMA[hs89:;=<>4nCP\MJDXe|r;<=>=119mFWYNGKUfyu>?01124>hEZVCDNRczx12341773gHYSDAM_lw{4567=8:0bO\PIN@\ip~789:===5aBS]JKGYj}q:;<=9;;oABWa=iKHYTOaePIOT23>hDIZUE<45aC@Q\KGSAk2dHM^Qaou2344bk5aDhlLAZgil9:;<>94nEmvpJC?3gNdyyAJ199m@jssGL837cJ`uuMF7f=iLfCHQ@BTD6?kOWD;k0bD^C2^KAQC0:4nMFP<>hKLZUM_Oj4nMFP[CUEW@H^J55aLEQ\MJDb3gFO_RG@B^MAQCCe3gFO_RG@Bnwwg>hKLZUjbi>?00f8jIBTWhdo<=>>1b9mHAUXff~;<=?k;oNGWZhh|9:;=?006`?kJC[Vey<=>>5b9mHAUXg{:;<<8l;oNGWZiu89::;95aLVG6?kJPM8i0bAYJ_`lg4567l2dG[HQnne23457c3gF\IRoad12347bhHM=1eCH?;;oMF6==iGLUDNXH7;oMuawjfq880bBxjrmcz[DekzVCEZ;oMuawjfqVkeh=>?0032?kIqm{fjuRoad12347763gE}ibny^cm`5678:;:7cAyesnb}Zgil9:;<9?>;oMuawjfqVkeh=>?0432?kIqm{fjuRoad123431hUIZ?0b_O\149mVDU5=2dYM^=:;oPBW131e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?0e9mQAUXign;<=>>c:lV@VYig}:;<hQEH20b[CN_HMAa>hQEHUBCOQ@BTDFf>hQEHUBCOazte9mRHGXWhdo<=>?e:lUIDYXign;<=>>c:lUIDYXg{:;<=j4nWOB[Ziu89:;=i5aVLC\[jt789:9h6`YM@]\kw67899o7cXBA^]lv5678=n0b[CN_^mq4567=m1eZ@OP_np34561l2d]ALQPos23451c3g\FMRQ`r1234=b?00f8jSKFWhdo<=>?2e9mRHGXign;<=>=9:s`pNOp0171>FGp:3i6K4=:0yP<2<4i<09>>4>35`3f2<5982>wc=n2;38j6g42?1/?l?538a8yV>12:k>6?<<:017f5d02;;:n=5k38`94?7=9rY3;7=n5;017?741978rQ52j3:1=7?5305xW=1=;h?1>?=5126a4g1=:8;396*<8e82f==#:;>18;m4b2;a>5<3j3?=6l9tH2:a?!51:392n6T88;1x5?2==3w/=o953738/14c2900c:m50;9l7`7=831b?o650;9l032=831b8>750;9l063=831d;h4?::m763<722c8oi4?::m767<722e8hl4?::m0`5<722c2h7>5;hgb>5<5<5<5<5<>i4il0;66a>o4nj0;66g>i3;;0;66a>i4nl0;66a;4`83>>i4mj0;66a>o3>00;66a>i5<;0;6)<=6;075>h5:<0;76a=4183>!45>38?=6`=2482?>i5h5:<0976a=4`83>!45>38?=6`=2480?>i5<00;6)<=6;075>h5:<0?76a=4983>!45>38?=6`=2486?>i5<>0;6)<=6;075>h5:<0=76a=4783>!45>38?=6`=2484?>i5<<0;6)<=6;075>h5:<0376a=4583>!45>38?=6`=248:?>i5<:0;6)<=6;075>h5:<0j76a=3g83>!45>38?=6`=248a?>o3<3:1(?<9:518j7422910e9<50;&163<3;2d9>84>;:k75?6=,;8=69=4n306>7=h5:<0876g;4;3:l160<232c8o7>5$305>156;54i2`94?"5:?0??6`=2484?>o4i3:1(?<9:518j7422110e>750;&163<3;2d9>846;:k0d=h5:<0i76g<6;29 7412=90b?<::b98m63=83.9>;4;3:l1605$305>156h54i5`94?"5:?0??6`=248e?>o3i3:1(?<9:518j74228:07d:6:18'670=<:1e>?;51098m1>=83.9>;4;3:l160<6:21b8:4?:%012?243g8997?<;:k72?6=,;8=69=4n306>42<3`>>6=4+234906=i:;?1=854i2d94?"5:?0??6`=24822>=n;=n;65m38d94?7=83:p(>8=:g28L6?b3A93n6ajf;29?xd4i90;6<4?:1y'734=;1i0D>7j;I1;f>i5:;0;66sm3`:94?7f2;>1?5uG39`8 6052120V:651z09y!7e?39==6g73;29?j7203:17b=90;29?l0d2900e94;h37>5<#:;<1=85a23795>=n9:0;6)<=6;36?k45=3807d?>:18'670=9<1e>?;53:9jb?6=,;8=6<;4n306>1=849;:k`>5<#:;<1=85a23793>=nj3:1(?<9:078j7422110el4?:%012?723g899774;h;94?"5:?0:96`=248b?>o?290/>?85149m673=j21b;7>5$305>436n54i783>!45>3;>7c<=5;f8?l7b290/>?85149m673=m21b=i4?:%012?723g8997h4;c1b4?6=93:16m;n016?6=3th?=54?:483>5}#;?81ii5G38g8L6>e3-lm6=5f8383>>o?<3:17d96:188m=e=831d>>k50;9~f43>29096=4?{%156?7692B85h5G39`8mc7=831d>5;n025?6=3th?=44?:383>5}#;?81=5;n025?6=3thmn7>59;294~"4>;09j6F<9d9K7=d>oa<3:17dh::188mc0=831bj:4?::ke5<5;hd;>5<7j;I1;f>oa:3:17dh<:188k7762900qo<;e;296?6=8r.8:?4>109K7:188k7762900qo<;f;296?6=8r.8:?4>109K7:188k7762900qo<:0;290?6=8r.8:?4=b:J0=`=O;1h0ek<50;9jb6<722cm87>5;n025?6=3th?=n4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb53g>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb53f>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb53e>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb503>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd3:80;694?:1y'734=:k1C?4k4H2:a?l`52900ek=50;9jb1<722e9=<4?::a6`2=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`3=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`0=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`1=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a6`>=8391<7>t$241>7733A92i6F<8c9jb7<722cm?7>5;n025?6=3th9i44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3gb>5<3290;w)=92;0a?M5>m2B84o5ff383>>oa;3:17dh;:188k7762900qo?;1;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a513=83?1<7>t$241>7e<@:3n7E=7b:ke6?6=3`l86=44ig694?=nn<0;66a=1083>>{e9=<1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?;7;297?6=8r.8:?4=159K729086=4?{%156?46<2B85h5G39`8mc4=831bj>4?::m154<722wi=9o50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e9=i1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?;2;297?6=8r.8:?4=159K75;n025?6=3th:894?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<=838pR552fc8e2>{t13:1?vP6;c1<58><6k<4}rc94?5|Vh16jo4i8:?20252z\a?8`e2o30q~m50;1xZf=:nj0m>63>448e6>{tl3:1?vPk;c5<58>>6k=4}rg94?5|Vl16jn4i4:?20053z\e?8`d2o?01<:::g78yv76290>wS?>;c0<5=;o6k?4=3g6>c7<58>86k<4}r30>5<1sW;870hl:g58917b2o;01?k9:g3894262o801<:9:g08yv73290c><5;>n6k?4=53`>c5<5;o36k<4=062>c5<58>96k<4}r35>5<1sW;=70hl:g;8972a2o;019?i:g3897c02o;01<:6:g08yv7c2909wS?k;c4:o7h=;|q;7?6=:rT3?63;1984=>{tnh0;6?uQf`9>ba<5981vkl50;0x9cd=:8;01kj5f39~wce=838p1km520389cb=n:1v<:?:181[73827:894=109~w4262909w0?;1;025>;6<00m?6s|15094?4|58>96??>;<377?`43ty:8>4?:3y>515=:8;01<:;:g18yv73=3:1>v3>448154=:9=i1j?5rs065>5<5s4;?:7<>1:?20gj6k=4}r37=?6=:r7:844=109>512=n;1v<:n:181873i38:=63>4c8e7>{t9=h1<777634;?o7h<;|q20f<72;q6=9m5203894252o90q~?:8;296~X6=116=8o52038yv7213:1>v3>588154=:95rs32e>5<5s49j<7<=2:?75=9j50;6xZ72c34;>m7h=;<064?46927:8;4i3:p61c=838p1?:j:332?84283l97p}=4g83>7}::=l1>c252z\1a6=::lk1>6`>=n:1v?k::18184b=38:=63=e`8e6>{t:l<1<7776348n57h=;|q1a2<72;q6>h95203897c>2o90q~=839p19?7:31f?84283l870{t<8n1<777634>9<7h=;|q75`<72;q68f;296~;39o09=<524339b1=z{=8;6=4={<614?46927?><4i2:p07b=838pR9<58?26k?4=06;>c721>v?53;'5g1=;?;0e5=50;9l014=831b?o950;9l063=831d8?850;9l7ag=831b:n4?::k010<722e9;k4?::m751<722c8jo4?::k00=<722c?:54?::k77=<722c98i4?::m777<722c9i>4?::m74a<722e98?4?:%012?4392d9>84?;:m105<72-89:7<;1:l160<632e98o4?:%012?4392d9>84=;:m10d<72-89:7<;1:l160<432e9844?:%012?4392d9>84;;:m10=<72-89:7<;1:l160<232e98:4?:%012?4392d9>849;:m103<72-89:7<;1:l160<032e9884?:%012?4392d9>847;:m101<72-89:7<;1:l160<>32e98>4?:%012?4392d9>84n;:m17c<72-89:7<;1:l1605$305>446=54i0394?"5:?0:>6`=2482?>oa290/>?85139m673=:21bi7>5$305>446>54ie83>!45>3;97c<=5;68?le=83.9>;4>2:l160<232ci6=4+234957=i:;?1:65fa;29 7412880b?<::698m<<72-89:7?=;o011?><3`21<7*=27826>h5:<0276g8:18'670=9;1e>?;5a:9j2?6=,;8=6<<4n306>g=h5:<0h76g>d;29 7412880b?<::e98m4e=83.9>;4>2:l1605$305>446k54i0c94?"5:?0:>6`=24824>=n900;6)<=6;31?k45=3;:76g>8;29 7412880b?<::008?l70290/>?85139m673=9:10e<>50;&163<6:2d9>84>4:9a7<`=83;1<7>t$241>c6<@:3n7E=7b:mfb?6=3th8>54?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl<5583>6<729q/?;<5eb9K7>k50;9~f62029086=4?{%156?cd3A92i6F<8c9j3<<722c3o7>5;n00a?6=3th8944?:283>5}#;?81in5G38g8L6>e3`=26=44i9a94?=h::o1<75rb26:>5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<50z&0278?50;194?6|,:<96hm4H2;f?M5?j2.mj7>4i6;94?=n0j0;66a=3d83>>{e:?l1<7=50;2x 6052li0D>7j;I1;f>"an3:0e:750;9jt$241>`e<@:3n7E=7b:&eb?631<75f8b83>>i5;l0;66sm35494?5=83:p(>8=:da8L6?b3A93n6*if;28m2?=831b4n4?::m17`<722wi?io50;194?6|,:<96hm4H2;f?M5?j2c<57>5;h:`>5<N41l1C?5l4$gd94>o013:17d6l:188k75b2900qo5<53;294~"4>;0no6F<9d9K7=d<,ol1<6g89;29?l>d2900c?=j:188yg4?83:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg4?93:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn9:<:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9>j:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9=<:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>:m:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>:l:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`012<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`01=<72:0;6=u+37096d=O;0o0D>6m;hd1>5<7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl;3783>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg26=3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg26>3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn9:::181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9:9:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9:8:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn9:7:186>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44ig794?=h:8;1<75rb533>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb532>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb531>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb530>5<2290;w)=92;0`?M5>m2B84o5ff383>>oa;3:17dh;:188mc3=831d>5;hd7>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:h:1<7<50;2x 60528;:7E=6e:J0>{e:h;1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo5;n025?6=3th9m54?:383>5}#;?81=5;n025?6=3th9m44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3cb>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e:hn1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo109K7:188k7762900qot$241>7733A92i6F<8c9jb7<722cm?7>5;n025?6=3th9n>4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3`7>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3`6>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5j?0;6>4?:1y'734=:8>0D>7j;I1;f>oa:3:17dh<:188k7762900qot$241>4763A92i6F<8c9jb4<722e9=<4?::a6gd=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb3`e>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5k90;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd5k80;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn?m=:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a6f3=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm2b:94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5kk0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn?ml:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn?mk:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`1g`<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm2ec94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm2e`94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg4ck3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>?>:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a744=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb237>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb236>5<2290;w)=92;0`?M5>m2B84o5ff383>>oa;3:17dh;:188mc3=831d>5;n025?6=3th8=:4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e;831<7=50;2x 6052;;?7E=6e:J07<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<0683>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg5703:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg5713:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi?=o50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?=l50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?=m50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?=j50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<6m;hd2>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:oo1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qot$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb221>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd48:0;6>4?:1y'734=:8>0D>7j;I1;f>oa:3:17dh<:188k7762900qo=?4;297?6=8r.8:?4=159K75;n025?6=3th8<;4?:583>5}#;?81><;4H2;f?M5?j2cm>7>5;hd0>5<1<75`20394?=zj;oo6=4=:183!51:3;:=6F<9d9K7=d7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=f483>6<729q/?;<52`9K74?::m154<722wi>k750;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:ll1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo5;n025?6=3th9j<4?:583>5}#;?81><;4H2;f?M5?j2cm>7>5;hd0>5<1<75`20394?=zj;n:6=4=:183!51:3;:=6F<9d9K7=d:188yg4c<3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn?j::180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`1`3<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm32d94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35294?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35394?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35094?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm35194?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg53<3:187>50z&027<5j2B85h5G39`8mc4=831bj>4?::ke0?6=3f8:=7>5;|`000<72=0;6=u+37096g=O;0o0D>6m;hd1>5<>i5980;66sm43594?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm43:94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm43;94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm43c94?2=83:p(>8=:336?M5>m2B84o5ff383>>oa;3:17dh;:188k7762900qo:=b;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a77g=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a77d=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a77e=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb20e>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb216>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd4;?0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;>0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;10;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;00;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;h0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd4;k0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn>=l:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`075<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm32094?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg54;3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>=;:187>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm26594?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26:94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26;94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26c94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26`94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26a94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26f94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm26g94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg40<3:1;7>50z&027<5m2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44ig494?=nn>0;66a=1083>>{e:>?1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<86;297?6=8r.8:?4=159K7329086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th94k4?:383>5}#;?81=5;n025?6=3th95;4?:383>5}#;?81=5;n025?6=3th95:4?:383>5}#;?81=5;n025?6=3th9554?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3;:>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3;b>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3;a>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3;`>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3:6>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3:5>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb3:4>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5010;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn?66:187>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb3:a>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e:1i1<7=50;2x 6052;;?7E=6e:J06<729q/?;<52`9K7b29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th95=4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb3;2>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e:081<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<63;297?6=8r.8:?4=159K75;n025?6=3th9584?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<6m;hd2>5<6m;hd1>5<7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=6d83>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5583>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5483>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5783>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5683>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5983>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl=5883>6<729q/?;<52`9K75;n025?6=3th99o4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb37`>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd5=m0;6;4?:1y'734=:m1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;hd5>5<6m;hd1>5<>i5980;66sm27394?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg41:3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi>;=50;194?6|,:<96??;;I1:a>N40k1bj?4?::ke7?6=3f8:=7>5;|`121<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm27494?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg41?3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831v;4?:3y]2>;5i80m>6s|7;296~X0348j57h=;|q;>5<5sW201?oi:g08yv?=838pR4522c79b7=z{h0;6?uQa:?1fg52z\a?84d93l97p}l:181[e<5;i<6k<4}rf94?4|Vm16>nj5f39~w`<72;qUi63=d48e6>{t990;6?uQ119>6<`=n:1v5<5sW;<70vP>8:?1ea5rs0c94?4|V8k01?l6:g18yv7e2909wS?m;<0ab?`43ty:o7>52z\2g>;5k<0m?6s|1e83>7}Y9m16>nl5f29~w4c=838pRc40z\5g>;1n32h70<81;d1?856:3l870=>5;d1?85703l:70=?9;d0?857m3l:70j3l:70<60;d1?84203l:7p}9f;290~;1n388i63<0`8e5>;4;?0m=63=9`8e5>{t0:0;6kuQ829>77>=?016?8:5789>711=?016?875789>71?=?016:k489:?114<01279:k489:?1<7<012788;489:?1ag<01279jl489:?04c<01278ho4i1:p65b=838p1>7i:dd8964?21>0q~<l<52038yv4383:1>vP>3:\105=z{;>96=4<{_d8Z725348on7h=;|q106<72;qU>9=4=3cb>7763ty9894?:3y]612<5;h;6??>;|q100<72;qU>9;4=3`5>7763ty98;4?:3y]610<5;hh6??>;|q102<72;qU>994=3a1>7763ty9854?:3y]61><5;i36??>;|q10<<72;qU>974=3af>7763ty98l4?:3y]61g<5;n=6??>;|q10g<72;qU>9l4=3f`>7763ty98i4?:dy]61b<5;2;6k?4=352>c5<5:;96k<4=22a>c7<5;l>6k<4=3f2>c7<5;n86k=4=21f>c5<5=8<6k?4=214>c7<5;336k<4=3;:>c7<5;3h6k?4}r065?6=ir799<4=3d9>01>=n;168<=5f39>627=n=16?<=5f39>6`c=n;16?>h5f09>07>=n816>465f29>630=n;1v?;=:181842932h70<97;025>{t:<91<7776348>57h<;|q111<72;q6>8:52038973c2o80q~<:5;296~;5=<09=<5224f9b1=z{;?=6=4={<062?4692799i4i5:p601=838p1?;8:332?842l3l=7p}=5983>7}::<21>c557>52z?11<<59816>8h5f39~w73f2909w0<:a;025>;5=o0m?6s|24`94?4|5;?i6??>;<054?`43ty99n4?:3y>60e=:8;01?8>:g18yv42l3:1>v3=5e8154=::?91j>5rs37f>5<5s48>i7<>1:?11d8h50;0x973a2;;:70<94;d1?xu5>90;6?u22729647<5;<96k<4}r055?6=:r79:<4=109>635=n;1v?8=:181841:38:=63=658e7>{t:?91<7776348=97h=;|q121<72;q6>;:5203897012o90q~<95;296~;5><09=<522759b6=z{;<=6=4={<052?469279::4i2:p63>=838p1?87:332?842i3l87p}=6883>7}::?31>c452z?12d<59816>8l5f29~w70e2909w0<9b;025>;5>;0m?6s|27a94?4|5;;<055?`53ty9:i4?:3y>63b=:8;01?;l:g18yv41m3:1>v3=6d8154=::5rs34e>5<5s48=j7<:>50;0x97162;;:70<8d;d2?xu5?;0;6?u227d9<1>4=109>623=n;1v?9;:181840<38:=63=778e7>{t:>?1<7776348<:7h=;|q132<72;q6>:952038971b2o90q~<88;296~;5?109=<522669b6=z{;=26=4={<04=?469279;94i2:p62g=838p1?9n:332?840<3l?7p}=7c83>7}::>h1>c052z?13f<59816>::5f49~w71c2909w0<8d;025>;5?=0m;6s|26g94?4|5;=n6??>;<041?`43ty9;k4?:3y]62`<5;2:6??>;|q1<5<72;q6>5>5203897>62o90q~<72;2953}::181>>k4=2fe>c7<5;3m6k<4=3c3>c7<5;k<6k<4=3c;>c7<5;ko6k<4=3cf>c7<5;h86k<4=3`7>c7<5;h26k<4=3`b>c7<5;hm6k<4=3a3>c7<5;i>6k<4=3a5>c7<5;ii6k<4=3a`>c7<5;n26k<4=3fb>c7<5;n96k?4=3f0>c252z?1<732909w0<74;025>;50>0m>6s|29794?4|5;2>6??>;<0:6?`43ty94;4?:3y>6=0=:8;01?7;:g18yv4??3:1>v3=868154=::1h1j?5rs3:;>5<5s48347<>1:?1=65750;0x97>>2;;:70<7c;d0?xu50h0;6?u229c9647<5;2o6k=4}r0;f?6=:r794o4=109>6=c=n:1v?6l:18184?k38:=63=918e7>{t:1n1<77763482=7h<;|q1<`<72;q6>5k5203897?22o80q~<7f;296~;50o09=<522959b6=z{;3;6=4={<0:4?4692795<4i2:p6<7=838p1?7>:332?84>:3l97p}=9383>7}::081>c552z?1=6<59816>4:5f39~w7?32909w0<64;025>;51<0m?6s|28494?4|5;3=6??>;<0;f?`43ty95:4?:3y>6<1=:8;01?67:g18yv4>03:1>v3=998154=::1i1j?5rs3;:>5<5s48257<>1:?1<<4o50;0x97?f2;;:70<79;d7?xu51k0;6?u228`9647<5;2j6k<4}r0:g?6=:r795n4=109>6=g=n:1v?7j:18184>n38:=63=a38e6>{t:0l1<7776348j=7h<;|q1e5<72;q6>l?5203897g52o90q~09=<522`c9b7=z{;k<6=4={<0b=838p1?o6:332?84fi3l87p}=ab83>7}::hn1>c452z?1e`<59816>lh5f29~w7gb2909w0;5j90m?6s|2c094?4|5;h86??>;<0a2?`53ty9n>4?:3y>6g2=:8;01?l::g18yv4e<3:1>v3=b48154=::k<1j>5rs3`;>5<5s48i57<>1:?1ffo750;0x97df2;;:706f4=n;1v?li:18184d838:=63=c08e7>{t:j:1<7776348h>7h<;|q1g1<72;q6>n;5203897e?2o80q~7}::ji1>c552z?1ga<59816>nk5f29~w7b72909w0;5l=0m>6s|2e394?4|5;n96??>;<0g0?`43ty9h?4?:3y>6a5=:8;01?j9:g18yv4c;3:1>v3=d58154=::m?1j>5rs3f7>5<5s48o97<>1:?1`3i650;0x97b>2;;:706ae=n:1v?k<:181[4b;27??>4i1:p6`d=83;8w0;49k0m=63<108e7>;49<0m863<068e6>;48m0m?63=f58e5>;5mo0m>63<438e5>;4:h0m=63<398e5>;5?h0m=63=7d8e6>;50=0m?63=878e5>;5=l0m>63=6c8e5>;5>j0m>63=548e5>{t:li1<7=e<5;l:6??>;|q1aa<72;q6>hj5203897`?2o80q~7}::o81>c552z?1b6<59816>k75f39~w7`32909w0;5n00m?6s|2g794?4|5;l>6??>;<0e4?`43ty9j;4?:3y>6c0=:8;01?kj:g18yv4a?3:1>v3=f68154=::o:1j95rs3d;>5<5s48m47<>1:?1ack750;0x97`>2;;:7075b349:o7h=;<125?`5349:97h:;<13g?`6348mh7h=;<0f`?`6348m?7h=;<0e2?`6349?<7h>;<11g?`5349857h>;<047?`4348<47h>;<0:2?`6348397h>;<0;?7h>;<06a?`4348=o7h<;<062?`63ty9jo4?:3y>6cg=0j16?=852038yv4ak3:1>v3=fb8154=::on1j>5rs3dg>5<5s48mh7<>1:?043kk50;0x97`b2;;:70=?3;d1?xu5no0;6?u22gd9647<5:::6k=4}r134?6=:r78<=4=109>750=n=1v>>>:181857938:=63<038e6>{t;981<7776349;?7h<;|q046<72;q6?==5203896632o90q~=?4;296~;48=09=<523179b7=z{::>6=4={<131?469278<;4i2:p751=838p1>>8:332?857<3l97p}<0983>7}:;921>c552z?04<<59816>kh5f39~w66f2909w0=?a;025>;5no0m?6s|31`94?4|5::i6??>;<136?`43ty875e=:8;01>>::g18yv57l3:1>v3<0e8154=:;9:1j?5rs22f>5<5s49;i7<>1:?045;5nj0m=63<068e7>;5n;0m=63=f28e7>;5n>0m=63<408e5>;4;h0m=63=728e6>;5?00m=63=968e5>;50l0m>63=698e5>;5=>0m=63=588e6>;5=j0m>63=618e6>{t;8:1<7=e<5:;26??>;|q054<72;q6?2;296~;49;09=<523049b7=z{:;86=4={<127?469278=;4i3:p742=838p1>?;:332?85603l87p}<1483>7}:;8?1>c552z?053<59816?<95f29~w6702909w0=>7;025>;4910m>6s|30:94?4|5:;36??>;<12=?`53ty8=l4?:3y>74d=:8;01>?l:g18yv5503:1?v3<29817`=:;=h1j<523459b4=z{:826=4={<1153499h7<>1:p77g=838p1>7}:;;h1>c552z?06f<59816??j5f39~w64b290?w0=<4;025>;5?j0m=63=688e5>;5==0m=6s|33d94?4|5:8m6??>;<10f?`43ty8?=4?:3y>766=:8;01>=;:g68yv5493:1>v3<308154=:;:81j?5rs211>5<5s498>7<>1:?076=50;0x96542;;:70=<4;d0?xu4;<0;6?u23279647<5:9h6k<4}r102?6=:r78?;4=109>76e=n:1v>=8:181854?38:=63<338e7>{t;:21<7776349887h=;|q07<<72;q6?>75203896572o80q~=4i2:p76e=838p1>=l:332?85493l87p}<3e83>1}:;=?1>c7<5;c752z?07`<59816?9;5f29~w65a2909w0=;4<:0m?6s|35294?4|5:>;6??>;<170?`43ty88<4?:3y>717=:8;01>:;:g08yv53:3:1>v3<438154=:;=>1j95rs260>5<5s49??7<>1:?00075?=n;16?>;5f39>6=?=n:1v>:7:181[5302788447c:p71?=83>p1><7:9a8962>2;9n70=;c;d1?855j3l:7p}<4`83>7}:;==14n5235a964752z?00g<59816?9m5f29~w633290ow0=:4;00a>;49:0m?63<148e7>;48m0m>63=fd8e6>;4880m>63<428e6>;4;k0m>63<308e6>;5?>0m=63=858e6>;50m0m>63=6e8e5>{t;7}:;<>14n5234:9647;7>52z?012<59816?865f29~w63>2909w0=:9;00a>;4=10m>6s|3`494?4|5:>=65m4=23`>7763ty8n:4?:7y]7g1<5=9=6k=4=535>c4<5=>>6k?4=533>c7<5=8j6k=4}r1ge?6=:rT8hl523ec966c52z?0`d<01278ho4=109~w6bb2909w0=ka;:`?85cn38:=6s|3g`94?4|V:li70:>2;d2?xu38m0;6?uQ41f8916b2;;:7p};0g83>7}:<9o1j<524019647:<7>52z?755<598168<=5f29~w1762909w0:>1;025>;39:0m86s|40094?4|5=;96??>;<627?`23ty?=94?:3y]042<5=;=6??>;|q750<72;q68<;5203891712o90q~:=6;296~X3:?168?l52038yv25?3:1>v3;268154=:<;k1j?5rs50;>5<5s4>947<>1:?76d2;;:70:=b;d0?xu3:h0;6?u243c9647<5=8i6k<4}r606?6=:rT???524219647897>52z\770=:<:<1>96=4={_676>;3<:09=<5rs567>5<5s4>??7h>;<6736k:4}r673?6=:r7?8:4=109>01>=n<1v987:186[21027??;4i2:?750ok:1827?0?2>8pD>6m;%156?7412.:n:4<609j<6<722c:>54?::k21=<722c:=>4?::k0f2<722c=o7>5;n36f?6=3`9mn7>5;h374?6=3f;=47>5;h65847>5;n37`?6=3f;8m7>5;n1fg?6=3f;jn7>5;n3e>5<7j;I1;f>ibn3:17pl0<729q/?;<5ee9K75;|`152<72<0;6=u+3709aa=O;0o0D>6m;%de>5=n0;0;66g74;29?l1>2900e5m50;9l66c=831vn?950;794?6|,:<96hj4H2;f?M5?j2c3>7>5;h:7>5<31<75f8b83>>i5;l0;66sm8883>6<729q/?;<5eb9K7>k50;9~f76e29086=4?{%156?cd3A92i6F<8c9j3<<722c3o7>5;n00a?6=3th:;h4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>ac83>0<729q/?;<5ee9K75;|`20a<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<10;684?:1y'734=mm1C?4k4H2:a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th:n?4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>5583>0<729q/?;<5ee9K75;|`233<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<50z&0275;h:`>5<N41l1C?5l4$gd94>o013:17d6l:188k75b2900qo<=7;297?6=8r.8:?4jc:J0=`=O;1h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4>;0no6F<9d9K7=d<,ol1<6g89;29?l>d2900c?=j:188yg5bl3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg>e29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th:9;4?:383>5}#;?81=5;n025?6=3th:n94?:383>5}#;?81=5;n025?6=3th8ol4?:383>5}#;?81=5;n025?6=3th8oo4?:383>5}#;?81=5;n025?6=3th8on4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e91:1<7<50;2x 60528;:7E=6e:J0>{e91;1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<109K7:188k7762900qo<t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm17f94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd64?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<;?:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<;>:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<;=:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`216<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm1`g94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm1`d94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm1c294?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7e93:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>h?:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`0b4<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`0b7<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm22194?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg44<3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg44=3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg44>3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi>>950;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi>>650;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e:;o1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo<=f;297?6=8r.8:?4=159K75;n025?6=3th9?<4?:583>5}#;?81><;4H2;f?M5?j2cm>7>5;hd0>5<1<75`20394?=zj;826=4<:183!51:38j7E=6e:J06<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg74j3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg74k3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg74l3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi=>k50;694?6|,:<96??:;I1:a>N40k1bj?4?::ke7?6=3`l?6=44o332>5<h7>52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<j7>53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb043>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6>80;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd6>;0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd6>:0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<8;:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`220<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm14g94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6?10;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<96:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a52g=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a52d=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a52e=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd6>o0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn<9?:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<9>:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<9=:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn<9<:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`231<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd5900;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59h0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59k0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59j0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd59m0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn??j:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`15c<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm23394?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd60:0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60=0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60<0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60?0;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xd60>0;684?:1y'734=:j1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;n025?6=3th:454?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<5<5sW;m70?i:31f?xu5?3:1==uQ269>62<5;l164o4i3:?22d54?:3y>621:p2f<72hqU:n522059521729b6=:9>21j?5217d9b6=::8i1j<521949b4=z{191<7?={_:0?85d?3=270<>7;5:?8402>301575789>65d=?016=:k5789>5dd=?016=9j5789>53>=?016=o<5789>502=?016=:85789>5c<0127:9o489:?262<01279>:489:?16g<012wx444?:3y><<<5;l16>44i2:p2o901<;k:g3894>32o;0q~?=8;297~X6:116?no5f09>5=6=n81v<=n:181[74i27:?h4=109~w45e2909w0?;6;m0m?6s|12a94?4|589h6??>;<30a?`33ty:?i4?:3y>56b=:8;01<=j:g08yv7383:14vP>419>7c6=n;16>>=5f39>56e=n816=;>5f39>52>=n:16=;h5f39>676=n;1v<:k:181[73l27:8i4=3d9~w42b2909w0?;d;:`?872;38:=6s|15d94?4|58>m6??>;<367?`53ty:9=4?:3y>506=:8;01<;=:g08yv7293:1>v3>508154=:9<81j>5rs071>5<5s4;>>7<>1:?2165<5sW;>n63>5c817`=z{8?h6=4={<36f?>d34;>i7<>1:p50b=838p1<;k:332?871;3l87p}>5g83>7}:9c452z?225<59816=;:5f39~w4062909w0?91;025>;6>=0m?6s|17094?4|58<96??>;<352?`43ty::>4?:3y>535=:8;01<;j:g08yv71<3:1>v3>658154=:9??1j>5rs046>5<5s4;=97<>1:?22310;6?uQ17:8940?2;9n7p}>6883>7}:9?214n5217f964752z?22d<59816=;j5f39~w40e2909w0?9b;025>;6>j0m?6s|17a94?4|58;<35`?`43ty::h4?:3y>53>=0;16=:;52038yv71n3:1>v3>6g8154=:9>91j?5rs053>5<5s4;<<7<>1:?2366k:4}r347?6=:r7:;>4=109>522=n:1v<9;:181870<38:=63>748e7>{t9><1<775b34;=m7h<;|q232<72;q6=:652038941c2o90q~?88;296~;6?009=<5216a9b7=z{8=26=4={<34e?46927:;n4i3:p52g=838p1<9m:332?870l3l?7p}>7c83>7}:9>i1>c452z?23`<5;l16=>k5f29~w41a2909w0?8e;:`?87?938:=6s|19294?4|582;6??>;<3;5?`43ty:4?4?:2y>52c=0;16?n95839>5=>=:8;0q~?73;296~;60:09=<521959b6=z{82?6=4={<3;0?46927:4:4i4:p5=3=838p1<6::332?87??3l>7p}>8783>7}:91<1>c552z?2<2<59816=565f39~w4ge2909wS?nb:?2eg<5;l1v{t9hn1<777634;i=7h=;|q2e`<72;q6=lk5203894d72o80q~?nf;296~;6io09=<521c29b6=z{8h;6=4={<3a4?46927:n<4i3:p5g4=838p1b283>7}:9k814n521c6964755z?13?>d348;n7<65d=0j16=:85839>52b=:8;0q~;59>03863=7;:7?870m32?70?nb;:7?873l32?70?98;:7?87e:32?70?:4;:7?870>32?7p}=1683>3}::8=1>>k4=317>c7<58<:6k?4=05a>c7<58=;6k?4=0:4>c452z?152<4=109~w77>2909w0<>9;025>;59m0m?6s|20c94?4|5;;j6??>;<02a?`53ty9=o4?:3y>64d=:8;01??j:g18yv46k3:1>v3=1b8154=::;;1j>5rs33g>5<5s48:h7<>1:?15cf;d0?xu59o0;6?u220d9647<5;8;6k=4}r014?6=:r79>=4=109>677=n;1v?<8:18:845?388i63=3c8e5>;5;<0m=63=378e6>;5:00m>63>638e5>;6?00m>63>738e5>;59h0m=6s|23:94?4|5;8<65m4=30b>7763ty9>44?:3y>67?=:8;01?;1j<5220`9b4=:9191j<5rs30`>5<5s489n76l;<005?4692wx>?j50;0x974c2;;:70<<8;d0?xu5:l0;6?u223g9647<5;9:6k:4}r01b?6=:r79>k4=109>666=n;1v?=?:181844838:=63=308e6>{t::81<77763489j7h=;|q176<72;q6>>=52038975>2o80q~<<4;296~;5;=09=<5222;9b6=z{;9>6=4={<001?469279?=4i3:p660=838p1?=9:332?845m3l97p}=3683>7}:::=1>c552z?17=<59816>>?5f29~w75>2909w0<<9;025>;5:o0m?6s|22c94?4|5;9i6??>;<00g?`43ty9?i4?:4y>5dd=0;16=9j5839>5c;|q0g2<72;qU?n94=2a4>75b3ty8o44?:3y>7f1=0j16?nm52038yv5di3:1>v35<5s49hn7<>1:?0gf1:p7``=838p1>kk:g3896`52;;:7p}7}:;o:1>c452z?0b4<59816?k<5f29~w6`e2908wS=ib:?213=839pR9=7;<3a0?`634;jj7h>;|q72=<72=qU8;64=055>=e<58c5"6j>08:<5`47394?=h;l:1<75f3c594?=h>j0;66gja;29?l56l3:17d==2;29?l55?3:17dhn:188f6?a290:6=4?{%156?`73A92i6F<8c9lac<722wi?h>50;794?6|,:<96hj4H2;f?M5?j2c3>7>5;h:7>5<31<75f8b83>>i5;l0;66sm30g94?3=83:p(>8=:df8L6?b3A93n6g72;29?l>32900e:750;9jt$241>`b<@:3n7E=7b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{e;1o1<7;50;2x 6052ln0D>7j;I1;f>o?:3:17d6;:188m2?=831b4n4?::m17`<722wi?=4?:283>5}#;?81in5G38g8L6>e3-lm6=5f7883>>o?k3:17b<7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg0b29086=4?{%156?46<2B85h5G39`8mc4=831bj>4?::m154<722wi?94?:383>5}#;?81=5;n025?6=3th8i;4?:383>5}#;?81=5;n025?6=3th8i:4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e;;?1<7<50;2x 60528;:7E=6e:J0>{e;;<1<7=50;2x 6052;;?7E=6e:J07<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<2083>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg5629096=4?{%156?7692B85h5G39`8mc7=831d>4?::m154<722wi?4<50;194?6|,:<96??;;I1:a>N40k1bj?4?::ke7?6=3f8:=7>5;|`0=6<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm38494?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xu483:1>v3<0;00a>;1m3l97p}<2;296~;483=270=>:332?xu4;3:1>v3<0;:`?8532;;:7p}9c;29=~X1k278>>47c:?05`1:?0a3=4i1:?0=12a<59816:h4i3:pad<72?qUil523d293<=:;8o1;45233193<=:;1o1;452308e5>{tnh0;6>uQf`9>7`1=n;16?4>5f29~w76c290>w0=6f;ge?85b832?70=>e;:7?855;32?70=7e;:7?xu49m0;6>uQ30f896462o801>7<:g08yv56m3:1>v3<1d817`=:;091j>5rs23e>5<5s49:i76=;<115?4692wx??>50;0x96472;;:70==1;d0?xu4:;0;6>uQ330896412o801>7=:g08yv55;3:1>v3<22817`=:;081j>5rs207>5<5s499?76=;<112?4692wx??;50;0x96422;;:70==6;d0?xu40l0;6?u239g966c<5=<96k?4}r1;b?6=:r784h47c:?0=5<5981v>7>:18085?m32970=j0;:1?85>>38:=6s|38094?4|5:396??>;<1:1?`53ty85>4?:3y>7<5=:8;01>7::g18yv5><3:1>v3<958154=:;0<1j>5rs2;6>5<5s49297<>1:?0=35<5sW9n<636=4={<1f4?>d349n;7<>1:p7`0=838p1>k9:332?85b?3l87p};6083>7}Y;0;6?u24709647<5=<86k=4}|`0ed<728?1n54l4zJ064$0`4>6063f;947>5;h655?6=3f9ih7>5;h1f4?6=3`;i:7>5;n327?6=3`3o6=44i50g>5<>o6=>0;66g94;29?j2403:17d?m5;29?l5d>3:17b=j8;29?j5a;3:17b?i:188k12>2900n>7i:182>5<7s-9=>7h?;I1:a>N40k1dik4?::a01?=83?1<7>t$241>`b<@:3n7E=7b:k;6?6=3`2?6=44i6;94?=n0j0;66a=3d83>>{ei?0;6>4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vnoo50;194?6|,:<96hm4H2;f?M5?j2c<57>5;h:`>5<5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<50z&0275}#;?81in5G38g8L6>e3`=26=44i9a94?=h::o1<75rb00;>5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<50z&0275;h:`>5<5<4290;w)=92;g`?M5>m2B84o5f7883>>o?k3:17b<4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vn:;50;194?6|,:<96hm4H2;f?M5?j2c<57>5;h:`>5<N41l1C?5l4i6;94?=n0j0;66a=3d83>>{e0l0;6>4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vn9=7:180>5<7s-9=>7kl;I1:a>N40k1b;44?::k;g?6=3f88i7>5;|`0bg<72:0;6=u+3709af=O;0o0D>6m;h5:>5<6<729q/?;<5eb9K7>k50;9~f`4=8391<7>t$241>`e<@:3n7E=7b:k4=?6=3`2h6=44o31f>5<53;294~"4>;0no6F<9d9K7=d31<75f8b83>>i5;l0;66sm7383>6<729q/?;<5eb9K7>k50;9~f6?029086=4?{%156?cd3A92i6F<8c9j3<<722c3o7>5;n00a?6=3th3h7>53;294~"4>;0no6F<9d9K7=d31<75f8b83>>i5;l0;66sm17594?5=83:p(>8=:da8L6?b3A93n6*if;28m2?=831b4n4?::m17`<722wih44?:283>5}#;?81in5G38g8L6>e3-lm6=5f7883>>o?k3:17b<;3:1?7>50z&0275;h:`>5<N41l1C?5l4$gd94>o013:17d6l:188k75b2900qo?7f;297?6=8r.8:?4jc:J0=`=O;1h0(kh50:k4=?6=3`2h6=44o31f>5<53;294~"4>;0no6F<9d9K7=d<,ol1<6g89;29?l>d2900c?=j:188yge629086<4<{I1;f>"4>;0:n45f9083>>o>:3:17b=:a;29?g5f<3:1?7>50z&027<41m1C?4k4H2:a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:k?6k=4}r;1>5<5sW3970=n4;d1?xu4=h0;6?uQ34c896g32;;:7psmc183>6<62:qC?5l4$241>4d>3`3:6=44i8094?=h;8=:2;g?M5>m2B84o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0e11j?5rs27b>5<5sW9>m63b89j=4<722c2>7>5;n16e?6=3k9j87>53;294~"4>;085i5G38g8L6>e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>o;:g18yv?52909wS7=;<1b0?`53ty89l4?:3y]70g<5:k?6??>;|af`<72:0:6>uG39`8 60528h27d7>:188m<4=831d?8o50;9a7d2=8391<7>t$241>6?c3A92i6F<8c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;4i=0m?6s|9383>7}Y1;16?l:5f39~w63f2909wS=:a:?0e1<5981vqo6m;hd1>5<6<729q/?;<52`9K7t$241>4763A92i6F<8c9jb4<722e9=<4?::a7t$241>4763A92i6F<8c9jb4<722e9=<4?::a32<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`1a7<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`741<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`704<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`70`<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`ag?6=:3:16m;hd2>5<5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xde03:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188ygd>29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3thi87>52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<6=4<:183!51:38j7E=6e:J0109K7:188k7762900qol>:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|``7?6=;3:1N41l1C?5l4ig094?=nn:0;66a=1083>>{ek<0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vnn950;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb6694?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3g694?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3g794?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3g494?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd4mk0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`2e6<72:0;6=u+37096d=O;0o0D>6m;hd1>5<6=4=:183!51:3;:=6F<9d9K7=da983>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg7f13:187>50z&027<5j2B85h5G39`8mc4=831bj>4?::ke0?6=3f8:=7>5;|`2ed<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm19a94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm19f94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7?m3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vnil50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wihn4?:383>5}#;?81=5;n025?6=3thoh7>52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<>{em90;6:4?:1y'734=:l1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;hd5>5<5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`71f<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`71a<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`71`<72:0;6=u+37096d=O;0o0D>6m;hd1>5<6<729q/?;<52`9K729096=4?{%156?7692B85h5G39`8mc7=831d>4?::m154<722wi=4<50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<N41l1C?5l4ig094?=nn:0;66a=1083>>{e90>1<7:50;2x 6052;h0D>7j;I1;f>oa:3:17dh<:188mc2=831d>4?::ke0?6=3f8:=7>5;|`2=3<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm2283>6<729q/?;<52068L6?b3A93n6gi2;29?l`42900c??>:188yg4329096=4?{%156?7692B85h5G39`8mc7=831d>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<>i5980;66sm3cd94?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3b294?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm3b394?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd4k;0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a54b=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd69?0;6>4?:1y'734=:8>0D>7j;I1;f>oa:3:17dh<:188k7762900qo::0;293?6=8r.8:?4=e:J0=`=O;1h0ek<50;9jb6<722cm87>5;hd6>5<>i5980;66sm44394?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44094?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44194?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44694?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44794?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66sm44494?2=83:p(>8=:3`8L6?b3A93n6gi2;29?l`42900ek:50;9l647=831vn9;8:180>5<7s-9=>7<>4:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a00>=83>1<7>t$241>7d<@:3n7E=7b:ke6?6=3`l86=44ig694?=h:8;1<75rb8794?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd>>3:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg?029096=4?{%156?7692B85h5G39`8mc7=831d>=8381<7>t$241>4763A92i6F<8c9jb4<722e9=<4?::a=<<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`:e?6=;3:1N41l1C?5l4ig094?=nn:0;66a=1083>>{e1k0;6;4?:1y'734=:m1C?4k4H2:a?l`52900ek=50;9jb1<722cm97>5;hd5>5<5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{ek00;6?4?:1y'734=98;0D>7j;I1;f>oa93:17b<>1;29?xddl3:187>50z&027<5j2B85h5G39`8mc4=831bj>4?::ke0?6=3f8:=7>5;|``a?6=:3:16m;hd2>5<5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rbe294?4=83:p(>8=:032?M5>m2B84o5ff083>>i5980;66smd083>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17plk2;296?6=8r.8:?4>109K7:188k7762900qoj<:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`g0?6=<3:1N41l1C?5l4ig094?=nn:0;66gi4;29?j4693:17plla;297?6=8r.8:?4=159K71<7>t$241>7d<@:3n7E=7b:ke6?6=3`l86=44ig694?=h:8;1<75rbba94?5=83:p(>8=:337?M5>m2B84o5ff383>>oa;3:17b<>1;29?xdf03:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188ygg>290>6=4?{%156?46>2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<7j;I1;f>oa:3:17dh<:188k7762900qool:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`b`?6=;3:16m;hd1>5<>i5980;66sm41c94?2=83:p(>8=:3`8L6?b3A93n6gi2;29?l`42900ek:50;9l647=831vn9>m:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`74f<72:0;6=u+3709642<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09=95G38g8L6>e3`l96=44ig194?=h:8;1<75rb001>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb000>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e9;>1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?=5;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a570=8391<7>t$241>7733A92i6F<8c9jb7<722cm?7>5;n025?6=3th:>l4?:383>5}#;?81=5;n025?6=3th:?=4?:383>5}#;?81=5;n025?6=3th:?<4?:383>5}#;?81=5;n025?6=3th:??4?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb010>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb017>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb016>5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e9:<1<7=50;2x 6052;k0D>7j;I1;f>oa:3:17dh<:188k7762900qo?<7;297?6=8r.8:?4=a:J0=`=O;1h0ek<50;9jb6<722e9=<4?::a57d=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<54;294~"4>;09n6F<9d9K7=d>oa<3:17b<>1;29?xd6:m0;694?:1y'734=:8?0D>7j;I1;f>oa:3:17dh<:188mc2=831d>5;n025?6=3th:>k4?:283>5}#;?81><:4H2;f?M5?j2cm>7>5;hd0>5<5<5sW;m70?i:31f?xu5:3:1>v3>f;:`?8412;;:7p}=3;296~;5;38:=63=5;d1?xu5<3:1>v3=4;025>;5=3l87p}=5;296~;5=38:=63=6;d0?xu1<3:18vP94:?0b04i3:?:f?`43ty<<7>53z?45?46927:544i1:?25=37<5;l16;<4i3:p36<72hq6;?489:?77=<01278jo489:?0a=<01278ni489:?0=2<01279hk489:?222<01273h796;<57>7763ty<97>52z?41?44m27<=7h=;|q42?6=;r7<976l;<51>=e<5>=1>5<6=rT;f>3=270ln:6;89g0=?016n?489:?ba?1>34;94796;<3e>2?<58;86:74=d193<=::l:1;4527484=>;4110<5637e;5:?8b>2>3014=5789>5=?=?016=5h5789>5d6=?016;94i1:p<5<72;6i=0m=63>9e8e5>;69k0m=6s|8e83>6}:0m09?h521839b4=:98?1j<5rs9g94?5|51o1>>k4=0;;>c7<58;<6k?4}r:e>5<4s42n65m4=9f9>k4=2d7>c7=e<50i1>5<5s43>6??>;<;b>c5776343i6k<4}r;4>5<5s43<6??>;<;a>c2776343i6k;4}r;:>5<5s4326??>;<;a>c0776343h6k<4}r;a>5<5s43i6??>;<;`>c5:18b8g12;9n70lj:8389f5=n:16ho4i1:?71dc7<5hk1j<5rs`594?4|5h<14n52ae8154=z{h21<75rs`;94?4|5h31>5rs``94?4|5hh1>5rs`094?g|5ho1>>k4=cg9=7=:k:0m>63kd;d2?822j3l970::0;d0?82293l:70mk:g189fc=n816m44i2:pec<72;q6mh47c:?a5?4692wxn=4?:3y>f5<59816n<4i3:pe6<720q6n?4=3d9>fc<>:27h97h=;c7<5=?i6k=4=573>c2<5=?86k?4=e09b4=:i00m?6s|b283>7}:j;03o63m5;025>{tj=0;6?u2b58154=:j<0m?6s|a583>=}:j?09?h52c18:6>;d?3l970jj:g38913d2o;019;::g389a7=n816m44i4:pf2<72;q6n;47c:?a=?4692wxn54?:3y>f=<59816n44i3:pe0<721q6nl4=3d9>g4<>:27n<7h<;<66`?`634>>87h>;c2<5m:1j<52a88e1>{tjk0;6?u2b`8;g>;el38:=6s|bb83>7}:jj09=<52be8e7>{tk;0;6>u2bg8:5>;d;38:=63l5;d0?xud<3:1?v3l0;;2?8e22;;:70m8:g18yve12909w0m>:8389f1=:8;0q~m7:1868dd2o;01o65f09>f1;7763tyh57>52z?`=?46927o?7h<;|q`e?6=:r7hm7<>1:?`f?`43tyhn7>52z?`f?46927ho7h<;|q``?6=:r7hh7<>1:?`e?`53tyhi7>52z?`a?46927o87h<;|q`b?6=:r7hj7<>1:?g0?`53tyo<7>52z?g4?46927o87h;;|qg5?6=:r7o=7<>1:?`f?`53tyo>7>52z?g6?46927hn7h;;|qg7?6=:r7o?7<>1:?`g?`53tyo87>52z?g0?46927hm7h<;|qg1?6=:r7ii7=:a:?a5?`53tyo:7>52z?ab?52i27i97h=;|qg3?6=:r7h<7=:a:?a=?`53tyo47>52z?`5?52i27ih7h=;|qg=?6=;r7o57<`g<59816i=4i2:p`f<72;q6hn4=109>a5`a<59816i=4i6:p``<72;q6hh4=109>a5`c<59816i=4i7:pa7<72=q6i>47c:?f6?44m27h57h>;c775b34n86k<4=``9b7=z{l31<75<3sW;:?63>12817`=:<>4i2:?2761683>7}:98=1>c552z?25=<59816=2909w0?>9;025>;69m0m>6s|10c94?4|58;j6??>;<32`?`43ty:=o4?:3y>54d=:8;01v3>1b8154=:98o1j>5rs03g>5<5s4;:h7<>1:?25`6;d1?xu69o0;6?u21019=4=109>575=n:1v<<>:181875938:=63>258e6>{t9;81<777634;987h<;|q266<72;q6=?=5203894422o90q~?=4;296~;6:=09=<521349b6=z{88>6=4={<311?46927:>;4i2:p57>=839pR<<7;<31h4i2:p57?=838p1<<7:9a8944a2;;:7p}>2`83>7}:9;k1>c552z?26g<59816=?j5f29~w44d2909w0?=c;025>;6:o0m?6s|13f94?4|588o6??>;<31a?`43ty:>h4?:3y>57c=:8;01<v3>318154=:9:<1j>5rs012>5<5s4;8=7<>1:?26a<50;0x94552;;:70?=c;d0?xu6;:0;6?u21219647<589<6k=4}r300?6=:r7:?94=109>57e=n=1v<=::181874=38:=63>2c8e7>{t9:<1<777634;9h7h=;|q272<72;q6=>952038944d2o80q~?:7;296~X6=>168=<5f39~w43e2909wS?:b:?222577=n;1v<66:18e87?1388i63;6i;0m>63>a78e5>;60k0m?63>9d8e5>;5<3l:70=l1;d1?8?02o;019>8:g38916>2o801<<=:g3894572o;01<==:g08944e2o80q~?7a;296~;60003o63>8d8154=z{82i6=4={<3;f?46927:4h4i2:p5=e=838p1<6l:332?87?l3l87p}>8e83>7}:91n1>c55fz?25d4=n:16=l;5f09>5=b=n;16=485f39>63c4<588>6k<4=00b>c7<58996k=4=015>c452z?2;61o0m>6s|18094?4|58396??>;<3:0?`33ty:5>4?:3y>5<5=:8;01<78:g18yv7><3:1>v3>958154=:90?1j>5rs0;6>5<5s4;297<>1:?2=35<2=n;1v<7n:18187>i38:=63>938e6>{t90h1<777634;2>7h<;|q2=f<72;q6=4m5203894?22o80q~?6d;296~;61m09=<521879b1=z{83n6=4={<3:a?46927:5>4i3:p5<`=838p1<7i:332?87><3l87p}>a183>46|58k;6?=j;<3b;<3:7?`534886k=4=2`e>c7<50?1j>52988e5>;38?0m=63;0`8e7>;6:90m=63>208e7>;6;80m=63>368e6>{t9h;1<7=e<58kj6??>;|q2e7<72;q6=l<5203894gf2o80q~?n3;296~;6i:09=<521`59b7=z{8k?6=4={<3b0?46927:m:4i3:p5d3=838p1a783>7}:9h<1>c252z?2e2<59816=l65f29~w4g?2909w0?n8;025>;6i00m?6s|1`;94?4|58k26??>;<3be?`43ty:n84?:3y]5g3<5=9m6k<4}r3a2?6=;rT:n;529`8e6>;3810m=6s|21f94?4|5:3m6hh4=56:>=253z?1``<59816=4l5f09>54g=n81v?ji:18184cn388i63=dd8e7>{t:l:1<775b348oi7h=;|q1a4<72:q6>h>58b9>6a`=0j16>h<52038yv5>?3:1?v3<96817`=:<78:9a896?f2;;:7p}7}Y;kn01>lk:31f?xu4jl0;6?u23cf97f7=n:1v>m?:18185d838:=63{t;j;1<7776349h>7h=;|q0g3<72:qU?n84=659b4=:9:>1j<5rs2g3>5<5sW9n<6360;d2?xu4m10;6?uQ3d:896c?2;9n7p}7}:;l214n523d`964752z\0b6=:;o<1>7c0=n;1v>h::18185a=38:=63{t;oh1<7;<630?`63ty?<>4?:3y>7cd=0j168=:52038yv27=3:1?v3;038e7>;3;o0m?63;0b8154=z{=:=6=4={<632?46927?<44i3:p051=838p19>8:332?827i3l97p};0983>7}:<921>c2;57>52z?74<<598168=l5f29~w16f2909w0:?a;025>;38j0m?6s|41`94?4|5=:i6??>;<63g?`53ty?>i4?:3y]07b<5;o96k?4}r608i7>52z?77c<5981689?5f09~w1272909w0:<8;:`?823938:=6s|45;94?5|V=>270:;9;00a>;ck3l:7p};4e83>7}:<=314n5245g9647?j7>52z?70<;3=>0m>6s|44394?4|5=?:6??>;<662?`43ty?9?4?:3y>004=:8;019;9:g08yv22;3:1>v3;528154=:<<<1j95rs577>5<5s4>>87<>1:?71=00>=n:1v9;6:181823m3l:70:90;025>{t<77634>=<7h=;|q71g<72;q688l52038913a2o80q~::c;296~;3=j09=<5244g9b7=z{=?o6=4={<66`?46927?9h4i3:p00c=838p19;j:332?822n3l87p};5g83>7}:<c5==7>52z\724=:;0k1j<5r}c1b3?6=037)?m7;155>i4==0;66g73;29?j53?3:17b=k0;29?l?c2900e>h7:188k1562900c;:50;9a7<`=83;1<7>t$241>c6<@:3n7E=7b:mfb?6=3th8;o4?:483>5}#;?81ii5G38g8L6>e3-lm6=5f8383>>o?<3:17d96:188m=e=831d>>k50;9~f610290>6=4?{%156?cc3A92i6F<8c9'bc<73`296=44i9694?=n?00;66g7c;29?j44m3:17pl<7283>0<729q/?;<5ee9K75;h5:>5<5;|`2g`<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h>4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d583>0<729q/?;<5ee9K75;|`2`0<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h:4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d983>0<729q/?;<5ee9K75;|`2`<<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<52900e5:50;9j3<<722c3o7>5;n00a?6=3th:h=4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl>d083>0<729q/?;<5ee9K75;|`2`7<72<0;6=u+3709aa=O;0o0D>6m;h:1>5<1<75f7883>>o?k3:17b<0;684?:1y'734=mm1C?4k4H2:a?l>52900e5:50;9j3<<722c3o7>5;n00a?6=3th8::4?:483>5}#;?81ii5G38g8L6>e3`296=44i9694?=n?00;66g7c;29?j44m3:17pl<4683>0<729q/?;<5ee9K75;|`f3?6=;3:1N41l1C?5l4i6;94?=n0j0;66a=3d83>>{em?0;6>4?:1y'734=mj1C?4k4H2:a?l1>2900e5m50;9l66c=831vn>j?:180>5<7s-9=>7kl;I1:a>N40k1b;44?::k;g?6=3f88i7>5;|`774<72:0;6=u+3709af=O;0o0D>6m;h5:>5<1<7=50;2x 6052li0D>7j;I1;f>o013:17d6l:188k75b2900qo=ia;297?6=8r.8:?4jc:J0=`=O;1h0e:750;9jt$241>`e<@:3n7E=7b:&eb?631<75f8b83>>i5;l0;66sm11494?5=83:p(>8=:da8L6?b3A93n6*if;28m2?=831b4n4?::m17`<722wi?5?50;195?5|@:2i7)=92;3a=>o>93:17d7=:188k63f2900n>o;:180>5<7s-9=>7=6d:J0=`=O;1h0ek<50;9jb6<722e9=<4?::p=4<72;qU5<523`69b6=z{081<74>:2yK7=d<,:<965<1<7=50;2x 6052:3o7E=6e:J09278m94i3:p=7<72;qU5?523`69b7=z{:?j6=4={_16e>;4i=09=<5r}c14b?6=;3;1?vF<8c9'734=9k30e4?50;9j=7<722e89l4?::`0e1<72:0;6=u+370975<:278m94i2:p70g=838pR>;n;<1b0?4692wvn4<4sA93n6*<6382f<=n180;66g62;29?j52i3:17o=n4;297?6=8r.8:?4<9e9K7c57}Y;o;:332?x{e9mi1<7=51;1xL6>e3-9=>7?m9:k:5?6=3`396=44o27b>5<>i5980;66s|9083>7}Y1816?l:5f29~w<4=838pR4<4=2c7>c4m7>52z\01d=:;h>1>uG39`8 60528h27d7>:188m<4=831d?8o50;9a7d2=8391<7>t$241>6?c3A92i6F<8c9jb7<722cm?7>5;n025?6=3ty2=7>52z\:5>;4i=0m?6s|9383>7}Y1;16?l:5f39~w63f2909wS=:a:?0e1<5981vqo?j6;297?7=;rB84o5+37095g?>i4=h0;66l6<729q/?;<538f8L6?b3A93n6gi2;29?l`42900c??>:188yv?62909wS7>;<1b0?`43ty2>7>52z\:6>;4i=0m>6s|34c94?4|V:?j70=n4;025>{zj8o>6=4<:080M5?j2.8:?4>b89j=4<722c2>7>5;n16e?6=3k9j87>53;294~"4>;085i5G38g8L6>e3`l96=44ig194?=h:8;1<75rs8394?4|V0;01>o;:g18yv?52909wS7=;<1b0?`53ty89l4?:3y]70g<5:k?6??>;|a5`2=8391=7=tH2:a?!51:3;i56g61;29?l?52900c>;n:188f6g329086=4?{%156?5>l2B85h5G39`8mc4=831bj>4?::m154<722wx5<4?:3y]=4=:;h>1j>5rs8094?4|V0801>o;:g08yv52i3:1>vP<5`9>7d2=:8;0qpl>e283>6<62:qC?5l4$241>4d>3`3:6=44i8094?=h;8=:2;g?M5>m2B84o5ff383>>oa;3:17b<>1;29?xu>93:1>vP61:?0e11j?5rs27b>5<5sW9>m637>53;397~N40k1/?;<51c;8m<7=831b5?4?::m01d<722h8m94?:283>5}#;?81?4j4H2;f?M5?j2cm>7>5;hd0>5<5<5sW3:70=n4;d0?xu>:3:1>vP62:?0e11:~f4c629086<4<{I1;f>"4>;0:n45f9083>>o>:3:17b=:a;29?g5f<3:1?7>50z&027<41m1C?4k4H2:a?l`52900ek=50;9l647=831v4?50;0xZ<7<5:k?6k=4}r;1>5<5sW3970=n4;d1?xu4=h0;6?uQ34c896g32;;:7psm1d294?5=939pD>6m;%156?7e12c2=7>5;h;1>5<5<4290;w)=92;1:`>N41l1C?5l4ig094?=nn:0;66a=1083>>{t180;6?uQ909>7d2=n:1v4<50;0xZ<4<5:k?6k<4}r16e?6=:rT89l523`696476}O;1h0(>8=:0`:?l?62900e4<50;9l70g=831i?l:50;194?6|,:<96>7k;I1:a>N40k1bj?4?::ke7?6=3f8:=7>5;|q:5?6=:rT2=63{t1;0;6?uQ939>7d2=n;1v>;n:181[52i278m94=109~yg7cm3:1?7?53zJ0>d4i=0;6>4?:1y'734=;0n0D>7j;I1;f>oa:3:17dh<:188k7762900q~7>:181[?6349j87h<;|q:6?6=:rT2>63{t;8=:032?M5>m2B84o5ff083>>i5980;66sma183>6<729q/?;<52`9K7f29086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th88h4?:383>5}#;?81=5;n025?6=3th:i44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb0ga>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6mm0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`2b4<72:0;6=u+37096d=O;0o0D>6m;hd1>5<f483>6<729q/?;<52`9K75;n025?6=3th:j44?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb0da>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6nm0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>9k:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>9j:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`03<<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`03d<72:0;6=u+37096d=O;0o0D>6m;hd1>5<6=4=:183!51:3;:=6F<9d9K7=d7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<7083>7<729q/?;<51038L6?b3A93n6gi1;29?j4693:17pl<7383>6<729q/?;<52`9K7429086=4?{%156?4f3A92i6F<8c9jb7<722cm?7>5;n025?6=3th8484?:283>5}#;?81>l5G38g8L6>e3`l96=44ig194?=h:8;1<75rb02f>5<5290;w)=92;325>N41l1C?5l4ig394?=h:8;1<75rb02e>5<4290;w)=92;0b?M5>m2B84o5ff383>>oa;3:17b<>1;29?xd6880;694?:1y'734=:k1C?4k4H2:a?l`52900ek=50;9jb1<722e9=<4?::a73?=8391<7>t$241>7g<@:3n7E=7b:ke6?6=3`l86=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm37f94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7d13:157>50z&027<5n2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44ig494?=nn>0;66gi8;29?l`>2900c??>:188yg7di3:197>50z&027<5k2B85h5G39`8mc4=831bj>4?::ke0?6=3`l>6=44o332>5<52;294~"4>;0:=<5G38g8L6>e3`l:6=44o332>5<53;294~"4>;09m6F<9d9K7=d>i5980;66sm1bf94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg7703:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg7713:1>7>50z&027<6981C?4k4H2:a?l`62900c??>:188yg77i3:1?7>50z&027<5i2B85h5G39`8mc4=831bj>4?::m154<722wi==l50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<6m;hd1>5<:188yg77=3:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831vn>;?:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>;>:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>;=:181>5<7s-9=>7?>1:J0=`=O;1h0ek?50;9l647=831vn>;<:187>5<7s-9=>7N40k1bj?4?::ke7?6=3`l?6=44o332>5<>{e>10;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn;750;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<5<4290;w)=92;020>N41l1C?5l4ig094?=nn:0;66a=1083>>{e>k0;6>4?:1y'734=:h1C?4k4H2:a?l`52900ek=50;9l647=831vn>j<:180>5<7s-9=>7N40k1bj?4?::ke7?6=3f8:=7>5;|`0`1<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`0`0<72;0;6=u+3709547<@:3n7E=7b:ke5?6=3f8:=7>5;|`0`3<72:0;6=u+37096d=O;0o0D>6m;hd1>5<>i5980;66sm3e:94?5=83:p(>8=:3c8L6?b3A93n6gi2;29?l`42900c??>:188yg5c13:1?7>50z&027<59=1C?4k4H2:a?l`52900ek=50;9l647=831v;:50;1xZ32<5?>1>>k4=7:9b7=z{??1<7k09=<5rs7494?4|5?<1>5rs7:94?4|5?21>5rs7c94?4|5?k1>301h85789>7a6=?0168>?5789>21<01278jl489:?247<0127:<;489:p=a<72;qU5i52e78;g>{t1l0;64u23759<7=:;==14?5237g9<7=:;>h14?523659<7=:;>914?52a18154=:;1k1j>521139b7=z{0l1<75rsd694?5|5l=14n52e7817`=:1o0m=6s|e483>7}:m>09?h52a18e6>{t99:1<7:t=022>77634;;57h>;<33e?`534;;87h=;|q247<72>q6==<522g8946a2o801<>7:g3894622o801;l5f39>7a5=n;16?i:5f09~w4642909w0??2;:`?877=38:=6s|11694?4|58:?6??>;<331?`43ty:<;4?:6y>550=::o01<>j:g38946e2o801<>;:g68930=n816:44i2:?0`=l:332?xu6810;6?u211:9647<58:j6k=4}r33=?6=:r7:<44=109>55d=n:1v<>n:181877i38:=63>0b8e6>{t99h1<777634;;o7h<;|q24a<72;q6==k52038946a2o90q~?>0;296~;3;803o63>0g8154=z{8i<6=4={<3`3?44m27:<<4i4:p5f>=838p1c883>7}:9j31>c452z?2gd<59816=nm5f39~w4ee2909w0?lb;025>;6kj0m?6s|1ba94?4|58ih6??>;<3``?`43ty:nl4?:4y>5fc=::o012o901p1:31f?87ck33970?id;d1?87di3l87p}>be83>6}:9m81>>k4=0fg><4<58ij6k;4}r3ab?6=4=3d9>5a`=1;16=hl5f39>5f?=n:1ve18:6>;6mm0m>63>c88e0>{t9j;1<7:t=0f6>75b34;n=77=;<3fb?`534;h57h9;|q2g7<72=q6=i8522g894c520801:g0894e>2o?0q~?l3;290~;6l>09?h521d19=7=:9o91j?521b;9b2=z{8i?6=4;{<3gp1c783>1}:9mk1>>k4=0g5><4<58l26k<4=0ab>c453z?2`c<>927:i44=109>5`d=n:1v;6mm0m?6s|1da94?5|58o:64?4=0gg>77634;nj7h<;|q2a`<72:q6=h<5909>5``=:8;01:g18yv7a83:1?v3>e28:5>;6n809=<521g19b6=z{8l96=4<{<3f0??634;m?7<>1:?2b0f783>6}:9l<15<521g59647<58l26k=4}r3efe8e7>{t9oi1<7<7<58lo6??>;|q2b`<72;q6=nk58b9>5`1=:8;0q~?if;296~;6l903o63>dc801d=z{;:;6=4={<3g5?>d34;oo7=:a:p657=838p17}:9jl14n521eg970g52z?2`6m6s|21794?4|58n>65m4=0g2>63f3ty9<;4?:3y>5a0=0j16=h<534c8yv47?3:1>v3>d68;g>;6m:089l5rs32;>5<5s4;o476l;<3f0?52i2wx>=750;0x94b>21i0172d=0=16?:95859>725=0=16?;k5859>5fc=0=16=nh5859>5a5=0=16=i:5859>5a3=0=16=i85859>5a1=0=16=i65859>5a?=0=16=io5859>5a6=0=16=i?5859>5a4=0=16=n95859>731=0=16?995859~w6202909wS=;7:?002<5;l1v>:k:181853?32h70=;e;025>{t;=l1<7c7<5:?86??>;|q015<72;q6?8>5203896342o90q~=:1;296~;4=809=<523419b7=z{:?96=4={<166?4692789>4i4:p731=839p1>88:31f?85?i3l970??1;d0?xu4>10;6?u2375973b=n;1v>8n:181851i38:=63<6b8e6>{t;?h1<7776349=o7h<;|q02f<72;q6?;m52038960c2o90q~=93;291~;4>l09?h5236d9=4=:;>;1j<523919b6=:;?31j?5rs24e>5<5s49=i76l;<146?4692wx?:>50;0x96172;;:70=82;d1?xu4?80;6?u23639647<5:=96k=4}r150?6==r78;>4=3d9>72`=1;16?5=5f39>73g=n816?8?5f09~w6132909w0=83;:`?850>38:=6s|36794?4|5:=>6??>;<142?`43ty8:84?:4y>721=::o01>6?:80896>22o801>8m:g3896372o;0q~=88;296~;4?>03o63<7`8154=z{:=26=4={<14=?469278;l4i3:p730=83?pR>;;;<14f?44m2784<462:?02<9m:9a8961b2;;:7p}<7e83>7}:;>n1>c57>53z?0<5<>92784>4=109>7=3=n:1v>6;:18185?933:70=75;025>{t;1<1<763f349<:7h=;|q0<2<72;q6?5>534c8961f2o80q~=78;296~;408089l5236g9b7=z{:226=4>3z?2g`72?=n816?:;5f09>726=n81v>j?:186[5c8278h=4=3d9>224i3:?0`2j6:332?xu4l:0;6?u23e19647<5:n26k<4}r1g0?6=:r78h94=109>7a0=n;1v>j::18185c=38:=63{t;m<1<7776349o;7h<;|q0`2<72;q6?i95203896b?2o90q~=k8;296~;4l109=<523e;9b6=z{:l36=4={_1e<>;4nh03o6s|3gc94?5|5:lj6?=j;<330?`4349o97h>;|q774<72;qU8>?4=512>75b3twi?o;50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?n:50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?o?50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?o<50;094?6|,:<96;I1:a>N40k1bj<4?::m154<722wi?o=50;194?6|,:<96?o4H2;f?M5?j2cm>7>5;hd0>5<6m;hd2>5<6m;hd2>5<N41l1C?5l4ig394?=h:8;1<75rb51b>5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm3bg94?4=83:p(>8=:gg8L6?b3A93n6gi1;29?j4693:17plj1;296?6=8r.8:?4ie:J0=`=O;1h0ek?50;9l647=831vnhl50;094?6|,:<96kk4H2;f?M5?j2cm=7>5;n025?6=3th8i94?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<52;294~"4>;0mi6F<9d9K7=d>{e080;6?4?:1y'734=nl1C?4k4H2:a?l`62900c??>:188yg1e29096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a7cb=8381<7>t$241>cc<@:3n7E=7b:ke5?6=3f8:=7>5;|`72d<72;0;6=u+3709b`=O;0o0D>6m;hd2>5<5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm5`83>7<729q/?;<5fd9K7:188k7762900qo;6:181>5<7s-9=>7hj;I1:a>N40k1bj<4?::m154<722wi954?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<>{e=<0;6?4?:1y'734=nl1C?4k4H2:a?l`62900c??>:188yg3329096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a16<72;0;6=u+3709b`=O;0o0D>6m;hd2>5<5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm5083>7<729q/?;<5fd9K7:188k7762900qo;?:181>5<7s-9=>7hj;I1:a>N40k1bj<4?::m154<722wi8k4?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<n6=4=:183!51:3ln7E=6e:J0>{e:188yg0429096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a27<72;0;6=u+3709b`=O;0o0D>6m;hd2>5<5<5290;w)=92;df?M5>m2B84o5ff083>>i5980;66sm6183>7<729q/?;<5fd9K7:188k7762900qo;i:181>5<7s-9=>7hj;I1:a>N40k1bj<4?::m154<722wi9h4?:383>5}#;?81jh5G38g8L6>e3`l:6=44o332>5<>{e=j0;6?4?:1y'734=nl1C?4k4H2:a?l`62900c??>:188yg3029096=4?{%156?`b3A92i6F<8c9jb4<722e9=<4?::a07c=83>1<7>t$241>45a3A92i6F<8c9jb4<722c2h7>5;n025?6=3f;:>7>5;|`4`?6=:3:16m;hd2>5<6m;hd2>5<6m;hd2>5<6m;hd2>5<5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb50`>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb500>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb2fg>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb2f2>5<5290;w)=92;026>N41l1C?5l4ig394?=h:8;1<75rb2`a>5<4290;w)=92;027>N41l1C?5l4ig394?=n1m0;66a=1083>>{e;j?1<7<50;2x 6052;;97E=6e:J0>{e<;?1<7<50;2x 6052;;97E=6e:J0>{e<:i1<7=50;2x 6052;;87E=6e:J07<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl;3583>7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl7<729q/?;<52008L6?b3A93n6gi1;29?j4693:17pl6<729q/?;<52018L6?b3A93n6gi1;29?l?c2900c??>:188yg23j3:1?7>50z&027<59:1C?4k4H2:a?l`62900e4j50;9l647=831vn>kj:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn>h8:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn>m7:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?o;:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?o<:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?j8:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?mi:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?m6:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?m<:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?lk:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?l8:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?l>:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?om:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?o::181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831vn?7k:181>5<7s-9=>7<>2:J0=`=O;1h0ek?50;9l647=831v?>50;0x96gf28l01>l>:g38yv462909w0=nd;3e?85e:3l:7p}=7;296~;4im09;63{t;<0;6?uQ349>0a7}Y;>168k4i1:p7=<72;qU?552518e5>{t;00;6?uQ389>147}Y;k169>4i1:p7f<72;qU?n52558e5>{t;m0;6?uQ3e9>107}Y;o169:4i1:p05<72;qU8=52598e5>{t<80;6?uQ409>1<7}Y<=169o4i1:p00<72;qU88525b8e5>{t1a7}Y<1169k4i1:p0<<72;qU8452618e5>{t24;0m=6s|4b83>7}Y4i1:p0a<72:q6?l656:?72g<134>o6??>;|q7a?6=;r78m548;<65f?1<5=o1>5<4s49j4764=54a>==:;2838:=6s|5083>6}:;h21m63;6c8b?8362;;:7p}:2;297~;4i10i70:9b;`8904=:8;0q~;<:18085f03i0198m:b9>16<5981v8:50;1x96g?2m168;l5d:?60?4692wx984?:2y>7d>=m27?:o4j;<76>7763ty>:7>53z?0e==n7h4=449647;|q61:?72g<6927>47<>1:p1<<72:q6?l65129>03d=9:16944=109~w0g=838p1>o7:06890g=:8;0q~;m:18185f03;=70;m:332?xu2k3:1>v3;6c823>;2k38:=6s|5e83>7}:4?<55<5s4>=n7?n;<7e>7763ty=<7>52z?72g<6j27=<7<>1:p24<72;q68;l51b9>24<5981v;<50;1x96g?28n0198m:0f8934=:8;0q~8<:18085f03;n70:9b;3f?8042;;:7p}94;293~;4ih0=863;4k=0m=63;3;j02h63;31}:;h21:n5247`92f=:;hn1:n523`;92f=z{>k1<7k01:l52038yv1d2909wS9l;<5g>7763ty52z\4a>;0n38:=6s|7g83>1}:;h:1>?<4=2c:>64034=o6k?4=6d9b4=z{1:1<7c77763tynm7>52z\fe>;bj3l:7p}jb;292~;4i103?63;6c8;7>;4im03?63;4i>03?63jb;025>{tnh0;6?u23`:9bd=:;h31jl5rs030>5<5s49jh7?>3:?0ed<69:1v<<7:18185fl3;9463;4im0:8=5rs06g>5<5s49jh7?;d:?0ed<6=>1v<;7:18185f03;>4635c9~w40?2909w0=nd;35<>;4ij0m=6s|1``94?4|5:ko6=j50;0x96?a2ll01914c3ty9?k4?:3y]66`<5;3o6??>;|q105<72;qU>9>4=3c0>7763ty98?4?:3y]614<5;k?6??>;|q106<72;qU>9=4=3c6>7763ty9894?:3y]612<5;ki6??>;|q100<72;qU>9;4=3`2>7763ty98;4?:3y]610<5;h<6??>;|q102<72;qU>994=3`g>7763ty9854?:3y]61><5;i86??>;|q10<<72;qU>974=3a:>7763ty98l4?:3y]61g<5;im6??>;|q10g<72;qU>9l4=3f4>7763ty98i4?:3y>7d>=:=n0198m:36g?xu5?o0;6?u247`962`<5:k26>?k;|q1=a<72;q68;l522d897?c2o;0q~k098=522`19b4=z{;k?6=4={<65f?43:279m94i1:p6d3=838p198m:360?84f=3l:7p}=ac83>7}:9:4=3ca>c752z?72g<5<<16>o?5f09~w7d02909w0:9b;072>;5j>0m=6s|2cf94?4|5=4?:3y>03d=:=201?m<:g38yv4d13:1>v3;6c810<=::j31j<5rs3ae>5<5s4>=n7<;a:?1gci950;0x910e2;>i70<7p}<5583>7}:6333ty8mo4?:3y>7dg=9k<01>ol:332?xu4il0;6?uQ3`g896ga2;;:7p}7}:;k91>c752z?0f4<59816?o=5f39~w6d62909w0=m2;025>;4j:0m?6s|3c694?4|V:h?70=m6;025>{t;k<1<7776349i:7h>;|q0f=<72;qU?o64=2`:>c754z?72g<4j>16?lj53c5896g>2:h<70=m9;025>{t;kk1<7lk;<1af?`63ty8o>4?:3y]7f5<5:i>6??>;|q0g0<72;q6?n:5203896e22o;0q~=l7;296~X4k>16?n652038yv5d03:1>v35<5sW9hh63{t;jo1<76e1349hi7<>1:p7a6=838pR>j?;<1g5?4692wx?i?50;0x96g02:n;70=k1;d2?xu4lh0;6?uQ3ec896bc2;;:7p}7}:c752z\0a4=:;l81>7`4=n81v>k<:181[5b;278i94i1:p7`2=838p1>on:2g3?85b<38:=6s|3d:94?4|V:o370=j9;025>{t;l31<76c?349n57h>;|q0af<72;qU?hm4=2gf>7763ty8ih4?:3y>7db=;li01>kj:g38yv5a;3:1>vP7c1=:8;0q~=i7;296~;4ih08j>523g59b4=z{:l36=4={_1e<>;4n00m=6s|3g;94?4|5:k<6>h7;<1e=?4692wx?km50;0xZ6`d349mh7h>;|q0ba<72:q68;l53g`896gc2:li70=id;025>{t;oo1<7hm;<1eb?`63ty?=94?:3y]042<5=;<6??>;|q752<72;q68;l5406891702o;0q~:=2;296~X3:;168?=52038yv25;3:1>v3;6c874a=:<;91j<5rs507>5<5sW>9863;248154=z{=8>6=4={<65f?23:27?>84i1:p070=838pR9<9;<61g?4692wx8?m50;0x910e2=8=70:=c;d2?xu3:m0;6?uQ43f8914b28;97p};2g83>7}:<::1>8=7>52z?0e2<3;8168>>5f09~w1552909wS:<2:?771<5981v9=;:181821j3>8>63;358e5>{t<:?1<77db=<:2019=n:332?xu3;k0;6?uQ42`8915d2;;:7p};3e83>7}:;hk18>64=51`>c7?m7>52z\70d=:<=h1>01d=n81v98;:181[21<27?:84=109~w1022909w0=n9;655>;3><0m=6s|47494?4|V=<=70:97;d2?xu3>>0;6?u23`c9037<5=<<6??>;|q72<<72;qU8;74=54b>c7=m7>54z?0e=<3>1168;l547:896gc2=<370:9a;025>{zfo2<6=4={I1;f>{in121<7e290:wE=7b:mb=e=83;pD>6m;|le5<6sA93n6saf8294?7|@:2i7p`i9083>4}O;1h0qch62;295~N40k1vbk7<:182M5?j2wej4:50;3xL6>e3tdm584?:0yK7=d51zJ0{I1;f>{in021<7?tH2:a?xha100;6i3:1=vF<8c9~jc?e290:wE=7b:mb6m;|le=a<728qC?5l4}od:a?6=9rB84o5rng;e>5<6sA93n6saf`294?7|@:2i7p`ia083>6}O;1h0qchn2;297~N40k1vbko<:180M5?j2wejl:50;1xL6>e3tdmm84?:2yK7=d53zJ0{inh21<7=tH2:a?xhai00;6>uG39`8yk`fi3:18vF<8c9~jcge2909wE=7b:mbde=839pD>6m;|leea<72=qC?5l4}odba?6=:rB84o5rngce>5<5sA93n6safc294?4|@:2i7p`ib083>7}O;1h0qchm2;296~N40k1vbkl<:181M5?j2wejo:50;0xL6>e3tdmn84?:3yK7=d53zJ0{ink21<7=tH2:a?xhaj00;68uG39`8yk`ei3:1=vF<8c9~jcde2909wE=7b:mbge=83;pD>6m;|lefa<728qC?5l4}odaa?6=9rB84o5rng`e>5<6sA93n6safb294?4|@:2i7p`ic083>4}O;1h0qchl2;297~N40k1vbkm<:182M5?j2wejn:50;4xL6>e3tdmo84?:2yK7=d53zJ0{inj21<7=tH2:a?xhak00;6>uG39`8yk`di3:1?vF<8c9~jcee2908wE=7b:mbfe=839pD>6m;|lega<72;qC?5l4}od`a?6=;rB84o5rngae>5<6sA93n6safe294?5|@:2i7p`id083>4}O;1h0qchk2;295~N40k1vbkj<:182M5?j2weji:50;3xL6>e3tdmh84?:0yK7=d51zJ0{I1;f>{inm21<7?tH2:a?xhal00;66m;|le`a<72:qC?5l4}odga?6=;rB84o5rngfe>5<5sA93n6safd094?4|@:2i7p`ie283>7}O;1h0qchj4;296~N40k1vbkk::181M5?j2wejh850;0xL6>e3tdmi:4?:3yK7=d52zJ0{inlk1<7uG39`8yk`bk3:1?vF<8c9~jccc290?wE=7b:mb`c=838pD>6m;|leac<728qC?5l4}ode4?6=9rB84o5rngd2>5<6sA93n6safg094?7|@:2i7p`if283>4}O;1h0qchi4;295~N40k1vbkh::182M5?j2wejk850;6xL6>e3tdmj:4?:0yK7=d52zJ0{I1;f>{inok1<7?tH2:a?xhank0;6vF<8c9~jc`c290:wE=7b:mbcc=838pD>6m;|lebc<728qC?5l4}o3345<72;qC?5l4}o3344<728qC?5l4}o3347<72;qC?5l4}o3346<728qC?5l4}o3341<72;qC?5l4}o3340<728qC?5l4}o3343<72;qC?5l4}o3342<728qC?5l4}o334=<72;qC?5l4}o334<<728qC?5l4}o334d<72;qC?5l4}o334g<728qC?5l4}o334f<72;qC?5l4}o334a<728qC?5l4}o334`<72;qC?5l4}o334c<728qC?5l4}o3355<72:qC?5l4}o3354<728qC?5l4}o3357<72;qC?5l4}o3356<728qC?5l4}o3351<72;qC?5l4}o3350<728qC?5l4}o3353<72;qC?5l4}o3352<728qC?5l4}o335=<72;qC?5l4}o335<<728qC?5l4}o335d<72;qC?5l4}o335g<728qC?5l4}o335f<728qC?5l4}o335a<72;qC?5l4}o335`<728qC?5l4}o335c<72;qC?5l4}o3365<728qC?5l4}o3364<72;qC?5l4}o3367<728qC?5l4}o3366<72:qC?5l4}o3361<728qC?5l4}o3360<72;qC?5l4}o3363<728qC?5l4}o3362<72;qC?5l4}o336=<728qC?5l4}o336<<72;qC?5l4}o336d<728qC?5l4}o336g<72;qC?5l4}o336f<728qC?5l4}o336a<72=qC?5l4}o32e?6=9rB84o5rn03a>5<6sA93n6sa10f94?7|@:2i7p`>1d83>4}O;1h0qc?>f;295~N40k1vb<e3td:>?4?:0yK7=d51zJ0{I1;f>{i9;?1<7?tH2:a?xh6:?0;6290:wE=7b:m57g=83;pD>6m;|l26f<728qC?5l4}o31`?6=9rB84o5rn00e>5<6sA93n6sa12294?7|@:2i7p`>3083>4}O;1h0qc?<2;295~N40k1vb<=<:182M5?j2we=>:50;3xL6>e3td:?84?:0yK7=d51zJ0{I1;f>{i9:21<7?tH2:a?xh6;00;66m;|l7f=<728qC?5l4}o6ae?6=9rB84o5rn5a;>5<6sA93n6sa4b;94?7|@:2i7p`;c`83>4}O;1h0qc:lb;295~N40k1vb9ml:182M5?j2we8nj50;3xL6>e3td?oh4?:0yK7=dhj7>51zJ0{I1;f>{i6m;|l7`3<728qC?5l4}o6g3?6=9rB84o5rn5f;>5<6sA93n6sa4e;94?7|@:2i7p`;d`83>4}O;1h0qc:kb;295~N40k1vb9jl:182M5?j2we8ij50;3xL6>e3td?hh4?:0yK7=doj7>51zJ0{I1;f>{i6m;|l7a3<728qC?5l4}o6f3?6=9rB84o5rn5g;>5<6sA93n6sa4d;94?7|@:2i7p`;e`83>4}O;1h0qc:jb;295~N40k1vb9kl:182M5?j2we8hj50;3xL6>e3td?ih4?:0yK7=dnj7>51zJ0{I1;f>{i6m;|l7b2<728qC?5l4}o6e5<6sA93n6sa4gc94?7|@:2i7p`;fc83>4}O;1h0qc:ic;295~N40k1vb9hk:182M5?j2we8kk50;3xL6>e3td?jk4?:0yK7=d51zJ0{I1;f>{i=981<7?tH2:a?xh28:0;66m;|l642<728qC?5l4}o735<6sA93n6sa51c94?7|@:2i7p`:0c83>4}O;1h0qc;?c;295~N40k1vb8>k:182M5?j2we9=k50;3xL6>e3td>51zJ0{I1;f>{i=881<7?tH2:a?xh29:0;66m;|l652<728qC?5l4}o725<6sA93n6sa50c94?7|@:2i7p`:1c83>4}O;1h0qc;>c;295~N40k1vb8?k:182M5?j2we9e3td>=k4?:0yK7=d51zJ0{I1;f>{i=;81<7?tH2:a?xh2::0;66m;|l662<728qC?5l4}o715<6sA93n6sa53c94?7|@:2i7p`:2c83>4}O;1h0qc;=c;295~N40k1vb8e3td>?=4?:0yK7=d51zJ0{I1;f>{i=:91<7?tH2:a?xh2;=0;66m;|l67=<728qC?5l4}o70=?6=9rB84o5rn41b>5<6sA93n6sa52`94?7|@:2i7p`:3b83>4}O;1h0qc;h50;3xL6>e3td>8=4?:0yK7=d51zJ096=4>{I1;f>{i==91<7?tH2:a?xh2<=0;66m;|l60=<728qC?5l4}o77=?6=9rB84o5rn46b>5<6sA93n6sa55`94?7|@:2i7p`:4b83>4}O;1h0qc;;d;295~N40k1vb8:j:182M5?j2we99h50;3xL6>e3td>9=4?:0yK7=d=7>51zJ0{I1;f>{i=<91<7?tH2:a?xh2==0;66m;|l61=<728qC?5l4}o76=?6=9rB84o5rn47b>5<6sA93n6sa54`94?7|@:2i7p`:5b83>4}O;1h0qc;:d;295~N40k1vb8;j:182M5?j2we98h50;3xL6>e3td>:=4?:0yK7=d51zJ0{I1;f>{i=?91<7?tH2:a?xh2>=0;66m;|l62=<728qC?5l4}o75=?6=9rB84o5rn44b>5<6sA93n6sa57`94?7|@:2i7p`:6b83>4}O;1h0qc;9d;295~N40k1vb88j:182M5?j2we9;h50;3xL6>e3td>;=4?:0yK7=d51zJ0{I1;f>{i=>91<7?tH2:a?xh2?=0;66m;|l63=<728qC?5l4}o74=?6=9rB84o5rn45a>5<6sA93n6sa56a94?7|@:2i7p`:7e83>4}O;1h0qc;8e;295~N40k1vb86?:182M5?j2we95?50;3xL6>e3td>4?4?:0yK7=d51zJ0{I1;f>{i=1=1<7?tH2:a?xh20h0;6d290:wE=7b:m1=b=83;pD>6m;|l65<6sA93n6sa58194?7|@:2i7p`:9583>4}O;1h0qc;69;295~N40k1vb87n:182M5?j2we94l50;3xL6>e3td>5n4?:0yK7=d51zJ0>h6=4>{I1;f>{i?=n1<7?tH2:a?xh06m;|l412<728qC?5l4}o565<6sA93n6sa74c94?7|@:2i7p`85c83>4}O;1h0qc9:c;295~N40k1vb:;k:182M5?j2we;;>50;3xL6>e3td<:<4?:0yK7=d7>51zJ0<86=4>{I1;f>{i??>1<7?tH2:a?xh0><0;63:1=vF<8c9~j200290:wE=7b:m33>=83;pD>6m;|l42<<728qC?5l4}o55f?6=9rB84o5rn64`>5<6sA93n6sa77f94?7|@:2i7p`86d83>4}O;1h0qc99f;295~N40k1vb:9?:182M5?j2we;:?50;3xL6>e3td<;?4?:0yK7=d51zJ0=?6=4>{I1;f>{i?>?1<7?tH2:a?xh0??0;66m;|l43d<728qC?5l4}o54f?6=9rB84o5rn65`>5<6sA93n6sa76f94?7|@:2i7p`87d83>4}O;1h0qc98f;295~N40k1vb:6?:182M5?j2we;5?50;3xL6>e3td<4?4?:0yK7=d51zJ02?6=4>{I1;f>{i?1<1<7?tH2:a?xh00>0;6>290:wE=7b:m3=g=83;pD>6m;|l45<6sA93n6sa79g94?7|@:2i7p`88g83>4}O;1h0qc960;295~N40k1vb:7>:182M5?j2we;4<50;3xL6>e3td<5>4?:0yK7=d51zJ03>6=4>{I1;f>{i?0<1<7?tH2:a?xh01>0;603:1=vF<8c9~j2?>290:wE=7b:m36m;|l4=g<728qC?5l4}o5:g?6=9rB84o5rn6;g>5<6sA93n6sa78g94?7|@:2i7p`89g83>4}O;1h0qc9n0;295~N40k1vb:o>:182M5?j2we;l<50;3xL6>e3td4?:0yK7=d51zJ0k>6=4>{I1;f>{i?h<1<7?tH2:a?xh0i>0;6290:wE=7b:m3dg=83;pD>6m;|l4eg<728qC?5l4}o5bg?6=9rB84o5rn6ce>5<6sA93n6sa7c294?7|@:2i7p`8b083>4}O;1h0qc9m3;295~N40k1vb:l;:182M5?j2we;o;50;3xL6>e3td3><4?:0yK7=d7>51zJ0{I1;f>{i0;?1<7?tH2:a?xh?:10;65i3:1=vF<8c9~j=4e290:wE=7b:m<7e=83;pD>6m;|l;6`<728qC?5l4}o:1b?6=9rB84o5rn913>5<6sA93n6sa82394?7|@:2i7p`73383>4}O;1h0qc6<3;295~N40k1vb5=;:182M5?j2we4>;50;3xL6>e3td3?;4?:0yK7=d51zJ0{I1;f>{i0:h1<7?tH2:a?xh?;m0;63:1=vF<8c9~jg40290:wE=7b:mf7>=83;pD>6m;|la6<<728qC?5l4}o`1f?6=9rB84o5rnc0`>5<6sA93n6sab3f94?7|@:2i7p`m2d83>4}O;1h0qcl=f;295~N40k1vbo==:182M5?j2wen>=50;3xL6>e3tdi?94?:0yK7=d51zJ0{I1;f>{ij:=1<7?tH2:a?xhe;10;66m;|la7f<728qC?5l4}o`0`?6=9rB84o5rnc1e>5<5sA93n6sab5294?7|@:2i7p`m4083>4}O;1h0qcl;2;295~N40k1vbo:<:182M5?j2wen9:50;3xL6>e3tdi884?:0yK7=d52zJ0<6=4>{I1;f>{ij=21<7?tH2:a?xhe<00;6?uG39`8ykd3i3:1=vF<8c9~jg2e290:wE=7b:mf1e=838pD>6m;|la0a<728qC?5l4}o`7a?6=9rB84o5rnc6e>5<5sA93n6sab4294?7|@:2i7p`m5083>4}O;1h0qcl:2;296~N40k1vbo;<:181M5?j2wen8:50;0xL6>e3tdi984?:0yK7=d:7>51zJ0{I1;f>{ij<21<7?tH2:a?xhe=00;66m;|la1a<728qC?5l4}o`6a?6=9rB84o5rnc7e>5<6sA93n6sab7294?7|@:2i7p`m6083>7}O;1h0qcl92;296~N40k1vbo8<:181M5?j2wen;:50;0xL6>e3tdi:84?:0yK7=d51zJ0{I1;f>{ij?21<7?tH2:a?xhe>00;66m;|la2a<728qC?5l4}o`5a?6=9rB84o5rnc53>5<6sA93n6sab6394?7|@:2i7p`m7383>4}O;1h0qcl83;295~N40k1vbo9;:182M5?j2wen:;50;3xL6>e3tdi;;4?:0yK7=d51zJ0{I1;f>{ij>31<7?tH2:a?xhe?h0;66m;|la3`<728qC?5l4}o`4b?6=9rB84o5rnc:3>5<6sA93n6sab9094?7|@:2i7p`m8283>4}O;1h0qcl74;295~N40k1vbo6::182M5?j2wen5850;3xL6>e3tdi4:4?:0yK7=d51zJ0{I1;f>{ij1k1<7?tH2:a?xhe0k0;6c290:wE=7b:mf=c=83;pD>6m;|la5<6sA93n6sab8694?7|@:2i7p`m9483>4}O;1h0qcl66;295~N40k1vbo78:182M5?j2wen4650;3xL6>e3tdi544?:0yK7=d51zJ0{I1;f>{ij0i1<7?tH2:a?xhe1m0;6m3:1=vF<8c9~jg?a290:wE=7b:mfd6=83;pD>6m;|lae4<728qC?5l4}o`b6?6=9rB84o5rncc0>5<6sA93n6sab`694?7|@:2i7p`ma483>4}O;1h0qcln6;295~N40k1vboo8:182M5?j2wenl650;3xL6>e3tdim44?:0yK7=d51zJ0{I1;f>{ijhi1<7?tH2:a?xheim0;66m;|laf4<728qC?5l4}o`a0?6=9rB84o5rnc`6>5<6sA93n6sabc494?7|@:2i7p`mb683>4}O;1h0qclm8;295~N40k1vbol6:182M5?j2wenoo50;3xL6>e3tdino4?:0yK7=d51zJ0{I1;f>{ijkl1<7?tH2:a?xhek90;66m;|lag<<728qC?5l4}o``e?6=9rB84o5rncaa>5<6sA93n6sabba94?7|@:2i7p`mce83>4}O;1h0qclle;295~N40k1vbomi:182M5?j2weni>50;3xL6>e3tdih<4?:0yK7=d51zJ06=4>{I1;f>{ijm=1<7?tH2:a?xhel10;66m;|la`f<728qC?5l4}o`g`?6=9rB84o5rncff>5<6sA93n6sabed94?7|@:2i7p`me183>4}O;1h0qclj1;295~N40k1vbok=:182M5?j2wenh=50;3xL6>e3tdii94?:0yK7=d51zJ0{I1;f>{ijl=1<7?tH2:a?xhb:10;66m;|lf6f<728qC?5l4}og1`?6=9rB84o5rnd13>5<6sA93n6sae2394?7|@:2i7p`j3383>4}O;1h0qck<3;295~N40k1vbh=;:182M5?j2wei>;50;3xL6>e3tdn?;4?:0yK7=d51zJ0{I1;f>{im:31<7?tH2:a?xhb;h0;66m;|lf7`<728qC?5l4}og0b?6=9rB84o5rnd63>5<6sA93n6sae5394?7|@:2i7p`j4383>4}O;1h0qck;3;295~N40k1vbh:;:182M5?j2wei9;50;3xL6>e3tdn8;4?:3yK7=d52zJ036=4={I1;f>{im=31<7vF<8c9~j`2d2909wE=7b:ma1b=838pD>6m;|lf0`<72;qC?5l4}og7b?6=:rB84o5rnd73>5<5sA93n6sae4394?4|@:2i7p`j5383>7}O;1h0qck:3;296~N40k1vbh;;:181M5?j2wei8;50;0xL6>e3tdn9;4?:3yK7=d;7>52zJ0{im<31<7vF<8c9~j`3d2909wE=7b:ma0b=838pD>6m;|lf1`<728qC?5l4}og6b?6=:rB84o5rnd43>5<5sA93n6sae7394?4|@:2i7p`j6383>7}O;1h0qck93;296~N40k1vbh8;:181M5?j2wei;;50;0xL6>e3tdn:;4?:3yK7=d52zJ0{im?31<7h0;6?uG39`8ykc1j3:1=vF<8c9~j`0c290:wE=7b:ma3c=83;pD>6m;|lf2c<728qC?5l4}og44?6=9rB84o5rnd52>5<6sA93n6sae6094?7|@:2i7p`j7283>4}O;1h0qck84;295~N40k1vbh9::182M5?j2wei:850;3xL6>e3tdn;:4?:0yK7=d52zJ0{I1;f>{im>k1<7?tH2:a?xhb?k0;6vF<8c9~j`1c290:wE=7b:ma2c=83;pD>6m;|lf3c<72;qC?5l4}og;4?6=9rB84o5rnd:2>5<6sA93n6sae9194?7|@:2i7p`j8583>4}O;1h0qck75;296~N40k1vbh69:181M5?j2wei5950;0xL6>e3tdn454?:3yK7=d52zJ0{I1;f>{im1i1<7?tH2:a?xhb0m0;6a290:wE=7b:ma<6=83;pD>6m;|lf=4<728qC?5l4}og:6?6=9rB84o5rnd;7>5<6sA93n6sr}|BCG~74<<0i8oj:63d~DED|8tJK\vsO@ \ No newline at end of file +$5244=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?0167?DJB9j1J@H?P_np3457c3HFN=RQ`r123542GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|9;CGQVw`>3KOY^hPN1c8F@TUzoUE<6L;8G40JW@H^J;5L21OFJg=D:9GNBRAMUG48G73JMGh0O?;BEO]LFP@43J2F46M7M^KAQC4EI\01HC@CFTUGG3>EUMH^NH?5LS79@h`gu9o1H`ho}1^]bja6789;0H:5KT@AHAK`<>4DUC@O@HXWfx;<=><119GPDELMGUTc>?01624>BSIJANBRQ`r12340773M^JOFKA_^mq4567>8:0HYOLKDL\[jt789:<;6J[ABIqbc=C\HI@~kQaou2344773M^JOF|i_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?07g8@jssGLUd~=>?06g8@jssGLUd~=>?0938A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788o0I^Q^_0]lv5678;o0I^Q^_0]lv5678:o0I^QPaef3456;97o0I^QPaef3456;:7o0I^QPaef3456;;7o0I^QPaef3456;<7k0I^Qnne2345d?013`?@UXign;<=>>0b9FWZgil9:;<o5JS^cm`5678;h0I^Qnne23455e3LYTmcj?0127f>CTWhdo<=>?5c9FWZgil9:;<;l4ER]bja6789=i7H]Paof3456?j2OXSl`k0123=0=B\HI@h6K[ABI\ekb789:n7HZNCJ]bja6789;n7HZNCJ]bja67898n7HZNCJ]bja67899n7HZNCJ]bja6789>n7HZNCJ]bja6789?n7HZNCJ]bja6789<=7HQFBTD1?@t43Lx996K}<1<6?@t;97>0IQ?4:Gq[42?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG;8MKOS[]K_I:5FNHVPPF>e:KMMQUXNZGTJKj>109JJLRTWOYFSKHk1,Km57=NF@^XSK]B_GDg5(Oi9880ECG[S^DPIZ@Al8'Bb?k4IOKWWZ@TEVLMh??>;HLJPVYA[DUMJi<"Io31?LHN\ZUM_@QIFe0.Mk76:2CEEY]PFRO\BCb5%@d9=?5FNHVP[CUJWOLo> Ga3008MKOS[VLXARHId3/Jj1753@DBX^QISL]EBa4*Ag?n7D@FTR]EWHYH}}9m7D@FTR]EWHYH}}9:j6GAIUQ\BVKXG|~8><>4IOKWWZejxVoz<=><3:KMR7=NG<1BCLM[d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=??f:KLEFRXe|r;<=>>1g9JKDESWds<=>?13d8MJGD\Vg~t=>?001e?LIFK]Ufyu>?0137b>OHIJ^Taxv?01221c=NGHI_S`{w012353`b3@EJOYQbuy2345?13@EJOY|;;HMAI1=NGKYj7DAMS^Pfeae3@EI_R\jae{2f>OHJZUYiljv2c9JKGUXZlkou>l4IN@P[Wcflp>i7DAMS^Pfea2j2CDN^Q]e`fz2g=NGKYT^hoky648MJDRNL>0EBM\5:KLGV723@EH_?;4INAP70=NGJY?96G@CR7;?LIC\HI@~<>4INFWEFMuWge<=>>109JKARFKBxTbbz?01320>OHD9i0EBB?_lw{4567l2CD@=Qbuy23457c3@EGOHD9Ufyu>?0177?LIK9j1BCA?Pnnv3457c3@EG=R``t123543j;HMQATYj}q:;<=?i;HMQATYj}q:;<=??e:KLV@WXe|r;<=>=e:KLV@WXe|r;<=>;e:KLV@WXe|r;<=>:e:KLV@WXe|r;<=>9e:KLV@WXe|r;<=>8e:KLV@WXe|r;<=>7e:KLV@WXe|r;<=>66:KLV@Wu12CD^H_}_O2b?LIUMXxTB=?:;HMQS5bOHZ^:Tbbz?0132a>OHZ^:Tbbz?0131a>OHZ^:Tbbz?0130a>OHZ^:Tbbz?0137a>OHZ^:Tbbz?0136a>OHZ^:Tbbz?0135a>OHZ^:Tbbz?01341>OHZ^;o7DA]W0]bja6789o0EB\X1^cm`56788o0EB\X1^cm`5678;o0EB\X1^cm`5678:o0EB\X1^cm`5678=o0EB\X1^cm`5678i0EB]PFRO\BCb6n2CD_RH\M^DE`4+Nf8:0EB]PFRO\BCb6%@d:==5FOR]EWHYANm;&Ec<>0:KLWZ@TEVLMh<#Fn233?LITWOYFSKHk1,Km0f=NGZUM_@QIFe0e?LITWOYFSKHk2,Km55=NGZUM_@QIFe0.Mk7692CD_RH\M^DE`7+Nf8::=6G@S^DPIZ@Al;'Bb1:KLWZ@TEVLMh?#Fn0024>OH[VLXARHId3/Jj7773@EXSK]B_GDg6(Oi;8:0EB]PFRO\BCb5%@d?==5FOR]EWHYANm8&Ec;>0:KLWZ@TEVLMh?#Fn733?LITWOYFSKHk2,Km3464INQ\BVKXNOn8!D`=119JKVYA[DUMJi="Io124>OH[VLXARHId2/Jj1773@EXSK]B_GDg7(Oi=8:0EB]PFRO\BCb4%@d===5FOR]EWHYANm9&Ec9>0:KLWZ@TEVLMh>#Fn933?LITWOYFSKHk3,Km=1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JHO@IJ@l5CPL][KW4X901GXdcjr=2=e>JSadoy0<>1a:NWmhcu48;5m6B[ilgq844912F_e`k}<0<:?IRnelx7>374LUknaw:4601GXdcjr=6==>JSadoy0806;MVji`t;>730@Ygbes>4:<=K\`gn~1619:NWmhcu40437AZfmdpWG45?0037?IRnelx_ORmbp^gr4567:8>0@YgbesV@[fkwWl{;<=><159OPlkbz]ITo`~Pep234526<2F_e`k}TB]`iuYby9:;<864LUknawY702F_e`k}_0;8HQojm{U:<45CThofvZ7612F_e`k}_00;?IRnelxT>55CThofvZ5?3E^bah|P499OPlkbzV?37AZfmdp\2==K\`gn~R97;MVji`tX011GXdcjr^;10>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:6878?7AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1?>>368HQojm{UFmijP_`fg4567WDrd~R\jstnw8449::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=0=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;;7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1:1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?1;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz37?00?IRnelxTAljk_^cg`5678VGscQ]erwop9>9::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~753<9;MVji`tXEhnoSRokd1234ZTb{|fS=Qaou234576l2F_e`k}_Lcg`ZYflm:;<=QPaof34566m2F_e`k}_Lcg`ZYflm:;<=QPaof345669m1GXdcjr^Ob`aYXimn;<=>P_`lg45669l1GXdcjr^Ob`aYXimn;<=>P_`lg456698n0@Ygbes]NeabXWhno<=>?_^cm`567:8o0@Ygbes]NeabXWhno<=>?_^cm`567:8;o7AZfmdp\IdbcWVkoh=>?0^]bja678:;n7AZfmdp\IdbcWVkoh=>?0^]bja678:;:h6B[ilgq[HgclVUjhi>?01]\ekb789>:i6B[ilgq[HgclVUjhi>?01]\ekb789>:=i5CThofvZKflmUTmij?012\[dhc89:>=h5CThofvZKflmUTmij?012\[dhc89:>=d:NWmhcuWDkohRQnde2345YXign;<=6>e:NWmhcuWDkohRQnde2345YXign;<=6>1e9OPlkbzVGjhiQPaef3456XWhdo<=>61d9OPlkbzVGjhiQPaef3456XWhdo<=>610f8HQojm{UFmijP_`fg4567WVkeh=>>00g8HQojm{UFmijP_`fg4567WVkeh=>>0031?IRnelxTSljk01238586;2F_e`k}_^cg`56785;;2<=4LUknawYXimn;<=>310<27>JSadoySRokd12349756880@Ygbes]\eab789:7=3?=;MVji`tXWhno<=>?<3<26>JSadoySRokd12349599;1GXdcjr^]b`a67896?2<<4LUknawYXimn;<=>35?31?IRnelxTSljk01238386:2F_e`k}_^cg`56785=5=?5CThofvZYflm:;<=27>008HQojm{UTmij?012?=;3;N68KGSA=2EIYKK:;NF@Wwb;Qa8T+479:;;=>QC4:RBVQg;P78VD:76?1YM1??>99QE976294=7_O310<6?WG;97?0^L2=>49QE959=2XJ090:;SC?1;3TF414>7_O39?18VDK23[KFO^;4R@OFJa=UIDOES`{w0123a>TFELDTaxv?0122b>TFELDTaxv?01224c=UIDOES`{w012354`i;SCNAKYj}q:;<=<>f:PBI@HXe|r;<=>=2g9QEHCIWds<=>?22d8VDKBFVg~t=>?036e?WGJMGUfyu>?0106a>TFELDTaxv?0120a>TFELDTaxv?0127a>TFELDTaxv?0126a>TFELDTaxv?0125a>TFELDTaxv?0124a>TFELDTaxv?012;a>TFELDTaxv?012:=>TFEVXnmiw:;SCPAIbk4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRN01YMR7POCWEg>TFW0Ufyu>?01f8VDY>Wds<=>?1e9QEZ?Xe|r;<=>=d:PB[TB[{lTbbz?01321>THEJY=7_ABCR35?WIJKZ8=7_ABCR15?WIJKZ>=7_ABCR75?WIJKZ<=7_ABCR5a?WUXNZGTJKj>e:PP[CUJWOLo= Gaf:PP[CUJWOLo= Ga1g9QWZ@TEVLMh<#Fn3d8VVYA[DUMJi?"Io1e?WUXNZGTJKj>-Hl7b>TTWOYFSKHk1,Km1c=U[VLXARHId0/Jj3`0:PP[CUJWOLo> Ga1233?WUXNZGTJKj=-Hl20c=U[VLXARHId3/Jj7`5>2Xnmiw?6:Pfea6?2Xnmiw>rg9Qadb~9{UTc>?0133?Wcflp;ySRa}01235462Xnmiw=7:PfbAiimo1YikJ`nd]\kw6789;;7_kiDnlf[Ziu89:;=<>4RddGkkcXWfx;<=>=119QacBhflUTc>?01124>TbnMeeiRQ`r12341c1:2=T_591=3;4SV>0:1=Tmg~h7^kat^]lv5678m1XiczP_np34566m2YnbyQPos234577l2YnbyQPos23454c3ZoexRQ`r12346b<[ldSRa}01230a=Tmg~TSb|?0126`>Ubf}UTc>?014g?Vci|VUd~=>?06f8W`hsWVey<=>?8e9PakrXWfx;<=>6a:Qm`WGJKZ]Y86Z]UD`8PVYA[DUMJi?j;UQ\BVKXNOn:!D`i;UQ\BVKXNOn:!D`>f:VP[CUJWOLo= Ga2c9WWZ@TEVLMh?k4TR]EWHYANm8&Ech4TR]EWHYANm8&Ec?>0:VP[CUJWOLo> Ga11d8PVYA[DUMJi<"Io0e?QUXNZGTJKj=-Hl0b>RTWOYFSKHk2,Km0c=S[VLXARHId3/Jj0`<\ZUM_@QIFe0.Mk0a3]YTJ^CPFGf1)Lh0n2^XSK]B_GDg6(Oi0o1__RH\M^DE`7+Nf0h0X^QISL]Lqq6d3]YTJ^CPOtv35f=S[VLXARAzt107?Q_WM11_e`k}<1<;?Qojm{6:255[ilgq878?3]cfi2<>69Wmhcu\J;97YgbesV@[fkwWl{;<=>>3:Vji`tSKVif|Rk~0123545<\`gn~YMPclr\at67898:?6ZfmdpWGZejxVoz<=>?3018Plkbz]ITo`~Pep234526;2^bah|[C^antZcv89:;9:5[ilgq[5773]cfiQ?_^cm`56788;0Xdcjr^2\[dhc89:;=?2038PlkbzV:TSl`k012372=SadoyS?0038PlkbzV;TSl`k0123547<\`gn~R?P_`lg4567:>1_e`k}_333?Qojm{U9SRoad123447<\`gn~R:5[ilgq[6773]cfiQ<_^cm`56788;0Xdcjr^1\[dhc89:;=?2308PlkbzVGjhiQPaef3456XEqeyS_k|umv?5;453]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4;49>6Zfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1=11b9WmhcuWDkohRQnde2345YXign;<=>>d:Vji`tXEhnoSRokd1234ZYffm:;<=?>c:Vji`tXEhnoSRokd1234ZYffm:;<;UknawYXimn;<=>31?32?Qojm{UTmij?012?6;763]cfiQPaef3456;;7n0Xdcjr^cm`5678l1_e`k}_`lg45679j1^_H\PVHQJFIC43_IH56XFEV]W]UC43_ZJo6X_A^]bja6789n0Z]OP_`lg45679k1]\LQPos2345e<^YKTSb|?0122g>PWIVUd~=>?03a8RUGXWfx;<=><4:TSEwe<^YKySca{0122`>PWI{Uecy>?0035?RguxZO:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C?7UA]279[WQJNJ>1S_YQHNE58\VRX^JI=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf?1Sc1>1129[wq>?3:amp7=d{:1mo:4in`ng>ohjdUecy>?01f8mjdjWge<=>?159jkgu>3gKOcxzCE1g8jDBh}}FN?389mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNi5aAR]JKGYHJ\LNm6`NS^KLFjss>2dJ_b{{e:lBWjssWge<=>>f:lBWjssWge<=>>1g9mEVir|Vddx=>?13d8jDUh}}Uecy>?001e?kGTg|~Tbbz?01373>hFg|~DI55aAnwwK@7d3gKdyyAJ_N@VB0=iJLXY56`MESP\BVDf3gHN^_QISC3b?kDBZ[UM_O?2028jGTXAFHTaxv?012055=iJ[UBCOQbuy23452682dI^RG@B^ov|5678<;;7cL]_HMA[hs89:;:<>4nCP\MJDXe|r;<=>84:l@EVb;f:lGmkIBWhdo<=>?569m@jssGL20bIaztNG2<>hCg|~DI?64nEmvpJC4k2dOcxz@E^MAQC1hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNh5aLEQ\MJDXGK_MIo5aLEQ\MJDh}}i0bAJ\_`lg4566l2dGH^Qnne23447d3gFO_R``t1235a=iDMYTbbz?0132f>hKLZUd~=>?1b9mHAUXg{:;<n5aLEQ\kw67889h7cBKS^mq4566?0057?kJPM<1e@ZK>c:lOS@Yffm:;<=j4nMUF[dhc89:;=i5aLVG\ekb789:9h6`CWD]bja67899o7cBXE^cm`5678=90bBK;;oMF51=iGL837cAJ_N@VB==iGoy`lw>0:lLr`tkipUecy>?0032?kIqm{fjuR``t12354763gE}ibny^llp5679;;:7cAyesnb}Zhh|9:;=>?>;oMuawjfqVddx=>?1558jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV31e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?0e9mQAUXign;<=>>c:lV@VYig}:;<hQEH20b[CN_HMAa>hQEHUBCOQ@BTDFf>hQEHUBCOazte9mRHGXWhdo<=>?e:lUIDYXign;<=>>c:lUIDYXg{:;<=j4nWOB[Ziu89:;=i5aVLC\[jt789:9h6`YM@]\kw67899o7cXBA^]lv5678=n0b[CN_^mq4567=m1eZ@OP_np34561l2d]ALQPos23451c3g\FMRQ`r1234=b?00f8jSKFWhdo<=>?2e9mRHGXign;<=>hQXH;>7cX_A3:8jSVFWOYIh6`YP@]EWGYHJ\L37cX_A^KLFa=i^YKTEBLPICWE7>hPM>1e[HQISCa8jRCXNZHTEO[I3:pbi==k0?;32:><6?>6:017f46628>j>?ua35695>h4<<0=7)=;3;10a>{T0:088:4=088271d6880:8l<:;e10`?6=93;p_5:5355965?=9:>i==?515c16>pS:l;1<7?51;0af~U?<39?;74g43-8;m7:?6:`07a<72=h19;4n6zJ075=#;9=1?>j4Z6797~7=<3?1q)?n2;0e7>-4k00;66a89;29?j5?93:17d=:a;29?j5am3:17d=k5;29?j5c93:17b9m:188k6e12900e>8k:188k6e52900c>9n:188k6172900el?50;9jb5<722c84>4?::m01f<722e8:84?::k0=f<722e8o94?::k745<722e8h:4?::m0f=<722c5;h54>5<5<5<5<5<5<5<5<#:9i1><>4n32a>4=5<#:9i1><>4n32a>6=5<#:9i1><>4n32a>0=5<#:9i1><>4n32a>2=5<#:9i1><>4n32a><=5<#:9i1><>4n32a>g=1<7*=0b877>h58k0;76g;2;29 76d2=90b?>m:098m17=83.95$32`>1554i2g94?"58j0??6`=0c87?>o4l3:1(?>l:518j76e2<10e>m50;&14f<3;2d92=h58k0376g<9;29 76d2=90b?>m:898m6>=83.95$32`>15o4=3:1(?>l:518j76e2m10e9m50;&14f<3;2d9c=h58k0:<65f4883>!47k3>87co303:1(?>l:518j76e28807d:8:18'65e=<:1e>=l51298m10=83.940<3`8n97>5$32`>7c33g8;n7>4;h0f7?6=,;:h6?k;;o03f?7<3`8n>7>5$32`>7c33g8;n7<4;c175?6=93:1N4<91C?>>4og794?=zj:>96=4>:183!57?398=6F<419K766"48>0396T85;3x6?{#9h81>k=4i9294?=h9=i1<75`2g094?=n>j0;66g>i6;10;66g;0383>>i59j0;66a=8883>>i6890;66g>6;29 76d28?0b?>m:198m42=83.95:l14g<632c:?7>5$32`>43oa290/>=m5149m65d=<21bi7>5$32`>43!47k3;>7c5:l14g<032ci6=4+21a950=i:9h1465fa;29 76d28?0b?>m:898m<<72-8;o7?:;o03f?g<3`21<7*=0b821>h58k0i76g8:18'65e=9<1e>=l5c:9j2?6=,;:h6<;4n32a>a=h58k0n76g>d;29 76d28?0b?>m:g98f626290:6=4?{%133?`13A9?<6F<319lb0<722wi=kk50;194?6|,::<6k<4H263?M5482c<:7>5;h:;>5<N4<91C?>>4$026>5=n?o0;66g71;29?l112900e5650;9l65b=831vn<>>:18:>5<7s-9;;7N4;91bj54?::ke=?6=3`lj6=44ig`94?=nnj0;66gid;29?l`b2900ekh50;9l5cd=831vn<>=:18:>5<7s-9;;7N4;91bj54?::ke=?6=3`lj6=44ig`94?=nnj0;66gid;29?l`b2900ekh50;9l5cd=831vn<><:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`145<72:0;6=u+31596d=O;=:0D>=?;hd;>5<1<729q/?=952c9K716<@:9;7dh7:188mc?=831bjl4?::m2bg<722wi=9j50;094?6|,::<6N4;91bj:4?::m2bg<722wi=9k50;094?6|,::<6N4;91bj:4?::m2bg<722wi=9h50;094?6|,::<6N4;91bj:4?::m2bg<722wi=8>50;694?6|,::<6?l4H263?M5482cm47>5;hd:>5<>i6nk0;66sm3b394?5=83:p(>>8:3c8L6273A98<6gi8;29?l`>2900c7>50z&042<69>1C?9>4H213?l`02900c7>50z&042<69>1C?9>4H213?l`02900c7>50z&042<69>1C?9>4H213?l`02900c7>50z&042<69>1C?9>4H213?l`02900c50z&042<58?1C?9>4H213?l`?2900ek750;9l5cd=831vn?6i:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`1=5<72=0;6=u+31596g=O;=:0D>=?;hd;>5<>i6nk0;66sm12;94?5=83:p(>>8:325?M5382B8?=5ff983>>oa13:17b?ib;29?xd6;h0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd6;k0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd6;j0;6>4?:1y'751=:9<0D>:?;I104>oa03:17dh6:188k4`e2900qo?52z\5?87793lj7p}8:181[1<58::6kl4}r:94?4|V116==?5fb9~w<<72;qU563>008e`>{ti3:1>vPn;<335?`b3tyi6=4={_`894662ol0q~m50;0xZf=:9981j55rse83>7}Yl27:cd3:?247<:g58942a2o=01?6k:g58945>2o20q~?k:181[7c34;;=7h7;|q2a?6=:rT:i63>008e=>{t>j0;6>uQ6b9>656=n116?oh5f69~w=6=839pR5>4=0df>20<5:hh6:84}r334?6=:rT:<=5211195cd52z?244<6nk16===5f99~w4652909w0??2;3ef>;68:0m56s|12:94?4|V89370?{t9:31<74`e34;8h7h6;|q27d<72;q6=>o51g`8945d2o30q~?fc9>506=nh1v{t9ol1<7=><5;:>6=>51g`897622o30q~<:0da?847=3lj7p}=0583>7}::9>1=kl4=326>c>54z\1b7=Y:8i01>:>:g7896dd21;0q~<79;296~X50016>4>51g`8yv4?i3:1>v3=8`82bg=::1o1j45rs3:a>5<5s483n7?ib:?1=55m50;0x97>d28li70<7f;d;?xu50m0;6?u229f95cd<5;2m6k74}r0;a?6=:r794h4>fc9>6<6=n01v?6i:18184?n3;mn63=918ee>{t;ki1<7=t=2``>76c3483m7h8;<30f?`03ty8ni4?:3y>7ge=?o16?n?51g`8yv5em3:1>v35<5s49ij7?ib:?0g450;0x96e728li70=l1;d;?xu4k00;6>uQ3b;896dd21201>lj:g58yv27:3:1>vP;039>51c=n>1vqo:?5;2976<>k3h2wE=<0:&042<59k1Q;84={080>x"6i;09j>5f8183>>i4ll0;66g<5883>>i4l80;66a>i4?h0;66g9c;29?l4cj3:17b<<8;29?j5e03:17d=6f;29?l4dm3:17d:?2;29?l5c<3:17d<>c;29?j5dm3:17d<79;29?j5e93:17b<>1;29 76d2;;;7cl:333?k47j3;07b<>a;29 76d2;;;7cl:333?k47j3907b<>8;29 76d2;;;7cl:333?k47j3?07b<>6;29 76d2;;;7cl:333?k47j3=07b<>4;29 76d2;;;7cl:333?k47j3307b<>2;29 76d2;;;7cl:333?k47j3h07d?<:18'65e=9;1e>=l50:9j54<72-8;o7?=;o03f?7<3`l1<7*=0b826>h58k0976gj:18'65e=9;1e>=l53:9j`?6=,;:h6<<4n32a>1=5<#:9i1=?5a21`93>=n13:1(?>l:008j76e2110e54?:%03g?753g8;n774;h594?"58j0:>6`=0c8b?>o1290/>=m5139m65d=j21b=h4?:%03g?753g8;n7m4;h3g>5<#:9i1=?5a21`9`>=n9j0;6)=l5f:9j5d<72-8;o7?=;o03f?7732c:57>5$32`>445<#:9i1=?5a21`957=h58k0:?65f1183>!47k3;97cd4<80;6<4?:1y'751=n?1C?9>4H213?j`22900qo5;h:;>5<N4<91C?>>4i6494?=n010;66a=0e83>>{e:jn1<7=50;2x 6602o80D>:?;I104>o0>3:17d67:188k76c2900qot$224>c4<@:>;7E=<0:k42?6=3`236=44o32g>5<6<729q/?=95f39K716<@:9;7)??5;28m20=831b454?::m14a<722wi>>750;194?6|,::<6k<4H263?M5482.:<84?;h55>5<?2900c?>k:188yg50i3:1?7>50z&0424?50;194?6|,::<6k<4H263?M5482.:<84?;h55>5<?2900c?>k:188yg4fj3:1?7>50z&0425;|`0`c<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`0f7<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`0gc<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`1`4<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`1`7<72:0;6=u+31596d=O;=:0D>=?;hd;>5<7<729q/?=951058L6273A98<6gi7;29?j7aj3:17pl=b283>7<729q/?=951058L6273A98<6gi7;29?j7aj3:17pl=b583>6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f61e29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f6b529086=4?{%133?47>2B88=5G3228mc>=831bj44?::m2bg<722wi?o750;094?6|,::<6N4;91bj:4?::m2bg<722wi?oo50;194?6|,::<6?>9;I174>N4;91bj54?::ke=?6=3f;mn7>5;|`0a4<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`0a7<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`0a6<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`0a1<72<0;6=u+31596f=O;=:0D>=?;hd;>5<>oaj3:17b?ib;29?xd4j=0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd4j<0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd4j?0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd4j>0;684?:1y'751=:j1C?9>4H213?l`?2900ek750;9jbd<722cmn7>5;n3ef?6=3th98k4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb373>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb372>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd5=;0;6>4?:1y'751=:9<0D>:?;I104>oa03:17dh6:188k4`e2900qo<:7;297?6=8r.8<:4=a:J005=O;::0ek650;9jb<<722e:jo4?::a60>=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a60?=8391<7>t$224>7g<@:>;7E=<0:ke5<m7>53;294~"48>09<;5G3528L6573`l36=44ig;94?=h9oh1<75rb37g>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd5=l0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd5=o0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn?8?:180>5<7s-9;;7t$224>7g<@:>;7E=<0:ke5<52;294~"48>0:=:5G3528L6573`l<6=44o0da>5<53;294~"48>09m6F<419K766>i6nk0;66sm27494?5=83:p(>>8:325?M5382B8?=5ff983>>oa13:17b?ib;29?xd5>00;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn?8n:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn?8m:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`12f<72:0;6=u+3159650<@:>;7E=<0:ke5<53;294~"48>09m6F<419K766>i6nk0;66sm26294?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm26394?5=83:p(>>8:3c8L6273A98<6gi8;29?l`>2900c50z&042<58?1C?9>4H213?l`?2900ek750;9l5cd=831vn?9::180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`133<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`132<72:0;6=u+31596d=O;=:0D>=?;hd;>5<>i6nk0;66sm26`94?5=83:p(>>8:3c8L6273A98<6gi8;29?l`>2900c7>50z&042<69>1C?9>4H213?l`02900c50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722wi>:k50;194?6|,::<6?>9;I174>N4;91bj54?::ke=?6=3f;mn7>5;|`1<7<72:0;6=u+31596d=O;=:0D>=?;hd;>5<6<729q/?=952148L6273A98<6gi8;29?l`>2900c50z&042<58?1C?9>4H213?l`?2900ek750;9l5cd=831vn?oj:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn?oi:186>5<7s-9;;7N4;91bj54?::ke=?6=3`lj6=44ig`94?=h9oh1<75rb3`3>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd5j80;6>4?:1y'751=:9<0D>:?;I104>oa03:17dh6:188k4`e2900qo<6d;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo5;n3ef?6=3th9m84?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th9m;4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th9m:4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb3c;>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb3c:>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd5ih0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn?7j:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`1=c<72:0;6=u+3159650<@:>;7E=<0:ke5<53;294~"48>09<;5G3528L6573`l36=44ig;94?=h9oh1<75rb3c2>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd5i;0;694?:1y'751=:9=0D>:?;I104>oa03:17dh6:188mcg=831d=kl50;9~f7?429096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7?329096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7?229086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th95;4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th95:4?:283>5}#;9=1>=84H263?M5482cm47>5;hd:>5<N4<91C?>>4ig:94?=nn00;66a>fc83>>{e:031<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qo<6a;297?6=8r.8<:4=079K716<@:9;7dh7:188mc?=831d=kl50;9~f6e029096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7db29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7da29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7e729086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th9o<4?:283>5}#;9=1>=84H263?M5482cm47>5;hd:>5<N4<91C?>>4ig:94?=nn00;66a>fc83>>{e:j>1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e:j?1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e:j<1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e:j=1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e:j21<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qot$224>7613A9?<6F<319jb=<722cm57>5;n3ef?6=3th9oo4?:583>5}#;9=1>o5G3528L6573`l36=44ig;94?=nnh0;66a>fc83>>{e::h1<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qo<;5;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo<;6;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo<;7;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo<;8;297?6=8r.8<:4=a:J005=O;::0ek650;9jb<<722e:jo4?::a61?=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a61g=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a61d=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a61e=8391<7>t$224>7g<@:>;7E=<0:ke5<53;294~"48>09m6F<419K766>i6nk0;66sm22f94?5=83:p(>>8:3c8L6273A98<6gi8;29?l`>2900c50z&042<58?1C?9>4H213?l`?2900ek750;9l5cd=831vn?=i:180>5<7s-9;;7t$224>7g<@:>;7E=<0:ke5<53;294~"48>09m6F<419K766>i6nk0;66sm25094?5=83:p(>>8:325?M5382B8?=5ff983>>oa13:17b?ib;29?xd5<:0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn?:;:180>5<7s-9;;7t$224>4703A9?<6F<319jb2<722e:jo4?::a67g=8391<7>t$224>7613A9?<6F<319jb=<722cm57>5;n3ef?6=3th9?<4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th9??4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th9?>4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th9?94?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb316>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb315>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb314>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb303>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb302>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb301>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb300>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd5:=0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn?<::180>5<7s-9;;7t$224>7g<@:>;7E=<0:ke5<55;294~"48>09o6F<419K766>oai3:17dhm:188k4`e2900qo<=8;297?6=8r.8<:4=079K716<@:9;7dh7:188mc?=831d=kl50;9~f74>29086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th9>o4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb30`>5<4290;w)=?7;032>N4<91C?>>4ig:94?=nn00;66a>fc83>>{e:;n1<7=50;2x 6602;:=7E=;0:J075=nn10;66gi9;29?j7aj3:17pl=2d83>6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f74a29086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th9?=4?:283>5}#;9=1>=84H263?M5482cm47>5;hd:>5<8?5f99~w2<72;qU;63=588e<>{t03:1>vP7;<06b?`?3ty26=4={_;897022o20q~o50;0xZd=::?h1j55rsc83>7}Yj279;<4i8:pg?6=:rTh70<87;d;?xuc2909wSj4=35g>c>1;296~X692794?4i9:p52<72;qU=:522459b<=z{821<7348=?7h6;|q2e?6=:rT:m63=688e=>{t9k0;6?uQ1c9>63`=n01v6k74}r3g>5<5sW;o70<8b;d:?xu1k3:15vP9c:?5b?>?348jj7h7;<0b0?`>348j47h8;<0`7?`>348?97h8;<073489>7h8;|q5b?6=;59m0<:63=38842>;5kj0<:63=90842>;51k0<:63=ac842>;4?k0m;6s|1g:94?4|5:>:6k;4=3``>=752z\14`=::<81=kl4}r03b?6=:rT:?6P=0g9~w7762908wSh4^332?84?<3l37p}=1383>7}Y:8801?;n:0da?xu59:0;6?uQ2018970728li7p}=1583>7}Y:8>01?89:0da?xu59<0;6?uQ2078970d28li7p}=1783>7}Y:8<01?9=:0da?xu59>0;6?uQ2058971?28li7p}=1983>7}Y:8201?9j:0da?xu5900;6?uQ20;8Z`=z{;;j6=4={_02e>;50<0:jo5rs33g>5<3s48:h7=4>fc9>671=nh1v?<>:18184593;mn63=268ef>{t:;81<74`e3489j7h6;|q166<72;q6>?=51g`8974?2o20q~<=4;296~;5:=0:jo5223:9b<=z{;8>6=4={<011?7aj279>44i8:p670=838p1?<9:0da?845j3l27p}=2683>7}::;=1=kl4=30g>c?52z?16=<6nk16>?m5f99~w74>2909w0<=9;3ef>;5:j0m56s|23c94?4|5;8j6o4?:3y>67d=9oh01?v3=2b82bg=::;o1j45rs30g>5<5s489h7?ib:?16c?k50;0x974b28li70<<0;d;?xu5:o0;6?u223d95cd<5;9;6k74}r005?6=:r79?<4>fc9>672=n01v?==:181844:3;mn63=248e=>{t::91<74`e348957h6;|q171<72;q6>>:51g`8974e2o20q~<<5;296~;5;<0:jo522349b<=z{;9=6=4={<002?7aj279>:4i8:p661=838p1?=8:0da?845?3l27p}=3883>42|5;926?>k;<14b?`0348?j7h7;<064?`0348>;7h7;<06h7h7;<06a?`0348=?7h7;<050?`0348=57h7;<05e?`0348=j7h7;<044?`0348<97h7;<042?`03487h7;<0;7?`03ty9?l4?:3y>66?=0116>9:51g`8yv44j3:1>v3=3c82bg=::=i1j55rs31`>5<5s488o7?ib:?107>j50;0x975c28li70<;6k74}r00b?6=:r79?k4>fc9>617=n11v?:?:18184383;mn63=458e<>{t:=;1<74`e348?>7h6;|q107<72;q6>9<51g`897242o20q~<;3;296~;5<:0:jo522569b<=z{;>>6=4={<071?7aj2798n4i9:p610=838p1?:9:0da?844m3l27p}=4683>7}::==1=kl4=31`>c?52z?10=<6nk16>>j5f99~w72>2909w0<;9;3ef>;5;m0m56s|25c94?4|5;>j63ty98o4?:3y>61d=9oh01?:<:g;8yv43k3:1>v3=4b82bg=:::o1j55rs36f>5<5s48?j7?ib:?1179h50;0x973728li70<:1;d:?xu5=90;6?u224395cd<5;?96k74}r062?6=:r799:4>fc9>60g=n11v?;8:18184203;mn63=588e=>{t:<21<74`e348>m7h6;|q11f<72;q6>8j51g`897072o20q~<:d;296~;5=l0:jo5224d9b<=z{;?n6=4={<06b?7aj279:=4i9:p634=838p1?8<:0da?841>3l37p}=6283>7}::?>1=kl4=346>c?52z?120<6nk16>;85f89~w70?2909w0<99;3ef>;5>j0m46s|27;94?4|5;3ty9:l4?:3y>63d=9oh01?8l:g;8yv41m3:1>v3=6g82bg=::>81j55rs34e>5<5s48<<7?ib:?134:>50;0x971628li70<82;d:?xu5?=0;6?u226795cd<5;=36k64}r041?6=:r79;;4>fc9>621=n01v?99:181840?3;mn63=798e=>{t:>k1<74`e348:m51g`8971c2o30q~<8c;296~;5?m0:jo5226g9b<=z{;2:6=4={<0;6?7aj279484i8:p6=4=838p1?6<:0da?84?<3l27p}=8283>7}::1>1=kl4=3:6>c?52z\1<<=:;jl1j:5rs3;2>5<68r795<4=0e9>6g5=n>16>lj5f99>6d`=nh16>l=5f99>6d1=n016>485f69>6ok5f69>6f3=n>16>>l5f89>61d=n>16>?o5f99>665=n>16>>:5f99>661=n>1v?7=:18184>932370<6a;3ef>{t:091<74`e3482;7h7;|q1=1<72;q6>4:51g`897?02o30q~<65;296~;51<0:jo5228:9b==z{;3=6=4={<0:2?7aj279554i9:p6<1=838p1?78:0da?84>13l27p}=9983>7}::021=kl4=3;b>c?52z?1=<<6nk16>4o5f99~w7?e290:=v3=9c814a=::k>1j5522`f9b<=::hl1jo522`49b2=::h31j5522819b2=::0?1j5522b29b==::j<1j:522549b2=::=k1j:5222a9b==::8l1j:5223c9b<=:::>1j4522329b2=z{;3h6=4={<0:f?>?348j>7?ib:p67}::0o1=kl4=3c1>cg52z?1=c<6nk16>l>5f89~w7g72909w0;5i80m46s|2`394?4|5;k:64?:3y>6d5=9oh01?o?:g:8yv4f<3:1>v3=a582bg=::hk1j55rs3c6>5<5s48j97?ib:?1edl850;0x97g128li700;6?u22`595cd<5;3n6k64}r0bfc9>6{t:hk1<74`e3482j7h6;|q1eg<72lq6>ll521f897gb2o=01?7k:g5897g42o301?7;:g5897?22o301?m8:g5897202o=01?:?:g:897562o=01?<>:g5897442o201?<::g:897412o20q~7}::hl1=kl4=3`2>c?52z?1f5<6nk16>o?5f99~w7d52909w0;5j=0m56s|2ca94?5|5;hh6?>k;<0g5?`0348oh7h8;|q1fa<72;q6>om57g9>6f7=9oh0q~6}::jh1=kl4=311>c1<5;9=6k94}r0`7?6=:r79o>4>fc9>6f>=n11v?m;:18184d<3;mn63=c98e=>{t:j?1<74`e348hn7h7;|q1g3<72;q6>n851g`897e>2o20q~0:jo522b;9b<=z{;i36=4={<0`7}::jk1=kl4=3aa>c?52z?1gf<58m16?n95f69~w7ec290?w0;5i=0m463=c28e<>;5<10m46s|2bg94?4|V;in70=j4=3f1>c><5;hm6k94}r0g4?6=:r79oi478:?1`7<6nk1v?j>:18184c93;mn63=d38e=>{t:mk1<76t=3fb>76c348jj7h6;<0b3?`?3482j7h7;<0`e?`?3488n7h7;<00b?`?348897h8;|q1`g<72;qU>il4=3fe>=>52z?1`dfc9~w7bc2909w0;5ll0m56s|2ed94?4|5;nm6?>k;<0ga?`?3ty8854?:3y>6fe=0116>o:51g`8yv5213:19vP<589>7a4=n016?oo5f99>7`7=n>16?o:5f69~w61f2909wS=8a:?03d<58m1v>9l:181850i3==70=8b;3ef>{t;>o1<7=><5:=m6c152z\0f4=:;k81=kl4}r1a7?6=:r78n?4i7:?0f2<6nk1v>l;:18185e<3;mn63{t;k?1<74`e349i;7hn;|q0f3<72;q6?o851g`896d02oh0q~=m8;296~X4j116?oo51g`8yv5e13:1>v35<5sW9h:63;4ko0:jo5rs2f2>5<5sW9o=63;4m:0m;6s|3eg94?4|V:nn70=kf;3ef>{t;l:1<7c1<5:o?6=;<1g6?`?349i57h8;<1f6?`0349i97h8;|a71`=83;>6;o577yK766<,::<6<5;h37g?6=3`92n7>5;h32=?6=3`9>57>5;h4`>5<5<5<5<:6=44i2;b>5<5<5<>i4>00;66l<4083>4<729q/?=95f79K716<@:9;7bh::188yg>>290>6=4?{%133?`43A9?<6F<319'553=82c5;h:2>5<<1<75f8983>>i58m0;66sm2d494?3=83:p(>>8:g18L6273A98<6*>0483?l1a2900e5?50;9j33<722c347>5;n03`?6=3th9;7>55;294~"48>0m?6F<419K766l1<75f8083>>o0>3:17d67:188k76c2900qo69:180>5<7s-9;;7h=;I174>N4;91b;;4?::k;5;|`2b3<72:0;6=u+3159b7=O;=:0D>=?;h55>5<:186>5<7s-9;;7h<;I174>N4;91b;k4?::k;5?6=3`==6=44i9:94?=h:9n1<75rb043>5<2290;w)=?7;d0?M5382B8?=5f7g83>>o?93:17d99:188m=>=831d>=j50;9~f4?c290>6=4?{%133?`43A9?<6F<319j3c<722c3=7>5;h55>5<36=4::183!57?3l87E=;0:J075=n?o0;66g71;29?l112900e5650;9l65b=831vn<8j:186>5<7s-9;;7h<;I174>N4;91b;k4?::k;5?6=3`==6=44i9:94?=h:9n1<75rb0d94?5=83:p(>>8:g08L6273A98<6g86;29?l>?2900c?>k:188yg7293:1?7>50z&0425<?2900c?>k:188yg4a<3:1?7>50z&0425;|`1b=<72:0;6=u+3159b7=O;=:0D>=?;%331?6<1<75f8983>>i58m0;66sm39f94?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm15294?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm15c94?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm18d94?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm2883>6<729q/?=952148L6273A98<6gi8;29?l`>2900c7>50z&042<69>1C?9>4H213?l`02900c3:1?7>50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722wi?;o50;094?6|,::<6N4;91bj:4?::m2bg<722wi?;l50;194?6|,::<6?>9;I174>N4;91bj54?::ke=?6=3f;mn7>5;|`227<72:0;6=u+31596d=O;=:0D>=?;hd;>5<6483>6<729q/?=952148L6273A98<6gi8;29?l`>2900c50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722wi=9:50;094?6|,::<6N4;91bj:4?::m2bg<722wi=9;50;094?6|,::<6N4;91bj:4?::m2bg<722wi=9850;194?6|,::<6?o4H263?M5482cm47>5;hd:>5<=?;hd;>5<9883>7<729q/?=951058L6273A98<6gi7;29?j7aj3:17pl>9`83>7<729q/?=951058L6273A98<6gi7;29?j7aj3:17pl>9c83>6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f4?d29086=4?{%133?47>2B88=5G3228mc>=831bj44?::m2bg<722wi?4>50;194?6|,::<6?o4H263?M5482cm47>5;hd:>5<=?;hd4>5<=?;hd4>5<N4<91C?>>4ig:94?=nn00;66a>fc83>>{e;0>1<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qo169K716<@:9;7dh8:188k4`e2900qo5;n3ef?6=3th9jh4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th9jk4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th8<=4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb222>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd48;0;6>4?:1y'751=:9<0D>:?;I104>oa03:17dh6:188k4`e2900qo=?3;297?6=8r.8<:4=a:J005=O;::0ek650;9jb<<722e:jo4?::a6cd=8391<7>t$224>7613A9?<6F<319jb=<722cm57>5;n3ef?6=3th9j;4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb3d4>5<4290;w)=?7;032>N4<91C?>>4ig:94?=nn00;66a>fc83>>{e0k0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn5m50;094?6|,::<6N4;91bj:4?::m2bg<722wi4i4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th3i7>52;294~"48>0:=:5G3528L6573`l<6=44o0da>5<5;n3ef?6=3th2=7>53;294~"48>09<;5G3528L6573`l36=44ig;94?=h9oh1<75rb070>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb076>5<4290;w)=?7;032>N4<91C?>>4ig:94?=nn00;66a>fc83>>{e9<<1<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qo?:7;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo?:8;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo?:9;297?6=8r.8<:4=a:J005=O;::0ek650;9jb<<722e:jo4?::a50g=8391<7>t$224>7g<@:>;7E=<0:ke5<n7>53;294~"48>09<;5G3528L6573`l36=44ig;94?=h9oh1<75rb07`>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd6==0;6>4?:1y'751=:9<0D>:?;I104>oa03:17dh6:188k4`e2900qo?<0;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo?<1;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo?<2;296?6=8r.8<:4>169K716<@:9;7dh8:188k4`e2900qo?<3;297?6=8r.8<:4=079K716<@:9;7dh7:188mc?=831d=kl50;9~f45329086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th:?84?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb015>5<3290;w)=?7;033>N4<91C?>>4ig:94?=nn00;66gia;29?j7aj3:17pl>7183>6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f41629086=4?{%133?47>2B88=5G3228mc>=831bj44?::m2bg<722wi=:<50;094?6|,::<6N4;91bj:4?::m2bg<722wi=:=50;094?6|,::<6N4;91bj:4?::m2bg<722wi=::50;194?6|,::<6?o4H263?M5482cm47>5;hd:>5<N4<91C?>>4ig:94?=nn00;66a>fc83>>{e9><1<7:50;2x 6602;h0D>:?;I104>oa03:17dh6:188mcg=831d=kl50;9~f40029086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th::54?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th::44?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th::l4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th::o4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb04`>5<4290;w)=?7;032>N4<91C?>>4ig:94?=nn00;66a>fc83>>{e9?n1<7:50;2x 6602;h0D>:?;I104>oa03:17dh6:188mcg=831d=kl50;9~f7c?29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7cf29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7ce29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7cd29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7cc29096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f7cb29086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th9ik4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb3d3>5<4290;w)=?7;032>N4<91C?>>4ig:94?=nn00;66a>fc83>>{e:o;1<7:50;2x 6602;h0D>:?;I104>oa03:17dh6:188mcg=831d=kl50;9~f7c>29086=4?{%133?47>2B88=5G3228mc>=831bj44?::m2bg<722wx=k4?:3y]5c=:9o09503=n116=>?5f69>524=n>16=;m5f99>6`d=n>1v?650;0x971=?o16>44>fc9~w3e=833pR;m4=9;9<==::l<145522gf9b<=:0l0m;63>578e=>;6?90m463>668e=>;5mm0m;6s|8183>44|V1:01575779>6`0=??16>:486:?;2?1134;m:799;<3:2?1134;?=799;<354?1134;2h799;<3720<58?:6:84=00`>20<589n6:84=3d7>20<5;l36:84}r:5>5<5s42=6?>k;<0:>c>=><583=6:h4=062>2`<5::=6fc9>fc9>=4fc9>=434;9o767;<304?`03ty:>n4?:4y>57e=:9n01?hn:g5897`12o3015h5f99>505=n>1v<fc9~w4572909w0?<0;3ef>;6;:0m56s|12394?4|589:63ty:??4?:3y>564=9oh01<=9:gc8yv74;3:1>v3>3282bg=:9:?1j45rs017>5<5s4;887?ib:?273;50;0x945228li70?<6;d:?xu6;10;64uQ12:896?72o201?hk:g:89=d=n116=885f99>564=n>16=:>5f89>531=n116>k?5f89~w45b2909w0?;6;:0m46s|12d94?4|589n6564=063>4`e3ty:8<4?:3y]517<58>:6?>k;|q207<72;q6=9?5899>511=9oh0q~?;3;296~;6<:0:jo521559b==z{8>?6=4={<370?7aj27:8;4i8:p513=838p1<:::0da?873>3l27p}>4783>7}:9=<1=kl4=064>c?52z?20=<58m16=9=5f89~w42>2909w0?;8;:;?873i3;mn6s|15a94?3|V8>h706l:g5894532o201<9;:g:897`72o20q~?:1;296~X6=816=8?521f8yv72:3:1>v3>508;<>;6==0:jo5rs070>5<5s4;>?7?ib:?21<fc9>50g=n01v<;7:18187203;mn63>5b8e=>{t9<31<74`e34;>87h7;|q21d<72;q6=8o51g`8943e2o30q~?:b;296~;6=k0:jo5214a9b==z{8?h6=4={<36g?7aj27:994i9:p536=838pR<8?;<354?47l2wx=;?50;0x940721201<8::0da?xu6>;0;6?u217095cd<58<>6k64}r357?6=:r7::>4>fc9>532=n01v<8;:181871<3;mn63>648e=>{t9?<1<72`<5810:jo5217`9b<=z{8<26=4={<35=?7aj27::i4i8:p53g=838p1<8n:0da?871l3lj7p}>6c83>7}:9?h1=kl4=04`>c?52z?22f<6nk16=;j5f89~w40b2909w0?9e;03`>;6>;0m56s|17d94?4|58=;63ty:;=4?:3y>527=9oh01<9;:g;8yv7093:1>v3>7382bg=:9>?1j55rs051>5<5s4;52z?2=3fc9~w4??2909w0?68;3ef>;61j0m46s|18;94?4|583265j3:1>v3>9c82bg=:90i1j45rs0;g>5<5s4;2h7;6n?09{t9o=1<7=t=0d5>=><584`e3ty:j54?:`y>717=n<1644471:?1a3;<3:2?>634;?=76>;<354?>634;2h76>;<37634;=i76>;|q1<<<72;qU>574=2;1>c157z?1a3<58m16>kk5f69>h950;0x97c12>l01?k6:0da?xu5m10;6?u22d:95cd<5;on6k64}r0fe?6=:r79il4>fc9>6`c=n01v?km:18184bj3;mn63=eg8e<>{t:li1<74`e348nj7h6;|q1aa<72;q6>hj51g`897c>2o30q~7}::o;1=kl4=3g:>c>58z?1b1<58m16?=;5f69>6c`=n>16>k85f99>50>=n>16=:?5f89>53?=n>16>ho5f69~w7`22909w03ty9j54?:cy>5c750=n116>km5f89>756=n116>k95f99>503=n016=875f99>527=n116=;o5f69>6`>=n>1v?h6:18184a032370{t:ok1<74`e349;<7h6;|q1bf<72;q6>km51g`896652o20q~7}:;9:1=kl4=3da>c>52z?044<6nk16?=<5f89~w6652909w0=?2;3ef>;48:0m46s|31194?4|5::863ty8<94?:3y>753=9oh01>>9:g;8yv5213:1>vP<589>7<7=n>1v>86:181[511278:o4>fc9~w60f2909w0=9a;3ef>;4>k0m56s|39a94?4|V:2h70=7d;3ef>{t;1l1<7c1<5:3?651g`896?32o20q~=61;296~;4180:jo523819b==z{:396=4={<1:6?7aj2785>4i9:p7<5=838p1>7<:0da?85><3l27p}<9`83>7}Y;0k01>8m:g:8yv5>j3:1>vP<9c9>516=n>1v>7i:180[5>n27:8l4i7:?200c><5:3;6k74}|`00g<7200:?7?8{I104>"48>0m86*>a381b6=h;oh1<75`39294?=n;<31<75`6b83>>oa83:17d3:17d7290>6=4?{%133?`43A9?<6F<319j3c<722c3=7>5;h55>5<=<:186>5<7s-9;;7h<;I174>N4;91b;k4?::k;5?6=3`==6=44i9:94?=h:9n1<75rb2294?5=83:p(>>8:g08L6273A98<6*>0483?l112900e5650;9l65b=831vn;j50;094?6|,::<6N4;91bj:4?::m2bg<722wi:h4?:283>5}#;9=1>=84H263?M5482cm47>5;hd:>5<5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb2:5>5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rb2:4>5<4290;w)=?7;032>N4<91C?>>4ig:94?=nn00;66a>fc83>>{e;:?1<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qo169K716<@:9;7dh8:188k4`e2900qot$224>4703A9?<6F<319jb2<722e:jo4?::a7ce=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a7cb=8391<7>t$224>7613A9?<6F<319jb=<722cm57>5;n3ef?6=3th8?:4?:283>5}#;9=1>=84H263?M5482cm47>5;hd:>5<=?;hd4>5<=?;hd;>5<69:g5896522o201?l6:g58965?2o=0q~8k:18180c28li708j:g;8yv`7290>wSh?;<1;4?11348i;799;<107?11349:6k94}r334?6=;rT:<=523959b==:;:?1j45rs0d;>5<3s49?=7h:;<1;4?>6348i;76>;<107?>63ty9n;4?:2y]6g0<5;hj6k64=214>c>52z?1f2<58m16?>95f89~w7d?2909w03ty8?>4?:3y>765=:9n01>hl:g58yv54<3:1>v3<328;<>;4;<0:jo5rs215>5<4s498?79i;<1;4?1a349857?ib:p761=838p1>=8:0da?85413l37p}<3983>7}:;:21=kl4=21:>c?57>54z\01<=:>m0m;63<4;d4?85al3l37p}<8183>7}Y;1:01>6?:32g?xu40<0;6?u23929<==:;1=1=kl4}r1;2?6=:r784;4>fc9>7=1=n01v>hm:181[5aj278ji4>fc9~w6`d2909w0=ic;3ef>;4nm0m56srb26`>5<6<3k:6ljtH213?!57?3;9h6*>a381b6=n;oh1<75`34d94?=n;1:1<75f1`394?=h9831<75fa083>>o4k00;66g>5083>>o0l3:17d98:188k6?a2900e<:m:188m32=831d?i:50;9j5d6=831b?;650;9l7=>=831d?4;50;9l5c<722e8i84?::`004<7280;6=u+3159b3=O;=:0D>=?;nd6>5<55;294~"48>0m?6F<419K766l1<75f8083>>o0>3:17d67:188k76c2900qoon:180>5<7s-9;;7h=;I174>N4;91b;;4?::k;5;|`aa?6=;3:1N4<91C?>>4i6494?=n010;66a=0e83>>{ejh0;6>4?:1y'751=n;1C?9>4H213?l112900e5650;9l65b=831vno850;194?6|,::<6k<4H263?M5482c<:7>5;h:;>5<5<4290;w)=?7;d1?M5382B8?=5f7783>>o?03:17b50z&0425;h:;>5<5<4290;w)=?7;d1?M5382B8?=5f7783>>o?03:17b4?:1y'751=n;1C?9>4H213?l112900e5650;9l65b=831vn>7i:180>5<7s-9;;7h=;I174>N4;91b;;4?::k;5;|`01c<72:0;6=u+3159b7=O;=:0D>=?;h55>5<t$224>c4<@:>;7E=<0:k42?6=3`236=44o32g>5<53;294~"48>0m>6F<419K766<1<75f8983>>i58m0;66sm7183>6<729q/?=95f39K716<@:9;7d99:188m=>=831d>=j50;9~f<4=8391<7>t$224>c4<@:>;7E=<0:k42?6=3`236=44o32g>5<h7>53;294~"48>0m>6F<419K766<,8:>6=5f7783>>o?03:17b50z&0425;|`:3?6=;3:1N4<91C?>>4$026>5=n??0;66g78;29?j47l3:17pl>7683>6<729q/?=95f39K716<@:9;7)??5;28m20=831b454?::m14a<722wi=:j50;194?6|,::<6k<4H263?M5482.:<84?;h55>5<?2900c?>k:188yge229086<4<{I104>"48>0:m95f9483>>o>>3:17b3:1?7>50z&042<4;o1C?9>4H213?l`?2900ek750;9l5cd=831v4;50;0xZ<3<5:>=6k74}r;5>5<5sW3=70=;6;d;?xu5m90;6?uQ2d28962128li7psmc583>6<62:qC?>>4$224>4g33`3>6=44i8494?=h:l:1<75m35494?5=83:p(>>8:21e?M5382B8?=5ff983>>oa13:17b?ib;29?xu>=3:1>vP65:?0035<5sW8n<63<4782bg=zuki86=4<:080M5482.8<:4>a59j=0<722c2:7>5;n0f4?6=3k9?:7>53;294~"48>08?k5G3528L6573`l36=44ig;94?=h9oh1<75rs8794?4|V0?01>:9:g;8yv?12909wS79;<172?`?3ty9i=4?:3y]6`6<5:>=6uG3228 66028k?7d7::188m<0=831d>h>50;9a710=8391<7>t$224>65a3A9?<6F<319jb=<722cm57>5;n3ef?6=3ty297>52z\:1>;47}Y1?16?985f99~w7c72909wSt$224>7g<@:>;7E=<0:ke5<>1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e:121<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e;:i1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e;h21<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e;mn1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{e;lk1<7<50;2x 66028;<7E=;0:J075=nn>0;66a>fc83>>{ek90;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xdd93:1?7>50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722winn4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3thih7>53;294~"48>09m6F<419K766>i6nk0;66smb983>7<729q/?=951058L6273A98<6gi7;29?j7aj3:17plm9;297?6=8r.8<:4=a:J005=O;::0ek650;9jb<<722e:jo4?::af1<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`a1?6=;3:1N4<91C?>>4ig:94?=nn00;66a>fc83>>{ek>0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vnn750;194?6|,::<6?o4H263?M5482cm47>5;hd:>5<5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd0:3:1>7>50z&042<69>1C?9>4H213?l`02900c7>50z&042<69>1C?9>4H213?l`02900c>3:1>7>50z&042<69>1C?9>4H213?l`02900c?3:1>7>50z&042<69>1C?9>4H213?l`02900c03:1?7>50z&042<58?1C?9>4H213?l`?2900ek750;9l5cd=831vn>6m:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`2=?;hd;>5<8g83>7<729q/?=951058L6273A98<6gi7;29?j7aj3:17pl>9183>7<729q/?=951058L6273A98<6gi7;29?j7aj3:17pl>9083>7<729q/?=951058L6273A98<6gi7;29?j7aj3:17pl>9383>6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f4?429086=4?{%133?47>2B88=5G3228mc>=831bj44?::m2bg<722wi=4:50;694?6|,::<6?l4H263?M5482cm47>5;hd:>5<6=4<:183!57?38;:6F<419K766>i6nk0;66sm16d94?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm19194?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm19694?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm19794?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm19494?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm19594?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm19:94?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm19;94?3=83:p(>>8:3a8L6273A98<6gi8;29?l`>2900eko50;9jbg<722e:jo4?::a5=g=8391<7>t$224>7g<@:>;7E=<0:ke5<54;294~"48>09<:5G3528L6573`l36=44ig;94?=nnh0;66a>fc83>>{e91;1<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qo?72;297?6=8r.8<:4=079K716<@:9;7dh7:188mc?=831d=kl50;9~f41>29086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th:;l4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th:;o4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb05`>5<4290;w)=?7;032>N4<91C?>>4ig:94?=nn00;66a>fc83>>{em:0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xdb<3:1>7>50z&042<69>1C?9>4H213?l`02900ct$224>4703A9?<6F<319jb2<722e:jo4?::aa2<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`fN4<91C?>>4ig:94?=nn00;66gia;29?l`e2900ekm50;9jba<722e:jo4?::aga<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|``a?6=;3:1N4<91C?>>4ig:94?=nn00;66a>fc83>>{eko0;6>4?:1y'751=:9<0D>:?;I104>oa03:17dh6:188k4`e2900qo=i6;291?6=8r.8<:4=c:J005=O;::0ek650;9jb<<722cmm7>5;hda>5<=?;hd4>5<=?;hd4>5<N4<91C?>>4ig:94?=nn00;66gia;29?j7aj3:17pl6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f75=8391<7>t$224>7613A9?<6F<319jb=<722cm57>5;n3ef?6=3th987>52;294~"48>0:=:5G3528L6573`l<6=44o0da>5<6=4<:183!57?38j7E=;0:J075=nn10;66gi9;29?j7aj3:17pl=6;297?6=8r.8<:4=079K716<@:9;7dh7:188mc?=831d=kl50;9~f60629096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f60529096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f60429086=4?{%133?47>2B88=5G3228mc>=831bj44?::m2bg<722wi?;:50;194?6|,::<6?o4H263?M5482cm47>5;hd:>5<5<5290;w)=?7;323>N4<91C?>>4ig594?=h9oh1<75rbe;94?2=83:p(>>8:3`8L6273A98<6gi8;29?l`>2900eko50;9l5cd=831vnio50;094?6|,::<6N4;91bj:4?::m2bg<722wiho4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3thoo7>52;294~"48>0:=:5G3528L6573`l<6=44o0da>5<0;66a>fc83>>{elo0;6>4?:1y'751=:9<0D>:?;I104>oa03:17dh6:188k4`e2900qok?:187>5<7s-9;;7N4;91bj54?::ke=?6=3`lj6=44o0da>5<=83>1<7>t$224>7d<@:>;7E=<0:ke5<1290;w)=?7;0g?M5382B8?=5ff983>>oa13:17dhn:188mcd=831bjn4?::m2bg<722wi?hj50;094?6|,::<6N4;91bj:4?::m2bg<722wi?hk50;094?6|,::<6N4;91bj:4?::m2bg<722wi?hh50;094?6|,::<6N4;91bj:4?::m2bg<722wi?k>50;094?6|,::<6N4;91bj:4?::m2bg<722wi?k?50;094?6|,::<6N4;91bj:4?::m2bg<722wi?k<50;694?6|,::<6?l4H263?M5482cm47>5;hd:>5<>i6nk0;66sm3g694?2=83:p(>>8:3`8L6273A98<6gi8;29?l`>2900eko50;9l5cd=831vn5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn<<;:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn<<::181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn<<9:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn<<8:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn<<7:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn<<6:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn<5<7s-9;;7t$224>4703A9?<6F<319jb2<722e:jo4?::a54e=8391<7>t$224>7g<@:>;7E=<0:ke5<54;294~"48>09n6F<419K766>oai3:17b?ib;29?xd69l0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn5<7s-9;;7t$224>7g<@:>;7E=<0:ke5<54;294~"48>09<:5G3528L6573`l36=44ig;94?=nnh0;66a>fc83>>{e9;81<7=50;2x 6602;k0D>:?;I104>oa03:17dh6:188k4`e2900qo?=3;297?6=8r.8<:4=079K716<@:9;7dh7:188mc?=831d=kl50;9~ft$224>7613A9?<6F<319jb=<722cm57>5;n3ef?6=3th2m7>52;294~"48>0:=:5G3528L6573`l<6=44o0da>5<0;66a>fc83>>{e1m0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd>m3:1?7>50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722wi5k4?:783>5}#;9=1>i5G3528L6573`l36=44ig;94?=nnh0;66gib;29?l`d2900c2B88=5G3228mc>=831bj44?::m2bg<722wimn4?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3thjh7>52;294~"48>0:=:5G3528L6573`l<6=44o0da>5<:?;I104>oa03:17dh6:188k4`e2900qol?:180>5<7s-9;;7=?;hd;>5<>i6nk0;66sm3`g94?2=83:p(>>8:3`8L6273A98<6gi8;29?l`>2900eko50;9l5cd=831vn>oi:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`0f5<72:0;6=u+3159650<@:>;7E=<0:ke5<v3=3;3ef>;5=3l37p}=4;296~;5<3;mn63=5;d:?xu5=3:1>v3=5;3ef>;5>3l27p}94;290~X1<2785:4i7:?2<`84i7:p34<7227nm799;<;1>20<5>81=kl4}r50>5<5s4=;6564=6695cd0z\43>;4m<0<:63na;55?8db2><01oo5779>f3<0>27i>799;<3e>20<58;26:84=d`933=:m80<:6367;55?870?3==70?8d;55?87?j3==70?:f;d4?xu0l3:19vP8d:?46?`034;3j7h8;<3;3?`034;:n7h8;|q:6?6=;r72>754i7:p=6<72;q65?478:?:0?7aj2wx5:4?:3y>=2<58m16?485f69~w<>=838p1495899>e5<6nk1v4750;0x95f89~wd7=838pRl?4=dc9<==z{h?1<7ot=`c965b<5j815852c68e=>;b;3l<70=i6;db?8b>2o201il5f69>7`e=n116?hk5f69>eaedfc9>ecea<6nk16n=4i9:pe`<72;q6mh4>fc9>f4ec<6nk16n=4i8:pf5<72;q6n=4>fc9>f4f7<58m16o?466:?`3?`?34o>6k94=2d5>cd<5m31j452d`8e3>;4mj0m563{tj:0;6?u2b38;<>;e=3;mn6s|b583>7}:j=0:jo52b48e=>{ti>0;65u2b7814a=:k:02:63l9;d;?8c02o=01>h6:g;89ab=n>16?hm5f`9>7``=n>1vo950;0x9g0=0116n44>fc9~wg>=838p1o651g`89g?=n01vl650;5x9gg=:9n01n:5979>ggc1=><5kn1=kl4}r``>5<5s4hh6c?76c34i>6484=d:9b<=:;o21j:52d88ee>;ck3l<70=i0;d4?xuen3:1>v3me;:;?8e628li7p}l0;296~;d83;mn63l1;d:?xud>3:1?v3l3;;6?8e028li70m6:g;8yve?2908w0m;:8789f?=9oh01nl5f89~wfg=838p1n;5949>gg<6nk1vnm50;7x9f6=n>16nn4i7:?a4`e34in6k74}raf>5<5s4in6c?7c734h>6k64}rf2>5<5s4i86?k?;<`:>c>7c734ho6k64}rf0>5<5s4i>6?k?;c>c><5m21=kl4=`g9b2=z{m?1<7=j4=0:7>c1<588?6k94}rg1>5<5s4o:6564=d:95cd4`e34o36k64}rg7>5<5s4o?6cg6=4={4`e34o36km4}rg5>5<5s4o=6cd4`e34o36kj4}rgb>5<2s4oi6564=dc965b<5jn1j:52dg8e<>;fk3l<7p}jb;290~;bj38;h63le;d;?8b22o=01lh5f99~w47>290?wS?>9:?25<<58m16?k85f99>7`e=nk1v{t98h1<74`e34;:o7h6;|q25f<72;q6=d;296~;69m0:jo5210d9b==z{8;n6=4={<32a?7aj27:>>4i9:p54`=838p12183>7}:9;:1=kl4=002>c>52z?264<6nk16=?<5f89~w4452909w0?=2;3ef>;6::0m46s|13694?4|588?63ty:>84?:3y>573=9oh013:1>v3>2782bg=:98n1jl5rs004>5<5s4;9;7?ib:?25cl4>fc9>54c=n11v<1d8e=>{t9=h1<73l37p}>5083>7}Y9<;01<;k:9:8yv72l3:19v3>5e814a=:91o1j552228e<>;6:h0m4636a;d4?xu6=l0;6:u214f933=:;m>1;;5238d933=:;121;;5234d933=:;:k1;;5214d95cd5dz?232<58m16?5l5f89>5=b=n116=4?5f69>5=>=n>16=:75f89>614i8:?26<o4i7:?:f?`0349jn7h8;<1b`?`?3ty:;54?:3y>521=0116=:m51g`8yv7013:1>v3>7882bg=:9>i1j55rs05b>5<5s4;c?<583;6k94=0:2>c><58=i6k64=349b==:;?81j:521309b==:100m4636c;d4?85fn3l37p}>7d83>7}:9>n1455219095cd52z?23c<6nk16=575f99~w4>72909w0?70;3ef>;6080m56s|19394?4|582:64?:3y>5=5=9oh01<66:g;8yv7?<3:1>v3>8582bg=:9131jl5rs0:6>5<5s4;397?ib:?2<<128li70?70;d;?xu60>0;6?u219595cd<582;6ko4}r3;fc9>5=g=n01v<66:18187?13;mn63>818e=>{t91k1<74`e34;3>7h6;|q2f2o201<96:g:8941f2o=01?=5f89>737=n>16=?95f69>57g=n016==<5<3=9oh0q~?7d;296~;60m0:jo521879b==z{82n6=4={<3;a?7aj27:5?4i8:p5=`=838p1<6i:0da?87>:3l27p}>9183>7}:90:1=kl4=0;7>c>52z?2=4<6nk16=4:5f`9~w4?52909w0?62;3ef>;61:0m56s|18194?4|583863ty:594?:3y>5<2=9oh01<7::g;8yv7f83:1>vP>a19>7ad=n11v:180[7f9272i7h7;<1bg?`03ty:j54?:3y>717=n<16?h;5809~w7>12908w0<76;03`>;60<0m;63>278e3>{t:1=1<7=><5;236o521f896`12o301>kl:ga8yv54j3:1>v3<3`8;<>;4;j0:jo5rs27e>5<5sW9>j63<5g814a=z{:<;6=4={<16b?>?349=87?ib:p737=838p1>8>:0da?851;3l27p}<6383>7}:;?81=kl4=247>c?52z?026<6nk16?;:5f99~w60?2909wS=98:?40?`03ty84=4?:3y]7=6<50>1j:5rs2:;>5<5sW93463<89814a=z{:2j6=4={<1;?3493n7?ib:p7<3=838pR>7:;<1:0;6?u238595cd<5:336k74}r1:b?6=:rT85k5238d965b52z?0e3<6nk16?l65f69~w6g02909w0=6f;:;?85f03;mn6s|3`;94?5|5:k=6k74=2fa>c?<5:h;6ok:0da?85fn3l27p}7}:;ho1=kl4=2`3>c?52z?0ec<6nk16?o>5f99~w6e>2909wS=l9:?1<=jm:0da?85cl3l<7p}7}:;m>145523ef95cd53z\0a0=:;l?1>=j4=d69b2=z{:o26=4={<1f1?>?349nm7?ib:p7`d=838p1>k::6d896`328li7p}7}:;li1=kl4=2d0>c>52z?0aa<6nk16?k<5f89~w6cb2909w0=je;3ef>;4n;0m46s|3dd94?4|5:om67c6=9oh01>h;:g:8yv5a93:1>v31jl5rs2d1>5<5s49m>7?ib:?0b6fc9>7cg=n11v>h8:18185a?3;mn63{t;o21<74`e349m57hn;|q0b<<72;q6?k751g`896`f2o30q~=ib;296~X4nk16?>m5f69~yg5313:1478l:7dxL6573-9;;76=;%3b6?4a;2e9hl4?::k;4?6=3f8hh7>5;n144?6=3`k:6=44i2;`>5<:?;I104>ia=3:17pl<2183>0<729q/?=95f29K716<@:9;7)??5;28m2`=831b4<4?::k42?6=3`236=44o32g>5<55;294~"48>0m?6F<419K766<,8:>6=5f7g83>>o?93:17d99:188m=>=831d>=j50;9~f67?290>6=4?{%133?`43A9?<6F<319'553=82c5;h:2>5<<1<75f8983>>i58m0;66sm30194?3=83:p(>>8:g18L6273A98<6*>0483?l1a2900e5?50;9j33<722c347>5;n03`?6=3th:n44?:483>5}#;9=1j>5G3528L6573`=m6=44i9394?=n??0;66g78;29?j47l3:17pl>b`83>0<729q/?=95f29K716<@:9;7d9i:188m=7=831b;;4?::k;5;|`2f`<72<0;6=u+3159b6=O;=:0D>=?;h5e>5<>o?03:17b4H213?l1a2900e5?50;9j33<722c347>5;n03`?6=3th:o=4?:483>5}#;9=1j>5G3528L6573`=m6=44i9394?=n??0;66g78;29?j47l3:17pl>c083>0<729q/?=95f29K716<@:9;7d9i:188m=7=831b;;4?::k;5;|`2g7<72<0;6=u+3159b6=O;=:0D>=?;h5e>5<>o?03:17b4H213?l1a2900e5?50;9j33<722c347>5;n03`?6=3th:o94?:483>5}#;9=1j>5G3528L6573`=m6=44i9394?=n??0;66g78;29?j47l3:17pl>c483>0<729q/?=95f29K716<@:9;7d9i:188m=7=831b;;4?::k;5;|`2fg<72<0;6=u+3159b6=O;=:0D>=?;h5e>5<>o?03:17b4H213?l1a2900e5?50;9j33<722c347>5;n03`?6=3th:ni4?:483>5}#;9=1j>5G3528L6573`=m6=44i9394?=n??0;66g78;29?j47l3:17pl>b383>0<729q/?=95f29K716<@:9;7d9i:188m=7=831b;;4?::k;5;|`04f<72<0;6=u+3159b6=O;=:0D>=?;h5e>5<>o?03:17b4H213?l1a2900e5?50;9j33<722c347>5;n03`?6=3thnj7>53;294~"48>0m>6F<419K766<1<75f8983>>i58m0;66smed83>6<729q/?=95f39K716<@:9;7d99:188m=>=831d>=j50;9~f61729086=4?{%133?`53A9?<6F<319j33<722c347>5;n03`?6=3th8oi4?:283>5}#;9=1j?5G3528L6573`==6=44i9:94?=h:9n1<75rb7694?5=83:p(>>8:g08L6273A98<6g86;29?l>?2900c?>k:188yg5>m3:1?7>50z&0425<?2900c?>k:188yg55>3:1?7?53zJ075=#;9=1=l:4i8794?=n1?0;66a=e183>>d44?:1y'751=;:l0D>:?;I104>oa03:17dh6:188k4`e2900q~7::181[?2349?:7h6;|q:2?6=:rT2:63<478e<>{t:l:1<73;mn6srb206>5<42808wE=<0:&042<6i=1b584?::k:2?6=3f8n<7>5;c172?6=;3:1=?;hd;>5<h>4=265>4`e3twi??:50;195?5|@:9;7)=?7;3b0>o>=3:17d79:188k7c72900n>:9:180>5<7s-9;;7=4>:2yK766<,::<65<=2788;4i9:p=3<72;qU5;523549b==z{;o;6=4={_0f4>;40e4;50;9j=3<722e9i=4?::`003<72:0;6=u+315976`<@:>;7E=<0:ke5<6=4={_;6?853>3l27p}66;296~X>>2788;4i8:p6`6=838pR?k?;<172?7aj2wvn4<4sA98<6*<0682e1=n1<0;66g66;29?j4b83:17o=;6;297?6=8r.8<:4<3g9K716<@:9;7dh7:188mc?=831d=kl50;9~w<3=838pR4;4=265>c?3l37p}=e183>7}Y:l:01>:9:0da?x{e9m;1<7=51;1xL6573-9;;7?n4:k:1?6=3`3=6=44o3g3>5<=6=4<:183!57?398j6F<419K766>i6nk0;66s|9483>7}Y1<16?985f89~w<0=838pR484=265>c>52z\1a5=:;=<1=kl4}|`2`5<72:0:6>uG3228 66028k?7d7::188m<0=831d>h>50;9a710=8391<7>t$224>65a3A9?<6F<319jb=<722cm57>5;n3ef?6=3ty297>52z\:1>;47}Y1?16?985f99~w7c72909wS>i5m90;66l<4783>6<729q/?=9532d8L6273A98<6gi8;29?l`>2900c3ty2:7>52z\:2>;4{zj8in6=4<:080M5482.8<:4>a59j=0<722c2:7>5;n0f4?6=3k9?:7>53;294~"48>08?k5G3528L6573`l36=44ig;94?=h9oh1<75rs8794?4|V0?01>:9:g;8yv?12909wS79;<172?`?3ty9i=4?:3y]6`6<5:>=6=831bj44?::m2bg<722wx584?:3y]=0=:;=<1j45rs8494?4|V0<01>:9:g:8yv4b83:1>vP=e19>710=9oh0qpl>cb83>6<62:qC?>>4$224>4g33`3>6=44i8494?=h:l:1<75m35494?5=83:p(>>8:21e?M5382B8?=5ff983>>oa13:17b?ib;29?xu>=3:1>vP65:?0035<5sW8n<63<4782bg=zuk;hn7>53;397~N4;91/?=951`68m<3=831b5;4?::m1a5<722h88;4?:283>5}#;9=1?>h4H263?M5482cm47>5;hd:>5<5<5sW3>70=;6;d:?xu>>3:1>vP66:?003h>50;0xZ7c7349?:7?ib:~f4ef29086<4<{I104>"48>0:m95f9483>>o>>3:17b3:1?7>50z&042<4;o1C?9>4H213?l`?2900ek750;9l5cd=831v4;50;0xZ<3<5:>=6k74}r;5>5<5sW3=70=;6;d;?xu5m90;6?uQ2d28962128li7psm1b;94?5=939pD>=?;%133?7f<2c297>5;h;5>5<5<4290;w)=?7;10b>N4<91C?>>4ig:94?=nn00;66a>fc83>>{t1<0;6?uQ949>710=n01v4850;0xZ<0<5:>=6k64}r0f4?6=:rT9i=5235495cd52;294~"48>0:=:5G3528L6573`l<6=44o0da>5<6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f7b329096=4?{%133?76?2B88=5G3228mc1=831d=kl50;9~f4b329086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th:h;4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb0f;>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd6lh0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`2``<72:0;6=u+31596d=O;=:0D>=?;hd;>5<e383>6<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f4c329086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th:i;4?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb0g;>5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd6l;0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd4:;0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd4::0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn>?j:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn>?i:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`05d<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`05g<72:0;6=u+31596d=O;=:0D>=?;hd;>5<6=4=:183!57?3;:;6F<419K7666<729q/?=952`9K716<@:9;7dh7:188mc?=831d=kl50;9~f64f29086=4?{%133?4f3A9?<6F<319jb=<722cm57>5;n3ef?6=3th:=94?:383>5}#;9=1=<94H263?M5482cm;7>5;n3ef?6=3th:=84?:283>5}#;9=1>l5G3528L6573`l36=44ig;94?=h9oh1<75rb024>5<3290;w)=?7;0a?M5382B8?=5ff983>>oa13:17dhn:188k4`e2900qo=?e;297?6=8r.8<:4=a:J005=O;::0ek650;9jb<<722e:jo4?::a75`=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a746=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a747=8391<7>t$224>7g<@:>;7E=<0:ke5<7>53;294~"48>09m6F<419K766>i6nk0;66sm1c694??=83:p(>>8:3d8L6273A98<6gi8;29?l`>2900eko50;9jbg<722cmo7>5;hdg>5<>i6nk0;66sm1c794?3=83:p(>>8:3a8L6273A98<6gi8;29?l`>2900eko50;9jbg<722e:jo4?::a5g0=8381<7>t$224>4703A9?<6F<319jb2<722e:jo4?::a5g1=8391<7>t$224>7g<@:>;7E=<0:ke5<53;294~"48>09m6F<419K766>i6nk0;66sm11g94?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm11d94?4=83:p(>>8:034?M5382B8?=5ff683>>i6nk0;66sm10294?5=83:p(>>8:3c8L6273A98<6gi8;29?l`>2900c50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722wi=<<50;194?6|,::<6?>9;I174>N4;91bj54?::ke=?6=3f;mn7>5;|`24d<72=0;6=u+31596g=O;=:0D>=?;hd;>5<>i6nk0;66sm11`94?5=83:p(>>8:325?M5382B8?=5ff983>>oa13:17b?ib;29?xd5l?0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd5l>0;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd5l10;6?4?:1y'751=98=0D>:?;I104>oa?3:17b?ib;29?xd5l00;694?:1y'751=:k1C?9>4H213?l`?2900ek750;9jbd<722e:jo4?::a23<72;0;6=u+3159541<@:>;7E=<0:ke3?6=3f;mn7>5;|`53?6=:3:1=?;hd4>5<5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd113:1?7>50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722wi:l4?:283>5}#;9=1>=84H263?M5482cm47>5;hd:>5<5<4290;w)=?7;0b?M5382B8?=5ff983>>oa13:17b?ib;29?xd4?:0;6>4?:1y'751=:h1C?9>4H213?l`?2900ek750;9l5cd=831vn>9;:181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn>9::181>5<7s-9;;7?>7:J005=O;::0ek950;9l5cd=831vn>99:180>5<7s-9;;7N4;91bj54?::ke=?6=3f;mn7>5;|`032<72:0;6=u+3159650<@:>;7E=<0:ke5<53;294~"48>09m6F<419K766>i6nk0;66sm36;94?5=83:p(>>8:325?M5382B8?=5ff983>>oa13:17b?ib;29?xu1<3:1?vP94:?50?47l27=47h7;|q51?6=:r7=8767;<4a>4`e3ty=:7>52z?52?7aj27=47h6;|q53?6=:r7=;7?ib:?5=?`>3ty=47>52z?53ty=m7>52z?5e?7aj27=n7h6;|q;4?6=9mqU4=52332933=:;8i1;;5230:933=:;891;;521c;933=:9kk1;;521cg933=:9kl1;;521b2933=:9j;1;;521b0933=:9j91;;521b6933=:9j?1;;521c`933=:9ki1;;521cf933=:9k81;;5231a933=::jn1;;52eg842>;bm3==70=80;55?85dl3==708;:64896?b2><01<>7:648946d2><0q~o>:181[g634on6564}rc1>5<>s49;o79i;<0``?1a349:?79i;<114?1a349:o79i;<1234;;;7h7;|qb7?6=:r7j?7?ib:?b0?`>3tyno7>53z?fb?>?34on6?>k;c176c34k?6k64}r332?6=fc9>55`=n>16=<>5f99>55g=n11v<>7:184877038;h63>148e<>;68l0m;63>0c8e<>;1j3l370=83;d;?850<3l<7p}>0883>7}:9921455211`95cd52z?24d<6nk16==l5f89~w46d290;69=0m;63>108e<>;68h0mm6396;d4?80>2o201>97:g:8yv77l3:1>v3>0b8;<>;69;0:jo5rs02f>5<5s4;;i7?ib:?2551;d:?xu6990;6?u210295cd<58;96k64}r325?6=:r7:=<4>fc9>544=n01v148e=>{t98<1<7=><58;>6b982bg=z{8h?6=4={<3a0?7aj27:n54i8:p5g3=838p1b783>7}:9k<1=kl4=0`4>c?52z?2f2<6nk16=o65f89~w4g2290>w0?m9;03`>;6k002963>d58e=>;6l;0m;63>b78e3>{t9h31<7:t=0`b>76c34;h5779;<3g0?`?34;i87h7;|q2e3<72=q6=ol521f894e120<013l370?m4;d:?xu6ik0;69u21cd965b<58ii6484=0f;>c><58h?6ko4}r3bg?6=5fe=1?16=io5f99>5g2=nj1vce8:2>;6lj0m463>b58ef>{t9ho1<7:t=0a1>76c34;hi779;<3ga?`?34;i87hk;|q2ec<72=q6=n=521f894ea20<01u21b`9=0=:9m<1=kl4=0f;>c?53z?2gf<>=27:h54>fc9>5ag=n01v70?ka;3ef>;6lj0m56s|1e`94?5|58in64;4=0f`>4`e34;oi7h6;|q2`a<72:q6=nh5949>5ac=9oh01d18:1>;6m90:jo521d09b<=z{8o:6=4<{<3g5??234;n>7?ib:?2a13l27p}>e483>6}:9j=158521d495cd<58o36k74}r3f3?6=:r7:o5465:?2a=<6nk1v{t9lk1<7=><58i=6?k?;|q2ag<72;q6=om5899>5f1=:l:0q~?jc;296~;6jm03463>c981a5=z{8oo6=4={<3ae?>?34;h57eg83>7}:9kl145521b`96`652z?2g57c73ty:j>4?:3y>5f5=0116=nh52d28yv7a<3:1>v3>c58;<>;6l909i=5rs0d6>5<5s4;h9767;<3g5?4b82wx=k650;3685393l>70==0;:2?856k32:70=>8;:2?856;32:70?m9;:2?87ei32:70?me;:2?87en32:70?l0;:2?87d932:70?l2;:2?87d;32:70?l4;:2?87d=32:70?mb;:2?87ek32:70?md;:2?87e:32:70=?c;:2?84dl32:7p}=ce83>7}Y:jn01?mk:32g?xu5l:0;6?u22bf9<==::m>1=kl4}r0g1?6=:r79h94i7:?1`<<6nk1v?j9:18184c>3;mn63=d88e=>{t:m=1<74`e348o57h7;|q1`=<72;q6>i651g`897b>2ok0q~=?c;297~;48j095<5s49;o767;<126?7aj2wx?=k50;0x966b28li70=>2;d;?xu48o0;6?u231d95cd<5:;:6k64}r124?6=:r78==4>fc9>747=n01v>?>:18185693;mn63<138e=>{t;921<7;t=230>76c3499877:;<122?`0349947h6;<13a?`?3ty8=94?:3y>745=0116?<951g`8yv56=3:1>v3<1482bg=:;8=1j55rs235>5<5s49::7?ib:?0527}:;821455230`95cd52z?05d<6nk16?w0=>c;03`>;4:<02:63<2`8e<>;4990m;63=d78e3>{t;8n1<7=><5:;m6521f8964120<01>>j:g;897b?2o=0q~==1;296~;4:903463<2282bg=z{:896=4={<116?7aj278>>4i9:p771=839p1><::878964?28li70==a;d:?xu4:00;6?u23349=0=:;;k1=kl4}r11f?6=:r78>94=e19>74d=n11v>{t;;n1<77c73499?7h7;|q06`<7289p1l01l01l01l01l01l01l01>e;d4?856i3l<70=>5;d4?xu4?90;68uQ362896172;:o7088:g5896142o301>98:g:8yv50:3:1>v3<718;<>;4?00:jo5rs250>5<5s49fc9>721=n01v>98:181850?3;mn63<798e=>{t;>21<74`e349<57h6;|q0=f<72;qU?4m4=2;f>=>53z?0=`<58m16==o5f89>723=n>1v>mk:181[5dl278oi4=0e9~yg52?3:1>7>50z&042<69>1C?9>4H213?l`02900c3:1>7>50z&042<69>1C?9>4H213?l`02900c7>50z&042<69>1C?9>4H213?l`02900c7>50z&042<69>1C?9>4H213?l`02900c50z&042<5i2B88=5G3228mc>=831bj44?::m2bg<722wi?9k50;094?6|,::<6N4;91bj:4?::m2bg<722wi?nm50;094?6|,::<6N4;91bj:4?::m2bg<722wi?8l50;094?6|,::<6<>;;I174>N4;91bj:4?::m2bg<722wi?i850;094?6|,::<6<>;;I174>N4;91bj:4?::m2bg<722wi?;k50;094?6|,::<6<>;;I174>N4;91bj:4?::m2bg<722wii44?:383>5}#;9=1==:4H263?M5482cm;7>5;n3ef?6=3thm=7>52;294~"48>0:<95G3528L6573`l<6=44o0da>5<52;294~"48>0:<95G3528L6573`l<6=44o0da>5<52;294~"48>0:<95G3528L6573`l<6=44o0da>5<;=7>52;294~"48>0:<95G3528L6573`l<6=44o0da>5<21<7<50;2x 66028:?7E=;0:J075=nn>0;66a>fc83>>{e;h;1<7<50;2x 66028:?7E=;0:J075=nn>0;66a>fc83>>{e<9>1<7<50;2x 66028:?7E=;0:J075=nn>0;66a>fc83>>{e=k0;6?4?:1y'751=99>0D>:?;I104>oa?3:17b?ib;29?xd2i3:1>7>50z&042<68=1C?9>4H213?l`02900c29096=4?{%133?77<2B88=5G3228mc1=831d=kl50;9~f0>=8381<7>t$224>4633A9?<6F<319jb2<722e:jo4?::a13<72;0;6=u+3159552<@:>;7E=<0:ke3?6=3f;mn7>5;|`61?6=:3:1=?;hd4>5<5<5290;w)=?7;330>N4<91C?>>4ig594?=h9oh1<75rb4194?4=83:p(>>8:027?M5382B8?=5ff683>>i6nk0;66sm5383>7<729q/?=951168L6273A98<6gi7;29?j7aj3:17pl:1;296?6=8r.8<:4>059K716<@:9;7dh8:188k4`e2900qo;?:181>5<7s-9;;7??4:J005=O;::0ek950;9l5cd=831vn9h50;094?6|,::<6<>;;I174>N4;91bj:4?::m2bg<722wi8h4?:383>5}#;9=1==:4H263?M5482cm;7>5;n3ef?6=3th?h7>52;294~"48>0:<95G3528L6573`l<6=44o0da>5<0;66a>fc83>>{e>80;6?4?:1y'751=99>0D>:?;I104>oa?3:17b?ib;29?xd183:1>7>50z&042<68=1C?9>4H213?l`02900ct$224>4633A9?<6F<319jb2<722e:jo4?::a1a<72;0;6=u+3159552<@:>;7E=<0:ke3?6=3f;mn7>5;|`6g?6=:3:1=?;hd4>5<5<5290;w)=?7;330>N4<91C?>>4ig594?=h9oh1<75rb2ab>5<3290;w)=?7;303>N4<91C?>>4ig594?=ni80;66a>fc83>>i6910;66sm7`83>7<729q/?=951ga8L6273A98<6gi7;29?j7aj3:17pl<8383>7<729q/?=951ga8L6273A98<6gi7;29?j7aj3:17pl7<729q/?=951ga8L6273A98<6gi7;29?j7aj3:17pl7<729q/?=951ga8L6273A98<6gi7;29?j7aj3:17pl8c;296?6=8r.8<:4>fb9K716<@:9;7dh8:188k4`e2900qo=l8;296?6=8r.8<:4>fb9K716<@:9;7dh8:188k4`e2900qo=l3;296?6=8r.8<:4>fb9K716<@:9;7dh8:188k4`e2900qo=8d;296?6=8r.8<:4>fb9K716<@:9;7dh8:188k4`e2900qo=81;296?6=8r.8<:4>fb9K716<@:9;7dh8:188k4`e2900qo=:d;297?6=8r.8<:4>fe9K716<@:9;7dh8:188md7=831d=kl50;9~f60029096=4?{%133?7ak2B88=5G3228mc1=831d=kl50;9~f6e229096=4?{%133?7ak2B88=5G3228mc1=831d=kl50;9~f6b?29086=4?{%133?7al2B88=5G3228mc1=831bm<4?::m2bg<722wi?ol50;094?6|,::<6N4;91bj:4?::m2bg<722wi?8?50;094?6|,::<6N4;91bj:4?::m2bg<722wi?8650;094?6|,::<6N4;91bj:4?::m2bg<722wi?i>50;094?6|,::<6N4;91bj:4?::m2bg<722wi?5750;094?6|,::<6N4;91bj:4?::m2bg<722wi?l=50;194?6|,::<6N4;91bj:4?::kb5?6=3f;mn7>5;|`0a2<72:0;6=u+31595cb<@:>;7E=<0:ke3?6=3`k:6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<87>52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<?7>52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<n7>52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<97>52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<52;294~"48>0:jn5G3528L6573`l<6=44o0da>5<?7h8;|q15?6=:r788k4>f:?011:4?:3y>71`=:>16?895f69~w63=838pR>;4=5f9b2=z{:<1<71v>950;0xZ61<5=l1j:5rs2:94?4|V:2018>5f69~w6?=838pR>74=439b2=z{:k1<71v>l50;0xZ6d<5<91j:5rs2a94?4|V:i018:5f69~w6b=838pR>j4=479b2=z{:o1<71v>h50;0xZ6`<5<=1j:5rs5294?4|V=:01865f69~w17=838pR9?4=4;9b2=z{=81<71v9:50;0xZ12<51v9650;0xZ1><55f69~w1g=838pR9o4=739b2=z{=h1<71v9m50;0xZ1e<5?91j:5rs5f94?5|5:>j6;5241792>;3l3;mn6s|4d83>6}:;=k1;63;0484?82b28li7p};f;297~;4::89>15<6nk1v8?50;1x962f2h168=;5a:?65?7aj2wx9?4?:2y>71g=j27?<84m;<71>4`e3ty>?7>53z?00d;97m4=4195cd6i525582bg=z{`=:<9?1i63:5;3ef>{t=?0;6>u235c9b>;38<0m70;9:0da?xu2?3:1>v3;04824>;2?3;mn6s|5983>6}:;=k1=<52417954=:=10:jo5rs4;94?5|5:>j6<=4=526>45<5<31=kl4}r7b>5<5s49?m7?;;<7b>4`e3ty>n7>52z?00d<6>27>n7?ib:p1f<72;q68=;5169>1f<6nk1v8j50;0x9162282018j51g`8yv3b2909w0:?5;3:?83b28li7p}:f;296~;38<0:m63:f;3ef>{t>90;6?u241795g=:>90:jo5rs7394?4|5=:>6;97?k;<41>4`e3ty=?7>53z?00d<6m27?<84>e:?57?7aj2wx:94?:6y>71e=>=16?975659>730=n>16?8j5a09>7a>=i816?l=5a09>7`1=i81v;m50;6x962f2?i019>::7a8962a2?i01>:m:7a8yv102909wS98;<5;>c1{t?k0;6?uQ7c9>3f<6nk1v:m50;6x96252;:370=;b;0af>;0i3l<709l:g58yv1c2909wS9k;<5f>c1;4<00j=63j9;3ef>{tn90;6?uQf19>b471g=09168=;5819>71`=0916?9l5f19>71?=0916j<4>fc9~w4672909w0=;a;334>;45<5s49?j7?>9:?00f<6901v<399~w4262909w0=;f;375>;45<5s49?m7?;c:?00c<6:181853n3;>=63<4b8214=z{8<;6=4={<17b?7182788h4i7:p5<0=838p1>:i:0;5?853k3;j<6s|1g:94?4|5:>:6k;4=2ab>c153z?0gd<6nk16?9o53b;8962d2:i27p}=0d83>7}Y:9o01?:k:0da?xu58o0;6?uQ21d8973428li7p}=1083>7}Y:8;01?;;:0da?xu59;0;6?uQ2008973228li7p}=1283>7}Y:8901?;m:0da?xu59=0;6?uQ2068970628li7p}=1483>7}Y:8?01?88:0da?xu59?0;6?uQ2048970c28li7p}=1683>7}Y:8=01?9<:0da?xu5910;6?uQ20:8971>28li7p}=1883>7}Y:8301?9i:0da?xu59h0;6?uQ20c897>728li7p}=1b83>7}:;=k1>77d3ty9?54?:3y>053=::201>:m:3`6?xu5o6k94}r067?6=:r7?<84=0g9>605=n>1v?;;:181827=38:=63=558e3>{t:775348>97h8;|q11g<72;q68=;52018973e2o=0q~<91;296~;38<09=9522739b2=z{;<<6=4={<631?46=279::4i7:p63b=838p19>::335?841l3l<7p}=7283>7}:<9?1><94=350>c152z?740<59116>:75f69~w71a2909w0:?5;02=>;5?o0m;6s|29294?4|5=:>6??n;<0;4?`03ty9444?:2y>71g=:13019>::3::?853n38356s|2bf94?4|5=:>6?mj;<17=?4dl2wx>io50;0x91622;ni70=;9;0ge>{t;=n1<74g6349?i7?ib:p706=838pR>;?;<165?7aj2wx?8?50;0x963228li70=:1;d4?xu4=;0;6?u234195cd<5:?>6k64}r167?6=:r78994>fc9>703=n01v>;9:181[52>278954>fc9~w63?2909w0=:7;3ef>;4=10m;6s|34c94?4|V:?j70=:b;d4?xu4=k0;69u2417970?<5:>m6>;6;<17f?5212789o4>fc9~w63d2909wS=:c:?01a<6nk1v>;j:181853k39>j63<5e8e3>{t;??1<7m16?;k5f69~w60b2908w0=;f;1:e>;452z\035=:;>;1=kl4}r145?6=:r78844<719>727=n>1v>9n:181[50i278;i4>fc9~w61c2909w0:?5;14e>;4?m0m;6s|39394?4|V:2:70=72;3ef>{t;181<76>73493>7h8;|q0<6<72;qU?5=4=2:7>c153z?00c<41k16?9m5392896>328li7p}<8983>7}Y;1201>66:0da?xu4000;6?u235a97=><5:226k94}r1;g?6=:rT84n5239g95cd52z?00c<40j16?5k5f69~w6?22909wS=65:?0=<<6nk1v>76:181853k392963<988e3>{t;0i1<7l3l<7p}<9e83>7}:;=31?4m4=2;g>4`e3ty8m=4?:3y]7d6<5:k:6k94}r1b5?6=;r7?<84<9g9>71`=;0l01>o>:0da?xu4i;0;6?uQ3`0896g428li7p}7}:;=i1?4h4=2c0>c152z\0f==:;kh1=kl4}r1af?6=:r7?<847gd=n>1v>m=:181[5d:278o>4>fc9~w6e42909w0:?5;1a5>;4k:0m;6s|3b694?4|V:i?70=l5;3ef>{t;j?1<76bb349h97h8;|q0g3<72;qU?n84=2a;>4`e3ty8o54?:3y>053=;j<01>m7:g58yv5d13:1>vP7fg=9820q~=lb;296~;4kj0:jo523bc9e4=z{:io6=4={<17=?5dl278on4i7:p7fc=838pR>mj;<1g4?7aj2wx?i>50;0x91622:in70=k0;d4?xu4l80;6?uQ3e3896b428li7p}7}:<9?1?i?4=2f0>c152z\0`0=:;m<1j:5rs2f5>5<4s4>;97=k4:?00c<4l=16?i851g`8yv5c?3:1>vP7a>=9oh0q~=k9;296~;4;4m>0:jo5rs2g;>5<5s49?o7=j5:?0a2:m:2da?85an3l<7p};0183>7}Y<9:019>>:g58yv2793:1>v3<4b80bg=:<9;1=kl4}r637?6=:rT?<>524169b2=z{=:?6=4;{<17e?27:27?<84;039>71`=<98019>;:0da?x{ilkl1<7vF<319~jae5290:wE=<0:m`f5=83;pD>=?;|lgg1<728qC?>>4}of`1?6=9rB8?=5rnea5>5<6sA98<6sadb594?7|@:9;7p`kc983>4}O;::0qcjl9;295~N4;91vbimn:182M5482wehnl50;3xL6573tdoon4?:0yK76651zJ075=zfmin6=4>{I104>{iljl1<7?tH213?xhcl90;6=?;|lg`1<728qC?>>4}ofg1?6=9rB8?=5rnef5>5<6sA98<6sade594?7|@:9;7p`kd983>4}O;::0qcjk9;297~N4;91vbijn:180M5482wehil50;1xL6573tdohn4?:2yK76653zJ075=zfmnn6=4<{I104>{ilml1<7=tH213?xhcm90;6>uG3228ykbb93:18vF<319~jac5290?wE=<0:m``5=838pD>=?;|lga1<72:qC?>>4}off1?6=5<5sA98<6sadd594?4|@:9;7p`ke983>7}O;::0qcjj9;296~N4;91vbikn:181M5482wehhl50;0xL6573tdoin4?:3yK76652zJ075=zfmon6=4<{I104>{illl1<7uG3228ykba93:19vF<319~ja`5290:wE=<0:m`c5=838pD>=?;|lgb1<728qC?>>4}ofe1?6=9rB8?=5rned5>5<6sA98<6sadg594?7|@:9;7p`kf983>7}O;::0qcji9;295~N4;91vbihn:180M5482wehkl50;3xL6573tdojn4?:7yK76653zJ075=zfmln6=4<{I104>{ilol1<7=tH213?xhb890;6>uG3228ykc793:1?vF<319~j`652908wE=<0:ma55=839pD>=?;|lf41<72;qC?>>4}og31?6=;rB8?=5rnd25>5<6sA98<6sae1594?5|@:9;7p`j0983>4}O;::0qck?9;295~N4;91vbh>n:182M5482wei=l50;3xL6573tdn51zJ075=zfl:n6=4>{I104>{im9l1<7?tH213?xhb990;6=?;|lf51<72;qC?>>4}og21?6=9rB8?=5rnd35>5<5sA98<6sae0;94?4|@:9;7p`j1`83>7}O;::0qck>b;296~N4;91vbh?l:181M5482wei52zJ075=zfl8:6=4={I104>{im;81<7:tH213?xhb::0;6>uG3228ykc5<3:18vF<319~j`422909wE=<0:ma70=83;pD>=?;|lf62<728qC?>>4}og15<6sA98<6sae3c94?7|@:9;7p`j2c83>4}O;::0qck=c;295~N4;91vbhk4?:3yK76651zJ075=zfl9:6=4>{I104>{im:81<7?tH213?xhb;:0;6?uG3228ykc4<3:1=vF<319~j`522908wE=<0:ma60=83;pD>=?;|lf72<72;qC?>>4}og05<5sA98<6sae2c94?7|@:9;7p`j3c83>7}O;::0qckk50;3xL6573tdn?k4?:3yK76651zJ075=zfl>:6=4={I104>{im=81<7?tH213?xhb<:0;6?uG3228ykc3<3:1=vF<319~j`222909wE=<0:ma10=83;pD>=?;|lf02<72:qC?>>4}og75<5sA98<6sae5c94?7|@:9;7p`j4c83>7}O;::0qck;c;295~N4;91vbh:k:181M5482wei9k50;3xL6573tdn8k4?:3yK766<7>51zJ075=zfl?:6=4={I104>{im<81<7?tH213?xhb=:0;6vF<319~j`32290:wE=<0:ma00=838pD>=?;|lf12<728qC?>>4}og65<6sA98<6sae4c94?5|@:9;7p`j5c83>4}O;::0qck:c;296~N4;91vbh;k:182M5482wei8k50;0xL6573tdn9k4?:0yK76652zJ075=zfl<:6=4>{I104>{im?81<7:0;6=83;pD>=?;|l24d<728qC?>>4}o33f?6=9rB8?=5rn02`>5<6sA98<6sa11f94?7|@:9;7p`>0g83>4}O;::0qc?>0;295~N4;91vb:182M5482we=<<50;3xL6573td:=>4?:0yK76651zJ075=zf8;>6=4>{I104>{i98<1<7?tH213?xh69>0;6=?;|l25a<728qC?>>4}o32a?6=9rB8?=5rn03e>5<6sA98<6sa13294?7|@:9;7p`>2083>4}O;::0qc?=2;295~N4;91vb<<<:182M5482we=?:50;3xL6573td:>84?:0yK76651zJ075=zf:h86=4>{I104>{i;j:1<7?tH213?xh4k80;6=?;|l0g0<728qC?>>4}o1`2?6=9rB8?=5rn2a4>5<6sA98<6sa3b:94?7|@:9;7p`4}O;::0qc=la;295~N4;91vb>mm:182M5482we?nm50;3xL6573td8oi4?:0yK76651zJ075=zf:im6=4>{I104>{i;m:1<7?tH213?xh4l80;6=?;|l0`0<728qC?>>4}o1g2?6=9rB8?=5rn2f4>5<6sA98<6sa3e:94?7|@:9;7p`4}O;::0qc=ka;295~N4;91vb>jm:182M5482we?im50;3xL6573td8hi4?:0yK76651zJ075=zf:nm6=4>{I104>{i;l:1<7?tH213?xh4m80;6=?;|l0a0<728qC?>>4}o1f2?6=9rB8?=5rn2g4>5<6sA98<6sa3d:94?7|@:9;7p`4}O;::0qc=ja;295~N4;91vb>km:182M5482we?hm50;3xL6573td8ii4?:0yK76651zJ075=zf:om6=4>{I104>{i;o:1<7?tH213?xh4n80;6=?;|l0b0<728qC?>>4}o1e2?6=9rB8?=5rn2d4>5<6sA98<6sa3g:94?7|@:9;7p`4}O;::0qc=ia;295~N4;91vb>hm:182M5482we?km50;3xL6573td8ji4?:0yK76651zJ075=zf:lm6=4>{I104>{i<9:1<7?tH213?xh3880;6=?;|l740<728qC?>>4}o632?6=9rB8?=5rn524>5<6sA98<6sa41;94?7|@:9;7p`;0`83>4}O;::0qc:?b;295~N4;91vb9>l:182M5482we8=j50;3xL6573td?;j7>51zJ075=zf=;;6=4>{I104>{i<8;1<7?tH213?xh39;0;6=?;|l753<728qC?>>4}o623?6=9rB8?=5rn53;>5<6sA98<6sa40;94?7|@:9;7p`;1`83>4}O;::0qc:>b;295~N4;91vb9?l:182M5482we8:j7>51zJ075=zf=8;6=4>{I104>{i<;;1<7?tH213?xh3:;0;6=?;|l763<728qC?>>4}o613?6=9rB8?=5rn50;>5<6sA98<6sa43;94?7|@:9;7p`;2`83>4}O;::0qc:=b;295~N4;91vb9h4?:0yK7669j7>51zJ075=zf=9;6=4>{I104>{i<:;1<7?tH213?xh3;;0;6=?;|l773<728qC?>>4}o603?6=9rB8?=5rn51:>5<6sA98<6sa42c94?7|@:9;7p`;3c83>4}O;::0qc:h50;3xL6573td?8=4?:0yK766?=7>51zJ075=zf=>?6=4>{I104>{i<=?1<7?tH213?xh3<10;6=?;|l70a<728qC?>>4}o667?6=9rB8?=5rn577>5<6sA98<6sa44794?7|@:9;7p`;5783>4}O;::0qc8?a;295~N4;91vb;>k:182M5482we:=k50;3xL6573td=51zJ075=zf?;:6=4>{I104>{i>881<7?tH213?xh19:0;6=?;|l55=<728qC?>>4}o42e?6=9rB8?=5rn73a>5<6sA98<6sa60a94?7|@:9;7p`91e83>4}O;::0qc8>e;295~N4;91vb;?i:182M5482we:??50;3xL6573td=>?4?:0yK76651zJ075=zf?8>6=4>{I104>{i>;<1<7?tH213?xh1:>0;6290:wE=<0:m27g=83;pD>=?;|l56a<728qC?>>4}o41a?6=9rB8?=5rn70e>5<6sA98<6sa62294?7|@:9;7p`93083>4}O;::0qc8<2;295~N4;91vb;=<:182M5482we:>:50;3xL6573td=?84?:0yK76651zJ075=zf?936=4>{I104>{i>:31<7?tH213?xh1;h0;6=?;|l57`<728qC?>>4}o40b?6=9rB8?=5rn763>5<6sA98<6sa65394?7|@:9;7p`94383>4}O;::0qc8;3;295~N4;91vb;:;:182M5482we:9;50;3xL6573td=8;4?:0yK76651zJ075=zf?>36=4>{I104>{i>=31<7?tH213?xh1=?;|l50c<728qC?>>4}o464?6=9rB8?=5rn772>5<6sA98<6sa64094?7|@:9;7p`95283>4}O;::0qc8:4;295~N4;91vb;;9:182M5482we:8950;3xL6573td=954?:0yK76657>51zJ075=zf??j6=4>{I104>{i>=?;|l525<728qC?>>4}o455?6=9rB8?=5rn741>5<6sA98<6sa67194?7|@:9;7p`96583>4}O;::0qc895;295~N4;91vb;89:182M5482we:;950;3xL6573td=:54?:0yK76651zJ075=zf?{I104>{i>?h1<7?tH213?xh1>j0;6=?;|l537<728qC?>>4}o440?6=9rB8?=5rn756>5<6sA98<6sa66494?7|@:9;7p`97683>4}O;::0qc888;295~N4;91vb;j>:182M5482we:i<50;3xL6573td=h>4?:0yK76651zJ075=zf?n36=4>{I104>{i>mk1<7?tH213?xh1lk0;6=?;|l5`c<728qC?>>4}o4f4?6=9rB8?=5rn7g2>5<6sA98<6sa6d694?7|@:9;7p`9e483>4}O;::0qc8j7;295~N4;91vb49::182M5482we5:850;3xL6573td2;:4?:0yK76651zJ075=zf0=j6=4>{I104>{i1>h1<7?tH213?xh>?j0;67290:wE=<0:m==7=83;pD>=?;|l:<7<728qC?>>4}o;;7?6=9rB8?=5rn8:7>5<6sA98<6sa99794?7|@:9;7p`68783>4}O;::0qc777;295~N4;91vb467:182M5482we55750;3xL6573td24o4?:3yK76651zJ075=zf02o6=4>{I104>{i11o1<7?tH213?xh>0o0;683:1=vF<319~j=?;|l:=6<728qC?>>4}o;:0?6=9rB8?=5rn8;6>5<5sA98<6sa98494?7|@:9;7p`69683>4}O;::0qc768;296~N4;91vb476:182M5482we54o50;3xL6573td25o4?:3yK76651zJ075=zf03o6=4>{I104>{i10o1<71o0;6?uG3228yk?f83:1>vF<319~j=?;|l:e6<728qC?>>4}o;b0?6=:rB8?=5rn8c6>5<5sA98<6sa9`494?4|@:9;7p`6a683>7}O;::0qc7n8;295~N4;91vb4o6:182M5482we5lo50;3xL6573td2mo4?:0yK76651zJ075=zf0ko6=4>{I104>{i1ho1<7?tH213?xh>io0;6=?;|l:f6<728qC?>>4}o;a0?6=9rB8?=5rn8`6>5<6sA98<6sa9c494?7|@:9;7p`6b683>4}O;::0qc7m8;295~N4;91vb4l6:182M5482we5oo50;3xL6573td2no4?:0yK76651zJ075=zf0hn6=4>{I104>{i1kl1<7?tH213?xh>k90;6=?;|l:g1<728qC?>>4}o;`1?6=9rB8?=5rn8a5>5<6sA98<6sa9b594?7|@:9;7p`6c983>4}O;::0qc7l9;295~N4;91vb4mn:182M5482we5nl50;3xL6573td2on4?:0yK76651zJ075=zf0in6=4>{I104>{i1m;1<7?tH213?xh>l;0;6=?;|l:`3<728qC?>>4}o;g3?6=9rB8?=5rn8f;>5<6sA98<6sa9e;94?7|@:9;7p`6d`83>4}O;::0qc7kb;295~N4;91vb4jl:182M5482we5ij50;3xL6573td2hh4?:0yK76651zJ075=zf0o;6=4>{I104>{i1l;1<7?tH213?xh>m;0;6=?;|l:a3<728qC?>>4}o;f3?6=9rB8?=5rn8g;>5<6sA98<6sa9d;94?7|@:9;7p`6e`83>4}O;::0qc7jb;295~N4;91vb4kl:182M5482we5hj50;3xL6573td2ih4?:0yK76651zJ075=zf0l96=4>{I104>{i1o91<7?tH213?xh>n=0;6=83;pD>=?;|l:b<<728qC?>>4}o;ee?6=9rB8?=5rn8df>5<6sA98<6saa1294?7|@:9;7p`n0083>4}O;::0qco?3;295~N4;91vbl>;:182M5482wem=;50;3xL6573tdj<;4?:0yK76651zJ075=zfh:36=4>{I104>{ii931<7?tH213?xhf8h0;6=?;|lb54<728qC?>>4}oc26?6=9rB8?=5rn`30>5<6sA98<6saa0694?7|@:9;7p`n1483>4}O;::0qco>6;295~N4;91vbl?8:182M5482wem<650;3xL6573tdj=44?:0yK76651zJ075=zfh;i6=4>{I104>{ii8i1<7?tH213?xhf9m0;6=?;|l`24<728qC?>>4}oa56?6=9rB8?=5rnb40>5<6sA98<6sac7694?7|@:9;7p`l6483>4}O;::0qcm98;295~N4;91vbn86:182M5482weo;o50;3xL6573tdh:o4?:0yK76651zJ075=zfj{I104>{ik?o1<7?tH213?xhd>o0;6=?;|l`36<728qC?>>4}oa40?6=9rB8?=5rnb56>5<6sA98<6sac6494?7|@:9;7p`l7683>4}O;::0qcm88;295~N4;91vbn96:182M5482weo:o50;3xL6573tdh;o4?:0yK76651zJ075=zfj=o6=4>{I104>{ik>o1<7vF<319~jf>62909wE=<0:mg=4=838pD>=?;|l`<6<72;qC?>>4}oa;0?6=:rB8?=5rnb:6>5<5sA98<6sac9494?4|@:9;7p`l8683>7}O;::0qcm78;296~N4;91vbn66:181M5482weo5o50;0xL6573tdh4o4?:3yK76652zJ075=zfj2o6=4={I104>{ik1o1<783:1>vF<319~jf?62909wE=<0:mg<4=838pD>=?;|l`=6<72;qC?>>4}oa:0?6=:rB8?=5rnb;6>5<5sA98<6sac8494?7|@:9;7p`l9683>7}O;::0qcm68;296~N4;91vbn76:181M5482weo4o50;0xL6573tdh5o4?:3yK76652zJ075=zfj3o6=4={I104>{ik0o1<7vF<319~jfg62909wE=<0:mgd4=838pD>=?;|l`e6<728qC?>>4}oab1?6=9rB8?=5rnbc5>5<6sA98<6sac`594?7|@:9;7p`la983>4}O;::0qcmn9;295~N4;91vbnon:182M5482weoll50;3xL6573tdhmn4?:0yK76651zJ075=zfjkn6=4>{I104>{ikhl1<7?tH213?xhdj90;6?uG3228ykee93:1=vF<319~jfd5290:wE=<0:mgg5=83;pD>=?;|l`f1<72;qC?>>4}oaa1?6=9rB8?=5rnb`5>5<6sA98<6sacc594?4|@:9;7p`lb983>4}O;::0qcmm9;295~N4;91vbnlm:182M5482weoom50;3xL6573tdhni4?:3yK76652zJ075=zfjhm6=4={I104>{ikj:1<7=?;|l`g3<728qC?>>4}oa`3?6=9rB8?=5rnba;>5<6sA98<6sacb;94?7|@:9;7p`lc`83>4}O;::0qcmlc;295~N4;91vqpsO@By2576=:1n>n8m;}ABA5{GHYqvLM \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index ad24632..e348f50 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5d25=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?k;@NF4Zkrp9:;>d:COA4YXg{:;<GIL;>0MCJ<4:CM@1286OAD768EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P73?1g9AAWTuzVddx=>?1018FP@682H^EAJPT@PDAQGUKA20NX]PIODL5>E33J;=A45L17O\MGSA>2I9<@KAb:A14HCIWFH^J;5L24OFJg=D:EHEDC_XHJ8;BPFEQCC:2IX?6M[L79@h`gu9o1H`ho}1^]bja6789l0Oaknr0]bja6789;:7Ik4DSA\WPEDMZYMYA94DUC@Ow`03M^JOF|}f:FWEFMuzVddx=>?1028@QGDC{xTbbz?01323>Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:h5KotvLAZiu89:;;h5KotvLAZiu89:;4<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;64EYRBJACC981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC13LUBNXH=;Dp0?@t5=2Oy0=0:;Dp?5;25HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS078MDET:<1BMN]<4:KAQC4OIA]Y_MYK8;HLJPVRD02CEEY][C0g8MKOS[VLXARHId032?LHN\ZUM_@QIFe3.Mk753@DBX^QISL]EBa7*Ag;:>6GAIUQ\BVKXNOn:!D`=e:KMMQUXNZGTJKj=109JJLRTWOYFSKHk2,Km57=NF@^XSK]B_GDg6(Oi9880ECG[S^DPIZ@Al;'Bb??=;HLJPVYA[DUMJi<"Io126>OIA]YTJ^CPFGf1)Lh39;1BBDZ\_GQN[C@c:$Ce9h5FNHVP[CUJWF?k5FNHVP[CUJWF?<>0:KMMQUXkdzTi|>?0218MKPb3@DTBJJPAOF\FFB53@E>7DANCUf8MJGD\Vkeh=>?0d9JKDESWhdo<=>?1g9JKDESWhdo<=>?11g8MJGD\Vkeh=>?03g8MJGD\Vkeh=>?02g8MJGD\Vkeh=>?05g8MJGD\Vkeh=>?04g8MJGD\Vkeh=>?07g8MJGD\Vkeh=>?06g8MJGD\Vkeh=>?09g8MJGD\Vkeh=>?08f8MJGD\Vg~t=>?0d9JKDESWds<=>?1d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?779JKDESz?1BCOK]Rd9JKGCUZVg~t=>?0g9JKGCUZVg~t=>?0033?LIEM[XTaxv?0122446??;HMAAWTXe|r;<=>>4g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;?0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP60=NG[OZh6G@RDS\ip~789:n7DA]EP]nq}6789;m7DA]EP]nq}6789;;i6G@RDS\ip~789:9i6G@RDS\ip~789:8i6G@RDS\ip~789:?i6G@RDS\ip~789:>i6G@RDS\ip~789:=i6G@RDS\ip~789:OHZ^:o7DA]W1]nq}6789o0EB\X0^ov|56788o0EB\X0^ov|5678;o0EB\X0^ov|5678:o0EB\X0^ov|5678=n0EB\X0^llp5679l1BC_Y?_omw45669l1BC_Y?_omw4566:l1BC_Y?_omw4566;l1BC_Y?_omw4566l1BC_Y?_omw4566?<1BC_Y>d:KLVR7Xign;<=>j;HMQS4Yffm:;<=?j;HMQS4Yffm:;<=1:KLV`gcqVUjbi>?010e?LIUmhnrSRa}012355=NG[ojhtQPos23457682CD^hoky^]lv5678;;;7DA]e`fz[Ziu89:;?<>4INPfeaXWfx;<=>;119JKWcflpUTc>?01724>OHZlkouRQ`r12343eOH[VLXARHId0/Jj46??;HMP[CUJWOLo= Ga4b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io325>OH[VLXARHId3/Jj46692CD_RH\M^DE`7+Nf8;:=6G@S^DPIZ@Al;'Bb<<>1:KLWZ@TEVLMh?#Fn0125>OH[VLXARHId3/Jj42692CD_RH\M^DE`7+Nf8?:<6G@S^DPIZ@Al;'Bb???;HMP[CUJWOLo> Ga3028MJUXNZGTJKj=-Hl755=NGZUM_@QIFe0.Mk3682CD_RH\M^DE`7+Nf?;;7DA\_GQN[C@c:$Ce;<>4INQ\BVKXNOn9!D`7119JKVYA[DUMJi<"Io;`?LITWOYFSKHk3g9JKVYA[DUMJi="Io33?LITWOYFSKHk3,Km546Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@6=KGJ=0@BIFC@Nb?IVJWQEY>R?6;MVji`t;87k0@Ygbes>24;g1?c8HQojm{6:>374LUknaw:6601GXdcjr=0==>JSadoy0>06;MVji`t;<730@Ygbes>6:<=K\`gn~1819:NWmhcu4>427AZfmdp?<;?99OPlkbz]I:?6B[ilgqPFYdeyUn}=>?0068HQojm{^HSnc_ds345669=1GXdcjrUA\ghvXmx:;<=<>4:NWmhcu\JUha}Qjq12346733E^bah|[C^antZcv89:;8<:4LUknawRDWjg{Sh?0126<>JSadoyS=64LUknawY612F_e`k}_02:?IRnelxT=<74LUknawY6:11GXdcjr^0;?IRnelxT?55CThofvZ2?3E^bah|P599OPlkbzV<37AZfmdp\3==K\`gn~R67;MVji`tX1;>0@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<>1259OPlkbzVGjhiQPaef3456XEqeyS_k|umv?5485<2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=3=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;:7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1=1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?0;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz36?00?IRnelxTAljk_^cg`5678VGscQ]erwop919::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~743<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=;=63=K\`gn~RCnde]\eab789:T^h}zlu]3[kis89:;=d:NWmhcuWDkohRQnde2345YXign;<=<>e:NWmhcuWDkohRQnde2345YXign;<=<>1e9OPlkbzVGjhiQPaef3456XWhdo<=><1d9OPlkbzVGjhiQPaef3456XWhdo<=><10f8HQojm{UFmijP_`fg4567WVkeh=>?40g8HQojm{UFmijP_`fg4567WVkeh=>?403g?IRnelxTAljk_^cg`5678VUjbi>?043f?IRnelxTAljk_^cg`5678VUjbi>?0432`>JSadoyS@okd^]b`a6789UTmcj?0142a>JSadoyS@okd^]b`a6789UTmcj?01425a=K\`gn~RCnde]\eab789:TSl`k01245`=K\`gn~RCnde]\eab789:TSl`k012454b311<27>JSadoySRokd12349766890@Ygbes]\eab789:7=?0>2:NWmhcuWVkoh=>?0=3=57=K\`gn~RQnde2345:56880@Ygbes]\eab789:7?3?=;MVji`tXWhno<=>?<5<26>JSadoySRokd12349399;1GXdcjr^]b`a67896=2<<4LUknawYXimn;<=>37?31?IRnelxTSljk01238=86:2F_e`k}_^cg`567853596B`ae3f?Iifl8UTmcj?012`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O20?K66:2D:;6@JTVMQO3=IGJFB\<5@4:MAQC35@ND08KW50Ccmjc:Mmg`YXg{:;<=j4Ooaf[Ziu89:;=i5@nbg\[jt789:9h6Aacd]\kw67899o7B`le^]lv5678=n0Ccmj_^mq4567=m1DbnkP_np34561l2EeohQPos23451c3FdhiRQ`r1234=b=?<1130[I53:R266=W9:90\<:<;Q367>V6>:1[=:=4P0:0?U7>:2Z9?6^=029S67590\?6<;Q0:6>V4;2Z8<>5_3318T6543Y9??6^<529S735V39:1[8?=4P510?U21;2Z><>5_5318T0543Y???6^:529S135V18:1[:<=4P710?U03;2Z=9>5_6718T3153Y=87]9?3:R466=W?:90\::<;Q557>V0?:1[;5=4P6;1?U>43Y2;?6^7129S<75>5_9218T<243Y3>?6^6629S=25>0:RP[CUJWOLo> Ga1033?UUXNZGTJKj=-Hl2646m7]]PFRO\BCb5%@d>j6^\_GQN[C@c:$Ce:k5_S^DPIZ@Al;'Bb:h4PR]EWHYANm8&Ec6i;QQ\BVKXNOn9!D`6b:RP[CUJWF7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU13[KFO^?9;SCNGV413[KFO^=:;SCNAKb=1g9QEHCIWds<=>?23d8VDKBFVg~t=>?031e?WGJMGUfyu>?0107b>TFELDTaxv?01211c=UIDOES`{w012363`02XJA_kndx33?WGJZlkouRoad123447>139QEHTbimsTaxv?01224441:PBIWcflpUfyu>?01025>TFE[ojhtQbuy23455692XJA_kndx]nq}6789>:=6\NMSgb`|Yj}q:;<=;>1:PBIWcflpUfyu>?01425>TFE[ojhtQbuy23451692XJA_kndx]nq}67892:=6\NMSgb`|Yj}q:;<=76;SCN[Wcflpk0^LCPRdcg}4g>8g9QEVCKWds<=>?18g8VDUBDVg~t=>?03d8VDUBDVg~t=>?032e?WGTMEUfyu>?0102b>TF[LFTaxv?01216c=UIZOGS`{w012366`12XJS=Q@BTD`?WGX8Vg~t=>?0e9QEZ6Xe|r;<=>>d:PB[5Yj}q:;<=j4R@]3[hs89:;8l5]A^33[JDRNh1YMR?>_N@VB<=UIV;TCO[Ic:PB[4Yj}q:;<=j4R@]2[hs89:;=i5]A^3\ip~789:9h6\N_0]nq}67899o7_OP1^ov|5678=30^LQ=_N@VBf=UIV8Taxv?012g?WGX:Vg~t=>?00f8VDY5Wds<=>?2e9QEZ4Xe|r;<=>Qbuy23457c3[KT?Rczx12347bTFW=UDNXHl;SC\0Zkrp9:;TFW?01f8VDY2Wds<=>?1e9QEZ3Xe|r;<=>=d:PB[0Yj}q:;<==k;SC\1Zkrp9:;<974R@]5[JDRNj1YMR8Pmtz3456c3[KT:Rczx12344bTFW?Ufyu>?016:?WGX?VEIYKm4R@]4[hs89:;h6\N_6]nq}6789;o7_OP7^ov|5678;n0^LQ8_lw{4567;m1YMR9Pmtz3456312XJS5Q@BTD`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>d:PB[=Yj}q:;<=j4R@];[hs89:;8i5]A^:\ip~789:>h6\N_9]nq}6789<27_OP9^MAQCeTFW0Ufyu>?013g?WGX1Vg~t=>?03f8VDY>Wds<=>?3e9QEZ?Xe|r;<=>;c:PFTIGDMVE@MT=4RDQ7?WCT9<1YI^|i5:PFWwtc3[OX~Qaou2344c;5]OLAP73=UGDIX8;5]OLAP13=UGDIX:;5]OLAP36=U[]h0^^QISL]EBa7b3[YTJ^CPFGf2)Lha3[YTJ^CPFGf2)Lh6991Y_RH\M^DE`4+Nf8::<6\\_GQN[C@c9$Ce=2028VVYA[DUMJi?"Io30b>TTWOYFSKHk1,Km6c=U[VLXARHId0/Jj6`o1Y_RH\M^DE`4+Nf>l0^^QISL]EBa7*Ag2m7_]PFRO\BCb6%@d2n6\\_GQN[C@c:l1Y_RH\M^DE`7+Nfo1Y_RH\M^DE`7+Nf8;;7_]PFRO\BCb5%@d:<<>4RR]EWHYANm8&Ec?>119QWZ@TEVLMh?#Fn0024>TTWOYFSKHk2,Km56773[YTJ^CPFGf1)Lh6<8:0^^QISL]EBa4*Ag;>==5]S^DPIZ@Al;'Bb<8>0:PP[CUJWOLo> Ga1633?WUXNZGTJKj=-Hl2<46n2XXSK]B_GDg6(Oi:o1Y_RH\M^DE`7+Nf:l0^^QISL]EBa4*Ag>m7_]PFRO\BCb5%@d>j6\\_GQN[C@c:$Ce:k5]S^DPIZ@Al;'Bb:h4RR]EWHYANm8&Ec6i;SQ\BVKXNOn9!D`6b:PP[CUJWOLo?h5]S^DPIZ@Al:'Bbk5]S^DPIZ@Al:'Bb#Fn4d8VVYA[DUMJi="Io4e?WUXNZGTJKj<-Hl4b>TTWOYFSKHk3,Km}f:Pfea7zVUd~=>?0028V`gcq9xTSb|?012255=Umhnr<QPos2345413[ojht?8;Sgb`|7un2Xnmiw>r^]lv56788:0^hoky0p\[jt789::==5]e`fz5wYXg{:;<=<9;Sgb`|403[omHb`jf:PfbAiimVUd~=>?0028V``CggoTSb|?012255=UmoNdbhQPos23454682XnjIaae^]lv5678:;;7_kiDnlf[Ziu89:;8h5]egDqkhTT8Gosxk5]egDqkhTT8Gosx0028V``AzfgY_=@jxu3255=UmoLyc`\\0Og{p44682XnjK|`mSQ3J`~s9:;;7_kiFsmnVV6Imq~:8k5]egDqkhTT8Gosx?h4RddEvjkU[9Dnty=i;SgeBwijZZ:Eiuz;f:PfbCthe[Y;Bhv{5g9Qac@ugdXXAeyv;b>TbnOxda_]?Ndzw=a=UmoLyc`\\0Qpfa>TbnOxda_]?Psg2a>TbnOxda_]?Psg1a>TbnOxda_]?Psg0a>TbnOxda_]?Psg7a>TbnOxda_]?Psg6a>TbnOxda_]?Psg5a>TbnOxda_]?Psg4a>TbnOxda_]?Psg;2>Tbn[KX:6\jfSgr<>Tbn[ozEco4RddQatU~fjo0^hh]ep]bja6789l0^hh]ep]bja6789;m7_kiRds\ekb789:9i6\jfSgr[kis89::j6\jfSgr[kis89::=i5]egPfuZiu89:;i6\jfSgr[jt789::i6\jfSgr[jt789:9i6\jfSgr[jt789:8i6\jfSgr[jt789:?:6\jfTpd<>Tbn\xlEck4RddVvbYffm:;<=h4RddVvbYffm:;<=?i;SgeQwaXign;<=>=a:PfcPt`[pdh:6\jstnw7>UB\<1X[1?1a:QT848XAK_M96]X<30n;RU?7;YNJ\Lj7^`kR@O@WRT63]90X_G7;UPJWQGSM=1_^XK;;U[SA==Sadoy0=07;Uknaw:6611_e`k}<3<;?Qojm{682:5[ilgqPF753]cfiZL_bos[`w789::?6ZfmdpWGZejxVoz<=>?1018Plkbz]ITo`~Pep234546;2^bah|[C^antZcv89:;?<=4ThofvQEXkdzTi|>?01627>Rnelx_ORmbp^gr4567=>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC43_ZJo6X_A^]bja6789n0Z]OP_`lg45679k1]\LQPos2345e<^YKTSb|?0122g>PWIVUd~=>?03a8RUGXWfx;<=>96X_Asd6?SVFz{n0Z]O}r^llp5679l1]\L|}_omw45669?1\m~\E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW2^T\VMEH:5WSU]UGF3^XRLXD:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0ohjz30bLJ`uuNF4`=iIMe~xAK?_N@VB46=109mEAir|EO;Sb|?0120=>hFLf@H?n;oCGkprKM8;n7cOKotvOA4YHJ\L87cO\7:lBWZ@TJj1eM^QISC]JFP@03gKXSDAMd:lBWZOHJVEIYKKn;oCP[LIEg|~=7cO\otvf?kGTg|~Tbbz?013e?kGTg|~Tbbz?0132b>hF[fSca{01226c=iIZe~xR``t12356`?01326>hEM[XTJ^LP_np345659;1eNH\]_GQA[Ziu89:;?45aBDPQ[LIEm2dII_\PIN@\MGSA?2dIKRG@Bb9mFBYNGKUBNXH8;o@Q[LIEk2dI^RG@B^MAQC`<>4nCP\MJDXe|r;<=><119mFWYNGKUfyu>?01624>hEZVCDNRczx12340773gHYSDAM_lw{4567>8:0bO\PIN@\ip~789:<86`LARf8jFGTWJf`SD@Y169mGDUXF930bNO\_N@VBf=iKHYTbbz?013g?kEF[Vddx=>?1048jARFKBk0bIZNCJ]EWG`hC\HI@SRa}0123b>hC\HI@SRa}01235c=iL]KHGRQ`r123470hCg|~DI<64nEmvpJC502dOcxz@E2a8jAir|FOTCO[I5:lJTI4f3gC[@?QFBTD5?kOWD;x?7cBKS99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDFf>hKLZUBCOaztb9mHAUXign;<=?k;oNGWZgil9:;=hKLZUd~=>?12a8jIBTWfx;<=?;c:lO@VYhz9:;=8m4nMFP[jt789;=o6`CDR]lv5679>>0bAYJ5:lOS@7d3gF\IRoad1234a=iD^OTmcj?0122`>hK_LUjbi>?010g?kJPMVkeh=>?02f8jIQBWhdo<=>?429mK@21:lLr`tkipUjbi>?01025>hH~lxgmtQnne23455692dDzh|cax]bja6789>:=6`@vdpoe|Yffm:;<=;>1:lLr`tkipUjbi>?0144?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU23gXJ_<;4nSCP60=iZHY896`]AR66?kTF[<30b_O\_N@VB1=iZLYi7c\JS^KLFP@Bk2dYI^Qaou2344bhUGD]N=o5aRNOTAZIE]O>0bXJ\8:lV@VYA[Kn0bXJ\_GQA[LDRN11eYI]PIN@f?kSC[VCDNRAMUGGa?kSC[VCDNb{{c:lV@VYffm:;<=j4nTFP[dhc89:;=n5aUEQ\jjr789;o7c[KS^llp56798>0bXYJ5:lVS@7d3g_\IRoad1234a=i]^OTmcj?0122`>hR_LUjbi>?010g?kSPMVkeh=>?02f8jPQBWhdo<=>?459mRHG?3g\FMRG@Bd9mRHGXAFHTCO[IEc9mRHGXAFHdyyj4nWOB[Zgil9:;hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0af`>FGp=;96K4;:0yP=5<3::098>4>35`3f2<5982>wc:=0;38j1462?1/8a2=886?:<:017f5d02;;:n=5\1e290`d=;3;88o>m7;025g2<[1l18hl53;300g6e?38:=o84d531>5<628qX5=4;228106<6;=h;n:4=10:6?!>72=oo7E78;wVfg?6=93;1?o8tS829075=:=91=>:m0c59647?=2.?<94>d39U04`=:r9>:4>;t014$dg9570d`|@=:97)=k7;626>\?939p;7o5b;'5fc=;m<0'9ok:188k=3=831d8;?50;9j061=831d8h:50;9j0g?=831d8o;50;9l<2<722e?m;4?::k70f<722e?m?4?::m71d<722e?9=4?::kb5<5<5<5<>i3;:0;66g;7b83>>o3>i3>10;66a;7d83>>i3kh0;66a;6b83>>i3?:0;66g;e883>>i3!4>:38ji6`=9083?>i5im0;6)<62;0ba>h5180:76a=b983>!4>:38ji6`=9081?>i5j>0;6)<62;0ba>h5180876a=b783>!4>:38ji6`=9087?>i5j<0;6)<62;0ba>h5180>76a=b583>!4>:38ji6`=9085?>i5j:0;6)<62;0ba>h5180<76a=b383>!4>:38ji6`=908;?>i5j80;6)<62;0ba>h5180276a=b183>!4>:38ji6`=908b?>i5ij0;6)<62;0ba>h5180i76g;b;29 7?52=k0b?7>:198m1?=83.95?4;a:l1=4<632c?47>5$3;1>1go3=3:1(?7=:5c8j7?62=10e9:50;&1=7<3i2d95<4:;:k77?6=,;3969o4n3;2>3=h5180<76g;1;29 7?52=k0b?7>:998m16=83.95?4;a:l1=4<>32c8j7>5$3;1>1go4l3:1(?7=:5c8j7?62j10e>m50;&1=7<3i2d95<4k;:k67?6=,;3969o4n3;2>`=h5180m76g:1;29 7?52=k0b?7>:028?l37290/>4<54`9m6<7=9810e9h50;&1=7<3i2d95<4>2:9j0`<72-82>7:n;o0:5?7432c?h7>5$3;1>1g5<#:0818l5a283950=h5180::65f3e294?"51;08ok5a28394>=n;jn1<7*=9380gc=i:0;1=65f3b`94?"51;08ok5a28396>=e<8=1<7?50;2x 6b028:97E:>6:J747=#<8o18?:4$0ae>1ca3f;;=7>5$53f>75132wi8<650;394?6|,:n<69><;I622>N38;1/81?5uG4108 6b020;0V5?51z:9y!7dm39o:6g7c;29?j71m3:17b=k2;29?l142900e9ok:188k4312900e9k7:188k7df2900c>;>:188k46d2900e4=h5180976g>7;29 7?528h0b?7>:298m43=83.95?4>b:l1=4<332c:87>5$3;1>4do6:3:1(?7=:0`8j7?62>10e<=93k07dk50;&1=7<6j2d95<4m;:kg>5<#:081=o5a2839g>=nk3:1(?7=:0`8j7?62m10e?:50;&1=7<6j2d95<4j;:k17?6=,;396c=:183!5c?3>;?6F;179K054<,=;n6?=>;%3`b?2bn2e98?4?:%62a?44>21vn977:186>7<1s-9o;7hl;I622>N38;1/=<=56:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e<031<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb02g>5<>290;w)=k7;15?M26>2B?5<#<8o1=;:k243<72->:i7?>1:9j551=83.?=h4>1098m46?290/8o68h0;6):>e;325>=n99h1<7*;1d8254=5}#;m=1?;5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810e<>9:18'04c=98;07d??7;29 17b28;:76g>0983>!26m3;:=65f11;94?"39l0:=<54i02b>5<#<8o1=;:m16`<72->:i7<<6:9~f46a29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj;hi6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c0ag?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th9ni4?:583>5}#;m=1??5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810e<>9:18'04c=98;07b<=e;29 17b2;9=76sm48a94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg2>n3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;a183>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`7e4<72=0;6=u+3e5977=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098m461290/8{e;<81<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb270>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=87>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c163?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5203:187>50z&0`2<4:2B?=;5G4108 17b2::0(o68<0;6):>e;325>=n99<1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?5c83>0<729q/?i95329K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=;:m16`<72->:i7<<6:9~f43d29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8?o6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?5d83>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e96:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?90;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c355?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg71:3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=47>53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm14;94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=55b=99<0q~j50;0xZa=:99n1==94}rg94?4|Vl16==j511:8yv`=839pRk5211f955?<58?o6<>;;|q24?6=;rT:<63>0e824d=:95<5sW;:70??d;33f>{t9;0;6>uQ139>55c=99>01<;m:027?xu6;3:1?vP>3:?24`<68<16=8l51178yv732908wS?;;<33a?77>27:9o4>079~w43=839pR<;4=02f>46034;>n7??7:p52<72<5=3o6<><;<167?77;27:944>059~w4?=8346>34>2i7??3:?011<68:16=8951168943d28:?7p}>a;293~X6i27:

0`9>6gd=9990197l:026?852>3;;863>568240=:9<21==:4}r3`>5<1sW;h70??e;33f>;5jj0:<>5248d9555<5:?>6<><;<36b?77<2wx>>4?:3y]66=:99n1==:4}r07>5<5sW8?70??d;331>{t?:0;6?uQ729>00q~6l:181[>d34>2476=;|q24f<72;qU==m4=02e>74b3ty:55b=:;o01<>i:027?xu68l0;6?u211g967c<58:m6<>:;|q213<72;qU=884=07b>74b3ty:9:4?:3y>501=:;o01<;i:026?xu6=10;6?u214:967c<58?26<>:;|q21<<72;q6=87523g8943f28:>7p}>5c83>7}:9

?k4=041>4633ty:9n4?:3y>50e=:;o01<8>:027?xu6=m0;6?u214f967c<58<;6<>;;|q21`<72;q6=8k523g8940728:>7p}>5g83>7}:9?k4=07b>4633ty::=4?:3y>536=:;o01<8>:026?xu6>80;6?u2173967c<58<96<>:;|q227<72;q6=;<523g8943?28:>7p}>6d83>7}Y9?o01<9?:30f?xu6>o0;6?u217d967c<58=;6<>:;|q16g<72;q68<65250891??20<0q~5116897dc2;8n70?:c;331>{t:kh1<774b348ih7??4:p6ge=838p1?ll:30f?84el3;;:6s|34394?4|V:?:70=:8;01a>{t;<81<774b349>:7??5:p705=838p1>;<:30f?85203;;86s|34694?4|5:??6?{t;<<1<774b349>47??5:p701=838p1>;8:30f?85203;;:6s|48:94?5|5=336?om;<0a`?77=2789?4>029~w1?f2909w0:68;:g?82>1389i6s|48`94?4|5=3365l4=5c2>74b3ty?5n4?:3y>0:026?xu31m0;6?u248f967c<5=k;6<>;;|q7=`<72;q684k523g891g728:>7p};9g83>7}:<0l1>?k4=5c2>4613ty?m=4?:3y>0d6=:;o019o>:027?xu3im0;6?uQ4`f891?>28:87p};e983>6}Y5<4;3ni6kotH521?!5c?38i56T71;0x3??=u-;hi7=k6:k;g?6=3f>h>7>5;h602?6=3f>i97>5;n6b2?6=3f>>m7>5;h50>5<5<5<5<5<5<5<#:081>lk4n3;2>5=5<#:081>lk4n3;2>7=54o3`5>5<#:081>lk4n3;2>1=6=4+28096dc5<#:081>lk4n3;2>3=5<#:081>lk4n3;2>==5<#:081>lk4n3;2>d=o6?3:1(?7=:0:8j7?62810e<;50;&1=7<602d95<4=;:k20?6=,;396<64n3;2>6=h5180?76g>2;29 7?52820b?7>:498m47=83.95?4>8:l1=4<132c:<7>5$3;1>4>!4>:3;37c<61;:8?lc=83.95?4>8:l1=4<>32co6=4+28095==i:0;1m65fc;29 7?52820b?7>:c98m72=83.95?4>8:l1=45$3;1>4>o593:1(?7=:0:8j7?62o10e?>50;&1=7<602d95<4>0:9j5c<72-82>7?7;o0:5?7632c:i7>5$3;1>4>5<#:081=55a283956=h5180:865m40594?7=83:p(>j8:021?M26>2B?78t$2f4>ce<@=;=7E:?2:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p4?:281>1}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?353;090~"4l>0mn6F;179K054<,8im69ki;h:1>5<56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62=?6=93:1{t:091<77}Y:hh01kj52248yv27;3:1>v3id;:a?826138?>6srb083>4<729q/8=?54:&0`2<68;1/8{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$522>0=zuk8ii7>53;090~"4l>0mn6F;179K054<,8;86;5+1bd90``>i5ik0;66l=ac83>0<52?q/?i95fe9'5f`=7>5;cdg>5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;26=4>:183!26m388=6a=4383>!26m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53bc8yv4fj3:1>vP=ac9>ba<5;?1v9><:1818`c21h019?6:361?x{e93:1=7>50z&744<33-9o;7??2:&75`<3:=1/=nh54dd8k466290/8>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#<9;196srb3f`>5<42;0?w)=k7;da?M26>2B?"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``9"69:0=7)?lf;6fb>o?:3:17d79:188k7ge2900n?om:186>7<1s-9o;7hk;%3`b?2bn2e9mo4?::k1=6<722c2:7>5;h:g>5<3<729q/81098m=d=83.?=h47b:9j:i76l;:k0gd<72->:i7=la:9j70?=83.?=h4<5898k751290/8>27mh7?>1:p<7<72;qU4?52fe8;g>{t0m0;6?uQ8e9>ba<4=01v?7<:181[4>;27mh7=la:p6dd=838pR?om;7513ty?<>4?:3y>bat$522>1=#;m=1==<4$53f>1433-;hj7:jf:m244<72->:i7<<6:9~w7ge2909wS5<5sW2970;5ik03h63>:022?!2793?0qpl6<52=q/?i95fc9K040<@=:97)?>3;48 4ea2=om7d6=:188m<0=831d>ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg22i3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg52i3:1?7<54z&0`23}#;m=1ji5+1bd90``5<>o?:3:17ohk:185>5<7s->:i7hj;h325?6=,=;n6;:k;f?6=,=;n65l4;h:`>5<#<8o14n54i2ab>5<#<8o1?no4;h16=?6=,=;n6>;6;:m173<72->:i7<<6:9~f17>290:6=4?{%62a?4492e98?4?:%62a?44>21v4850;0xZ<0<5on1=5<5sW2970hk:9a8yv>c2909wS6k;63>3ty95>4?:3y]6<5<5on1?no4}r0bf?6=:rT9mo52fe8173=z{=:86=4={=d<5=;26?:=;|a5?6=93:16*;1d8761=#9jl18hh4o022>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7ge3ty2:7>52z\:2>;5ik02:6s|8383>7}Y0;16>ll5839~w7<72:q6>ll5281897ge21n01<4>009'057==2wvn>86:180>7<3s-9o;7hm;I622>N38;1/=<=56:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a72c=8391>7:t$2f4>cd<@=;=7E:?2:&256<13-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?35}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=h?7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f6df29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo=mb;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c1`2?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th8o:4?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;1k1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2:a>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f13e29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:m6;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`7g0<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f1e029096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:l8;291?6=8r.8h:4<3:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65f11494?"39l0:=<54i024>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg2>:3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;9283>0<729q/?i95329K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=;:m16`<72->:i7<<6:9~f7bb290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo=?d;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd48l0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb237>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f67229096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo=>6;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c123?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e;8k1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f67d29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:;o6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`064<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm33194?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg55>3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb20g>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo==f;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;:91<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb217>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f65229086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;:k1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?>l50;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c172?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg53?3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl<4983>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`00<<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:2;6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`0<7<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:286=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c1;0?6==3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9j550=83.?=h4>1098m460290/8{e;1?1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?5850;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c1;3?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5?03:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?;l50;094?6|,:n<6<<:;I622>N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f61129086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?=8391<7>t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;>31<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb25b>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;?o1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?;h50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm36294?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5093:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7>53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm36194?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg50<3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=54;294~"4l>09?95G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07d??6;29 17b28;:76a=2d83>!26m388:65rb27`>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8:0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/889:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg51?3:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi?;650;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm34f94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e;"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3`;;:7>5$53f>47632e9>h4?:%62a?44>21vn>8?:187>5<7s-9o;7<<4:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8=i:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg5383:187>50z&0`2<4:2B?=;5G4108 17b2::0(o68<0;6):>e;325>=n99<1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb261>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f62429086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;hl1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2`3>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8o68=0;6):>e;325>=n99?1<7*;1d8254=5$53f>75132wi?o:50;694?6|,:n<6><4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07d??6;29 17b28;:76a=2d83>!26m388:65rb5c4>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=j47>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/80483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`7eg<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj:3i6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn>7l:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`0=`<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm3`794?4=83:p(>j8:006?M26>2B?5<#<8o1==zj:k=6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c1b3?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th8m54?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8on:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb2ca>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f6?a29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:k;6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`0e7<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:k86=4;:183!5c?3997E:>6:J747=#<8o1?=5+1bd90``5$53f>47632c:<;4?:%62a?76921d>?k50;&75`<5;?10qo0483>!26m3;:=65`23g94?"39l09?;54}c0f0?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th9i84?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg4b03:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl=e883>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e:lk1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb3ga>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f7c6290<6=4?{%1g3?523A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=n99=1<7*;1d8254=5$53f>47632e9>h4?:%62a?44>21vn?k=:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb3g0>5<4290;w)=k7;007>N39?1C8=<4$53f>7553-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8>;:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg57=3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f66>29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd5n:0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e:o<1<7:50;2x 6b02:80D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3`;;:7>5$53f>47632e9>h4?:%62a?44>21vn?h8:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1b=<72:0;6=u+3e59665<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8i5:l0;6):>e;002>=zj;l26=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1bg<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb3de>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f66729086=4?{%1g3?44;2B?=;5G4108 17b2;997)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f7e729096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qoe;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg4c?3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl=d983>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e:m31<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>io50;094?6|,:n<6<<:;I622>N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f7be29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd5k;0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj;i?6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c0`1?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th9o;4?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1g=<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?95G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810e<>9:18'04c=98;07d??7;29 17b28;:76g>0983>!26m3;:=65`23g94?"39l09?;54}c0`g?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e:jn1<7=50;2x 6b02;987E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo0483>!26m3;:=65`23g94?"39l09?;54}c0`b?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg4c83:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>i?50;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c0g6?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg4c;3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76s|c;296~Xd349;i7??4:p`?6=:rTo70=>6;330>{tm3:1>vPj;<12g?77<2wxj7>52z\e?855:3;;86s|1183>7}Y9916??651168yv762909wS?>;<11a?77<2wx=?4?:3y]57=:;:>1==:4}r30>5<5sW;870={t9=0;6?uQ159>714=99>0q~?9:181[71349;o7??5:p52<72;qU=:5235495537}Y9l16?=4?:3y]65=:;;<1==;4}r02>5<5sW8:70==c;331>{t:;0;6?uQ239>764=99?0q~<<:181[44349847??5:p61<72;qU>95235295520z\47>;0>33=70;4080:<8523969552<5:=<6<><;<14029>732=99?01>ok:027?85>m3;;?63464348mh7??4:?1g0<68:1v:850;6x920=:hh01>96:020?85f=3;;?63<068246=z{1i1<7ht^9a896?021801>m<:90896d121801>m7:90896d?21801:85839>6gc=0;16>im5839>6``=0;16?o;5839>70g=0;16?;75839>72c=0;1688l51118yv4513:1>v3;168244=:;0=14i5rs3c`>5<5sW8jo63<0g816`=z{;ko6=4={_3:?[4fl2wx>lh50;1xZ43{t:k:1<7d;01a>{t:k81<7{t:k>1<770=<5;01a>{t:k<1<7{t:k21<7;5ll0:<8523939552<5:=j6<><;<150?77<278?h4>029>716=99?01>ok:026?82f?3;;?63464349;57??3:p6gc=83kp1?lj:3ca?82d03;;863;928241=::mo1==84=2:1>463349>h7??4:?0e`<68:168l651118966228:>70{t:kl1<7<0<5;n?6?n>523g897e128:>7p}=c083>7}::j;1>?k4=3ab>4633ty9o?4?:3y>6f4=:;o01?mn:025?xu5k:0;6?u22b1967c<5;ij6<>8;|q1g1<72;q6>n:523g897ef28:37p}=c483>7}::j?1>?k4=3f1>4623ty9o;4?:3y>6f0=:;o01?ml:027?xu5k>0;6?u22b5967c<5;ih6<>:;|q1g=<72;q6>n6523g897ec28:>7p}=c883>7}::j31>?k4=3af>4623ty9ol4?:3y>6fg=:;o01?j?:026?xu5kk0;6?u22b`967c<5;i<6<>;;|q1gf<72;q6>nm523g897b628:?7p}=ce83>7}::jn1>?k4=3ae>4633ty9oh4?:3y>6fc=:;o01?j?:027?xu5ko0;6?u22bd967c<5;n:6<>:;|q1`5<72;q6>i>523g897b528:?7p}=d083>7}::m;1>?k4=3f0>4623ty9h?4?:3y>6a4=:;o01?j;:026?xu5l:0;6?u22e1967c<5;n?6<>;;|q1`0<72;q6>i;523g897e028:>7p}=d783>7}::m<1>?k4=3a;>4633ty9h:4?:3y>6a1=:;o01?m7:026?xu5l10;6?u22e:967c<5;im6<>:;|q1`<<72;q6>i7523g897eb28:?7p}=d`83>7}::mk1>?k4=3a:>4623ty9ho4?:3y>6ad=:;o01?mn:026?xu5lj0;6?u22ea96dd<5;on6<>;;|q1`a<72;q6>ik523g897cf28:87p}=dg83>7}::mi15;522d1967c52z?1a5<5:l16>h<51168yv4b93:1>v3=e0816`=::l91==;4}r0f6?6=:r79i?4=2d9>6`5=99>0q~h522d`955352z?1a0<5:l16>h?51178yv4b>3:1>v3=e7816`=::l;1==:4}r0f3?6=:r79i:4=2d9>6`7=99<0q~h522d3955>52z?1a<<5:l16>h?51158yv4bi3:1>v3=e`816`=::l;1==74}r0ff?6=:r79io4=2d9>6`4=99?0q~hk523g8yv4bl3:1>v3=ee816`=::lo1==;4}r0fb?6=9?q6>hh52``8913a28:870=?c;330>;48m0:<>523069552<5:;>6<><;<12e?77<278=o4>029>776=99>01><>:020?855>3;;863<268246=:;;i1==:4=20g>4643498>7??4:?076<68:16?>651168965>28:870=;6;330>;4<>0:<>5232d9555<5:>;6<>9;|q1b5<72;q6>hh5979>754=:;o0q~h522g695527>52z?1b7<5:l16>kh51178yv4a;3:1>v3=f2816`=:;9;1==;4}r0e0?6=:r79j94=2d9>6c>=99>0q~h52312955252z?1b3<5:l16>k751178yv4a?3:1>v3=f6816`=::ok1==;4}r0e6cd=99?0q~h522gf955352z?1bd<5:l16>kk51178yv4aj3:1>v3=fc816`=:;981==:4}r0eg?6=:r79jn4=2d9>6c2=99?0q~h522gg955252z?1b`<5:l16>kh51168yv4an3:1>v3=fg816`=:;9:1==;4}r134?6=:r78<=4=2d9>757=99>0q~=?1;296~;48809>h52310955352z?046<5:l16>k651178yv57<3:1>v3<05816`=::o?1==;4}r131?6=:r78<84=2d9>6c?=99>0q~=?6;296~;48?09>h522g4955252z?042<5:l16>k851148yv5703:1>v3<09816`=::o=1==:4}r13=?6=:r78<44=2d9>6c1=99?0q~=?b;296~;48j09>h5231d955252z?04a<5:l16?=k51178yv57l3:1>v3<0d816`=:;9l1==;4}r127?6=:r78=94=2d9>741=99>0q~=>4;296~;49<09>h52304955352z?053<5:l16?<951178yv5613:1>v3<1`816`=:;8n1==:4}r12e?6=:r78=o4=2d9>74e=99?0q~=>b;296~;49j09>h5230f955352z?065<5:l16??=51168yv5583:1>v3<20816`=:;;81==;4}r115?6=:r78>?4=2d9>775=99?0q~==5;296~;4:?09>h5233;955252z?062<5:l16??651178yv55?3:1>v3<29816`=:;;31==;4}r11f?6=:r78>n4=2d9>77`=99>0q~==c;296~;4:m09>h5233g955352z?06`<5:l16??h51178yv5493:1>v3<33816`=:;:?1==:4}r106?6=:r78?>4=2d9>762=99?0q~=<3;296~;4;=09>h52327955352z?07=<5:l16?>l51168yv5403:1>v3<38816`=:;:k1==;4}r10=?6=:r78?l4=2d9>76d=99?0q~=h52353955252z?07c<5:l16?9?51178yv54n3:1>v3<41816`=:;=91==;4}r174?6=:r788<4=2d9>714=99?0q~=;1;296~;4<;09>h52351955252z?003<5:l16?9751168yv53>3:1>v3<46816`=:;=21==;4}r173?6=:r78854=2d9>71?=99?0q~=:1;296~X4=8168o=51118yv52i3:1=>u234c96dd<5:2j6<><;<1;4?77=278494>079>720=99>01>9l:026?851;3;;?63<5d8241=:;k;1===4=2;:>464349j;7??3:?1a2<68:16>hl5116897`628:>70;5kk0:<9522e:9555<5;n26<>;;<0`6?77;2wx?8l50;0x963f20<01>8?:30f?xu4=j0;6?u234a967c<5:<<6<>;;|q01a<72;q6?8j523g8963a28:?7p}<5d83>7}:;?k4=243>4623ty89k4?:3y>70`=:;o01>8?:025?xu4>80;6?u2373967c<5:<<6<>:;|q027<72;q6?;<523g8960?28:?7p}<6283>7}:;?91>?k4=24;>4623ty8:94?:3y>732=:;o01>;i:026?xu4><0;6?u2377967c<5:?o6<>:;|q023<72;q6?;8523g8963a28:=7p}<6683>7}:;?=1>?k4=27f>4623ty8:54?:3y>73>=:;o01>8?:027?xu4>00;6<;t=24:>7ge3493n7??4:?0<5<68=16?5:51158961e28:870=9c;330>;4=j0:<>523709552<5:<>6<><;<1bb?77;2785o4>059>7d>=99901?k?:026?84b=3;;?63<028246=::o81===4=3d6>463348h<7??3:?1gg<68<16>i75117897e428:87p}<6`83>7}:;?315;52367967c52z?02g<5:l16?;m51178yv51k3:1>v3<6b816`=:;>?1==;4}r15`?6=:r78:i4=2d9>724=99>0q~=9e;296~;4>l09>h52362955352z?02c<5:l16?:;51148yv5083:1>v3<71816`=:;>;1==:4}r145?6=:r78;<4=2d9>724=99?0q~=82;296~;4?;09>h52361955352z?036<5:l16?::51168yv50<3:1>v3<75816`=:;>?1==:4}r142?6=:r78;;4=2d9>725=99>0q~=87;296~;4?>09>h5237f955352z?03=<5:l16?;k51168yv5013:1>v3<78816`=:;?o1==;4}r14e?6=:r78;l4=2d9>727=99?0q~=8b;296~;4?k09>h52366955352z?03f<5:l16?;h51168yv50l3:1>v3<7e816`=:;?l1==;4}r14a?6=9;q6?:k52``896>428:870=9b;337>;4??0:<8523739555<5:<96<>:;<152?77;278n=4>029>7d?=99901?k?:027?84b>3;;?63<058246=::oh1==:4=3f6>464348h87??3:?1g3<68=16>n75116897ec28:?7p}<7g83>7}:;>o15;5239:967c52z?0<5<5:l16?5851168yv5?93:1>v3<80816`=:;1?1==:4}r1;6?6=:r784?4=2d9>7=3=99?0q~=73;296~;40:09>h52395955352z?0<1<5:l16?5651178yv5?=3:1>v3<84816`=:;1<1==;4}r1;2?6=:r784;4=2d9>7=1=99>0q~=77;296~;40>09>h5239:955252z?0?3:1?v3<9681eg=:;kk1===4=2a5>4643ty8554?:3y>7<1=0k16?4m523g8yv5>13:1>v3<98816`=:;0h1==;4}r1:e?6=:r785l4=2d9>7h5238a955254z?0e6<5:l16>h75111897b128:870{t;0o1<774b349jm7??5:p7<`=838p1>7i:30f?85f;3;;:6s|3`294?4|5:k;6?{t;h81<774b349j?7??5:p7d2=838p1>o;:30f?85fj3;;86s|3`794?4|5:k>6?{t;h=1<774b349j?7??4:p7d>=838p1>o7:30f?85>n3;;86s|3`;94?4|5:k26?{t;hh1<774b349j<7??5:p7de=83>p1>l;:30f?84b03;;?63=d68246=::mh1===4}r1b`?6=:r78mi4=2d9>7g2=99?0q~=ne;296~;4il09>h523c0955352z?0ec<5:l16?o=51178yv5e83:1>v37g5=99<0q~=m2;296~;4j;09>h523c6955252z?0f6<5:l16?o:51148yv5e=3:1>v372>=99>01>o;:027?84a>3;;96s|3c594?4|V:h<70=m8;;5?xu4j10;69u23859=3=:;k21>ll4=2`a>4633492m7??3:p7g?=838p1>l9:84896de2;8n7p}7}:;kk1>?k4=2`a>4623ty8o>4?:ey>7f5=:hh01>6=:026?85?<3;;963<7b8241=:;?n1==:4=253>463349i>7??4:?0ed<68=16?l>5116897c328:870;5nh0:<9522ec955552z\0g1=:;j215;5rs2a6>5<5s49h?779;<1`3?45m2wx?n850;0x96e12;8n70=l7;331>{t;j21<77ge349h;7??4:p073=838p1>l::84896>e2;8n7p};3783>3}Y<:<019l9:026?82>>3;;863;c48246=:<0:1===4=5cb>4623ty?9l4?:3y]00g<5=?j6?om;|q71f<72;q688o5839>00d=:;o0q~::e;296~;3=h02:63;5g816`=z{==i6=4={_64f>;31;0:<>5rs5:g>5<5sW>3h63;8d816`=z{=2m6=4={<6;a?77;27?5>4=2d9~w1?72909w0:60;01a>;31:0:<85rs5;2>5<5s4>2=7<=e:?7=6<68?1v97=:18182>:389i63;928242=z{=3?6=4={_6:0>;31?09>h5rs5;6>5<5s4>297<=e:?7=3<68<1v9o9:181[2f>27?mo4=2d9~w1g02909w0:n7;01a>;3ih0:<95rs5c;>5<5s4>j47<=e:?7ed<68?1v9o6:18182f1389i63;ac8240=z{=kj6=4={<6be?45m27?mo4>059~w1d52909wS:m2:?7f6<5:l1v9l::181[2e=27?n;4=2d9~w1d?2909wS:m8:?7g2<68:1v9m=:181[2d:27?o>4=2d9~w1e32909w0:l3;337>;3k109>h5rs5a6>5<5s4>h97<=e:?7g=<68<1v9m9:18182d>389i63;c98243=z{=i<6=4={<6`3?45m27?o54>069~w1c?290>wS:j8:?7f3<68=1684;5111891e128:870:61;337>{zj=8h6=4>3;4;>24|@=:97)=k7;37a>"6kl08h;5f8b83>>o6;m0;66g>6d83>>o6:10;66g;3783>>o0;3:17b?81;29?l20j3:17d?:6;29?j70m3:17d:j8;29?l2e03:17b?93;29?j73n3:17b:9c;29?j7d:3:17b<::188k7b=831d89850;9a041=83;1<7>t$2f4>4653A>::6F;039'04c=<;>0({e<=<1<7;52;4x 6b02oi0D9?9;I636>"6ko0?ik5f8c83>>o?l3:17d6=:188m<0=831d>ll50;9a6dd=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi82wx5;4?:3y]=3=::hh1=5<5sW2o70{t0k0;6?uQ8c9>6dd=0k1v5<50;0xZ=4<5;ki65m4}r336?6=:r79mo404d=::<0qpl=3683>0<52?q/?i95fb9K040<@=:97)?>3;48 4ea2=om7d6m:188m=b=831b4?4?::k:2?6=3f8jn7>5;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvn?j50;796?0|,:n<6km4H535?M27:2.:ok4;eg9j5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40`94?7=83:p(9?j:507?j44>3:1(9?j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5212wx4o4?:3y]v3=ac80gd=:<8h1>>84}|`:7?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c011?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c3:0?6==381:v*N39?1C8=<4$0ae>1ca3`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o:i7?>1:9j:i76m;:k;g?6=,=;n65m4;h1`e?6=,=;n6>mn;:k01<<72->:i7=:9:9l660=83.?=h4=3798yg26j3:1=7>50z&75`<3:=1d>>850;&75`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1egb;002>{zj8i96=4::385!5c?3lh7E:>6:J747=#9jl18hh4i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%62a?`b3`;:=7>5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?m:182>5<7s->:i7:=4:m173<72->:i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac801<=z{1h1<75348jn76l;|q247<72;q6>ll53bc8917e2;9=7psm17194?3=:3j8:ga8L1713A>;>6*>cg87ac=n0k0;66g7d;29?l>52900e4850;9l6dd=831i>ll50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04d=83;1<7>t$53f>1433f88:7>5$53f>75132wx>ll50;0xZ7ge348jn7<<6:p=3<72;qU5;522``954756s|8c83>7}Y0k16>ll58c9~w=4=838pR5<4=3ca>=e7>52z?1eg<4kh168nj6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o18?:4o315>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:27:?xu?j3:1>vP7b:?1eg5<5s48jn7=la:?75g<5;?1vqo?l9;291?4=>r.8h:4ic:J753=O<980(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<30q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76ef34>:n7<<6:~f40f290>6?49{%1g3?`d3A>::6F;039'5f`=7>5;h;5>5<5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;i6=4>:183!26m3>986a=3783>!26m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?no4=53a>7513twi=5m50;796?0|,:n<6km4H535?M27:2.:ok4;eg9j5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40`94?7=83:p(9?j:507?j44>3:1(9?j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5212wx4o4?:3y]v3=ac80gd=:<8h1>>84}|`11?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c345?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c30g?6=;3818v*N39?1C8=<4$030>3=#9jl18hh4i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7a1=nm1/=nh54dd8k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!26m3ln7d?>1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53:>5<6290;w):>e;005>i5<;0;6):>e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:27:?xu51:0;6?uQ28189cb=;jk0q~2;>97psm1;295?6=8r.?<<4;;%1g3?77:2.?=h4;259'5f`=>:18'04c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+41391>{zj;3?6=4<:387!5c?3li7E:>6:J747=#9891:6*>cg87ac=n0;0;66g66;29?j4fj3:17or.8h:4id:&2gc<3mo1d>ll50;9j6<5=831b5;4?::k;`?6=3`296=44bgf94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<831<7?50;2x 17b2;9:7b<;2;29 17b2;9=76s|9783>7}Y1?16ji4>109~w=4=838pR5<4=gf92wx8==50;0x9cb=0k168<752508yxd6290:6=4?{%635?2<,:n<6<>=;%62a?25<2.:ok4;eg9l557=83.?=h4=3798yv4fj3:1>vP=ac9>6dd=:hh0q~79:181[?1348jn779;|q;6?6=:rT3>63=ac8;6>{t:3:1?v3=ac81=6=::hh14i521;335>"3880>7psm28:94?5=:3>p(>j8:g`8L1713A>;>6*>1285?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxd3>m0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`22f<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f12>29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:;a;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd34?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn?h50;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c3:2?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:5:4?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e:h31<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi=5>50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm19394?4=83:p(>j8:006?M26>2B?5<#<8o1==zj8296=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<6<:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`220<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8?0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj8<36=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<86:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`2g1<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj8i<6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`735<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`1e5<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj;k86=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn?o;:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg4f=3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo<6b;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c0:g?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e:0n1<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>4k50;694?6|,:n<6?=;;I622>N38;1/80483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`1=3<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/80;6>4?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<;?:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg7293:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl>5383>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`216<72=0;6=u+3e59662<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8i5:l0;6):>e;002>=zj8==6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<98:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg7003:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl>7883>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`23d<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn<9l:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb057>5<4290;w)=k7;007>N39?1C8=<4$53f>7553-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb0;3>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8o68=0;6):>e;325>=n99?1<7*;1d8254=5$53f>75132wi=5;50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm19494?4=83:p(>j8:006?M26>2B?5<#<8o1==zj82<6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c3;=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:444?:283>5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?8`83>6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f4>e290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8>l50;094?6|,:n<6<<:;I622>N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f75d29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo<0483>!26m3;:=65`23g94?"39l09?;54}c00a?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg44n3:1?7>50z&0`2<5;:1C8<84H521?!26m388>6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi>9>50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm25394?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7>13:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl>9`83>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e90h1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb0;`>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=55;294~"4l>08?6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921b==850;&75`<69810e<>8:18'04c=98;07b<=e;29 17b2;9=76sm18g94?5=83:p(>j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv422909wS<:;<06>7ge3ty9h7>511y]6a=::m09mo52948240=:91:1==:4=0:2>46434;=97??4:?223<68:16=n:5116894e228:870<6f;331>;6=90:<>521679553<583;6<><;<3;e?77<279?44>029>5?m7??3:?2=2<68=16>l>51178941128:>70?7e;330>;60<0:<85222a9555<583h6<><;|q;g?6=9;qU4n524549<7=:::=14?522e8;6>;>;32970<=5;:1?87><32970?l2;:1?871;32970?8e;:1?87d132970?9a;:1?87?k32970<::908941621801<=l:90897?321801?77:908yv?42909w07<:3ca?84a28:?7p}64;296~;>;33=707::30f?xu6:10;6?uQ13:8945d20<0q~?:;<0:2?77=27:;>4>029>54g83>7}Y9=l01<;<:30f?xu6=90;6?u2142967c<58?96<>:;|q214<72;q6=8?523g8943428:=7p}>5383>7}:9<81>?k4=070>4633ty:9;4?:9y]500<5==;6<>;;<0b4?77<27:9<4>029>520=99>01<6j:026?87?=3;;863=418241=z{8<86=4={_357>;6>:09mo5rs047>5<5s4;=?779;<35=?45m2wx=;;50;0x94022;8n70?99;330>{t9?<1<774b34;=47??4:p531=838p1<88:30f?87103;;96s|17:94?4|58<36?{t9?h1<7<0<584633488h7??4:p527=838pR<9>;<345?4fj2wx=:<50;0x941620<01<9;:30f?xu6?:0;6?u2161967c<58=26<>:;|q230<72;q6=:;523g8941e28:?7p}>7783>7}:9><1>?k4=05b>4633ty:;:4?:3y>521=:;o01<9n:026?xu6?10;6?u216:967c<58=h6<>:;|q23<<72;q6=:7523g8941328:?7p}>7`83>7}:9>k1>?k4=05a>4623ty:;o4?:3y>52d=:;o01<9l:027?xu6?j0;6?u216a967c<58=?6<>:;|q23`<72;qU=:k4=05f>7ge3ty:;k4?:3y>52c=1?16=5=523g8yv7?83:1>v3>81816`=:9191==:4}r3;5?6=:r7:4<4=2d9>5=4=99?0q~?72;296~;60;09>h52191955352z?23`22909w0?75;01a>;6000:<95rs0:5>5<5s4;3:7<=e:?2<<<68<1v<68:18187??389i63>8c8241=z{8236=4={<3;079~w4>>2909w0?79;01a>;60h0:<85rs0:b>5<5s4;3m7<=e:?2818240=z{82o6=4={<3;a?45m27:5>4>049~w4>b2909w0?7f;01a>;61;0:<95rs0:e>5<5s4;2<7<=e:?2=7<68<1v<7?:18187>9389i63>928243=z{83:6=4={<3:6?45m27:5>4>059~w4?32909w0?64;0bf>;6=:0:<85rs0;6>5<5s4;28779;<3:3?45m2wx=4850;0x94?12;8n70?67;331>{t9021<7=t=0;7>=d<5=>=65l4=0;f>74b3ty:544?:3y>59;|q2=g<72;q6=4l523g894?c28:<7p}>9b83>7}:90i1>?k4=0;f>4623ty:5i4?:3y>5c283>7}:9j815;521b:967c52z?2g1<5:l16=n651168yv7d=3:1>v3>c4816`=:9j=1==:4}r3`2?6=:r7:o;4=2d9>5f1=99?0q~?l7;296~;6k>09>h521b:955352z?2g<<5ik16=n:51178yv7di3:1>v3>c88:2>;6kk09>h5rs306>5<2s48o6484=306>7ge34;h576m;<35e?>e348m6<>:;|q163<72:q6>?;5979>5=e=0k16=4=523g8yv4513:1nv3;168244=:<=<14i522259958;`>;6k;03h63>628;`>;6?l03h63>c88;`>;6>h03h63>8b8;`>{t::=1<78t=314>7ge348j=7??3:?232<68:16=4?5111894>128:870?6d;330>{t::21<7=d<5;>:6?>7523g8975c28:>7p}=3`83>7}:::k1>?k4=31f>4633ty9?o4?:3y>66d=:;o01?=j:026?xu5;j0;6?u222a967c<5;>:6<>:;|q17a<72;q6>>j523g8975a28:?7p}=3d83>7}:::o1>?k4=31e>4623ty9?k4?:3y>66`=:;o01?:?:026?xu5<90;6?u2252967c<5;>:6<>;;|q1=1<720q6>4:52``897g?28:870;5i:0:<9522849552<58=36<><;<3;b?77<27:454>029>66g=9990q~<65;296~;51=02:63=96816`=z{;3=6=4={<0:2?45m2795:4>049~w7??290hw0<68;0bf>;5i00:<95228d9552<5;k?6<><;<0b1?77<2795:4>059>523=99>01<96:027?87?n3;;963>868246=:::h1===4=0;:>4643ty9544?:3y>6<>=1?16>4k523g8yv4>i3:1>v3=9`816`=::h?1==;4}r0:f?6=:r795o4=2d9>6h5228f955252z?1=a<5:l16>4k51168yv4>n3:1>v3=9g816`=::0i1==:4}r0b4?6=:r79m=4=2d9>6d0=99>0q~h522`495537>52z?1e7<5:l16>4j51178yv4f;3:1>v3=a2816`=::0h1==:4}r0b0?6=:r79m94=2d9>6h5228g955352z?1e3<5:l16>4m51178yv4f?3:1>v3=a9816`=::h31==;4}r0be?6==r7:o?47b:?226463348j57<=e:p060=838pR9=9;<645?77;2wx89850;0xZ12134>?:7=838p19:9:848912e2;8n7p};4883>7}:<=31>?k4=56a>4633ty?8l4?:3y>01g=:;o019:m:026?xu3>j0;6?uQ47a8910c2;8n7p};6g83>7}:74b3ty?;=4?:3y>026=:;o0199=:027?xu3?80;6?u2463967c<5==96<>:;|q73g<72:qU8:l4=04`>46434;=;7??3:p0g>=839pR9l7;<3`f?77;27:o;4>029~w1c?290?wS:j8:?2>27:4?4>059>026=99?0qpl;2983><<603;owE:?2:&0`2<6891/=nk53e48k1c62900c98?:188m1512900c:=50;9jb<<722c84n4?::k0=4<722c85;4?::k24f<722h?=:4?:083>5}#;m=1==<4H535?M27:2.?=h4;259'5f`=>:18'04c=::<07pl;6183>0<52?q/?i95fb9K040<@=:97)?lf;6fb>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75g<7280;6=u+40g90725;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvn>7=:186>7<1s-9o;7hl;I622>N38;1/=nh54dd8m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53a>5<6290;w):>e;610>i5;?0;6):>e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=01v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2f4>ce<@=;=7E:?2:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p53;090~"4l>0mn6F;179K054<,8;86;5+1bd90``>i5ik0;66l=ac83>0<52?q/?i95fe9'5f`=7>5;cdg>5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;26=4>:183!26m388=6a=4383>!26m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53bc8yv4fj3:1>vP=ac9>ba<5;?1v9><:1818`c21h019?6:361?x{e93:1=7>50z&744<33-9o;7??2:&75`<3:=1/=nh54dd8k466290/8>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#<9;196srb6694?4=83:p(>j8:006?M26>2B?5<#<8o1==zj>?1<7=50;2x 6b02;987E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo=m:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg21>3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;6683>6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f16029086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj:3?6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c1:1?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{e;1l1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2;3>5<4290;w)=k7;007>N39?1C8=<4$53f>7553-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/87>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;e383>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo:?9;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=;n7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C8=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21v>950;0x961=:hh01:;51168yv5>2909w0=8:90896>=:;o0q~=n:18185020<01>l523g8yv142902wS9<;<1:6??13493h779;<56>74b34>=:7??3:?742<68=16?4:5111896>a28:870:?b;337>{t?=0;6?u275816`=:?<0:<85rsg;94?0|Vo30198?:90896>c21801>7=:908916221801>651118yv77k3:1?vP>0b9>031=99>019>8:026?xu5:00;68u24059557<5=<;65j4=2:g>=b<5:3965j4=526>=b53z\04633ty84i4?:3y>7=b=:hh019>n:026?xu40l0;6?u239f9?k4}r1;b?6=:r784k4=2d9>7<6=99?0q~=61;297~X41816?4;51168916>28:?7p}<9383>7}:;081>ll4=52:>4623ty85>4?:3y>7<4=0k16?4;523g8yv5><3:1>v3<95816`=:;0?1==;4}r631?6=:r7?<84=ac9>0`4=9990q~:?6;296~;38<02:63;06816`=z{=:36=4<{<631?>e34>=<76m;<63`?45m2wx8=750;0x916>2;8n70:?c;330>{t<9k1<774b34>;o7??5:p05d=838p19>m:30f?827l3;;96s|41a94?4|5=:h6?850;6xZ15134=?6<><;<1a>46434>n?7??4:p036=838pR98?;<654?4fj2wx8;;50;0x910720<01988:30f?xu3>?0;6?u2474967c<5=<<6<>:;|q7a4<72;qU8h?4=5g0>74b3ty?i?4?:3y>0`4=:;o019k<:026?x{e<;31<7?::c:9g1}O<980(>j8:06g?!7dm39o:6a>3e83>>o3m80;66a;3b83>>o3>90;66g>ce83>>i6:10;66gn8;29?l2fl3:17d?81;29?l>>2900e5=50;9l02d=831b=;j50;9j2g<722e?n54?::k2gf<722c?884?::m72=<722e?;>4?::m11?6=3f>h57>5;c623?6=93:1=;%62a?25<2.:ok4;eg9l557=83.?=h4=3798yg2d13:197<56z&0`25;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvno?50;196?2|,:n<6kl4H535?M27:2.:ok4;eg9j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4l>0mh6*>cg87ac=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04?=83;1<7>t$53f>7563f8?>7>5$53f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970?52z\1=6=:nm08ol5rs3ca>5<5sW8jn63id;002>{t<991<79<4}|`2>5<6290;w):?1;68 6b028:97):>e;610>"6ko0?ik5`11394?"39l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&744<23twio84?:281>1}#;m=1jo5G4048L1653-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?353;090~"4l>0mn6F;179K054<,8im69ki;h:1>5<56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62=?6=93:1{t:091<77}Y:hh01kj52248yv27;3:1>v3id;:a?826138?>6srb083>4<729q/8=?54:&0`2<68;1/8{t1?0;6?uQ979>6dd=1?1v5<50;0xZ=4<5;ki65<4}r094?5|5;ki6?7<;<0bf?>c34;1==?4$522>0=zukho6=4<:387!5c?3li7E:>6:J747=#9jl18hh4i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7a1=nm1/=nh54dd8k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!26m3ln7d?>1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53:>5<6290;w):>e;005>i5<;0;6):>e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:27:?xu51:0;6?uQ28189cb=;jk0q~2;>97psm1;295?6=8r.?<<4;;%1g3?77:2.?=h4;259'5f`=>:18'04c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+41391>{zjk31<7=52;6x 6b02oh0D9?9;I636>"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``9"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``94=:5y'7a1=nk1C8<84H521?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxd6:10;6>4=:5y'7a1=nk1C8<84H521?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxda:3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg53m3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg1d29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f16a29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a0g>=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a02d=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a06e=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a03>=8391>7:t$2f4>cd<@=;=7E:?2:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|ab4<72:0969u+3e59bg=O<8<0D9>=;%3`b?2bn2c3>7>5;h;5>5<5<22;0=w)=k7;dg?!7dn3>nj6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o1>>?4o361>5<#<8o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1`e>{t:hh1<77}:nm03n63;188107=zuk;1<7?50;2x 1662=1/?i951108 17b2=8?7)?lf;6fb>i6880;6):>e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663->;=7;4}|`00a<72:0969u+3e59bg=O<8<0D9>=;%3`b?2bn2c3>7>5;h;5>5<5<22;0=w)=k7;dg?!7dn3>nj6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o1>>?4o361>5<#<8o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1`e>{t:hh1<77}:nm03n63;188107=zuk;1<7?50;2x 1662=1/?i951108 17b2=8?7)?lf;6fb>i6880;6):>e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663->;=7;4}|`4=?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c63a?6=;3818v*N39?1C8=<4$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c;;>5<42;0?w)=k7;da?M26>2B?>i5ik0;66l=ac83>0<52?q/?i95fe9'5f`=7>5;cdg>5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;26=4>:183!26m388=6a=4383>!26m388:65rs8494?4|V0<01kj51038yv>52909wS6=;=e7}Y:0901kj53bc8yv4fj3:1>vP=ac9>ba<5;?1v9><:1818`c21h019?6:361?x{e93:1=7>50z&744<33-9o;7??2:&75`<3:=1/=nh54dd8k466290/8>279mo466:p<7<72;qU4?522``9<7=z{;0;6>u22``96<5<5;ki65j4=08244=#<9;196srb05g>5<42;0?w)=k7;da?M26>2B?"6ko0?ik5f8383>>o>>3:17b4=50;9j=3<722c3h7>5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40;94?7=83:p(9?j:312?j43:3:1(9?j:315?>{t1?0;6?uQ979>ba<6981v5<50;0xZ=4<5on14n5rs9f94?4|V1n01kj534;8yv4>;3:1>vP=929>ba<4kh1v?om:181[4fj27mh7<<6:p055=838p1kj58c9>04?=:=80qpl>:182>5<7s->;=7:4$2f4>4653->:i7:=4:&2gc<3mo1d==?50;&75`<5;?10q~ll52``8yv?12909wS79;<0bf??13ty3>7>52z\;6>;5ik03>6s|2;297~;5ik095>522``94=:5y'7a1=nk1C8<84H521?!76;3<0(52900e4850;9l6dd=831i>ll50;796?0|,:n<6kj4$0ae>1ca3f8jn7>5;h0:7?6=3`3=6=44i9f94?=n0;0;66lid;292?6=8r.?=h4ie:k254<72->:i7?>1:9j:i76m;:k;g?6=,=;n65m4;h1`e?6=,=;n6>mn;:k01<<72->:i7=:9:9l660=83.?=h4=3798yg2613:1=7>50z&75`<5;81d>9<50;&75`<5;?10q~79:181[?134lo6;|q;6?6=:rT3>63id;:`?xu?l3:1>vP7d:?e`?5212wx>4=50;0xZ7?434lo6>mn;|q1eg<72;qU>ll4=gf9660;?7>52z?e`?>e34>:57<;2:~f4<7280;6=u+41390>"4l>0:7<3s-9o;7hm;I622>N38;1/=<=56:&2gc<3mo1b4?4?::k:2?6=3f8jn7>5;c0bf?6==381:v*"6ko0?ik5`2``94?=n:091<75f9783>>o?l3:17d6=:188fcb=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi8<750;394?6|,=;n6?=>;n076?6=,=;n6?=9;:p=3<72;qU5;52fe8254=z{181<752fe80gd=z{;ki6=4={_0bf>;al388:6s|41194?4|5on14o5240;9614:183!2793>0(>j8:021?!26m3>986*>cg87ac=h99;1<7*;1d8173=52z\1eg=::hh1>ll4}r;5>5<5sW3=70vP72:?1eg7>53z?1eg<51:16>ll58e9>5?7792.?<<4:;|a5<`=8391>7:t$2f4>cd<@=;=7E:?2:&256<13-;hj7:jf:k;6?6=3`3=6=44o3ca>5<i5ik0;66g=9283>>o>>3:17d6k:188m=4=831iji4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75<<7280;6=u+40g966796=4+40g9660<3ty2:7>52z\:2>;al3;:=6s|8383>7}Y0;16ji47c:p;al39hm6s|2``94?4|V;ki70hk:315?xu38:0;6?u2fe8;f>;390098?5r}c394?7=83:p(9>>:59'7a1=9980(9?j:507?!7dn3>nj6a>0083>!26m388:65rs3ca>5<5sW8jn63=ac81eg=z{0<1<75348jn76=;|q1>5<4s48jn7<63:?1eg>;%635?31}#;m=1jo5G4048L1653-;:?784$0ae>1ca3`296=44i8494?=h:hh1<75m2``94?3=:3j8:gf8 4ea2=om7b;3:17d79:188m=b=831b4?4?::`e`?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:57>51;294~"39l09?<5`25094?"39l09?;54}r;5>5<5sW3=70hk:032?xu?:3:1>vP72:?e`?>d3ty3h7>52z\;`>;al39>56s|28194?4|V;3870hk:2ab?xu5ik0;6?uQ2``89cb=::<0q~:?3;296~;al32i70:>9;076>{zj80;6<4?:1y'057=<2.8h:4>039'04c=<;>0({t:hh1<77}Y1?16>ll5979~w=4=838pR5<4=3ca>=47?4348jn76k;<39557<,=::685r}c3a2?6=;3818v*N39?1C8=<4$030>3=#9jl18hh4i9094?=n1?0;66a=ac83>>d5ik0;684=:7y'7a1=nm1/=nh54dd8k7ge2900e?7<:188m<0=831b4i4?::k;6?6=3klo6=49:183!26m3ln7d?>1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53:>5<6290;w):>e;005>i5<;0;6):>e;002>=z{0<1<7534lo65m4}r:g>5<5sW2o70hk:27:?xu51:0;6?uQ28189cb=;jk0q~2;>97psm1;295?6=8r.?<<4;;%1g3?77:2.?=h4;259'5f`=>:18'04c=::<07p}=ac83>7}Y:hh01?om:3ca?xu>>3:1>vP66:?1eg<>>2wx4?4?:3y]<7=::hh14?5rs383>6}::hh1>4=4=3ca>=b<580:<<5+41391>{zjji1<7=51;1xL1653-9o;7?k3:k:g?6=3`3o6=44o2a:>5<:96F;179K054<,=;n69?;;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?k27?>?4>049~w4633ty8o44?:3y]7f?<5=896?uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qplla;297?7=;rB?>i4k00;66l;2383>6<729q/?i954078L1713A>;>6*;1d8751=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~w4623ty2h7>52z\:`>;3:;0:<95rs2a:>5<5sW9h563;23816`=zuki26=4<:080M27:2.8h:4>d29j=f<722c2h7>5;n1`=?6=3k>9>7>53;294~"4l>0?=85G4048L1653->:i7:>4:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76s|9b83>7}Y1j168?<51178yv?c2909wS7k;<616?77<2wx?n750;0xZ6e>34>9>7<=e:~f62d29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj>21<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wi85<50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4cd94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f2c=8381<7>t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8;?:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg2?<3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;c083>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rbb594?4=83:p(>j8:006?M26>2B?5<#<8o1==zjj21<7=50;2x 6b02:;0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3f89i7>5$53f>75132wio>4?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/87>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pll0;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c`a>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vnnk50;194?6|,:n<6>?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76smd183>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`g6?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg`029096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo9m:181>5<7s-9o;7?=5:J753=O<980(9?j:6d8 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:6F;1e98yg20<3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl;7483>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e<><1<7=50;2x 6b02;987E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo:9b;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c3aN39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7e13:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f4dc29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8hn6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?bg83>1<729q/?i95339K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?n2;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6i:0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rbd494?4=83:p(>j8:006?M26>2B?5<#<8o1==zjl=1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rbd:94?4=83:p(>j8:006?M26>2B?5<#<8o1==zjl31<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rbdc94?4=83:p(>j8:006?M26>2B?5<#<8o1==zjlh1<7950;2x 6b02:?0D9?9;I636>"39l08<6*>cg87ac=n99>1<7*;1d8254=6=4+40g9547<3`;;:7>5$53f>47632c:<:4?:%62a?76921b==650;&75`<69810e<>6:18'04c=98;07b<=e;29 17b2;9=76sm4ec94?3=83:p(>j8:218L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:k242<72->:i7?>1:9l67c=83.?=h4=3798yg2cj3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=oo7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4ed94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e9h=1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb0cf>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f4d529096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo?m3;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6j=0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7f03:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?na;290?6=8r.8h:4<2:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`2eg<72=0;6=u+3e59662<@=;=7E:?2:&75`<5;;1/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?nd;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=i5:l0;6):>e;002>=zj;k1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb3`94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=i5:l0;6):>e;002>=zj=9n6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c60b?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th?8=4?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb562>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f44f29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo?=c;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6:m0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj88m6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c304?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:?<4?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb011>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f454290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4e294?1=83:p(>j8:278L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:k242<72->:i7?>1:9j55>=83.?=h4>1098m46>290/8{e7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb5f1>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=o?7>52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f1b1290?6=4?{%1g3?553A>::6F;039'04c=;91/=nh54dd8m463290/8o68?0;6):>e;325>=h:;o1<7*;1d8173=o;7>53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm4e:94?2=83:p(>j8:208L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:m16`<72->:i7<<6:9~fd6=8391<7>t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}cc1>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}cc7>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vnl850;494?6|,:n<6>:4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07d??6;29 17b28;:76g>0683>!26m3;:=65f11:94?"39l0:=<54o30f>5<#<8o1>>84;|`b3?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{el=0;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=1<729q/?i95339K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54i025>5<#<8o1=1098k74b290/85}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?1098k74b290/85}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?1098k74b290/85}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?0483>!26m3;:=65f11494?"39l0:=<54o30f>5<#<8o1>>84;|`g1?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{el?0;694?:1y'7a1=;;1C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5$53f>75132wih:4?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rbc194?4=83:p(>j8:006?M26>2B?5<#<8o1==zjk>1<7;50;2x 6b02;9>7E:>6:J747=#<8o1>><4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921b==850;&75`<69810e<>8:18'04c=98;07b<=e;29 17b2;9=76smb483>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{ej?0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qol7:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`7<3<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f1>?29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:79;297?6=8r.8h:4=329K040<@=:97):>e;006>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=1<7>t$2f4>64<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/83:1(9?j:032?>i5:l0;6):>e;002>=zj=2i6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn96l:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`270<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8850;194?6|,:n<6?=<;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}c303?6=:3:1=;%62a?1a3-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=379K04b<3th:?54?:283>5}#;m=1>>=4H535?M27:2.?=h4=339'5f`=;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb01:>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f45f29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj89i6=4<:183!5c?388?6F;179K054<,=;n6?==;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?3g83>7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e9=?1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb065>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?;8;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd6<00;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:310?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg73j3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo?;0;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c375?6=<3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9j550=83.?=h4>1098k74b290/80D9?9;I636>"39l09??5+1bd90``5$53f>47632c:<;4?:%62a?76921d>?k50;&75`<5;?10qo?;3;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c370?6=;3:1=;%62a?44:2.:ok4;eg9j552=83.?=h4>1098m462290/8{t:<0;6?uQ249>60<5ik1v?650;0x973=1?16>n4=2d9~w7?=838p1?7523g897d=99>0q~v3=b;01a>;5k3;;96s|6c83>1}Y>k168:;5111894d>28:>70o9:026?xu0?3:1?v388;01a>;6io0:<>5213f95557ge34=36<>:;|q4e?6=ir7<576=;<6a534>534>8o76=;<63a?>5349?h76=;<34`?>5343365<4=6`967c7ge34=36<>;;|q4`?6=;r7<0<5>o1>?k4}r:0>5<6=rT3?63;c88;6>;e932970m::9089f7=0;16ni472:?a=?>534;8h76=;<06>=4<588365<4=g09<7=:;=o14?527b8;6>;38o03>6369;:1?8c3218014k5839>5<`=0;16=l;5839>5g0=0;16;o4>029~w=?=83?pR574=g59555<58hj6<><;<3a7?77;27:?=4>029~w<>=839p14652``894g028:870?=a;337>{t100;6>u29881eg=:9ho1===4=00`>4643ty2m7>53z?:=??134336484=8`967c7ge34><87??3:p=c<72;q65h466:?b3?45m2wxm=4?:3y>e5<5:l16m84>049~wd7=838p1l?523g89d0=99>0q~o=:1818g52;8n70o9:025?xuf;3:1>v3n3;01a>;f>3;;;6s|a583>7}:i=09>h52a7824==z{h?1<75<5s4k=6?4623tyj47>52z\b<>;a933=7p}nc;29e~;e938jn63l9;;`?8eb28:>70k9:020?82ci3;;;63;d18241=:<0<5k21>?k4}r`0>5<5s4h86?4623tyi87>52z?a0?45m27i;7??4:pf0<72;q6n84=2d9>f2<68<1vo850;0x9g0=:;o01o651168yvd02909w0l8:30f?8d?28:>7p}nd;29e~;e138jn63l9;;g?8eb28:?70k7:020?82cj3;;863;d18240=:<0<5ki1>?k4}r`a>5<5s4hi6?4623tyji7>59z?a`?4fj27hm77k;46334oj6<><;<6gf?77=27?h=4>079>0a5=99901ij511189g2=99?0q~lj:1818dc20<01n>523g8yvda2909w0li:30f?8e728:>7p}nf;29<~;d938jn63lb;;g?8b528:?70k6:020?82ck3;;?63;d48246=:lj0:<>52b58243=z{j81<7h5rsb194?4|5j91>?k4=b695537ge34ih64j4=d`9553<5=no6<><;<6g0?77;27o47??6:?gf?77;27i87??7:pg3<72;q6o8466:?`g2<5:l16o54>049~wfb=839p1no59b9>g`<5:l16h=4>049~wf`=839p1nl59b9>`5<5:l16h?4>049~wa7=838p1nm59b9>`7<5:l1vi=50;7x9f1=99901n=511189g`=99901ol511189a1=:;o0q~j;:1818b32;8n70jj:026?xuc=3:1>v3k5;01a>;c>3;;96s|d783>7}:l?09>h52d68240=z{m21<75<5s4n26?4623tyom7>52z?ge?45m27oj7??4:p`g<72;q6ho4=2d9>`c<68?1vim50;0x9ae=:;o01i851168yvbc2909w0jk:30f?8b128:=7p}ke;296~;cm389i63k7;330>{tlo0;6?u2dg816`=:l<0:<85rsd294?4|5j31?n74=ca95526e>34i;6<>;;|qf6?6=:r7hn7=l9:?`0?77<2wxi>4?:3y>gf<4k016o54>059~w`2=839p1h:52``894d728:870?=e;337>{tm<0;6?u2e58:2>;bj389i6s|e783>7}:m?09>h52ec8241=z{l=1<75<5s4o36?46?3tyn57>52z?f=?45m27nn7??7:pad<72;q6il4=2d9>ag<6801vk?50;6x9c4=1?16j<4=ac9>`1<68:16n>4>029~wc4=839p1k<52``89ac=99>01o851168yv`?2909w0h>:9089c1=:;o0q~?=8;290~X6:116=?652``891bf28:?70:k0;333>{t9;31<7:t=00a>74b34;847??4:?20=<68:16=9o51168yv75i3:1>v3>2`816`=:9:;1==:4}r31g?6=:r7:>n4=2d9>567=99?0q~?=d;296~;6:m09>h52121955252z?26`<5:l16=><51168yv75n3:1>v3>2g816`=:9:81==;4}r304?6=:r7:?=4=2d9>57d=99?0q~?<1;296~;6;809>h5212195537>52z?277<5:l16=>=51148yv74;3:1>v3>32816`=:9;h1==:4}r300?6=:r7:>5466:?27g<5:l1v<=::181874=389i63>398240=z{89=6=4={<302?45m27:?44>059~w4502909w0?<7;01a>;6;00:<85rs01;>5<5s4;847<=e:?27d<68<1v<=6:1818741389i63>3c8240=z{89j6=4={<30e?45m27:?o4>059~w45c2908wS?v3>3e8:2>;6<=09>h5rs01e>5<5s4;8j7<=e:?20d<68<1v<:?:1818738389i63>438240=z{8>:6=4={<375?45m27:894>049~w4252909w0?;2;01a>;6<:0:<85rs060>5<5s4;??7<=e:?201<68=1v<:::181873=389i63>4c8240=z{8>=6=4={<372?45m27:8?4>079~w4202909w0?;7;01a>;6<80:<85rs06;>5<5s4;?47<=e:?20f<68<1v<:6:1818731389i63>408243=z{8>j6=4={<37e?45m27:8=4>049~w42e2909w0?;b;01a>;6<;0:<95rs06`>5<5s4;?o7<=e:?204<68=1v<8k:181[71l27?4?4>059~w4162909wS?81:?23a<>>2wx=:j50;7x941c2;ki70?m9;330>;513;;863n1;337>;6;?0:<95rs0;e>5;6j=0:<>522`8246=:<=:1==:4=`09555<5=2<6<><;<6;=?77<27:?:4>029>513=99901<:8:027?87383;;86s|1`294?4|583m6484=0c7>74b3ty:m<4?:3y>5d7=:;o01:;|q2e6<72;q6=l=523g894g328:>7p}>a483>c}:9h?1>ll4=54a>46334;i47??5:?2fg<68:16=l=5116894gd28:?70;f;3;;?63;8c8241=:9:k1==:4=01e>46434;?;7??5:?20g<68=1v{t9h=1<774b34;i97??4:p5d>=838p1{t9hh1<774b34;jo7??5:p5de=838p1{t9k:1<774b34;j47??4:p5g7=838p1:30f?87f03;;96s|1c094?4|58h96?{t9k>1<774b34;j57??5:p5g3=838p1;6i00:<952288240=:<:o1===4=`29553<5h>1===4=5:5>46434>3m7??5:?270<68:16=>851178942128:870?;c;330>{t9k=1<7<0<58i;6?b883>7}:9k31>?k4=0`g>4633ty:nl4?:3y>5gg=:;o01;;|q2ff<72;q6=om523g894da28:=7p}>be83>7}:9kn1>?k4=0`f>4623ty:nh4?:3y>5gc=:;o01:;|q2gf<72;qU=nm4=5`e>4633ty:oi4?:2y]5fb<5h?1==:4=5:;>4643ty9>44?:3y>041=99;019m6:9f8yv53j3:1?v3<4b816`=:9k;1===4=00e>4643ty88i4?:3y>71b=:hh01>:l:026?xu4h6<>;;|q00c<72:q6?9k5979>71b=1?16?8>523g8yv27m3:1?v3;0d81eg=:46?3ty?05`=:hh019jn:026?82c83;;56s|40294?5|5=:m6484=52f><0<5=;:6?m4=51`>7ge3ty??i4?:3y>06e=1?1689?523g8yv24m3:1>v3;3d816`=:<=:1==;4}r60b?6=:r7??k4=2d9>017=99?0q~:;0;296~;3<909>h524539552?97>53z\700=:?l0:<>5215;9555=<7>52z\725=:1k0:<>5rs54;>5<5sW>=463;6981eg=z{==n7<=e:p025=838pR99<;<642?45m2wx8::50;0x91132;8n70:86;330>{t<>?1<774b34><:7??5:p02d=838pR99m;<64f?4fj2wx85?50;0x91>52;8n70:74;337>{t<191<7<0<5=2?6?70:7c;01a>{t<1<1<774b34>357??5:p0=1=838p1968:30f?82?i3;;86s|49:94?4|5=236?2wx85750;0x91>>2;8n70:7b;331>{t<1k1<774b34>3o7??5:p0=d=838p196m:30f?82?k3;;86s|4`f94?4|V=ko70=:0;337>{t50;0x91d?20<019m>:30f?xu3k00;6>uQ4b;891e>2;ki70k8:020?xu3km0;6?u24b;9=3=:?k4}r6`b?6=:r7?o447b:?7`=<5:l1v9j?:18182c8389i63;d68241=z{=n:6=4={<6g5?45m27?h;4>049~w1b52909w0:k2;01a>;3l?0:<95rs5f0>5<5s4>o?7<=e:?7`3<68?1v9j;:18182c<389i63;d98241=z{=n>6=4={<6g1?45m27?h54>079~w1b12909w0:k6;01a>;3l>0:<85rs5f4>5<5s4>o;7<=e:?7`=<68<1v9j6:18182dm3;;?63;e1816`=z{=nj6=4={<6ge?45m27?i=4>059~w1be2909w0:kb;01a>;3lo0:<95rs5f`>5<5s4>oo7<=e:?7``<68=1v9jk:18182cl389i63;dd8240=z{=nn6=4={<6ga?45m27?hk4>049~w1ba2909w0:kf;01a>;3m90:<85rs5g2>5<5sW>n=63;108246=zuk>9:7>58;4`>3`|@=:97)=k7;:f?!7dm39o:6a>o?k3:17b=m6;29?j2283:17do7:188m11?2900c9l>:188k3d=831i8<950;394?6|,:n<6<>=;I622>N38;1/8j8:ga8L1713A>;>6*>1285?!7dn3>nj6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o18?:4o315>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:27:?xu?j3:1>vP7b:?1eg5<5s48jn7=la:?75g<5;?1vqo=jc;291?4=>r.8h:4ic:J753=O<980(7>5;h;5>5<5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;i6=4>:183!26m3>986a=3783>!26m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?no4=53a>7513twi?h650;796?0|,:n<6km4H535?M27:2.:=>49;%3`b?2bn2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/81098m=d=83.?=h47b:9j:i76l;:k0gd<72->:i7=la:9j70?=83.?=h4<5898k751290/80c?=9:18'04c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;6;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39hm63;1c8173=zuk9n?7>55;092~"4l>0mo6F;179K054<,8;86;5+1bd90``>o?:3:17d79:188k7ge2900n?om:185>5<7s->:i7hj;h325?6=,=;n6;:k;f?6=,=;n65l4;h:`>5<#<8o14n54i2ab>5<#<8o1?no4;h16=?6=,=;n6>;6;:m173<72->:i7<<6:9~f17e290:6=4?{%62a?25<2e9?;4?:%62a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik08945rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;jk019?m:315?x{e9l21<7;52;4x 6b02oi0D9?9;I636>"6ko0?ik5f8c83>>o?l3:17d6=:188m<0=831d>ll50;9a6dd=83<1<7>t$53f>cc=n;jk1<7*;1d80gd=5$53f>75132wi82wx5;4?:3y]=3=::hh1=5<5sW2o70{t0k0;6?uQ8c9>6dd=0k1v5<50;0xZ=4<5;ki65m4}r336?6=:r79mo404d=::<0qpl>e883>0<52?q/?i95fb9K040<@=:97)?lf;6fb>o?j3:17d6k:188m=4=831b5;4?::m1eg<722h9mo4?:783>5}#<8o1jh5f10394?"39l0:=<54i9`94?"39l03n65f8b83>!26m32h76g!26m39hm65f34;94?"39l089454o315>5<#<8o1>>84;|`75g<7280;6=u+40g90725;c0bf?6=>3:1o6980;6):>e;325>=n0k0;6):>e;:a?>o?k3:1(9?j:9a8?l5di3:1(9?j:2ab?>o4=00;6):>e;16=>=h::<1<7*;1d8173=:n7>51;294~"39l0?>95`22494?"39l09?;54}r0bf?6=:rT9mo522``96607}Y0m16>ll534;8yv>e2909wS6m;<0bf?>e3ty3>7>52z\;6>;5ik03o6s|11094?4|5;ki6>mn;<62f?44>2wvn7<1s-9o;7hl;I622>N38;1/=nh54dd8m=d=831b4i4?::k;6?6=3`3=6=44o3ca>5<1;29 17b28;:76g7b;29 17b21h07d6l:18'04c=0j10e>mn:18'04c=;jk07d=:9;29 17b2:?276a=3783>!26m388:65rb53a>5<6290;w):>e;610>i5;?0;6):>e;002>=z{;ki6=4={_0bf>;5ik09?;5rs8494?4|V0<01?om:032?xu?l3:1>vP7d:?1eg<4=01v5l50;0xZ=d<5;ki65l4}r:1>5<5sW297078t$2f4>ce<@=;=7E:?2:&2gc<3mo1b4o4?::k;`?6=3`296=44i8494?=h:hh1<75m2``94?0=83:p(9?j:gg8m476290/8e290/8290/83:1(9?j:315?>{e<8h1<7?50;2x 17b2=8?7b<<6;29 17b2;9=76s|2``94?4|V;ki70{t1?0;6?uQ979>6dd=98;0q~6k:181[>c348jn7=:9:p3}#;m=1jn5G4048L1653-;hj7:jf:k;f?6=3`2o6=44i9094?=n1?0;66a=ac83>>d5ik0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1c83>4<729q/8>279mo4>109~w=b=838pR5j4=3ca>63>3ty3n7>52z\;f>;5ik03n6s|8383>7}Y0;16>ll58b9~w4652909w0;39k09?;5r}c3e5?6==381:v*N39?1C8=<4$0ae>1ca3`2i6=44i9f94?=n0;0;66g66;29?j4fj3:17o:i7?>1:9j:i76m;:k;g?6=,=;n65m4;h1`e?6=,=;n6>mn;:k01<<72->:i7=:9:9l660=83.?=h4=3798yg26j3:1=7>50z&75`<3:=1d>>850;&75`<5;?10q~ll52248yv?12909wS79;<0bf?7692wx4i4?:3y]5<5sW2i70vP72:?1egb;002>{zj8l96=4::385!5c?3lh7E:>6:J747=#9jl18hh4i9`94?=n0m0;66g72;29?l?12900c?om:188f7ge290=6=4?{%62a?`b3`;:=7>5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?m:182>5<7s->:i7:=4:m173<72->:i7<<6:9~w7ge2909wS;|q;`?6=:rT3h63=ac801<=z{1h1<75348jn76l;|q247<72;q6>ll53bc8917e2;9=7psm1g194?3=:3j8:ga8L1713A>;>6*>cg87ac=n0k0;66g7d;29?l>52900e4850;9l6dd=831i>ll50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04d=83;1<7>t$53f>1433f88:7>5$53f>75132wx>ll50;0xZ7ge348jn7<<6:p=3<72;qU5;522``954756s|8c83>7}Y0k16>ll58c9~w=4=838pR5<4=3ca>=e7>52z?1eg<4kh168nj6g7b;29?l>c2900e5<50;9j=3<722e9mo4?::`1eg<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o18?:4o315>5<#<8o1>>84;|q1eg<72;qU>ll4=3ca>7513ty2:7>52z\:2>;5ik0:=<5rs9f94?4|V1n01?om:27:?xu?j3:1>vP7b:?1eg5<5s48jn7=la:?75g<5;?1vqo?ja;291?4=>r.8h:4ic:J753=O<980(e2900e5j50;9j<7<722c2:7>5;n0bf?6=3k8jn7>56;294~"39l0mi6g>1083>!26m3;:=65f8c83>!26m32i76g7c;29 17b21i07d=la;29 17b2:ij76g<5883>!26m39>565`22494?"39l09?;54}c62f?6=93:152z\1eg=::hh1>>84}r;5>5<5sW3=70{t0m0;6?uQ8e9>6dd=;<30q~6m:181[>e348jn76m;|q;6?6=:rT3>63=ac8;g>{t9981<76ef34>:n7<<6:~f4ce290>6?49{%1g3?`d3A>::6F;039'5f`=7>5;h;5>5<5<1290;w):>e;df?l7693:1(9?j:032?>o?j3:1(9?j:9`8?l>d290/8i5;?0;6):>e;002>=zj=;i6=4>:183!26m3>986a=3783>!26m388:65rs3ca>5<5sW8jn63=ac8173=z{0<1<7=d0383>7}::hh1?no4=53a>7513twi=hm50;796?0|,:n<6km4H535?M27:2.:ok4;eg9j5;h:1>5<:18'04c=98;07d6m:18'04c=0k10e5m50;&75`;6:18'04c=;<307b<<6;29 17b2;9=76sm40`94?7=83:p(9?j:507?j44>3:1(9?j:315?>{t:hh1<77}Y1?16>ll51038yv>c2909wS6k;<0bf?5212wx4o4?:3y]v3=ac80gd=:<8h1>>84}|`2a4<72<096;u+3e59bf=O<8<0D9>=;%3`b?2bn2c3n7>5;h:g>5<>i5ik0;66l=ac83>3<729q/81098m=d=83.?=h47b:9j:i76l;:k0gd<72->:i7=la:9j70?=83.?=h4<5898k751290/80c?=9:18'04c=::<07p}=ac83>7}Y:hh01?om:315?xu>>3:1>vP66:?1eg<6981v5j50;0xZ=b<5;ki6>;6;|q;f?6=:rT3n63=ac8;f>{t0;0;6?uQ839>6dd=0j1v<>=:18184fj39hm63;1c8173=zuk9oo7>55;092~"4l>0mo6F;179K054<,8im69ki;h:a>5<>o>>3:17b50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>b;295?6=8r.?=h4;259l660=83.?=h4=3798yv4fj3:1>vP=ac9>6dd=::<0q~79:181[?1348jn7?>1:p5<22;0=w)=k7;d`?M26>2B?>o?:3:17d79:188k7ge2900n?om:185>5<7s->:i7hj;h325?6=,=;n6;:k;f?6=,=;n65l4;h:`>5<#<8o14n54i2ab>5<#<8o1?no4;h16=?6=,=;n6>;6;:m173<72->:i7<<6:9~f17e290:6=4?{%62a?25<2e9?;4?:%62a?44>21v?om:181[4fj279mo4=379~w<0=838pR484=3ca>4763ty3h7>52z\;`>;5ik08945rs9`94?4|V1h01?om:9`8yv>52909wS6=;<0bf?>d3ty:6dd=;jk019?m:315?x{en?0;6>4=:5y'7a1=nk1C8<84H521?!7dn3>nj6g72;29?l?12900c?om:188f7ge290>6?49{%1g3?`c3-;hj7:jf:m1eg<722c95>4?::k:2?6=3`2o6=44i9094?=enm0;6;4?:1y'04c=nl1b=d32c8ol4?:%62a?5di21b?8750;&75`<4=010c?=9:18'04c=::<07pl;1883>4<729q/8>3:1>vP66:?e`?7692wx4?4?:3y]<7=:nm03o6s|8e83>7}Y0m16ji4<589~w7?42909wS<63:?e`?5di2wx>ll50;0xZ7ge34lo6?=9;|q746<72;q6ji47b:?75<<5<;1vqo?50;394?6|,=::695+3e59554<,=;n69<;;%3`b?2bn2e:<<4?:%62a?44>21v?om:181[4fj279mo4=ac9~w<0=838pR484=3ca><0;628::7):?1;78yxda=3:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg2283:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg2e93:1?7<54z&0`2ll50;9a6dd=83?1>78t$2f4>cb<,8im69ki;n0bf?6=3`82?7>5;h;5>5<>dal3:1:7>50z&75`e32c3o7>5$53f>=e<3`9hm7>5$53f>6ef32c8944?:%62a?52121d>>850;&75`<5;?10qo:>9;295?6=8r.?=h4=309l614=83.?=h4=3798yv?12909wS79;4763ty3>7>52z\;6>;al32h7p}7d;296~X?l27mh7=:9:p6<5=838pR?7<;6ef3ty9mo4?:3y]6dd<5on1>>84}r637?6=:r7mh76m;<62=?43:2wvn<4?:083>5}#<9;186*1ca3f;;=7>5$53f>75132wx>ll50;0xZ7ge348jn7>:49~yg0e29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f11f29086?4;{%1g3?`e3A>::6F;039'5f`=5<5$53f>47632c3n7>5$53f>=d<3`2h6=4+40g957>5$53f>63>32e9?;4?:%62a?44>21vn9?6:182>5<7s->:i7<<1:m107<72->:i7<<6:9~w<0=838pR484=gf9547c34lo6>;6;|q1=6<72;qU>4=4=gf97fg52z\1eg=:nm09?;5rs520>5<5s4lo65l4=53:>7253twi=7>51;294~"3880?7)=k7;336>"39l0?>95+1bd90``{t0;0;6?uQ839>6dd=0;1v?4?:2y>6dd=:0901?om:9f894<6881/8=?55:~f47129086?4;{%1g3?`e3A>::6F;039'545=>2.:ok4;eg9j<7<722c2:7>5;n0bf?6=3k8jn7>55;092~"4l>0mh6*>cg87ac=h:hh1<75f28194?=n1?0;66g7d;29?l>52900nkj50;494?6|,=;n6kk4i032>5<#<8o1=5<#<8o14o54i9a94?"39l03o65f3bc94?"39l08ol54i27:>5<#<8o1?874;n002?6=,=;n6?=9;:a04?=83;1<7>t$53f>7563f8?>7>5$53f>75132wx5;4?:3y]=3=:nm0:=<5rs9094?4|V1801kj58b9~w=b=838pR5j4=gf970?52z\1=6=:nm08ol5rs3ca>5<5sW8jn63id;002>{t<991<79<4}|`2>5<6290;w):?1;68 6b028:97):>e;610>"6ko0?ik5`11394?"39l09?;54}r0bf?6=:rT9mo522``96dd6dd=0m16=7??1:&744<23twi=49;%3`b?2bn2c3>7>5;h;5>5<5<22;0=w)=k7;dg?!7dn3>nj6a=ac83>>o51:0;66g66;29?l>c2900e5<50;9aba<72?0;6=u+40g9b`=n98;1<7*;1d8254==n0j0;6):>e;:`?>o4kh0;6):>e;1`e>=n;<31<7*;1d801<=5}#<8o1>>?4o361>5<#<8o1>>84;|q:2?6=:rT2:63id;325>{t0;0;6?uQ839>ba5<5sW82?63id;1`e>{t:hh1<77}:nm03n63;188107=zuk;1<7?50;2x 1662=1/?i951108 17b2=8?7)?lf;6fb>i6880;6):>e;002>=z{;ki6=4={_0bf>;5ik09mo5rs8494?4|V0<01?om:848yv>52909wS6=;<0bf?>53ty96=4<{<0bf?4>;279mo47d:?2>4663->;=7;4}|`0b3<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxd6n>0;6>4>:2yK054<,:n<65<7E:>6:J747=#<8o18<:4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10q~7l:181[?d34>9>7??5:p=a<72;qU5i52430955252z\0g<=:<;81>?k4}|`2b3<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl>f483>6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxd6no0;6>4>:2yK054<,:n<65<7E:>6:J747=#<8o18<:4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10q~7l:181[?d34>9>7??5:p=a<72;qU5i52430955252z\0g<=:<;81>?k4}|`2b`<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl>fe83>6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxd6nk0;6>4>:2yK054<,:n<65<7E:>6:J747=#<8o18<:4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10q~7l:181[?d34>9>7??5:p=a<72;qU5i52430955252z\0g<=:<;81>?k4}|`2bd<72:0:6>uG4108 6b028n87d7l:188mt$2f4>1723A>::6F;039'04c=<8>0(o68<0;6):>e;325>=h:;o1<7*;1d8173=7}Y1m168?<51168yv5d13:1>vP074=:;o0qpl>f883>6<62:qC8=<4$2f4>4b43`3h6=44i8f94?=h;j31<75m43094?5=83:p(>j8:536?M26>2B?;:k240<72->:i7?>1:9l67c=83.?=h4=3798yv?d2909wS7l;<616?77=2wx5i4?:3y]=a=:<;81==:4}r1`=?6=:rT8o452430967c6}O<980(>j8:0f0?l?d2900e4j50;9l7f?=831i8?<50;194?6|,:n<69?:;I622>N38;1/80483>!26m3;:=65`23g94?"39l09?;54}r;`>5<5sW3h70:=2;331>{t1m0;6?uQ9e9>074=99>0q~=l9;296~X4k0168?<523g8yxdfi3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07plnb;297?6=8r.8h:4<1:J753=O<980(9?j:228 4ea2=om7d??4;29 17b28;:76g>0483>!26m3;:=65`23g94?"39l09?;54}c1eb?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg5el3:1>7>50z&0`2<6:<1C8<84H521?!26m3=m7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=O<8n07pl=0283>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`140<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/80;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`14a<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`150<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/80;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8h<:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb2gf>5<5290;w)=k7;311>N39?1C8=<4$53f>2`<,8im69ki;h337?6=,=;n6;:m16`<72->:i7<<6:J75a=53;294~"4l>08=6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921d>?k50;&75`<5;?10qo=ja;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd4mk0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8k8:180>5<7s-9o;7=>;I622>N38;1/8;:18'04c=98;07d??5;29 17b28;:76a=2d83>!26m388:65rb2d;>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f6`f29086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8896=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c317?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg76=3:187>50z&0`2<4:2B?=;5G4108 17b2::0(o68<0;6):>e;325>=n99<1<7*;1d8254=5}#;m=1?<5G4048L1653->:i7=?;%3`b?2bn2c:<94?:%62a?76921b==;50;&75`<69810c?7<729q/?i951378L1713A>;>6*;1d84b>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84H53g?>{e;l:1<7<50;2x 6b0288>7E:>6:J747=#<8o1;k5+1bd90``5$53f>7513A>:h65rb2g2>5<4290;w)=k7;12?M26>2B?5<#<8o1=;:m16`<72->:i7<<6:9~f6c529086=4?{%1g3?563A>::6F;039'04c=;91/=nh54dd8m463290/8i5:l0;6):>e;002>=zj8o86=46:183!5c?39=7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632c:<;4?:%62a?76921b==950;&75`<69810e<>7:18'04c=98;07d??9;29 17b28;:76g>0`83>!26m3;:=65f11`94?"39l0:=<54o30f>5<#<8o1>>84;|`2a1<72<0;6=u+3e5976=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098m461290/8i5:l0;6):>e;002>=zj8o>6=4=:183!5c?3;996F;179K054<,=;n6:h4$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>2B?=i54}c3f2?6=;3:1N39?1C8=<4$53f>66<,8im69ki;h330?6=,=;n6;:k240<72->:i7?>1:9l67c=83.?=h4=3798yg7b?3:1?7>50z&0`2<492B?=;5G4108 17b2::0(o68<0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>0:>85G4048L1653->:i79i;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?1C81098k74b290/8?4H535?M27:2.?=h4<0:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm10d94?5=83:p(>j8:238L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=t$2f4>7543A>::6F;039'04c=::80(o68<0;6):>e;325>=h:;o1<7*;1d8173=54;294~"4l>08>6F;179K054<,=;n6>>4$0ae>1ca3`;;87>5$53f>47632c:<84?:%62a?76921b==850;&75`<69810c?1883>6<729q/?i952218L1713A>;>6*;1d8177=#9jl18hh4i027>5<#<8o1=;:m16`<72->:i7<<6:9~f6da29096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo=l0;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd4k80;6?4?:1y'7a1=9;?0D9?9;I636>"39l0cg87ac=n9991<7*;1d8254=j8:208L1713A>;>6*;1d804>"6ko0?ik5f11694?"39l0:=<54i026>5<#<8o1=;:m16`<72->:i7<<6:9~f3b=8381<7>t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f3`=8391<7>t$2f4>67<@=;=7E:?2:&75`<482.:ok4;eg9j552=83.?=h4>1098m462290/8{e?90;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=53;294~"4l>09?>5G4048L1653->:i7<<2:&2gc<3mo1b==:50;&75`<69810e<>::18'04c=98;07b<=e;29 17b2;9=76sm7383>6<729q/?i95309K040<@=:97):>e;13?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`716<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/8"39l0cg87ac=n9991<7*;1d8254=j8:006?M26>2B?5<#<8o1==zj=?=6=4<:183!5c?39:7E:>6:J747=#<8o1?=5+1bd90``5$53f>47632e9>h4?:%62a?44>21vn9;8:180>5<7s-9o;7<<3:J753=O<980(9?j:311?!7dn3>nj6g>0583>!26m3;:=65f11794?"39l0:=<54o30f>5<#<8o1>>84;|`71=<72:0;6=u+3e5974=O<8<0D9>=;%62a?573-;hj7:jf:k241<72->:i7?>1:9j553=83.?=h4>1098k74b290/84?:1y'7a1=::90D9?9;I636>"39l09??5+1bd90``5$53f>47632e9>h4?:%62a?44>21v;l50;1xZ3d<5?h1>ll4=7d9552<0<5>81>?k4}r4g>5<5s44623ty=i7>52z?5a?45m27<<7??5:p2c<72;q6:k4=2d9>34<68=1v:>50;0x926=:;o01:?51178yv162909w09>:30f?81528:>7p}7c;295a}Y0j16?k>5839>7`e=0;16?h65839>7`5=0;16=h65839>5`?=0;16=hj5839>5`c=0;16=hh5839>5c6=0;16=k?5839>5c4=0;16=k=5839>5c2=0;16=ho5839>5`d=0;16=hm5839>5`7=0;16?im5839>7g0=0;16j;472:?e1?>534>><76=;<6a5?>534=4<58;=65<4=03b>=4;69<0:<95rs`c94?4|5hk1>?k4=``9553<0<5o?1>ll4=`c95557ge34ki6<>;;|q251<72=q6=<;523g8947c28:870?>e;330>;6910:<95rs035>5<0s4;::728:?709=:027?822;3;;863;558246=z{8;<6=4={<322??134;:57<=e:p54>=838p1059>54>=99<01;j51118926=99>019;7:027?xu69k0;6?u210c9=3=:9;:1>?k4}r32g?6=:r7:=n4=2d9>54c=99?0q~?>d;296~;69m09>h5210d955352z?25`<5:l16=?>51168yv76n3:1>v3>1g816`=:9;:1==;4}r315?6=:r7:>?4=2d9>575=99?0q~?=4;296~;3j802:63>22816`=z{8o:6=4={<3f5?4fj27:=84>079~w4c52909w0?j1;;5?87b?389i6s|1d194?4|58o86?{t9l?1<774b34;n:7??5:p5`0=838p1e881eg=:9o215i522119552<58o86<>;;|q2`0<72=q6=ho52``894`220n01??::027?87b<3;;:6s|1e494?2|58oi6?om;<3e2??c348:;7??4:?2a1<68<1vf68:`>;6m=0:<:5rs0f:>5<3s4;nh7l279<84>059>5`5=99?0q~?ka;290~;6ml09mo521gc9=a=::9=1==:4=0g0>4613ty:ho4?:5y>5``=:hh0128:?70?j3;33<>{t9mi1<7:t=0d3>7ge34;mo77k;<03f?77<27:i>4>069~w4bc290?w0?i1;0bf>;6nm02h63=0e8241=:9l91==74}r3ga?6=5cc=1m16>=h5116894c428:i7p}>dg83>1}:9o91>ll4=0de>;;<3f7?77i2wx=h>50;6x94`32;ki70e58241=z{;:96=4<{<3e=??d348;?7<=e:?140<68<1v?>;:18087ai33h70;58>0:<85rs325>5<4s4;mn77l;<033?45m279<44>049~w76?2908w0?ic;;`?8471389i63=0c8240=z{;:j6=4<{<3e`??d348;n7<=e:?14a<68<1v?>l:18087am33h70;58o0:<85rs32f>5<4s4;mj77l;<03b?45m279=<4>049~w7772908w033h70<>5;01a>;59>0:<85rs335>5<5s4;m;77l;<023?45m2wx><650;0x94c?20<01?>>:30f?xu5900;6?u21dc9=3=:9o?1?n74}r02e?6=:r7:io466:?2b3<4k01v??m:18187bk33=70?i7;1`=>{t:8i1<7<0<58l36>m6;|q15a<72;q6=hj5979>5c?=;j30q~<>e;296~;6ml02:63>f`80g<=z{;;m6=4={<3fb??134;mn7=l9:p676=838p17}:9o;15;521gf97f?7>52z?2b7<>>27:jh46e>3ty9>44?:07x917028::70=i0;:g?85bk32o70=j8;:g?85b;32o70?j8;:g?87b132o70?jd;:g?87bm32o70?jf;:g?87a832o70?i1;:g?87a:32o70?i3;:g?87a<32o70?ja;:g?87bj32o70?jc;:g?87b932o70=kc;:g?85e>32o7p}7}Y;k<01>l9:3ca?xu4jj0;6?u23c49=3=:;kn1>?k4}r1aa?6=:r78ni4>029>7f4=:;o0q~=mf;296~;4jo09>h523b0955352z?0g5<5:l16?n<51168yv5d93:1>v37c`=99>01?k4}r1ga?6=:r78hh4=2d9>7`4=99>0q~=kf;296~;4lo09>h523d3955252z?0a5<5:l16?h?51178yv5b93:1>v34=ac9>7c2=1j16?h85111896`?28:>70=ke;330>{t;l>1<7<0<5:o<6?7}:;l<1>?k4=2g4>4623ty8h44?:4y>7`>=:hh01>h;:8f896`?28:?70=kf;337>;4k90:<>5rs2g:>5<5s49n4779;<1ff?45m2wx?ho50;0x96cf2;8n70=jb;331>{t;mk1<7;t=2g`>7ge349m977k;<1ee?77<278i=4>029>7g`=9990q~=jd;296~;4mj02:63049~w6be290>wS=l3:?0b5<5ik16?k859e9>7ac=99?01>m>:020?xu4n80;6?u23g29=3=:;o91>?k4}r1e6?6=:r78j?4=2d9>7c5=99?0q~=i7;297~;4n<02o63hm:18185a<39h563059~w6`c2909w0=i6;1`=>;4n:0:<95rs2df>5<6;r7:i547b:?2a<47b:?2b17c4=99901>kj:020?85bi3;;?63;3=909mo526d8246=:<<91==;4=574>4633ty?9?4?:3y>006=1?16887523g8yv22;3:1>v3;52816`=:<<31==:4}r660?6=:r7?994=2d9>000=99>0q~::5;296~;3=<09>h524449553>:7>52z?713<5:l1688951178yv22?3:1>v3;56816`=:<<21==;4}r6600?=99?0q~:88;296~X3?1168:o5979~w11f2908w0:8a;0bf>;6910:<8524479555i=7>52z\7f4=:ll4}|`771<72;0;6=u+3e59573<@=;=7E:?2:&75`<0n2.:ok4;eg9j555=83.?=h4>1098k74b290/8N38;1/8<:18'04c=98;07b<=e;29 17b2;9=7E:>d:9~f15729096=4?{%1g3?75=2B?=;5G4108 17b2>l0(i5:l0;6):>e;002>N39m10qo:<1;296?6=8r.8h:4>249K040<@=:97):>e;5e?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;5G40f8?xd3;;0;6>4?:1y'7a1=;81C8<84H521?!26m39;7)?lf;6fb>o68=0;6):>e;325>=n99?1<7*;1d8254=o4?:383>5}#;m=1=?;4H535?M27:2.?=h48f:&2gc<3mo1b===50;&75`<69810c?t$2f4>4423A>::6F;039'04c=?o1/=nh54dd8m464290/85<7s-9o;7?>0:J753=O<980(9?j:6d8L4323-;h=7?>1:&2gc<3mo1b===50;&75`<69810c?7<729q/?i951028L1713A>;>6*;1d84b>N6=<1/=n?51038 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:65rb56g>5<5290;w)=k7;324>N39?1C8=<4$53f>2`<@8?>7)?l1;325>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84;|`e4?6=:3:1=;%62a?1a3A;>96*>c08254=#9jl18hh4i020>5<#<8o1=;:m16`<72->:i7<<6:9~f10329096=4?{%1g3?7682B?=;5G4108 17b2>l0D<;:;%3`5?7692.:ok4;eg9j555=83.?=h4>1098k74b290/8"39l0549'5f7=98;0(i5:l0;6):>e;002>=zj=o<6=4=:183!5c?3;:<6F;179K054<,=;n6:h4H076?!7d93;:=6*>cg87ac=n9991<7*;1d8254=52;294~"4l>0:==5G4048L1653->:i79i;I361>"6k80:=<5+1bd90``5$53f>75132wi494?:383>5}#;m=1=<>4H535?M27:2.?=h48f:J210=#9j;1=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn99k:181>5<7s-9o;7?>0:J753=O<980(9?j:6d8L4323-;h=7?>1:&2gc<3mo1b===50;&75`<69810c?7<729q/?i951028L1713A>;>6*;1d84b>N6=<1/=n?51038 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:65rb7094?4=83:p(>j8:033?M26>2B?:032?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c42>5<5290;w)=k7;324>N39?1C8=<4$53f>2`<@8?>7)?l1;325>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84;|`54?6=:3:1=;%62a?1a3A;>96*>c08254=#9jl18hh4i020>5<#<8o1=;:m16`<72->:i7<<6:9~f0b=8381<7>t$2f4>4773A>::6F;039'04c=?o1C=8;4$0a2>4763-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg3d29096=4?{%1g3?7682B?=;5G4108 17b2>l0D<;:;%3`5?7692.:ok4;eg9j555=83.?=h4>1098k74b290/87>50z&0`2<6991C8<84H521?!26m3=m7E?:5:&2g4<6981/=nh54dd8m464290/8{e=h0;6?4?:1y'7a1=98:0D9?9;I636>"39l0549'5f7=98;0(i5:l0;6):>e;002>=zj<31<7<50;2x 6b028;;7E:>6:J747=#<8o1;k5G1478 4e628;:7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=cg87ac=n9991<7*;1d8254=;7>52;294~"4l>0:==5G4048L1653->:i79i;I361>"6k80:=<5+1bd90``5$53f>75132wi9;4?:383>5}#;m=1=<>4H535?M27:2.?=h48f:J210=#9j;1=1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn8;50;094?6|,:n<6N38;1/8;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?10qo;;:181>5<7s-9o;7?>0:J753=O<980(9?j:6d8L4323-;h=7?>1:&2gc<3mo1b===50;&75`<69810c?119K040<@=:97):>e;5e?M72=2.:o<4>109'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm6883>7<729q/?i951028L1713A>;>6*;1d84b>N6=<1/=n?51038 4ea2=om7d??3;29 17b28;:76a=2d83>!26m388:65rb7:94?4=83:p(>j8:033?M26>2B?:032?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c44>5<5290;w)=k7;324>N39?1C8=<4$53f>2`<@8?>7)?l1;325>"6ko0?ik5f11194?"39l0:=<54o30f>5<#<8o1>>84;|`52?6=:3:1=;%62a?1a3A;>96*>c08254=#9jl18hh4i020>5<#<8o1=;:m16`<72->:i7<<6:9~f32=8381<7>t$2f4>4773A>::6F;039'04c=?o1C=8;4$0a2>4763-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg0429096=4?{%1g3?7682B?=;5G4108 17b2>l0D<;:;%3`5?7692.:ok4;eg9j555=83.?=h4>1098k74b290/87>50z&0`2<6991C8<84H521?!26m3=m7E?:5:&2g4<6981/=nh54dd8m464290/8{e6:J747=#9jl18hh4i020>5<j8:033?!26m3=m7E?:5:&2g4<6981/=nh54dd8m464290/8{e:::1<7=51;1x 6b02;9;7E?:5:&2g4<5;?1/=nh54dd8m4642900el650;9l67c=831i8j;;h337?6=,=;n6;:k0`6<72->:i77=;:m16`<72->:i7<<6:J0`0=52z\246=:<8k1===4}rc;>5<5sWk370:>a;1g7>{t:;o1<75<5sW89i63>11816`=z{88<6=4<{_313>;6990:<>52222967c52z\246=::::1===4}rc;>5<5sWk370<<0;c;?x{e0?0;6?4?:1y'7a1=:;l0D9?9;I636>"39l0549'5f7=::<0(i5:l0;6):>e;002>=zj=<96=4=:183!5c?389j6F;179K054<,=;n6:h4H076?!7d9388:6*>cg87ac=n9991<7*;1d8254=5}#;m=1>?h4H535?M27:2.?=h48f:J210=#9j;1>>84$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn9l8:181>5<7s-9o;7<=f:J753=O<980(9?j:6d8L4323-;h=7<<6:&2gc<3mo1b===50;&75`<69810c?e;5e?M72=2.:o<4=379'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm4`a94?4=83:p(>j8:30e?M26>2B?:315?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c6b7?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg2293:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e<:k1<7=51;1x 6b02;9;7E:>6:J747=O9:315?!7dn3>nj6g>0283>>of03:17b<=e;29?g26i3:1?7>50z&75`<4l=1b===50;&75`<69810e>j<:18'04c=1;10c?j:;:p555=838pR<><;<62e?77;2wxm54?:3y]e==:<8k1?i=4}r01a?6=:rT9>h5240c967c5}#;m=1>?h4H535?M27:2.?=h48f:J210=#9j;1>>84$0ae>1ca3`;;?7>5$53f>47632e9>h4?:%62a?44>21vn9o::181>5<7s-9o;7<=f:J753=O<980(9?j:6d8L4323-;h=7<<6:&2gc<3mo1b===50;&75`<69810c?6<62:q/?i952228L1713A>;>6F>549'5f7=::<0(5<7s->:i7=k4:k246<72->:i7?>1:9j7a5=83.?=h462:9l67c=83.?=h4=379K7a3<3ty:<>4?:3y]555<5=;j6<><;|qb;39h09>h5r}c6:3?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg24=3:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e1<7<50;2x 6b02;8m7E:>6:J747=#<8o1;k5G1478 4e62;9=7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173==57>52;294~"4l>09>k5G4048L1653->:i79i;I361>"6k809?;5+1bd90``5$53f>75132wi8:h50;195?5|,:n<6?=?;I622>N38;1C=8;4$0a2>7513-;hj7:jf:k246<722cj47>5;n01a?6=3k>:m7>53;294~"39l08h95f11194?"39l0:=<54i2f0>5<#<8o15?54o30f>5<#<8o1>>84H2f6?>{t9991<77}Yi1168vP=2d9>04g=:;o0qpl;cc83>6<62:q/?i952228L1713A>;>6F>549'5f7=::<0(5<7s->:i7=k4:k246<72->:i7?>1:9j7a5=83.?=h462:9l67c=83.?=h4=379K7a3<3ty:<>4?:3y]555<5=;j6<><;|qb;39h09>h5r}c65a?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg23?3:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e;8;1<7<50;2x 6b02;8m7E:>6:J747=#<8o1;k5G1478 4e62;9=7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>09>k5G4048L1653->:i79i;I361>"6k809?;5+1bd90``5$53f>75132wi?9:50;094?6|,:n<6?N38;1/84?:%62a?76921d>?k50;&75`<5;?10qo=e;5e?M72=2.:o<4=379'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm32494?4=83:p(>j8:30e?M26>2B?:315?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c104?6=:3:1=;%62a?1a3A;>96*>c08173=#9jl18hh4i020>5<#<8o1=t$2f4>74a3A>::6F;039'04c=?o1C=8;4$0a2>7513-;hj7:jf:k246<72->:i7?>1:9l67c=83.?=h4=3798yg55<3:1>7>50z&0`2<5:o1C8<84H521?!26m3=m7E?:5:&2g4<5;?1/=nh54dd8m464290/8{e;8o1<7<50;2x 6b02;8m7E:>6:J747=#<8o1;k5G1478 4e62;9=7)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=52;294~"4l>09>k5G4048L1653->:i79i;I361>"6k809?;5+1bd90``5$53f>75132wi?<<50;094?6|,:n<6?N38;1/84?:%62a?76921d>?k50;&75`<5;?10qo=?a;296?6=8r.8h:4=2g9K040<@=:97):>e;5e?M72=2.:o<4=379'5f`=<:18'04c=98;07b<=e;29 17b2;9=76sm3b83>4<729q/86*>c08254=#9m;1>:k4i3:e>5<#<8o1>5h4;|`0`?6=93:1o50o0;6):>e;0;b>=zj:l1<7?50;2x 17b2;2m7E:?2:J257=#9j;1=7223`83j7>5$53f>7>a32wi8=4?:083>5}#<8o1>5h4H521?M76:2.:o<4>109'5a7=:=<0e?6i:18'04c=:1l07pl;1;295?6=8r.?=h4=8g9K054<@8;97)?l1;325>"6l8098:5f29d94?"39l094k54}c61>5<6290;w):>e;0;b>N38;1C=<<4$0a2>4763-;o=7<;8:k1:i7<7f:9~f15=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:36:?l4?n3:1(9?j:3:e?>{e<=0;6<4?:1y'04c=:1l0D9>=;I326>"6k80:=<5+1e3961g51;294~"39l094k5G4108L4753-;h=7?>1:&2`4<55h50;&75`<50o10qo:9:182>5<7s->:i7<7f:J747=O9880(:032?!7c9383n6g=8g83>!26m383j65rb5594?7=83:p(9?j:3:e?M27:2B:=?5+1b39547<,8n:6?:l;h0;b?6=,=;n6?6i;:a0=<7280;6=u+40g96=`<@=:97E?>2:&2g4<6981/=i?525f8m7>a290/850z&75`<50o1C8=<4H031?!7d93;:=6*>d0810c=n:1l1<7*;1d81i6=4>:183!26m383j6F;039K544<,8i:6;%3g5?42:2c94k4?:%62a?4?n21vn9m50;394?6|,=;n6?6i;I636>N69;1/=n?51038 4b62;2h7d<7f;29 17b2;2m76sm4e83>4<729q/86*>c08254=#9m;1>5j4i3:e>5<#<8o1>5h4;|`7a?6=93:1o50o0;6):>e;0;b>=zj<:1<7?50;2x 17b2;2m7E:?2:J257=#9j;1=7303`83j7>5$53f>7>a32wi9<4?:083>5}#<8o1>5h4H521?M76:2.:o<4>109'5a7=:"6l809:i5f29d94?"39l094k54}c70>5<6290;w):>e;0;b>N38;1C=<<4$0a2>4763-;o=7<85:k1:i7<7f:9~f=5=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:373?l4?n3:1(9?j:3:e?>{e0<0;6<4?:1y'04c=:1l0D9>=;I326>"6k809?;5+1e3963e51;294~"39l094k5G4108L4753-;h=7<<6:&2`4<5>k1d>5h50;&75`<50o10qo66:182>5<7s->:i7<7f:J747=O9880(:032?!7c938>=6g=8g83>!26m383j65rb`:94?7=83:p(9?j:3:e?M27:2B:=?5+1b39547<,8n:6?;<;h0;b?6=,=;n6?6i;:ab<<7280;6=u+40g96=`<@=:97E?>2:&2g4<6981/=i?52468m7>a290/8=;I326>"6k809?;5+1e396315}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:?30c?6i:18'04c=:1l07pl=ag83>4<729q/86*>c08173=#9m;1>:>4o3:e>5<#<8o1>5h4;|`1f5<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?52778k7>a290/8=;I326>"6k809?;5+1e396355}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:?;0c?6i:18'04c=:1l07pl=b283>4<729q/86*>c08173=#9m;1>;>4o3:e>5<#<8o1>5h4;|`1f1<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?52708k7>a290/8=;I326>"6k809?;5+1e396325}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:?<0c?6i:18'04c=:1l07pl=b683>4<729q/86*>c08173=#9m;1>;64o3:e>5<#<8o1>5h4;|`1f=<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?527c8k7>a290/8=;I326>"6k809?;5+1e396=44?:083>5}#<8o1>5h4H521?M76:2.:o<4=379'5a7=:1;0c?6i:18'04c=:1l07pl;3683>4<729q/86*>c08254=#9m;1>874i3:e>5<#<8o1>5h4;|`77<<7280;6=u+40g96=`<@=:97E?>2:&2g4<5;?1/=i?52928k7>a290/8N69;1/=n?52248 4b62;2?7b<7f;29 17b2;2m76sm45494?7=83:p(9?j:3:e?M27:2B:=?5+1b39660<,8n:6?99;n0;b?6=,=;n6?6i;:a01e=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:35b?l4?n3:1(9?j:3:e?>{e<<:1<7?50;2x 17b2;2m7E:?2:J257=#9j;1>>84$0f2>7103f83j7>5$53f>7>a32wi88o50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;?o7b<7f;29 17b2;2m76sm47394?7=83:p(9?j:3:e?M27:2B:=?5+1b39660<,8n:6?;:;n0;b?6=,=;n6?6i;:a035=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:35`?l4?n3:1(9?j:3:e?>{e>84$0f2>7>43f83j7>5$53f>7>a32wi8;m50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;2<7b<7f;29 17b2;2m76sm46194?7=83:p(9?j:3:e?M27:2B:=?5+1b39660<,8n:6?6:;n0;b?6=,=;n6?6i;:a02>=83;1<7>t$53f>7>a3A>;>6F>139'5f7=98;0(:3::?l4?n3:1(9?j:3:e?>{e<>i1<7?50;2x 17b2;2m7E:?2:J257=#9j;1=73?3`83j7>5$53f>7>a32wi8:k50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;=o7b<7f;29 17b2;2m7E=k5:9~f1?3290:6=4?{%62a?4?n2B?i50o0;6):>e;0;b>=zj=k96=4>:183!26m383j6F;039K544<,8i:6?=9;%3g5?40:2e94k4?:%62a?4?n21vn9o;:182>5<7s->:i7<7f:J747=O9880(:315?!7c938!26m383j65rb5c5>5<6290;w):>e;0;b>N38;1C=<<4$0a2>7513-;o=7<81:m1:i7<7f:9~f1gc290:6=4?{%62a?4?n2B?t$53f>7>a3A>;>6F>139'5f7=::<0(:37a?j4?n3:1(9?j:3:e?>{e73f3`83j7>5$53f>7>a32wi8ol50;394?6|,=;n6?6i;I636>N69;1/=n?52248 4b62;=m7b<7f;29 17b2;2m7E=k5:9~f1ef290:6=4?{%62a?4?n2B?i50o0;6):>e;0;b>N4l<10qo:j4;295?6=8r.?=h4=8g9K054<@8;97)?l1;002>"6l8094l5`29d94?"39l094k54}c6f2?6=93:150z&75`<50o1C8=<4H031?!7d93;:=6*>d08113=n:1l1<7*;1d81?i7>51;294~"39l094k5G4108L4753->;<7=k6:&2g4<6981/=i?526:8m7>a290/8"4:i7?>1:9l67c=83.?=h4=3798yg5c83:1=7>50z&75`<50o1C8=<4H031?!27839o:6*>c08254=#9m;1>::4i3:e>5<#<8o1>5h4;|`0`4<72;0;6=u+40g93c=#;m=1=<>4$0a2>4763->;<7=k6:&00d<4l?1C8=<4H076?!7dn3>nj6g>0283>!26m3;:=65`23g94?"39l09?;54}c1``?6=93:12.:o<4>109'5a7=:?o0e?6i:18'04c=:1l07pl7<729q/8:032?!27839o:6*<4`80`3=O<980D<;:;%3`b?2bn2c:<>4?:%62a?76921d>?k50;&75`<5;?10qo=lb;295?6=8r.?=h4=8g9K054<@8;97):?0;1g2>"6k80:=<5+1e3963`5}#<8o1;k5+3e59546<,8i:6;%634?5c>2.88l47)?lf;6fb>o68:0;6):>e;325>=h:;o1<7*;1d8173=8<7??3:p62<72;q68?m5249>067=9990q~{t;j0;6>uQ3b9>11<68:16?n4=8g9~w6b=839pR>j4=479555<5:n1>5h4}r1f>5<4sW9n70;9:020?85b2;2m7p};7??3:?0b?4?n2wx8=4?:2y]05=:=10:<>52418146434>96?6i;|q77?6=;rT??63:b;337>;3;383j6s|4583>6}Y<=169n4>029>01<50o1v9;50;1xZ13<5=6=4<{_65?83b28:870:9:3:e?xu3?3:1?vP;7:?6b?77;27?;7<7f:p0=<72:qU8552618246=:<1094k5rs5;94?5|V=301;?5111891?=:1l0q~:m:180[2e34<96<><;<6a>7>a3ty?o7>53z\7g>;1;3;;?63;c;0;b>{tuQ4e9>21<68:168i4=8g9~w1c=839pR9k4=779555<5=o1>5h4}r6e>5<4sW>m7089:020?82a2;2m7p}:0;297~X2827=;7??3:?64?4?n2wx9<4?:2y]14=:>10:<>52508146434?86?6i;|q60?6=;r7?>:4l;<6fg?e<5<>1>?k4}r76>5<4s4>9;7j4=5g`>a=:=<09>h5rs4494?5|5=8<6h524da9a>;2>389i6s|5683>6}:<;=1j63;eb8e?8302;8n7p}:8;297~;3:>0:<63;eb824>;20389i6s|5883>6}:<;=1=<524da954=:=009>h5rs4c94?5|5=8<6<<4=5g`>44<5?k4}r7a>5<4s4>9;7?<;<6fg?7434?i6?:4>4:?7af<6<27>o7<=e:p1a<72:q68?95149>0`e=9<169i4=2d9~w0c=838p19kl:04890c=:;o0q~;i:180825?3;<70:jc;34?83a2;8n7p}90;297~;3:>0:563;eb82=>;18389i6s|6083>7}:<;=1=l5260816`=z{?81<74e<5?81>?k4}r40>5<5s4>no7?k;<40>74b3ty=87>52z?7af<6m27=87<=e:p20<72;q68hm51g9>20<5:l1v;850;0x91cd2;:01;8523g8yv002909w0:jc;02?8002;8n7p}98;296~;3mj09>6398;01a>{t>00;6>u2435966=:>5268816`=z{?k1<7=t=504>72<5=oh6?:4=7c967c9:78m;<677?77;27??l4n8:?7ff4?:5y>071=?:168hm5729>07e=?:168?65729~w=5=839pR5=4=969555<5191>5h4}r:7>5<5s4>9576<;<:7>74b3ty397>53z\;1>;?>389i6375;0;b>{t0>0;6>uQ869><=<5:l164:4=8g9~w=>=83>p19?7:361?8250392:6376;337>;?03;;?6s|8883>6}Y00164l4>029><<<50o1v5o50;0x914>213015o523g8yvg?2908wSo7;46434k36?6i;|qe4?6=;r7?>44n8:?763=e<5=8h65m4=50;>c?<5=8=65m4=gc967c52z?762<68j168?6511a8yv7503:1>v3;2b826==:<;31=?64}r30`?6=:r7?>n4>3e9>07?=9:n0q~?;f;296~;3:j0:8k5243:97<7:7>52z?762<6=?168?m51448yv71;3:1>v3;2b8226=:<;31=;j4}r35a?6=:r7?>:4>6d9>07e=9?o0q~?81;296~;3:j0:;<5243;952752z?76f<6?l168?l51118yv7d:3:1>v3;2b82g7=:<;31=nm4}r01=?6=:r7?=:4>009>0dc=9990q~<=a;297~;3il09>h5243590db<5=8269ok;|q1ef<72:qU>lm4=22b>74b348jo7<7f:p6db=839pR?ok;<124?45m279mi4=8g9~w7ga2908wSlh529d8yv4e83:1?vP=b19>744=:;o01?l?:3:e?xu5j80;6>uQ2c38967?2;8n70{t:k81<7=t^3`1?856m389i63=b381;4:=09>h522c196=`53z\1f1=:;;k1>?k4=3`7>7>a3ty9n84?:2y]6g3<5:9;6?o850;1xZ7d13498:7<=e:?1f3<50o1v?l8:180[4e?278?n4=2d9>6g1=:1l0q~7}:<;=1>oo4=5g`>7df3ty9in4?:3y>0`e=:li019<7:2:`?xu48h0;6?u24da96de<5::j6<><;|q055<72;q68hm52`f8967728:87p}<1083>7}:lh4=232>4643ty8=?4?:3y>0`e=:k:01>?=:020?xu4910;6?u24da96g7<5:;36<><;|q05`<72;q68hm52c08967b28:87p}<2583>7}:o=4=207>4643ty8>l4?:3y>0`e=:k>01><;|q073<72;q68hm52c48965128:87p}<3b83>7}:o94=21`>4643ty8894?:3y>0`e=:k201>:;:020?xu4=80;6?u24359707<5=oh6>;>;|q0f3<72;q68hm53c5891412:h=7p}7}:6e43ty?>l4?:3y>07?=9jn019uQ43f8914b2;8n70:=d;0;b>{t<;o1<774b34>9i7??3:p07`=838p19=?:30f?824:3;;86s|42294?4|5=9:6?=50;1xZ15434>897<=e:?776<50o1v9=::181824<389i63;348246=z{=9<6=4<{_603>;3;10:<>5242596=`847>54z?7af<3;?168?m54248914?2=9=70:<8;01a>{t<:31<7=t^51:?824i389i63;3881029~w1252908wS:;2:?701<5:l1689<529d8yv23<3:1>v3;42816`=:<=>1===4}r672?6=;rT?8;52455967c<5=>=6?6i;|q702<72;q68?m54548912028:87p};4b83>6}Y<=i019:k:020?823k383j6s|45f94?4|5=8269::;<67`?45m2wx88>50;1xZ13734>>=7<=e:?715<50o1v9;>:181825>3>><63;508246=z{=?j6=4<{_66e>;3=m09>h5244c96=`>h7>52z?7af<3=h1688j51118yv2193:1?vP;609>034=:;o0198>:3:e?xu3>;0;6?u243:9036<5=<96<><;|q726<72:qU8;=4=547>46434>=?7<7f:p032=838p19<6:543?821<389i6s|47:94?5|V=<370:99;01a>;3>1094k5rs54:>5<5s4>957:98:?72<<68:1v98l:180[21k27?:h4=2d9>03e=:1l0q~:9e;296~;3:j0?:n5247g955553z\736=:<>=1>?k4=550>7>a3ty?;:4?:3y>07?=<>901998:020?xu3?10;6>uQ46:8911>28:870:88;0;b>{t<>31<711?34><57<=e:p02e=839pR99l;<64`?77;27?;n4=8g9~w11c2908w0:jc;64f>;3:j0?;o5246f967c53z\73`=:<>l1>?k4=55f>7>a3ty?4=4?:3y>07?=<>h0199i:020?xu31=0;6>uQ486891?02;8n70:64;0;b>{t<0=1<71?334>2;7??3:p0d4=839pR9o=;<6b7?45m27?m?4=8g9~w1g42909w0:jc;6;`>;3i:0:<>5rs5c7>5<4sW>j863;a4816`=:1>5h4}r6b1?6=:r7?in4;c39>0d3=9990q~:n6;297~X3i?168lm523g891g12;2m7p};ab83>7}:4643ty?mi4?:2y]0db<5=kn6<<8;<6b`?4?n2wx8lh50;0x91d72;8n70:ne;c;?xu3j80;6?u243490g7<5=h;6<><;|q7f7<72:qU8o<4=5`7>74b34>i>7<7f:p0g2=838p19kl:5`1?82e<3;;?6s|4c794?5|V=h>70:m7;01a>;3j<094k5rs5`4>5<5s4>no7:m5:?7f2<68:1v9l6:180[2e127?nl4>029>0g?=:1l0q~:ma;297~;3mj0?n55243a90g><5=hj6?74b34>in7<7f:p0gb=838p19<6:5`;?82ek3;;?6s|4bc94?5|V=ij70:lb;01a>;3kh094k5rs5a`>5<5s4>957:l9:?7gg<68:1v9k;:180[2b<27?i84=2d9>0`2=:1l0q~:j5;296~;3:10?i<524d79555n:7>53z\7a3=:7>a3ty?i:4?:3y>07?=uQ4d;891cf28:870:j9;0;b>{t1c?34>no7:j8:?76f<3m1168ho523g8yv23m3:1?vP;4d9>01c=:1l019:i:020?xu4l90;6>uQ3e2896b72;2m70=k1;337>{t;jn1<7=t^2ag?85dl383j63;4kk094k523ba9555?j7>51z?70c<5:l1v>j>:18285c9389i6s|3bg94?7|5:in6?{08~^c`=9r;o=7srn0:;`?6=9rB?d08~yk7?0l0;6a28q:6pTif;3x5a7=utd:45h50;3xL1653@83j7?t1;Ybc<6s8n:6psa19;3>5<6sA>;>6G=8g824>93:1=vF;039J6=`=9r;1qWhi:0y2`4:|Xeb?7|9m;1qp`>88194?7|@=:97D<7f;3x5?{]no0:w:|m5=?3290:wE:?2:K151zJ747=N:1l1=v?5}[de>4}6l80vqc?79783>4}O<980E?6i:0y2>x\an3;p=i?5}|l2<<1=83;pD9>=;H0;b?7|93wQjk4>{0f2>x{i91336=4>{I636>O50o0:w<4rZgd95~7c93wvb<669;295~N38;1B>5h51z39y_`a28q:h<4r}o3;=d<728qC8=<4I3:e>4}62tPmj7?t1e39yxh600h1<7?tH521?L4?n3;p=7sUfg824b62twe=57l:182M27:2C94k4>{08~^c`=9r;o=7srn0::`?6=9rB?d08~yk7?1l0;6a28q:6pTif;3x5a7=utd:44h50;3xL1653@83j7?t1;Ybc<6s8n:6psa19c3>5<6sA>;>6G=8g824f93:1=vF;039J6=`=9r;1qWhi:0y2`44?:2yK0544?:3yK054:|Xeb?7|9m;1qp`>8ca94?40sA>;>6sa19`g>5<6sA>;>6G=8g827em3:1=vF;039J6=`=9r81qWhi:0y2`4:|Xeb?7|9m;1qp`>8b394?4|@=:97p`>8b094?7|@=:97D<7f;3x5?{]no0:w:|m5=e4290561yK0548e194?7|@=:97D<7f;3x6?{]no0:w:|m5=b3290:wE:?2:K151zJ747=N:1l1=v<5}[de>4}6l80vqc?7d783>4}O<980E?6i:0y1>x\an3;p=i?5}|l2=;H0;b?7|:3wQjk4>{0f2>x{i91n36=4>{I636>O50o0:w?4rZgd95~7c93wvb<6k9;295~N38;1B>5h51z09y_`a28q:h<4r}o3;`d<728qC8=<4I3:e>4}52tPmj7?t1e39yxh60mh1<7?tH521?L4?n3;p>7sUfg824b62twe=5jl:182M27:2C94k4>{38~^c`=9r;o=7srn0:g`?6=9rB?d08~yk7?ll0;6>uG4108yk7?lo0;6>uG4108yk7?m90;6?uG4108yk7?m:0;6?uG4108yk7?m=0;6?uG4108yk7?m<0;6?uG4108yk7?m?0;6?uG4108yk7?m>0;6?uG4108yk7?m10;6?uG4108yk7?m00;6?uG4108yk7?mh0;6?uG4108yk7?mk0;6?uG4108yk7?mj0;6>uG4108yk7?mm0;6;uG4108yk7?ml0;6:uG4108yk7?mo0;6?uG4108yk7?n90;6a28q96pTif;3x5a7=utd:4k?50;3xL1653td:4k<50;3xL1653td:4k=50;3xL1653td:4k:50;3xL1653@83j7?t2;Ybc<6s8n:6psa19d6>5<6sA>;>6sa19d5>5<6sA>;>6G=8g824a?3:18vF;039~j4>a03:1>vF;039J6=`=9r91qWhi:0y2`48g`94?7|@=:97p`>8ga94?7|@=:97D<7f;3x6?{]no0:w:|m5=`c2908wE:?2:m5=`b290:wE:?2:K152zJ747=zf83;<7>51zJ747=N:1l1=v<5}[de>4}6l80vqc?60083>1}O<980qc?60383>4}O<980E?6i:0y1>x\an3;p=i?5}|l2=55=83>pD9>=;|l2=52=83;pD9>=;H0;b?7|:3wQjk4>{0f2>x{i90:>6=4<{I636>{i90:=6=4>{I636>O50o0:w<4rZgd95~7c93wvb<7?7;296~N38;1vb<7?8;295~N38;1B>5h51z09y_`a28q:h<4r}o3:4<<72=qC8=<4}o3:4d<728qC8=<4I3:e>4}52tPmj7?t1e39yxh619h1<77sUfg824b62twe=4>k:181M27:2we=4>j:182M27:2C94k4>{08~^c`=9r;o=7srn0;3b?6=d08~yk7>980;6>uG4108yk7>9;0;6?uG4108M7>a28q86pTif;3x5a7=utd:5<=50;7xL1653td:5<:50;3xL1653@83j7?t2;Ybc<6s8n:6psa1836>5<5sA>;>6sa1835>5<6sA>;>6G=8g827vF;039~j4?603:1=vF;039J6=`=9r81qWhi:0y2`490`94?4|@=:97p`>90a94?5|@=:97D<7f;1x7?7=;3wQjk4>{0f2>x{i90;o6=4<{I636>{i90;n6=4;{I636>{i90;m6=4>{I636>O50o0:w?4rZgd95~7c93wvb<7=0;296~N38;1vb<7=1;295~N38;1B>5h51z09y_`a28q:h<4r}o3:67<72;qC8=<4}o3:66<728qC8=<4I3:e>4}62tPmj7?t1e39yxh61;>1<7=tH521?xh61;?1<7{28~^c`=9r;o=7srn0;1d08~yk7>:h0;6?uG4108yk7>:k0;6a28q:6pTif;3x5a7=utd:5?m50;0xL1653td:5?j50;3xL1653@83j7?t1;Ybc<6s8n:6psa180f>5<2sA>;>6sa13a94?7|@=:97p`>2e83>4}O<980qc?=f;295~N38;1vb<=?:182M27:2we=>?50;3xL1653td:??4?:0yK05451zJ747=zf89?6=4>{I636>{i9:?1<7?tH521?xh6;?0;6=;|l27g<728qC8=<4}o30g?6=:rB?5<6sA>;>6sa12d94?7|@=:97p`>4083>4}O<980qc?;2;295~N38;1vb<:<:182M27:2we=9:50;3xL1653td:884?:0yK05451zJ747=zf8><6=4={I636>{i9=21<7=;|l20a<728qC8=<4}o37a?6=9rB?5<4sA>;>6sa62c94?4|@=:97p`94983>6}O<980qc8;9;297~N38;1vb;:n:182M27:2we:9l50;3xL1653td=8n4?:0yK05451zJ747=zf?>n6=4>{I636>{i>=l1<7?tH521?xh1=90;6=;|l511<728qC8=<4}o461?6=9rB?5<6sA>;>6sa64594?7|@=:97p`95983>4}O<980qc8:9;295~N38;1vb;;n:182M27:2we:8l50;3xL1653td=9n4?:0yK054h7>51zJ747=zf??n6=4>{I636>{i>90;6=;|l521<728qC8=<4}o451?6=;rB?5<6sA>;>6sa67594?5|@=:97p`96983>4}O<980qc899;295~N38;1vb;8n:182M27:2we:;l50;3xL1653td=:n4?:0yK05451zJ747=zf?{I636>{i>?l1<7?tH521?xh1?90;6=;|l530<72:qC8=<4}o442?6=;rB?5<6sA>;>6sa66:94?7|@=:97p`97883>4}O<980qc88a;295~N38;1vb;9m:182M27:2we::m50;3xL1653td=;i4?:0yK05451zJ747=zf?=m6=4>{I636>{i>1:1<7?tH521?xh1080;64290:wE:?2:m2=2=83;pD9>=;|l5<0<728qC8=<4}o4;2?6=9rB?5<6sA>;>6sa69:94?7|@=:97p`98883>4}O<980qc87a;295~N38;1vb;6m:182M27:2we:5m50;3xL1653td=4i4?:0yK05451zJ747=zf?2m6=4>{I636>{i>0:1<7?tH521?xh1180;6:3:1=vF;039~j3?4290:wE:?2:m2<2=83;pD9>=;|l5=0<728qC8=<4}o4:2?6=9rB?5<6sA>;>6sa68:94?7|@=:97p`99883>4}O<980qc86a;295~N38;1vb;7m:182M27:2we:4m50;3xL1653td=5i4?:0yK05451zJ747=zf?3m6=4>{I636>{i>h:1<7?tH521?xh1i80;6=;|l5e0<728qC8=<4}o4b2?6=9rB?5<6sA>;>6sa6`:94?7|@=:97p`9a883>4}O<980qc8na;295~N38;1vb;om:182M27:2we:lm50;3xL1653td=mi4?:0yK05451zJ747=zf?h;6=4<{I636>{i>k;1<7=tH521?xh1j;0;6=;|l5f3<728qC8=<4}o4a3?6=9rB?5<6sA>;>6sa6c;94?7|@=:97p`9b`83>4}O<980qc8mb;295~N38;1vb;ll:182M27:2we:oj50;3xL1653td=nh4?:2yK05453zJ747=zf?i;6=4>{I636>{i>j;1<7?tH521?xh1k;0;6=;|l5g3<728qC8=<4}o4`3?6=9rB?5<6sA>;>6sa6b;94?7|@=:97p`9c`83>4}O<980qc8lb;295~N38;1vb;ml:182M27:2we:nj50;3xL1653td=oh4?:0yK05451zJ747=zf?n;6=4>{I636>{i>m;1<7?tH521?xh1l;0;6>uG4108yk0c;3:1?vF;039~j3b3290:wE:?2:m2a3=83;pD9>=;|l5`3<728qC8=<4}o4g3?6=9rB?5<6sA>;>6sa6e;94?7|@=:97p`9d`83>4}O<980qc8kb;295~N38;1vb;jl:182M27:2we:ij50;0xL1653td=hh4?:3yK05451zJ747=zf?o;6=4>{I636>{i>l;1<7?tH521?xh1m;0;6=;|l5a3<728qC8=<4}o4f3?6=9rB?5<6sA>;>6sa6d;94?7|@=:97p`9e`83>4}O<980qc8jb;295~N38;1vb;kl:182M27:2we:hj50;3xL1653td=ih4?:0yK05451zJ747=zf?l;6=4>{I636>{i>o;1<7?tH521?xh1n;0;6=;|l5b3<728qC8=<4}o4e3?6=9rB?5<4sA>;>6sa6g;94?5|@=:97p`9fc83>1}O<980qc8ic;297~N38;1vb;hk:182M27:2we:kk50;1xL1653td<<=4?:2yK05451zJ747=zf>:96=4<{I636>{i?991<7=tH521?xh08?0;6>uG4108yk17?3:1?vF;039~j26f290:wE:?2:m35d=83;pD9>=;|l44f<728qC8=<4}o53`?6=9rB?5<6sA>;>6sa70394?7|@=:97p`81383>4}O<980qc9>3;295~N38;1vb:?;:182M27:2we;<750;3xL1653td<=l4?:0yK05451zJ747=zf>;h6=4>{I636>{ii8i1<7uG4108ykg583:1?vF;039~jd452908wE:?2:me73=83;pD9>=;|lb63<728qC8=<4}oc13?6=9rB?5<5sA>;>6saa3`94?7|@=:97p`n2b83>4}O<980qco=d;295~N38;1vbl53zJ747=zfh9?6=4>{I636>{ii:?1<7?tH521?xhf;?0;6=;|lb7d<728qC8=<4}oc0f?6=9rB?5<6sA>;>6saa2g94?4|@=:97p`n3g83>4}O<980qco;0;295~N38;1vbl:>:182M27:2wem9<50;0xL1653tdj8>4?:0yK05451zJ747=zfh>>6=4>{I636>{ii=<1<7?tH521?xhf<>0;62909wE:?2:me1g=83;pD9>=;|lb0g<728qC8=<4}oc7g?6=9rB?5<6sA>;>6saa5g94?7|@=:97p`n4g83>7}O<980qco:0;296~N38;1vbl;>:182M27:2wem8<50;1xL1653tdj9>4?:0yK05487>51zJ747=zfh?>6=4>{I636>{ii<<1<7?tH521?xhf=>0;6vF;039~jd3f290:wE:?2:me0d=83;pD9>=;|lb1f<728qC8=<4}oc6`?6=9rB?5<5sA>;>6saa4d94?4|@=:97p`n6183>3}O<980qco91;290~N38;1vbl8=:18aM27:2wem;=50;0xL1653tdj:94?:3yK05451zJ747=zfh<=6=4>{I636>{ii?=1<7?tH521?xhf>10;6=;|lb2f<728qC8=<4}oc5`?6=;rB?5<4sA>;>6saa7d94?7|@=:97p`n7183>6}O<980qco81;297~N38;1vbl9=:182M27:2wem:=50;3xL1653tdj;94?:0yK05451zJ747=zfh==6=4>{I636>{ii>=1<7?tH521?xhf?10;6=;|lb3f<728qC8=<4}oc4`?6=9rB?5<6sA>;>6saa6d94?3|@=:97p`n8383>7}O<980qco73;295~N38;1vbl6;:182M27:2wem5850;3xL1653tdj4:4?:0yK05451zJ747=zfk>i6=4<{I636>{ij=i1<7=tH521?xheuG4108ykd3n3:1=vF;039~jg35290>wE:?2:mf02=838pD9>=;|la10<72;qC8=<4}o`62?6=9rB?5<5sA>;>6sab4;94?4|@=:97p`m5`83>4}O<980qcl:b;296~N38;1vbo;l:181M27:2wen8j50;1xL1653tdi9h4?:0yK054j7>51zJ747=zfk<;6=4>{I636>{ij?;1<7?tH521?xhe>=0;6?uG4108ykd1=3:1=vF;039~jg00290:wE:?2:m54342908wE:?2:m5433290:wE:?2:m5432290:wE:?2:m5431290:wE:?2:m543?290:wE:?2:m543>2908wE:?2:m543f290:?vF;039~j472j3:1?vF;039~j472k3:19vF;039~j472n3:1?vF;039~j47183:1?vF;039~j47193:19vF;039~j471:3:1?vF;039~j471;3:1?vF;039~j471<3:1=vF;039~j471=3:1=vF;039~j471>3:1=vF;039~j471?3:1=vF;039~j47103:1=vF;039~j47113:1=vF;039~j471i3:1=vF;039~j471k3:18vF;039~j471l3:1?vF;039~j471m3:1=vF;039~j471n3:1=vF;039~j47083:1=vF;039~j47093:1=vF;039~j470:3:1=vF;039~j470;3:18vF;039~j470<3:1?vF;039~j470=3:1=vF;039~j470>3:18vF;039~j470?3:1?vF;039~j47003:1=vF;039~j47013:18vF;039~j470i3:1?vF;039~j470j3:1=vF;039~j470k3:18vF;039~j470l3:1?vF;039~j470m3:1=vF;039~j470n3:1>vF;039~j47?83:1>vF;039~j47?93:1>vF;039~j47?:3:1=vF;039~j47?;3:1=vF;039~j47?<3:1=vF;039~j47?=3:1=vF;039~j47?>3:1=vF;039~j47??3:1=vF;039~j47?03:1=vF;039~j47?13:1=vF;039~j47?i3:1=vF;039~j47?j3:1=vF;039~j47?k3:1=vF;039~j47?l3:1=vF;039~j47?m3:1>vF;039~j47?n3:1>vF;039~j47>83:1>vF;039~j47>93:1>vF;039~j47>:3:1?vF;039~j47>;3:1?vF;039~j47><3:1=vF;039~j47>=3:1=vF;039~j47>>3:1=vF;039~j47>?3:1=vF;039~j47>03:1=vF;039~j47>13:19vF;039~j47>i3:1?vF;039~j47>j3:1?vF;039~j47>l3:1=vF;039~j47>m3:1=vF;039~j47>n3:1=vF;039~j47f83:1=vF;039~j47f93:1=vF;039~j47f:3:1=vF;039~j47f;3:1=vF;039~j47f<3:1=vF;039~j47f=3:1=vF;039~j47f>3:1=vF;039~j47f?3:1?vF;039~j47f03:1=vF;039~j47f13:1=vF;039~j47fi3:1=vF;039~j47fj3:1=vF;039~j47fk3:1=vF;039~j47fl3:1=vF;039~j47fn3:1?vF;039~j47e83:1=vF;039~j47e93:1=vF;039~j47e:3:1=vF;039~j47e;3:1=vF;039~j47e<3:1=vF;039~j47e=3:1=vF;039~j47e>3:1=vF;039~j47e?3:1=vF;039~j47e03:1=vF;039~j47e13:1=vF;039~j47ei3:1=vF;039~j47ej3:1=vF;039~j47ek3:1=vF;039~j47en3:1?vF;039~j47d83:1?vF;039~j47d93:1?vF;039~j47d:3:1=vF;039~j47d;3:1=vF;039~j47d<3:1=vF;039~j47d=3:1?vF;039~j47d>3:1?vF;039~j47d?3:1=vF;039~j47d03:1=vF;039~j47d13:1=vF;039~j47di3:1=vF;039~j47dj3:1=vF;039~j47dk3:1=vF;039~j47dl3:1=vF;039~j47dm3:1=vF;039~j47dn3:1=vF;039~j47c83:1=vF;039~j47c93:1=vF;039~j47c:3:1=vF;039~j47c;3:1=vF;039~j47c<3:1=vF;039~j47c=3:1?vF;039~j47c>3:1?vF;039~j47c?3:1=vF;039~j47c03:1=vF;039~j47c13:1=vF;039~j47ci3:1=vF;039~j47cj3:1=vF;039~j47ck3:1=vF;039~j47cl3:1=vF;039~j47cm3:1=vF;039~j47b93:1>vF;039~j47b:3:1=vF;039~j47b;3:1?vF;039~j47b<3:1?vF;039~j47b=3:19vF;039~j47b>3:1?vF;039~j47b?3:1?vF;039~j47b03:19vF;039~j47bi3:1?vF;039~j47bj3:1=vF;039~j47bk3:1=vF;039~j47bl3:1=vF;039~j47a93:1?vF;039~j47a;3:1=vF;039~j47a<3:1=vF;039~j47a>3:1=vF;039~j47a?3:1?vF;039~j47a03:1=vF;039~j47a13:1=vF;039~j47ai3:1=vF;039~j47aj3:1=vF;039~j47ak3:1=vF;039~j47al3:1=vF;039~j47am3:1=vF;039~j44793:1=vF;039~j447:3:1?vF;039~j447<3:1>vF;039~j447=3:1>vF;039~j447>3:1=vF;039~j447?3:1=vF;039~j44703:1=vF;039~j44713:1=vF;039~j447i3:1=vF;039~j447j3:1=vF;039~j447k3:1=vF;039~j447l3:1=vF;039~j447m3:1=vF;039~j447n3:1=vF;039~j44683:1=vF;039~j44693:1=vF;039~j446:3:1=vF;039~j446;3:1=vF;039~j446<3:1=vF;039~j40213:1?vF;039~j402i3:1=vF;039~j402j3:1=vF;039~j402k3:1=vF;039~j402l3:1=vF;039~j402m3:1=vF;039~j40193:1;vF;039~j401:3:1=vF;039~j401;3:19vF;039~j401<3:1?vF;039~j401=3:1=vF;039~j401>3:1?vF;039~j401?3:1?vF;039~j40103:1=vF;039~j40113:1?vF;039~j401i3:1?vF;039~j401j3:1=vF;039~j401k3:1=vF;039~j401l3:1=vF;039~j401m3:1=vF;039~j401n3:1=vF;039~j40083:1?vF;039~j40093:1>vF;039~j400:3:1>vF;039~j400;3:1=vF;039~j400<3:1=vF;039~j400=3:1=vF;039~j400>3:1=vF;039~j400?3:1?vF;039~j40003:1?vF;039~j40013:1?vF;039~j400i3:1?vF;039~j400j3:1?vF;039~j400k3:1?vF;039~j400l3:1?vF;039~j400m3:1?vF;039~j400n3:1?vF;039~j40?83:1?vF;039~j40?93:1?vF;039~j40?:3:1?vF;039~j40?;3:1?vF;039~j40?<3:1>vF;039~j40?=3:1>vF;039~j40?>3:1>vF;039~j40??3:1>vF;039~j40?03:1>vF;039~j40?13:1>vF;039~j40?i3:1>vF;039~j40?j3:1>vF;039~j40?k3:1>vF;039~j40?l3:1>vF;039~j40?m3:1>vF;039~j40?n3:1>vF;039~j40>83:1?vF;039~j40>93:1?vF;039~j40>:3:1?vF;039~j40>;3:1?vF;039~j40><3:1?vF;039~j40>=3:1?vF;039~j40>>3:1?vF;039~j40>?3:1?vF;039~j40>03:1?vF;039~j40>13:1?vF;039~j40>i3:1?vF;039~j40>j3:1?vF;039~j40>k3:1=8uG4108yk711l0;6?uG4108yk711o0;60;6uG4108yk71ih0;6?uG4108yk71ik0;6uG4108yk71il0;6?uG4108yk71io0;6uG4108yk71j80;6?uG4108yk71j;0;60;6?uG4108yk71j10;6?uG4108yk71j00;6?uG4108yk71jh0;6?uG4108yk71jk0;6kuG4108yk71jm0;6>uG4108yk71jl0;6uG4108yk>e290:wp`>9c83>4}zf8hj6=4<{|l2f1<728qvb5<4std:j?4?:0y~j7702908wp`=1083>4}zf;9=6=4<{|l175<728qvb?;::180xh55<4std9:h4?:0y~j7?42908wp`=8e83>4}zf;h96=4<{|l1ef<728qvb?j>:180xh5kk0;65<4std9il4?:0y~j66a2908wp`<0883>4}zf:8n6=4<{|l06=<728qvb::7:182xh0=m0;65<6std<5<4?:2y~j2>e290:wp`8b183>6}zf>kj6=4>{|l4g0<728qvb:jn:182xh0mo0;65<6std3=44?:0y~j=4b290:wp`74283>4}zf1<96=4<{|l;1f<728qvb56>:180xh??k0;65<4std35l4?:0y~j=da2908wp`7b883>4}zf1nn6=4<{|l;`=<728qvblol:182xhfk80;65<6stdjio4?:0y~jg6f2908wp`m0583>4}zfk3?6=4>{|laf6<72:qvbook:182xhel;0;6>urnca`>5<6stdij<4?:2y~jgce290:wp`l1183>6}zfj:j6=4>{|l`6c<72:qvbn<6:182xhdurnb6;>5<6stdh:i4?:2y~jf00290:wp`l8b83>6}zfj2=6=4>{|l`eg<72:qvbno::182xhdkh0;6>urnba7>5<6stdhi44?:2y~jfc4290:wp`k0983>6}zfm:96=4>{|lg62<72:qvbi<>:182xhcurne63>5<6stdo:84?:2y~ja3a290:wp`k8583>6}zfm=n6=4>{|lge6<72:qvbi7k:182xhck;0;6>urne``>5<6stdoi<4?:2y~jabe290:wp`j0183>6}zfmlj6=4>{|lf5c<72:qvbh?6:182xhb;l0;6>urnd1;>5<6stdn9i4?:2y~j`30290:wp`j7b83>6}zfl==6=4>{|lf=g<72:qvbh7::182xhbjh0;6>urnd`7>5<6stdnh44?:2y~j`b4290:wp`jf983>6}zfll96=4>{|l2663=83;pqc?=4`83>4}zf88>j7>51zm5713290:wp`>29;94?7|ug;95h4?:0y~j44e;3:1=vsa13a;>5<6std:>ij50;3xyk75n;0;6?l:182xh6;:;1<7?t}o3003<728qvb<=:b;295~{i9:=;6=4>{|l27=3=83;pqc?<9`83>4}zf89jj7>51zm56e3290:wp`>3d194?5|ug;8hi4?:0y~j427:3:1?vsa12d`>5<6std:8??50;1xyk739k0;6{|l204}zf8>io7>53zm51d1290:wp`>93d94?7|Rol1=v?k1;K67b5<6sSlm6d08~L74c3td:5>=50;3xyk7>;=0;6r@ARxyEF \ No newline at end of file +$5:a5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?k;@NF4Zkrp9:;>d:COA4YXg{:;<GIL;>0MCJ<4:CM@1286OAD768EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P735MUG33?GSNDMU_M_IJT@P@L==E]ZUBBKA>;B68G40J12I::@QFBTD5?F47ELDi7N2I99@KAb:A11HCIWFH^J>5L8L:8G=KXAK_M>6MJ3:AOO6=DD[90OCZ6;BMNILRSMM=0O_KNTDF1?FU43J^G:6Mce`p2b>Ekmhx:SRoad12344=Cm2NYOR]ZCBGPWCSK?2N_MNEJNg9GPDELMGUTc>?0133?ARFKBOESRa}0123546?5028@QGDCLDTSb|?012555=C\HI@ICQPos2345103M^JOF|if:FWEFMunVddx=>?1028@QGDC{lTbbz?01323>Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:h5KotvLAZiu89:;;h5KotvLAZiu89:;4<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b?01>2:`=B[VUjhi>?01>1:`=B[VUjhi>?01>0:`=B[VUjhi>?01>7:d=B[Vkeh=>?0c9FWZgil9:;<?02`8AVYffm:;<=:m;DQ\ekb789:>n6K\_`lg4567>k1N_Road12342d?01:a?@UXign;<=>65:GWEFMc3L^JOFQnne2345cCuW8>0JNBD9:D@HNYNJ\L97KJ;;GF@A1=ALJZ97KH7;GPLIZSDN:1M_O?4G29DJA785FABQ00>OE]O80EC64IODFVWCC12CEEY][AUG4?LHN\Z^H46GAIUQWG4c2:KMMQUXNZGTJKj>-Hl1a>OIA]YTJ^CPFGf154=NF@^XSK]B_GDg6(Oi9;1BBDZ\_GQN[C@c:$Ce=<<4IOKWWZ@TEVLMh?#Fn331?LHN\ZUM_@QIFe0.Mk56:2CEEY]PFRO\BCb5%@d?=?5FNHVP[CUJWOLo> Ga5d9JJLRTWOYFSB{{3g9JJLRTWOYFSB{{30d8MKOS[VLXARAzt2024>OIA]YTo`~Pep23465h5FO@AW[hs89:;?h5FO@AW[hs89:;8h5FO@AW[hs89:;9h5FO@AW[hs89:;:h5FO@AW[hs89:;;h5FO@AW[hs89:;4h5FO@AW[hs89:;5;5FO@AWv1=NGKG?7DAMS`9JKGUXZlkouo5FOCQ\V`gcq8h0EBL\_Sgb`|4e3@EI_R\jae{0f>OHJZUYiljv4c9JKGUXZlkou8l4IN@P[Wcflp2CDNXHJ6:KLGJKW<2CDO^;4INAP50=NGJY996G@CR16?LID[=?0EBM\599JKARFKBx:<6G@DUC@OwYig}:;<;HMGPDELzVddx=>?1068MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP62=NGY]H_>94INRTGV223@EYI\j4INPFUZkrp9:;k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw?OHZ^:Taxv?012f?LIU_9Ufyu>?013f?LIU_9Ufyu>?010f?LIU_9Ufyu>?011f?LIU_9Ufyu>?016g?LIU_9Uecy>?00g8MJTP8Vddx=>?10g8MJTP8Vddx=>?13g8MJTP8Vddx=>?12g8MJTP8Vddx=>?15g8MJTP8Vddx=>?14g8MJTP8Vddx=>?17g8MJTP8Vddx=>?1678MJTP9m1BC_Y>_`lg4567m2CD^Z?Paof34566m2CD^Z?Paof34565m2CD^Z?Paof34564m2CD^Z?Paof34563m2CD^Z?Paof34562m2CD^Z?Paof34561m2CD^Z?Paof34560k2CD_RH\M^DE`4`<>4INQ\BVKXNOn:!D`<119JKVYA[DUMJi?"Io6`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km5472028MJUXNZGTJKj=-Hl155=NGZUM_@QIFe0.Mk5682CD_RH\M^DE`7+Nf=;;7DA\_GQN[C@c:$Ce9<>4INQ\BVKXNOn9!D`9119JKVYA[DUMJi<"Io524>OH[VLXARHId3/Jj=773@EXSK]B_GDg6(Oi1j1BC^QISL]EBa5a3@EXSK]B_GDg7(Oi991BC^QISL]EBa5*Ag;:<6G@S^DPIZ@Al:'Bb???;HMP[CUJWOLo? Ga3028MJUXNZGTJKj<-Hl755=NGZUM_@QIFe1.Mk3682CD_RH\M^DE`6+Nf?;;7DA\_GQN[C@c;$Ce;<>4INQ\BVKXNOn8!D`7119JKVYA[DUMJi="Io;5?LISQYO?7DAZ0b9JKP6Xe|r;<=>k;HMV4Zkrp9:;<i5FOT2\ip~789:8h6G@U1]nq}6789>o7DAZ0^ov|5678<>0EB[>c:KLQ4Yig}:;<OH_Lo0GHK__IKFVDTD@P=0@DL]AS[5?IIFLLN87AAL7:NLCLEFDh1G\@QWOS0\5<=K\`gn~1>1a:NWmhcu48:5m6B[ilgq8479i2F_e`k}<00==>JSadoy0<06;MVji`t;:730@Ygbes>0:<=K\`gn~1:19:NWmhcu4<427AZfmdp?2;?89OPlkbz52556B[ilgq8<8?3E^bah|[C018HQojm{^HSnc_ds34566<2F_e`k}TB]`iuYby9:;<?01620>JSadoyXNQlmq]fu5678<20@Ygbes]3<>JSadoyS<74LUknawY6801GXdcjr^32=>JSadoyS<<7;MVji`tX:11GXdcjr^1;?IRnelxT855CThofvZ3?3E^bah|P699OPlkbzV=37AZfmdp\<==K\`gn~R7=4:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>24;433E^bah|PM`fg[Zgcl9:;?0^O{kwYUmzgx1<1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?7;443E^bah|PM`fg[Zgcl9:;5>>5CThofvZKflmUTmij?012\I}iuW[oxyaz35?00?IRnelxTAljk_^cg`5678VGscQ]erwop909::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7;3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=:=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;178=7AZfmdp\IdbcWVkoh=>?0^PfwpjsW9Uecy>?0132`>JSadoyS@okd^]b`a6789UTmcj?0122a>JSadoyS@okd^]b`a6789UTmcj?01225a=K\`gn~RCnde]\eab789:TSl`k01225`=K\`gn~RCnde]\eab789:TSl`k012254bP_`lg45629l1GXdcjr^Ob`aYXimn;<=>P_`lg456298n0@Ygbes]NeabXWhno<=>?_^cm`567>8o0@Ygbes]NeabXWhno<=>?_^cm`567>8;o7AZfmdp\IdbcWVkoh=>?0^]bja678>;n7AZfmdp\IdbcWVkoh=>?0^]bja678>;:h6B[ilgq[HgclVUjhi>?01]\ekb7892:i6B[ilgq[HgclVUjhi>?01]\ekb7892:=i5CThofvZKflmUTmij?012\[dhc89:2=h5CThofvZKflmUTmij?012\[dhc89:2=?<1<27>JSadoySRokd12349776890@Ygbes]\eab789:7=<0>3:NWmhcuWVkoh=>?0=31:442:NWmhcuWVkoh=>?0=1=57=K\`gn~RQnde2345:36880@Ygbes]\eab789:793?=;MVji`tXWhno<=>?<7<26>JSadoySRokd12349199;1GXdcjr^]b`a6789632<<4LUknawYXimn;<=>39?78Hjgc9l1Gclj>_^cm`5678j1Fmga}Vdppmjhd3DcecXjrrklj7=I8:1E<<94NDVTKWM13GEH@D^>;N68KGSA=2EIYKK:;NF@Wwb>5_1218T4243Y;>?6^>629S525V58:1[>?=4P310?U43;2Z99>5_2618T7>43Y82>6^<3:R046=W;;90\>=<;Q177>V4=:1[?;=4P251?U243Y>;?6^;129S075V2=:1[9;=4P4:0?U3>:2Z=?6^9029S245?90\;9=;Q50?U17;2Z<>>5_7218T2243Y==?6^8729S3=5397]6<;Q:37>V?9:1[4?=4P970?U>1;2Z3;>5_8808T<53:R:66=W1:90\4:<;Q;67>V>>:1[5:=4P@F7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD18TWC63X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV3>4g9QEHCIWds<=>?14d8VDKBFVg~t=>?004e?WGJMGUfyu>?0134b>TFELDTaxv?0122m7_OBEO]nq}67898>i6\NMDL\ip~789:8i6\NMDL\ip~789:?i6\NMDL\ip~789:>i6\NMDL\ip~789:=i6\NMDL\ip~789:>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=ck;SC\4Zkrp9:;<i5]A^2\ip~789:8h6\N_1]nq}6789>j7_OP11]LFP@f3[KT=_lw{4567;m1YMR?Pmtz3456312XJS?Q@BTD`?WGX:Vg~t=>?0e9QEZ4Xe|r;<=>>d:PB[7Yj}q:;<=j4R@]1[hs89:;845]A^1\KGSAk2XJS>Qbuy2345bTFW:Ufyu>?011g?WGX;Vg~t=>?05;8VDY3WFH^Jn5]A^6\ip~789:o7_OP4^ov|56788n0^LQ;_lw{4567:m1YMR:Pmtz34564l2XJS9Qbuy23452>3[KT9RAMUGa8VDY2Wds<=>?d:PB[0Yj}q:;<=?k;SC\1Zkrp9:;TFW?Ufyu>?010g?WGX>Vg~t=>?02f8VDY1Wds<=>?489QEZ1XGK_Mo6\N_6]nq}6789n0^LQ8_lw{45679m1YMR9Pmtz34565l2XJS:Qbuy23455c3[KT;Rczx12341?TB[{lo7_K\rg]mkq6788o0^H]}f^llp56798?0^BCLS79QKHET9?1YC@M\279QKHET;?1YC@M\479QKHET=?1YC@M\679QKHET?:1Y_Yl4RR]EWHYANm;n7_]PFRO\BCb6%@dm7_]PFRO\BCb6%@d:j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:a?WUXNZGTJKj=e:PP[CUJWOLo> Gaf:PP[CUJWOLo> Ga1028VVYA[DUMJi<"Io3355=U[VLXARHId3/Jj47682XXSK]B_GDg6(Oi9;;;7_]PFRO\BCb5%@d:?<>4RR]EWHYANm8&Ec?;f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4`d3[YTJ^CPOtv;5f=U[VLXARAzt905?Wcflp:=7_kndx34?Wcflp;yj6\jae{2vZYhz9:;<<>4Rdcg}4tXWfx;<=>>119Qadb~9{UTc>?0105?Wcflp8<7_kiDnlfb>TbnMeeiRQ`r123446??;Sge@jhbWVey<=>?4d9Qac@ugdXXAeyv0b>TbnOxda_]?Ndzw0c=UmoLyc`\\0Og{p0`Tbn[oz_t`le:PfbWcvWhdo<=>?f:PfbWcvWhdo<=>?1g9QacTbyVkeh=>?03g8V``UmxUecy>?00d8V``UmxUecy>?003g?WcaZl{Tc>?01g8V``UmxUd~=>?00g8V``UmxUd~=>?03g8V``UmxUd~=>?02g8V``UmxUd~=>?0548V``Rzn20^hhZrfKma>Tbn\xlSl`k0123b>Tbn\xlSl`k01235c=Umo_ykRoad12347g0:d=T_595SDLZF59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122a>Ubf}UTc>?0133`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4i5\eov\[jt789:2m6]adSCNGVQU92^87Y\F8:VQMVRF\L>0X_[Jb:VP[CUJWOLo=h5[S^DPIZ@Al8'Bbk5[S^DPIZ@Al8'Bb4TR]EWHYANm8&Ec??f:VP[CUJWOLo> Ga2g9WWZ@TEVLMh?#Fn2d8PVYA[DUMJi<"Io6e?QUXNZGTJKj=-Hl6b>RTWOYFSKHk2,Km2c=S[VLXARHId3/Jj2`<\ZUM_@QIFe0.Mk>a3]YTJ^CPFGf1)Lh>j2^XSK]B_Nww4f=S[VLXARAzt13`?QUXNZGTCxz?259W]UC?3]cfi2?>99Wmhcu48437Ygbes>1:==Sadoy0>08;UknawRD9;1_e`k}TB]`iuYby9:;<<=4ThofvQEXkdzTi|>?01327>Rnelx_ORmbp^gr4567:890XdcjrUA\ghvXmx:;<==>3:Vji`tSKVif|Rk~0123045<\`gn~YMPclr\at6789?<7Ygbes]355=SadoyS=QPaof3456692^bah|P0^]bja6789;:=6Zfmdp\4ZYffm:;<=<>1:Vji`tX8VUjbi>?0114?Qojm{U:==5[ilgq[4YXign;<=>>1:Vji`tX9VUjbi>?01325>RnelxT=RQnne2345403]cfiQ=119WmhcuW;UTmcj?01225>RnelxT>RQnne23457692^bah|P2^]bja67898<7Ygbes]055=SadoyS>QPaof3456692^bah|P3^]bja6789;:=6Zfmdp\7ZYffm:;<=<=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=3=67=SadoyS@okd^]b`a6789UFtb|PRdqvhq:56;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7?3?l;UknawYJimnTSljk0123[Zgil9:;<?01]\ekb789;:=<5[ilgq[Zgcl9:;<1?1109WmhcuWVkoh=>?0=0=54=SadoySRokd1234959l2^bah|Paof3456b3]cfiQnne2345713\D^_HJn;TQFVZGKAHYh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM:1]\Lm4VQC\[dhc89:;h6X_A^]bja6789;i7[^N_^mq4567k2\[MRQ`r12344e<^YKTSb|?0121g>PWIVUd~=>?0268RUGuk2\[MQaou2344b<^YKySca{012253=Pi{zXI5WOS68\JT5>2RXXAGM7:ZPPZAIL>1S_YQYCB78\ZIIM<1SSY\F6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo48\j:76890T~z;;[GQK==Xe|r;<=><;blw6>et;2lxn95foco`?lieeVddx=>?0e9jkgkXff~;<=>>4:klfv?<9:lB@jssDL;j7cOKotvOA47b3gKOcxzCE0]LFP@43gKX;6`NS^DPFf=iIZUM_OQFBTD4?kGTW@EIh6`NS^KLFZIE]OOj7cO\_HMAkpr13gKXcxzj;oCPkprXff~;<=?i;oCPkprXff~;<=?>f:lBWjssWge<=>>2g9mEVir|Vddx=>?12d8jDUh}}Uecy>?0064?kGh}}EN46`NotvLA4ehEM[X27cLJRS]EWGg1eNJQFOCa8jGAXAFHTEO[I7:lAVZOHJj1eN_QFOC]LFP@a3gHYSDAM_lw{4567991eN_QFOC]nq}6789;:<6`MR^KLFZkrp9:;?3028jGTXAFHTaxv?012755=iJ[UBCOQbuy23453682dI^RG@B^ov|5678?;;7cL]_HMA[hs89:;;95aC@Qg?kEF[VIggRGAV058jFGTWG:27cMNS^MAQCehDIZUecy>?0035?kBSIJAj7cJ[ABI\BVDa3gN_MNEPFR@\KGSAi2dOXLMD_HMAb>hC\HI@SDAM_H@VB`=iL]KHGRQ`r1234c=iL]KHGRQ`r12344`l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1235a=iDMYTmcj?0132g>hKLZUecy>?00f8jIBTWge<=>>1c9mHAUXg{:;<hKLZUd~=>?17a8jIBTWfx;<=?84:lOS@31:lLr`tkipUecy>?00325>hH~lxgmtQaou23444692dDzh|cax]mkq67889:=6`@vdpoe|Yig}:;<<:8;oPBIIQBk2dYM@BXE^MAQC1hUGD]N=o5aRNOTAZIE]O>0bXJ\8:lV@VYA[Kn0bXJ\_GQA[LDRN11eYI]PIN@f?kSC[VCDNRAMUGGa?kSC[VCDNb{{c:lV@VYffm:;<=j4nTFP[dhc89:;=n5aUEQ\jjr789;o7c[KS^llp56798>0bXYJ5:lVS@7d3g_\IRoad1234a=i]^OTmcj?0122`>hR_LUjbi>?010g?kSPMVkeh=>?02f8jPQBWhdo<=>?459mRHG?3g\FMRG@Bd9mRHGXAFHTCO[IEc9mRHGXAFHdyyj4nWOB[Zgil9:;hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;c2:i>6?<6:017f46628>j>?ua3b095>h4k:0=7)=me;1a1>{T0j08o84=288271d6880:8l<:;R3af?2?=391=>:m113951g512Y3o7:75;19562e99;1=9o=b:f0f1<7280:w^6k:2a6>74>289?n<>>:06b67=#?m0?4:5G929uPc2=83;1=7=:0zQ;`?5d=38957?<4c335?73i;80(>o6:0`g?S5d938py??=:09v645=82w/>:8549:8 c1=82.m97:7a:&e2?75k2h8n94?:5`9e0o1?v95a;`9y!7e139=46%;4883>>i?:3:17b:?1;29?l5c13:17b:8e;29?l22=3:17b::1;29?j>32900c9:9:188m6cd2900c9:=:188k6`f2900c>h?:188mde=831bjk4?::k746<722e8ho4?::m0a1<722c?=n4?::m701<722c?4=4?::m712<722e??54?::k;2?6=3`2;6=44o2ae>5<6=44i503>5<5<5<5<6=44i5:0>5<5<#:>21>:j4n354>5=5<#:>21>:j4n354>7=54o3:6>5<#:>21>:j4n354>1=5<#:>21>:j4n354>3=5<#:>21>:j4n354>==5<#:>21>:j4n354>d=o313:1(?97:5c8j7102810e9650;&13=<3i2d9;:4=;:k73?6=,;=369o4n354>6=h5?>0?76g;4;29 71?2=k0b?98:498m15=83.9;54;a:l132<132c?>7>5$35;>1go383:1(?97:5c8j7102010e>h50;&13=<3i2d9;:4n;:k0a?6=,;=369o4n354>g=h5?>0h76g>7>5$35;>1g=n=90;6)<88;6b?k40?3;:76g;f;29 71?2=k0b?98:008?l2b290/>:654`9m621=9:10e9j50;&13=<3i2d9;:4>4:9j0f<72-8<47:n;o043?7232c?:7>5$35;>1g;:;o043?6<3`9>?7>5$35;>6323g8<;7?4;h165?6=,;=36>;:;o043?4<3k9i57>51;294~"4?j0:<55G3c:8L6g03-9h<7=l6:&2fd<3001d==950;&0g5<5:>10qo=ma;295?6=8r.8;n4<@:k<7)=l0;017>"6jh0?445`23:94?"4k909>:54}c1`=?6=9h09<7=;{I1b3>"4?j03i6T8e;3x>i6>i5000;66a=f683>>i69;0;66g>c;29 71?28h0b?98:198m4g=83.9;54>b:l132<632c:57>5$35;>4do6=3:1(?97:0`8j7102=10e<:50;&13=<6j2d9;:4:;:k27?6=,;=363=h5?>0<76g>1;29 71?28h0b?98:998m46=83.9;54>b:l132<>32cm6=4+26:95g=i:>=1m65fe;29 71?28h0b?98:c98ma<72-8<47?m;o043?e<3`i1<7*=7982f>h5?>0o76g=4;29 71?28h0b?98:d98m75=83.9;54>b:l1325}#;>i1==64H2`;?M5f?2.8o=48:18'7f6=:;=07pl=1c83>6<52=q/?:m51138L6d?3A9j;6*>b`87<<=n?o0;66g62;29?j40i3:17o<8a;291?4=>r.8;n4>029'5gg=<130c?9n:188m71>2900e4<50;9j5;c337?6=>3:1=n000;6)=l0;::?>o4=90;6)=l0;164>=n:ol1<7*5}#;j:1>?=4o30;>5<#;j:1>?94;|q:6?6=:rT2>63>028252=z{>l1<7f34;;?7:o50;0xZ71f34;;?7<=7:p7d>=838p1<><:9:896de2;837psm1;295?6=8r.8m;4;;%14g?7702.8o=48:18'7f6=:;=07p}=7`83>7}Y:>k01?9n:35b?xu>:3:1>vP62:?13d<>:2wx;k4?:3y]3c=::>k1;k5rs383>6}::>k1>:74=35b>=g<580:<:5+3`491>{zj=9h6=4::385!50k3;;>6F>o0n3:17d7=:188k71f2900n?9n:185>5<7s-9h<7??4:k252<72-9h<7?>7:9j<=<72-9h<767;:k;=?6=,:i;6574;h164?6=,:i;6>;?;:k1bc<72-9h<750z&0g5<4k?1d>?950;&0g5<5:>10q~<8a;296~X5?h16>:o52358yv?52909wS7=;<04e?76?2wx4l4?:3y]k1>kh4}r:;>5<5sW2370<8a;:;?xu0n3:1>vP8f:?13d{zj8;86=46:183!50k39=7E=m8:J0e2=#;j:1?=5+1cc90=?5$2a3>47032c:10e<>j:18'7f6=98=07d??f;29 6e728;<76g>1183>!5d83;:;65f10394?"4k90:=:54o33;>5<#;j:1>?94;|`251<7200;6=u+36a973=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698m46d290/?n>51058?l77l3:1(>m?:034?>o68l0;6)=l0;323>=n99l1<7*5$2a3>47032e9=54?:%1`4?45?21vn5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb33g>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;6i0(m?:034?>i5910;6)=l0;013>N4jo10qo<>f;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd5:90;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n021<7>t$25`>64<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?l77k3:1(>m?:034?>i5910;6)=l0;013>=zj8<86=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c350?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th::84?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a530=83>1<7>t$25`>64<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?l77k3:1(>m?:034?>i5910;6)=l0;013>=zj=9n6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c60b?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th?8=4?:283>5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb562>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;6i0(m?:034?>i5910;6)=l0;013>N4jo10qo2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd5nh0;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj;lh6=4<:183!50k38996F10c??7:18'7f6=:;=07pl=fe83>6<729q/?:m5309K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`1b`<72=0;6=u+36a977=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698m46d290/?n>51058?j4603:1(>m?:304?>{e9=l1<7=50;2x 61d2;8>7E=m8:J0e2=#;j:1>?:4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo?:0;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd6=80;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg72;3:187>50z&03f<5:?1C?o64H2c4?!5d838986*>b`87<<=n99k1<7*5$2a3>47032e9=54?:%1`4?45?21vn4?:3y]g>;69:0:7}Yl27:=>4>0e9~w`<72;qUi63>12824`=z{o0;6?uQf:?256<68o1v<>50;0xZ46<58;86128254=z{881<73;296~X6;27:=94>0c9~w42=838pR<:4=037>46d3ty:97>52z\21>;69=0:5<2sW;270?>4;33b>;59o0:<4522gc955?<58?96<>n;|q2e?6=>rT:m63>158255=::8n1==l4=040>46>348mo7??a:?215<6801v;<014?77127::84>089>6cd=99301<:i:02b?xu5;3:1>vP=3:?256<68h1v?:50;0xZ72<58;86<>m;|q47?6=;rT5<4sW2270<>b;5e?824k3=m7p}>1383>7}Y98801<58;>6<>n;|q251<72;q6=<:520:8947228:i7p}>4d83>7}Y9=o01<;<:33;?xu6<58?86<>m;|q215<72;q6=8>520:8943528:i7p}>5083>7}:9<;1><64=070>46d3ty:9?4?:3y>504=:8201<;<:02b?xu6>;0;6?uQ170894012;;37p}>6283>7}:9?91><64=045>46e3ty::94?:3y>532=:8201<89:02b?xu6><0;6?u2177964><58<=6<>l;|q15g<72;q6>7}::8h15?52230964>52z?15a<59116>?<511`8yv46m3:1>v3=1d815==::;;1==o4}r02b?6=:r79=k4=199>677=99h0q~<=0;296~;5:909=552230955e52z?164<59116>?<511c8yv4?13:18vP<659]6=?<5:h26<>8;<60g?>f3ty9j:4?:3y]6c1<5;ln6??7;|q1b=<72;q6>k6520:897`d28:i7p}=f883>7}::o31><64=3df>46f3ty9jl4?:3y>6cg=:8201?hk:02b?xu5nk0;6?u22g`964><5;lo6<>m;|q1bf<72;q6>km520:897`b28:i7p}=fe83>7}::on1><64=3df>46d3ty??n4?:2y>06e=:>k01?h7:02:?87293;;56s|42f94?4|5=9h6564=562>77?3ty??h4?:3y>06c=:82019:?:02a?xu3;o0;6?u242d964><5=>:6<>m;|q705<72;q689>520:8912628:j7p};4883>6}Y<=3019=l:808915b28:27p};8383>7}Y<1801<8;:02:?x{e<1<1<7=<:8a9f<}O;h=0(>9l:3:;?_1b2;q<644r$0`:>60?3`226=44o57f>5<5<=6=44o2db>5<91<75f35c94?=h:h?1<75`42:94?=n<8l1<75f32f94?=n<181<75f44694?=n:131<75`45g94?=n:o=1<75`42394?=h:>o1<7*=79813a=i:>=1<65`26a94?"5?109;i5a26595>=h:1=1<7*=79813a=i:>=1>65`29494?"5?109;i5a26597>=h:1?1<7*=79813a=i:>=1865`29694?"5?109;i5a26591>=h:191<7*=79813a=i:>=1:65`29094?"5?109;i5a26593>=h:1;1<7*=79813a=i:>=1465`29294?"5?109;i5a2659=>=h:>l1<7*=79813a=i:>=1m65`26`94?"5?109;i5a2659f>=n900;6)<88;3;?k40?3:07d?8:18'62>=911e>:951:9j50<72-8<47?7;o043?4<3`;?6=4+26:95==i:>=1?65f1283>!4003;37c<87;68?l75290/>:65199m621==21b=<4?:%045<#:>21=55a26593>=nn3:1(?97:0:8j7102110eh4?:%04od290/>:65199m621=j21b>94?:%045<#:>21=55a2659`>=n:;0;6)<88;3;?k40?3o07d<>:18'62>=911e>:95f:9j65<72-8<47?7;o043?7732c:j7>5$35;>4>5<#:>21=55a265957=h5?>0:?65f1783>!4003;37c<87;37?>d4j00;6<4?:1y'72e=9920D>l7;I1b3>"4k908o;5+1cc90=?o4?:481>3}#;>i1==<4H2`;?M5f?2.:nl4;889j<=<722c3m7>5;h5e>5<k1<7850;2x 6e728:?7d?>7;29 6e728;<76g78;29 6e721207d66:18'7f6=0010e>;?:18'7f6=;<:07d!5d8389;65rb2`g>5<6290;w)=l0;1`2>i5:>0;6)=l0;013>=z{;=j6=4={_04e>;5?h09>:5rs8094?4|V0801?9n:034?xu?i3:1>vP7a:?13d<5no1v5650;0xZ=><5;=j6564}r5e>5<5sW=m70<8a;::?xu6810;6?u226c9706<5:ho6?<8;|a71?=8391>7:t$25`>4663A9i46F5;h;1>5<>d68:0;6;4?:1y'7f6=99>0e50;&0g5<4=910e?hi:18'7f6=:ol07b<=7;29 6e72;8<76sm3c`94?7=83:p(>m?:300?j4503:1(>m?:304?>{t1;0;6?uQ939>555=98=0q~9i:181[1a34;;?766;|q;e?6=:rT3m63>0281bc=z{;=26=4={_04=>;68:089=5rs35b>5<5sW8028162=z{:k36=4={<337?>?349in7<=8:~f4<7280;6=u+3`490>"4?j0:<55+3b297f0<,8hj6966;n333?6=,:i;6?<8;:p62g=838pR?9n;<04e?40i2wx5?4?:3y]=7=::>k15?5rs6d94?4|V>l01?9n:6d8yv4=839p1?9n:35:?840i32j70?51158 6g12<1vqo=009K7g><@:k<7)?ma;6;=>o0n3:17d7=:188k71f2900n?9n:186>7<1s-9:o50;9j62?=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k909>>5`23:94?"4k909>:54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86?hi;|q13<<72;qU>:74=020>6373ty9;l4?:3y]62g<58:86?<8;|q0e=<72;q6===5899>7gd=:;20qpl>:182>5<7s-9j:7:4$25`>46?3-9h<7=l6:&2fd<3001d==950;&0g5<5:>10q~<8a;296~X5?h16>:o526c8yv?52909wS7=;<04e??53ty52z\4b>;5?h0>d5?h0;684=:7y'72e=9990(4?:783>5}#;j:1==:4i034>5<#;j:1=<94;h:;>5<#;j:14554i9;94?"4k903565f34294?"4k9089=54i3de>5<#;j:1>kh4;n013?6=,:i;6?<8;:a7gd=83;1<7>t$2a3>7443f8947>5$2a3>74032wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<5no1v?96:181[40127:<>4<519~w71f2909wS<8a:?246<5:>1v>o7:181877;32370=mb;01<>{zj80;6<4?:1y'7d0=<2.8;n4>099'7f6=;j<0(m?:304?>{t:>k1<77}Y1;16>:o5939~w2`=838pR:h4=35b>2`71>348o8;%3ae?2?12c5;h;1>5<5<22;0=w)=8c;337>"6jh0?445`26c94?=n:>31<75f9383>>o?i3:17d9i:188f464290=6=4?{%1`4?77<2c:=:4?:%1`4?76?21b454?:%1`4?>?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=mb;295?6=8r.8o=4=229l67>=83.8o=4=2698yv?52909wS7=;<337?76?2wx;k4?:3y]3c=:9991445rs9c94?4|V1k01<><:3de?xu5?00;6?uQ26;894642:?;7p}=7`83>7}Y:>k01<><:304?xu4i10;6?u21119<==:;kh1>?64}|`2>5<6290;w)=n6;68 61d28:37)=l0;1`2>"6jh0?445`11594?"4k909>:54}r04e?6=:rT9;l5226c962g62g=0h16=7??7:&0e3<23twi;;4?:281>1}#;>i1==?4H2`;?M5f?2.:nl4;889j3c<722c2>7>5;n04e?6=3k855;092~"4?j0:<>5+1cc90=?5<>o0n3:17o??3;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jk0;6<4?:1y'7f6=:;90c?<7:18'7f6=:;=07p}62;296~X>:27:<>4>169~w2`=838pR:h4=020>=?{t:>k1<774?3twi=7>51;294~"4i?0?7)=8c;33<>"4k908o;5+1cc90=?63=7`8:6>{t?o0;6?uQ7g9>62g=?o1v?4?:2y>62g=:>301?9n:9c894<68>1/?l855:~f7>f29086?4;{%14g?7792B8n55G3`58 47>2?1/=oo549;8m2`=831b5?4?::m13d<722h9;l4?:481>3}#;>i1===4$0`b>1>>3f85;h04=?6=3`396=44i9c94?=n?o0;66l>0283>3<729q/?n>51168m470290/?n>51058?l>?290/?n>58998m=?=83.8o=479:9j706=83.8o=4<5198m7`a290/?n>52gd8?j45?3:1(>m?:304?>{e;kh1<7?50;2x 6e72;887b<=8;29 6e72;8<76s|9383>7}Y1;16===51058yv1a2909wS9i;<337?>>3ty3m7>52z\;e>;68:09jk5rs35:>5<5sW8<563>028015=z{;=j6=4={_04e>;68:09>:5rs2c;>5<5s4;;?767;<1af?4502wvn<4?:083>5}#;h<186*<7b824==#;j:1?n84$0`b>1>>3f;;;7>5$2a3>74032wx>:o50;0xZ71f348l1<7l0q~<50;1x971f2;=270<8a;:b?87=99=0(>o9:49~yg4f>3:1?7<54z&03f<6881C?o64H2c4?!7613<0(:o50;796?0|,:=h6<><;%3ae?2?12e9;l4?::k13<<722c2>7>5;h:b>5<l1<75m11194?0=83:p(>m?:027?l76?3:1(>m?:034?>o?03:1(>m?:9:8?l>>290/?n>58898m637290/?n>53428?l4an3:1(>m?:3de?>i5:>0;6)=l0;013>=zj:hi6=4>:183!5d8389?6a=2983>!5d8389;65rs8094?4|V0801<><:034?xu0n3:1>vP8f:?246kh4}r04=?6=:rT9;452111970652z\13d=:9991>?94}r1b478:?0fg<5:11vqo?50;394?6|,:k=695+36a955><,:i;6>m9;%3ae?2?12e:<:4?:%1`4?45?21v?9n:181[40i279;l4=7`9~w<4=838pR4<4=35b><4;628:<7)=n6;78yxd4;k0;6>4=:5y'72e=99;0D>l7;I1b3>"6900=7)?ma;6;=>o0n3:17d7=:188k71f2900n?9n:186>7<1s-9:o50;9j62?=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k909>>5`23:94?"4k909>:54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86?hi;|q13<<72;qU>:74=020>6373ty9;l4?:3y]62g<58:86?<8;|q0e=<72;q6===5899>7gd=:;20qpl>:182>5<7s-9j:7:4$25`>46?3-9h<7=l6:&2fd<3001d==950;&0g5<5:>10q~<8a;296~X5?h16>:o526c8yv?52909wS7=;<04e??53ty52z\4b>;5?h0>d5?h0;684=:7y'72e=9990(4?:783>5}#;j:1==:4i034>5<#;j:1=<94;h:;>5<#;j:14554i9;94?"4k903565f34294?"4k9089=54i3de>5<#;j:1>kh4;n013?6=,:i;6?<8;:a7gd=83;1<7>t$2a3>7443f8947>5$2a3>74032wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<5no1v?96:181[40127:<>4<519~w71f2909wS<8a:?246<5:>1v>o7:181877;32370=mb;01<>{zj80;6<4?:1y'7d0=<2.8;n4>099'7f6=;j<0(m?:304?>{t:>k1<77}Y1;16>:o5939~w2`=838pR:h4=35b>2`71>348o8;%32=?0<,8hj6966;h5e>5<k1<7;52;4x 61d28:87)?ma;6;=>i5?h0;66g=7883>>o>:3:17d6n:188m2`=831i===50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6de290:6=4?{%1`4?45;2e9>54?:%1`4?45?21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=:ol0q~<89;296~X5?016===53428yv40i3:1>vP=7`9>555=:;=0q~=n8;296~;68:03463i68>0;6)=l0;013>=z{;=j6=4={_04e>;5?h09;l5rs8094?4|V0801?9n:808yv1a2909wS9i;<04e?1a3ty96=4<{<04e?401279;l47a:?2>4603-9j:7;4}|`04d<72:0969u+36a9557<@:h37E=n7:&25<<13-;im7:79:k4b?6=3`396=44o35b>5<b`87<<=h:>k1<75f26;94?=n1;0;66g7a;29?l1a2900n<><:185>5<7s-9h<7??4:k252<72-9h<7?>7:9j<=<72-9h<767;:k;=?6=,:i;6574;h164?6=,:i;6>;?;:k1bc<72-9h<750z&0g5<5::1d>?650;&0g5<5:>10q~7=:181[?534;;?7?>7:p3c<72;qU;k521119<<=z{1k1<77}Y:>301<><:273?xu5?h0;6?uQ26c894642;8<7p}7}:999145523c`967>:183!5f>3>0(>9l:02;?!5d839h:6*>b`87<<=h99=1<7*52z\13d=::>k1>:o4}r;1>5<5sW3970<8a;;1?xu0n3:1>vP8f:?13d<0n2wx>7>53z?13d<5?016>:o58`9>5?77?2.8m;4:;|a74g=8391>7:t$25`>4663A9i46F2.:nl4;889j3c<722c2>7>5;n04e?6=3k855;092~"4?j0:<>5+1cc90=?5<>o0n3:17o??3;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jk0;6<4?:1y'7f6=:;90c?<7:18'7f6=:;=07p}62;296~X>:27:<>4>169~w2`=838pR:h4=020>=?{t:>k1<774?3twi=7>51;294~"4i?0?7)=8c;33<>"4k908o;5+1cc90=?63=7`8:6>{t?o0;6?uQ7g9>62g=?o1v?4?:2y>62g=:>301?9n:9c894<68>1/?l855:~f13a29096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qo:<2;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd3l7;I1b3>"4k90b`87<<=n9931<7*9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj:>:6=4<:183!50k39:7E=m8:J0e2=#;j:1?=5+1cc90=?5$2a3>47032e9=54?:%1`4?45?21vn>:l:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6F50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`067<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi??=50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm3g`94?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj=?96=4<:183!50k38996F10c??7:18'7f6=:;=07pl;3883>7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e<:k1<7=50;2x 61d2;8>7E=m8:J0e2=#;j:1>?:4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo:91;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd3>;0;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj=5$2a3>47032c:10c??7:18'7f6=:;=07pl;3583>7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e<:?1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb515>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;68;7>55;294~"4?j08?6F>4$0`b>1>>3`;;m7>5$2a3>47032c:10e<>k:18'7f6=98=07b<>8;29 6e72;8<76sm2ca94?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn?lj:180>5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb3`e>5<4290;w)=8c;011>N4j11C?l94$2a3>7433-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd5k=0;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a6f0=8391<7>t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e:j=1<7=50;2x 61d2;8>7E=m8:J0e2=#;j:1>?:4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qom?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}c0`f?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th9on4?:283>5}#;>i1?<5G3c:8L6g03-9h<7=?;%3ae?2?12c:10c??7:18'7f6=:;=07pl=ce83>6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;651058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj;n:6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c0g6?6=;3:1N4j11C?l94$2a3>66<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg4c;3:1?7>50z&03f<5:<1C?o64H2c4?!5d838986*>b`87<<=n99k1<7*5$2a3>74032wi>i850;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm2e594?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj;n36=4<:183!50k39:7E=m8:J0e2=#;j:1?=5+1cc90=?5$2a3>47032e9=54?:%1`4?45?21vn?j6:180>5<7s-9m?:307?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`1`f<72:0;6=u+36a974=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd5lm0;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>7423A9i46F0(m?:034?>o68k0;6)=l0;323>=h:821<7*7>53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd5m=0;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb3g;>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;629096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qom?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}c0ff?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e:ll1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi>k>50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f7`629086=4?{%14g?563A9i46F51058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj;l96=4<:183!50k38996F10c??7:18'7f6=:;=07pl<1b83>6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;6i0(m?:034?>i5910;6)=l0;013>N4jo10qo=>e;291?6=8r.8;n4<3:J0f==O;h=0(>m?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65f11a94?"4k90:=:54i02g>5<#;j:1=<94;n02t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e;;:1<7=50;2x 61d2;8>7E=m8:J0e2=#;j:1>?:4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo=?c;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd49;0;6>4?:1y'72e=:;?0D>l7;I1b3>"4k909>95+1cc90=?5$2a3>47032e9=54?:%1`4?45?21vn>?<:180>5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb237>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;652;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`053<72:0;6=u+36a974=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd49>0;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e;9n1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi?=k50;194?6|,:=h6?<:;I1a<>N4i>1/?n>52368 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}c13b?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e;8:1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi?N4i>1/?n>52368 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65f11a94?"4k90:=:54o33;>5<#;j:1>?94;|`047<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi?==50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f66329086=4?{%14g?563A9i46F51058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj::>6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c132?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e;9=1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi?=650;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm31;94?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg23?3:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e;;o1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb20e>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;6o68h0;6)=l0;323>=n99h1<7*5}#;>i1?<5G3c:8L6g03-9h<7=?;%3ae?2?12c:10c??7:18'7f6=:;=07pl<3283>7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e;:>1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb216>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;652;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`072<72:0;6=u+36a974=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd4;10;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb21b>5<3290;w)=8c;11?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;67:9l64>=83.8o=4=2698yg4f03:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*7>52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`1f6<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi>o:50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f7d229086=4?{%14g?563A9i46F51058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj;h=6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c0a3?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th9n54?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a6g?=8391<7>t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e:h31<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi>lo50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm2``94?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg4fk3:1?7>50z&03f<5:<1C?o64H2c4?!5d838986*>b`87<<=n99k1<7*5$2a3>74032wi>lj50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm2`g94?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>7423A9i46F0(m?:034?>o68k0;6)=l0;323>=h:821<7*53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo<@:k<7)=l0;010>"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn?78:180>5<7s-9m?:307?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`1=`<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi>4h50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f7g729096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qom?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}c0b6?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th9m>4?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a6d2=8381<7>t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn?6k:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6F7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e:0:1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi>4?50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm28094?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg4>;3:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*55;294~"4?j08?6F>4$0`b>1>>3`;;m7>5$2a3>47032c:10e<>k:18'7f6=98=07b<>8;29 6e72;8<76sm28794?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg4>>3:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo<69;297?6=8r.8;n4=249K7g><@:k<7)=l0;010>"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>7423A9i46F0(m?:034?>o68k0;6)=l0;323>=h:821<7*53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo<6c;297?6=8r.8;n4<1:J0f==O;h=0(>m?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}c0:`?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{tk3:1>vPl;<0aa?77i2wxh7>52z\g?84d>3;;m6s|e;296~Xb348ho7??a:pb?6=:rTm70{t990;6?uQ119>6a>=99k0q~?>:181[76348oi7??a:p57<72;qU=?522d6955g7}Y9?16>om511`8yv702909wS?8;<0fb?77j2wx=i4?:3y]5a=::j>1==l4}r3f>5<5sW;n70{t9o0;6?uQ1g9>6a6=99h0q~<522ea955d7}Y::16>h6511`8yv142902wS9<;<55><4<5:;n6<>n;<127?77j278=:4>089>764=99h01?l=:02:?84e=3;;n63=8g824<=z{><1<7:t=64962g<5:;?6<>6;<107?771279n;4>089~w=?=83opR574=20a>2`<5:>26:h4=21`>2`<5:>n6:h4=21f>2`<5><1;k5229c93c=::h<1;k5232`93c=:;9:1;k5231c93c=:;8k1;k523g`955?52z?0f<<68>16??l58`9~w71e2909wS<8b:?1fc<5911v?9l:181[7>3W8:h50;0xZ71a348h;7<>8:p6=6=838pR?6?;<0``?4602wx>5?50;0xZ7>6348o?7<>8:p6=4=838pR?6=;<0g=?4602wx>5=50;0xZ7>4348oj7<>8:p6=2=838pR?6;;<0f1?4602wx>5;50;0xZ7>2348nn7<>8:p6=0=838pR?69;_37?xu50>0;6?uQ295897`52;;37p}=8`83>1}::1k1>:o4=547>46f34>8;7??a:?1=g<68h1v?6m:18184?i33970<6d;02<>{t:1i1<777?3482<7??b:p6=b=838p1?6k:33;?84><3;;o6s|29g94?4|5;2n6??7;<0:0?77l2wx>5h50;0x97>a2;;370<6c;33f>{t:0:1<777?348297??a:p6<7=838p1?7>:33;?84>=3;;n6s|28094?4|5;396??7;<0:2?77i2wx>4=50;0x97?42;;370<68;33f>{t:0>1<777?3482m7??b:p6<3=838p1?7::33;?84>13;;m6s|28494?4|5;3=6??7;<0:=?77j2wx>4950;0x97?02;;370<61;33e>{t:021<777?3482m7??a:p6j3;;n6s|28c94?4|5;3j6??7;<0:g?77i2wx>4l50;0x97?e2;;370<6d;33e>{t:0i1<777?3482h7??b:p693;;n6s|28d94?4|5;3m6??7;<0:6?77j2wx>l>50;0x97g72;;370<66;33f>{t:h;1<777?348247??a:p6d4=838p1?o=:33;?84>;3;;n6s|2`194?4|5;k86??7;<0:0?77i2wx>l:50;0x97g32;;370<64;33f>{t:h<1<7?;{<0b2?40i278jk4>089>6ge=99k01?lk:02:?84d<3;;m63=c4824<=::jk1==o4=3aa>46>348o<7??a:?1`4<68016>i8511c897b028:270;5lm0:<4522d0955g<5;o86<>6;<0f089>6``=99k01?h?:02:?xu5i>0;6?u22`49=7=::k;1><64}r0b6g?=99k0q~52z?1ed<59116>lm511`8yv4fj3:1>v3=ac815==::hn1==l4}r0bg?6=:r79mn4=199>6dc=99k0q~52z?1e`<59116>lh511`8yv4fn3:1>v3=ag815==::k:1==o4}r0a4?6=:r79n=4=199>6g7=99h0q~52z?1f6<59116>ll511`8yv4e<3:1>v3=b5815==::h31==l4}r0a1?6=:r79n84=199>6dg=99k0q~52z?1f2<59116>lk511`8yv4e03:1>v3=b9815==::k:1==l4}r0a=?6=:r79n44=199>6dd=99k0q~52z?1fa<59116>ok511`8yv4el3:1>v3=bd815==::kl1==l4}r0`7?6=:r79o94=199>6f1=99k0q~52z?1g3<59116>n9511`8yv4d13:1>v3=c`815==::jn1==o4}r0`e?6=:r79oo4=199>6fe=99h0q~52z?1`5<59116>i=511c8yv4c83:1>v3=d0815==::m81==l4}r0g5?6=:r79h?4=199>6a5=99h0q~52z?1`2<59116>i6511`8yv4c?3:1>v3=d9815==::m31==l4}r0gf?6=:r79hn4=199>6a`=99k0q~52z?1``<59116>ih511`8yv4b93:1>v3=e3815==::l?1==o4}r0f6?6=:r79i>4=199>6`2=99h0q~52z?1a=<59116>hl511c8yv4b03:1>v3=e8815==::lk1==l4}r0f=?6=:r79il4=199>6`d=99h0q~52z?1b5<59116>k?511`8yv4a83:1>v3=f0815==::o81==l4}r0e3?6=:rT9j:5245d955?511y>756=:>k01><=:02:?856k3;;m63<1d824f=:;881==o4=235>46e349;97??9:?04=<68h16??j511;8965328:270;5j10:<452285955g<5;k;6<>6;<0b5?77i279m94>089~w6662909w0=?0;;1?857138:46s|31094?4|5::96??7;<132?77i2wx?==50;0x96642;;370=?6;33f>{t;9>1<777?349;;7??a:p753=838p1>>::33;?857?3;;n6s|31494?4|5::=6??7;<13{t;921<777?349;57??a:p75g=83;:w0=?a;04e>;4::0:k;<121?771278=54>0`9>754=99301>>;:02b?855n3;;m63<34824<=::k91==74=3`4>46>348j57??a:?149511`897g628:i70<7d;33=>{t;9h1<7<4<5:;:6??7;|q04f<72;q6?=m520:8967?28:i7p}<0e83>7}:;9n1><64=232>46d3ty875c=:8201>>i:02a?xu48o0;6?u231d964><5:;;6<>n;|q055<72;q6?<>520:8967628:j7p}<1383>7}:;881><64=22e>46f3ty8=>4?:3y>745=:8201>?6:02b?xu49=0;6?u2306964><5:;26<>m;|q050<72;q6?<;520:8967728:i7p}<1783>7}:;8<1><64=22g>46f3ty8=:4?:3y>741=:8201>>k:02a?xu4910;6?u230:964><5:;:6<>m;|q05<<72;q6?<7520:8966b28:i7p}<1`83>`}:;8k1>:o4=23g>46>349;o7??9:?057<68k16?==511;8966328:i70=<6;33=>;5j=0:<4522`f955g<5;3n6<>6;<0;a?7712795=4>0`9>6<4=99k01?7<:02b?xu49k0;6?u230c9=7=:;;:1><64}r12g?6=:r78=n4=199>74`=99k0q~=>d;296~;49m09=55230d955d52z?05`<59116??>511`8yv56n3:1>v3<1g815==:;;:1==o4}r115?6=:r78>?4=199>775=99h0q~==b;297~;4:k09;l52352955?<5:>h6<>6;|q06f<72;q6??l5899>766=:820q~==d;296~;4:m09=55233d955d52z?06`<59116?>>511`8yv55n3:1>v3<2g815==:;::1==o4}r105?6=;r78?l4=199>6<`=99301?o<:02:?xu4;;0;6?u2320964><5:9<6<>n;|q076<72;q6?>=520:8965028:i7p}<3583>7}:;:>1><64=21b>46f3ty8?84?:3y>763=:8201>=7:02b?xu4;?0;6?u2324964><5:936<>m;|q072<72;q6?>9520:8965>28:i7p}<3983>7}:;:21><64=21b>46d3ty8?44?:3y>76?=:8201>=n:02a?xu4;k0;6?u232`962g<5=><6<>6;|q07f<72=q6?>m526c8967428:j70=<2;33e>;5j<0:5<5sW98h63<3d8:6>{t;:o1<7:t=20a><4<5:9n6?9n;<175?77i278>h4>089~w65a2909w0=;6??7;<175?77j2wx?9750;:x962>2;=j70=>e;33f>;49?0:n;<0b0`9>6d4=9930q~=;a;296~X4h6??7;<17`?77j2wx?9k50;0x962b2;=j70=;d;33e>{t;j=1<7<4<5:886??7;|q0`=<7246e34>8m7??a:?724<680168>:511;8yv5ai3:1>vP7cg=:>k0q~=ic;296~;4nh08:p04`=838pR9?i;<602?7712wx8>?50;0xZ15634>8>7<>8:p065=838p19==:02:?824?38:46s|42694?4|5=9?6??7;<603?77j2wx8>;50;0x91522;;370:<7;33g>{t<:<1<777?34>8;7??d:p06>=838pR9=7;<60e?4602wx8>750;0x915>2;;370:{t<=<1<7n70:;f;02<>{t<<;1<7{t<6;<650?4602wx8;?50;0x91062;;370:94;33f>{t77?34>=87??c:p035=838p198<:33;?821<3;;h6s|49094?3|V=2970::2;33e>;3;00:<452470955?<5=9>6<>6;|a7fc=83;>6;o577yK7d1<,:=h6<:<;%3a=?5102c357>5;h356?6=3`>:n7>5;h31a?6=3`9o47>5;h50>5<5<n6=44o055>5<5<5<5<5<>i4m10;66l4<729q/?:m511:8L6d?3A9j;6*5<#;j:1>?94;|`:0?6==381:v*<7b8247=O;k20D>o8;%32=?0<,8hj6966;h:;>5<>o>:3:17b<8a;29?g40i3:1:7>50z&0g5<68=1b=<950;&0g5<69>10e5650;&0g5>32c89=4?:%1`4?52821b>kh50;&0g5<5no10c?<8:18'7f6=:;=07pl4<729q/?n>53b48k740290/?n>52358?xu5?h0;6?uQ26c8971f2;8<7p}62;296~X>:279;l4>169~w=g=838pR5o4=35b>7`a3ty347>52z\;<>;5?h0346s|7g83>7}Y?o16>:o5889~w46?2909w0<8a;164>;4jm09>:5r}c16o8;%32=?0<,8hj6966;h:;>5<>o>:3:17b<8a;29?g40i3:1:7>50z&0g5<68=1b=<950;&0g5<69>10e5650;&0g5>32c89=4?:%1`4?52821b>kh50;&0g5<5no10c?<8:18'7f6=:;=07pl4<729q/?n>53b48k740290/?n>52358?xu5?h0;6?uQ26c8971f2;8<7p}62;296~X>:279;l4>169~w=g=838pR5o4=35b>7`a3ty347>52z\;<>;5?h0346s|7g83>7}Y?o16>:o5889~w46?2909w0<8a;164>;4jm09>:5r}c0g>5<22;0=w)=8c;336>N4j11C?l94$0`b>1>>3`236=44i9c94?=n?o0;66g62;29?j40i3:17o<8a;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jm0;6<4?:1y'7f6=;j<0c?<8:18'7f6=:;=07p}=7`83>7}Y:>k01?9n:304?xu>:3:1>vP62:?13d<69>1v5o50;0xZ=g<5;=j6?hi;|q;{t?o0;6?uQ7g9>62g=001v<>7:181840i39><635<k1<7;52;4x 61d28:87)?ma;6;=>i5?h0;66g=7883>>o>:3:17d6n:188m2`=831i===50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6de290:6=4?{%1`4?45;2e9>54?:%1`4?45?21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=:ol0q~<89;296~X5?016===53428yv40i3:1>vP=7`9>555=:;=0q~=n8;296~;68:03463i68>0;6)=l0;013>=z{;=j6=4={_04e>;5?h09;l5rs8094?4|V0801?9n:808yv1a2909wS9i;<04e?1a3ty96=4<{<04e?401279;l47a:?2>4603-9j:7;4}|`155<72:0969u+36a9557<@:h37E=n7:&2fd<3001b;k4?::k:6?6=3f85;c04e?6==381:v*<7b8246=#9kk18574o35b>5<50z&0g5<68=1b=<950;&0g5<69>10e5650;&0g5>32c89=4?:%1`4?52821b>kh50;&0g5<5no10c?<8:18'7f6=:;=07pl4<729q/?n>52318k74?290/?n>52358?xu>:3:1>vP62:?246<69>1v:h50;0xZ2`<58:86574}r:b>5<5sW2j70??3;0eb>{t:>31<7<6s|26c94?4|V;=j70??3;013>{t;h21<7=><5:hi6?<7;|a5?6=93:15<#;j:1>?94;|q13d<72;qU>:o4=35b>71f3ty2>7>52z\:6>;5?h02>6s|7g83>7}Y?o16>:o57g9~w7<72:q6>:o526;8971f21k01<4>069'7d0==2wvn7<1s-9?2900e5o50;9j3c<722c2>7>5;n04e?6=3k856;294~"4k90:<95f10594?"4k90:=:54i9:94?"4k903465f8883>!5d832276g<5183>!5d839><65f2gd94?"4k909jk54o304>5<#;j:1>?94;|`0fa<7280;6=u+3b297f063=7`8252=z{1k1<7356g78;29?l>f2900e:h50;9j=7<722e9;l4?::`13d<72?0;6=u+3b29552=n;<:1<7*5$2a3>74032wi?oj50;394?6|,:i;6>m9;n013?6=,:i;6?<8;:p62g=838pR?9n;<04e?45?2wx5?4?:3y]=7=::>k1=<94}r:b>5<5sW2j70<8a;0eb>{t010;6?uQ899>62g=011v:h50;0xZ2`<5;=j6574}r337gb=:;=0qpl>7783>0<52?q/?:m51108L6d?3A9j;6*>b`87<<=n010;66g7a;29?l1a2900e4<50;9l62g=831i>:o50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6dc290:6=4?{%1`4?5d>2e9>:4?:%1`4?45?21v?9n:181[40i279;l4=269~w<4=838pR4<4=35b>4703ty3m7>52z\;e>;5?h09jk5rs9:94?4|V1201?9n:9:8yv1a2909wS9i;<04e?>>3ty:<54?:3y>62g=;<:01>lk:304?x{e9k>1<7;52;4x 61d28:97E=m8:J0e2=#9kk18574i9:94?=n0h0;66g8f;29?l?52900c?9n:188f71f290=6=4?{%1`4?77<2c:=:4?:%1`4?76?21b454?:%1`4?>?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=md;295?6=8r.8o=4vP=7`9>62g=:;=0q~7=:181[?53487:p=838p1?9n:273?85el389;6srb07f>5<22;0=w)=8c;336>N4j11C?l94$0`b>1>>3`236=44i9c94?=n?o0;66g62;29?j40i3:17o<8a;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jm0;6<4?:1y'7f6=;j<0c?<8:18'7f6=:;=07p}=7`83>7}Y:>k01?9n:304?xu>:3:1>vP62:?13d<69>1v5o50;0xZ=g<5;=j6?hi;|q;{t?o0;6?uQ7g9>62g=001v<>7:181840i39><6355;092~"4?j0:>d5?h0;6;4?:1y'7f6=99>0e50;&0g5<4=910e?hi:18'7f6=:ol07b<=7;29 6e72;8<76sm3cf94?7=83:p(>m?:2a5?j45?3:1(>m?:304?>{t:>k1<77}Y1;16>:o51058yv>f2909wS6n;<04e?4an2wx454?:3y]<==::>k1455rs6d94?4|V>l01?9n:9;8yv7703:1>v3=7`8015=:;kn1>?94}|`11?6=;3818v*<7b8244=O;k20D>o8;%3ae?2?12c5;h;1>5<5<22;0=w)=8c;337>"6jh0?445`26c94?=n:>31<75f9383>>o?i3:17d9i:188f464290=6=4?{%1`4?77<2c:=:4?:%1`4?76?21b454?:%1`4?>?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=mb;295?6=8r.8o=4=229l67>=83.8o=4=2698yv?52909wS7=;<337?76?2wx;k4?:3y]3c=:9991445rs9c94?4|V1k01<><:3de?xu5?00;6?uQ26;894642:?;7p}=7`83>7}Y:>k01<><:304?xu4i10;6?u21119<==:;kh1>?64}|`2>5<6290;w)=n6;68 61d28:37)=l0;1`2>"6jh0?445`11594?"4k909>:54}r04e?6=:rT9;l5226c962g62g=0h16=7??7:&0e3<23twi=;950;196?2|,:=h6<>>;I1a<>N4i>1/=oo549;8m2`=831b5?4?::m13d<722h9;l4?:481>3}#;>i1===4$0`b>1>>3f85;h04=?6=3`396=44i9c94?=n?o0;66l>0283>3<729q/?n>51168m470290/?n>51058?l>?290/?n>58998m=?=83.8o=479:9j706=83.8o=4<5198m7`a290/?n>52gd8?j45?3:1(>m?:304?>{e;kh1<7?50;2x 6e72;887b<=8;29 6e72;8<76s|9383>7}Y1;16===51058yv1a2909wS9i;<337?>>3ty3m7>52z\;e>;68:09jk5rs35:>5<5sW8<563>028015=z{;=j6=4={_04e>;68:09>:5rs2c;>5<5s4;;?767;<1af?4502wvn<4?:083>5}#;h<186*<7b824==#;j:1?n84$0`b>1>>3f;;;7>5$2a3>74032wx>:o50;0xZ71f348l1<7l0q~<50;1x971f2;=270<8a;:b?87=99=0(>o9:49~yg7393:1?7<54z&03f<6881C?o64H2c4?!7613<0(:o50;796?0|,:=h6<><;%3ae?2?12e9;l4?::k13<<722c2>7>5;h:b>5<l1<75m11194?0=83:p(>m?:027?l76?3:1(>m?:034?>o?03:1(>m?:9:8?l>>290/?n>58898m637290/?n>53428?l4an3:1(>m?:3de?>i5:>0;6)=l0;013>=zj:hi6=4>:183!5d8389?6a=2983>!5d8389;65rs8094?4|V0801<><:034?xu0n3:1>vP8f:?246kh4}r04=?6=:rT9;452111970652z\13d=:9991>?94}r1b478:?0fg<5:11vqo?50;394?6|,:k=695+36a955><,:i;6>m9;%3ae?2?12e:<:4?:%1`4?45?21v?9n:181[40i279;l4=7`9~w<4=838pR4<4=35b><4;628:<7)=n6;78yxd6==0;6>4=:5y'72e=99;0D>l7;I1b3>"6900=7)?ma;6;=>o0n3:17d7=:188k71f2900n?9n:186>7<1s-9:o50;9j62?=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k909>>5`23:94?"4k909>:54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86?hi;|q13<<72;qU>:74=020>6373ty9;l4?:3y]62g<58:86?<8;|q0e=<72;q6===5899>7gd=:;20qpl>:182>5<7s-9j:7:4$25`>46?3-9h<7=l6:&2fd<3001d==950;&0g5<5:>10q~<8a;296~X5?h16>:o526c8yv?52909wS7=;<04e??53ty52z\4b>;5?h0b`87<<=n?o0;66g62;29?j40i3:17o<8a;291?4=>r.8;n4>029'5gg=<130c?9n:188m71>2900e4<50;9j5;c337?6=>3:1=n000;6)=l0;::?>o4=90;6)=l0;164>=n:ol1<7*5}#;j:1>?=4o30;>5<#;j:1>?94;|q:6?6=:rT2>63>028252=z{>l1<7f34;;?7:o50;0xZ71f34;;?7<=7:p7d>=838p1<><:9:896de2;837psm1;295?6=8r.8m;4;;%14g?7702.8o=48:18'7f6=:;=07p}=7`83>7}Y:>k01?9n:35b?xu>:3:1>vP62:?13d<>:2wx;k4?:3y]3c=::>k1;k5rs383>6}::>k1>:74=35b>=g<580:<:5+3`491>{zj:l1<75f9383>>i5?h0;66l=7`83>0<52?q/?:m51118 4df2=227b<8a;29?l4013:17d7=:188m=g=831b;k4?::`246<72?0;6=u+3b29552=n;<:1<7*5$2a3>74032wi?ol50;394?6|,:i;6?<<;n014=fg9~w71>2909wS<89:?246<4=91v?9n:181[40i27:<>4=269~w6g?2909w0??3;:;?85ej38946srb083>4<729q/?l854:&03f<6811/?n>53b48 4df2=227b??7;29 6e72;8<76s|26c94?4|V;=j70<8a;04e>{t1;0;6?uQ939>62g=1;1v:h50;0xZ2`<5;=j6:h4}r094?5|5;=j6?96;<04e?>f34;1==94$2c5>0=zuk>;h7>52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`213<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi=;>50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f4d129096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qo5<7s-9m?:307?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`03d<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi?:l50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm3d;94?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj:oj6=4<:183!50k38996F10c??7:18'7f6=:;=07pl>7983>6<729q/?:m5309K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`23<<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi=:o50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm16`94?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg7213:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*m7>52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`21g<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi=8m50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm14f94?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg7fn3:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`2f4<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi=o<50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm1c194?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg2683:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*:=7>52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`757<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi8<=50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm40694?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn>9>:180>5<7s-9m?:307?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`037<72:0;6=u+36a974=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd4?:0;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj:=>6=4<:183!50k39:7E=m8:J0e2=#;j:1?=5+1cc90=?5$2a3>47032e9=54?:%1`4?45?21vn>99:180>5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb254>5<4290;w)=8c;011>N4j11C?l94$2a3>7433-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd4?10;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb24a>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;6o68h0;6)=l0;323>=n99h1<7*53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo78:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6Fi0(m?:034?>i5910;6)=l0;013>N4jo10qo76:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6F51058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj0h1<7:50;2x 61d2:80D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>47032e9=54?:%1`4?45?21vn4m50;194?6|,:=h6?<:;I1a<>N4i>1/?n>52368 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}c35=?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th::o4?:283>5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb04`>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;6i0(m?:034?>i5910;6)=l0;013>N4jo10qo?9e;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd6>o0;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1?<5G3c:8L6g03-9h<7=?;%3ae?2?12c:10c??7:18'7f6=:;=07pl>7083>6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;651058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj810c??7:18'7f6=:;=07pl>4483>7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e9=<1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb064>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;653;294~"4?j09>85G3c:8L6g03-9h<7<=4:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm15;94?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e9=h1<7:50;2x 61d2;8=7E=m8:J0e2=#;j:1>?:4$0`b>1>>3`;;m7>5$2a3>47032c:10c??7:18'7f6=:;=07pl>8783>6<729q/?:m5309K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`2<2<72:0;6=u+36a9673<@:h37E=n7:&0g5<5:=1/=oo549;8m46f290/?n>51058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj8236=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c3;=?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th:4l4?:283>5}#;>i1?<5G3c:8L6g03-9h<7=?;%3ae?2?12c:10c??7:18'7f6=:;=07pl>8c83>6<729q/?:m5309K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`2o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698m46d290/?n>51058?j4603:1(>m?:304?>{e9>n1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi=:k50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f41a29096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qo?70;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd6080;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb0:0>5<3290;w)=8c;11?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;67:9l64>=83.8o=4=2698yg52i3:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e;5$2a3>7403A9ij65rb27f>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;6j7>52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`025<72:0;6=u+36a974=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd4>80;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb240>5<3290;w)=8c;11?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;67:9l64>=83.8o=4=2698yg52j3:1?7>50z&03f<5:<1C?o64H2c4?!5d838986*>b`87<<=n99k1<7*5$2a3>74032wx>84?:3y]60=::<09;l5rs3f94?`|V;n01?j526c8941?28:j70?89;33=>;6=00:n;<3a4?771278;<4>0`9>==<68016=;l511c8942128:270?78;33=>;60;0:=><5;l1><64}r50>5<>sW=8707;:808963?20801>9=:02a?8?>28:270?9c;33f>;60?0:6;|q;=?6=9;qU44529584b>;4=10l01???:6d894gc2>l01<;8:6d894112>l01l01<6;:6d8973=?o16=;957g9>517=?o16=8:57g9>73?=?o16?;j57g9~w<6=838p14>526c897`=99k0q~7>:1878?7208019m:33;?xu><3:1?v364;04e>;4m00:<45215c955g6=4={<;7>=><50i1><64}r;5>5<5s43=6??7;<;a>46e3ty2;7>52z?:3?460272m7??b:p==<72;q6554=199>=g<68j1v4750;0x9j38:4636c;33e>{t9;o1<7=t^00f?873933970?;5;33=>{t9=;1<7;t=062>71f349=j7??9:?02g<68k165l4>0`9>53?=9930q~?;4;296~X6<=16=9l520:8yv73=3:1>v3>44815==:9=21==l4}r372?6=:r7:8;4=199>51?=99h0q~?;7;296~;6<>09=55215`955e52z?20=<59116=9o511`8yv7313:1>v3>48815==:9=h1==o4}r37e?6=:r7:8l4=199>51d=99h0q~?;e;29=~X6511c8961528:j7079:02b?871k3;;m63>46824<=:91<1==l4=05g>46f349=?7??b:p502=838p1<;;:35b?87303;;m6s|14794?4|58??64<4=075>77?3ty:9:4?:3y]501<58?<6?9n;|q21=<72;q6=895939>50b=:820q~?:9;296~;6=009=55214f955gm7>52z?21d<59116=8m511c8yv72j3:1>v3>5c815==:950b=99h0q~?:e;296~;6=l09;l5214;955dj7>52z?21`<>:27::=4=199~w405290>wS?92:?:3?77127:844>0`9>5=g=99k01>8=:02b?xu6>>0;6?uQ175894002;=j7p}>6983>7}:9?=15?5217c964>52z?22<<59116=;h511`8yv71j3:1>v3>6c815==:9>;1==o4}r35g?6=:r7::n4=199>526=99k0q~?9d;296~;6>m09=552162955d52z?22`<59116=:<511`8yv71n3:1>v3>6g815==:9?k1==o4}r344?6=:r7:;=4=199>527=99h0q~?81;296~;6?809=552160955g7>52z?237<59116=;o511`8yv70>3:1>vP>779>520=:>k0q~?87;296~;6??02>63>7c815==z{8=36=4={<340`9~w41>2909w0?89;02<>;6?h0:5<5s4;8:?23g<68k1v<9l:181870>32370?73;02<>{t9>n1<777?34;3=7??a:p52c=838p1<9j:33;?87?93;;n6s|16d94?4|58=m6??7;<3;7?77i2wx=5>50;0x94>72;;370?73;33g>{t91;1<777?34;3>7??b:p5=4=838p1<6=:33;?87?;3;;n6s|19694?4|582?6?9n;<3412;;370?7c;33f>{t91<1<777?34;3m7??b:p5=1=838p1<67:33;?87?j3;;m6s|19:94?4|58226??7;<3;f?77j2wx=5750;0x94>f2;;370?7c;33e>{t91k1<777?34;3o7??c:p5db=838pR<58h86<>n;|q2f5<72;q6=o>520:894d528:j7p}>b083>7}:9k;1><64=0`1>46e3ty:n?4?:3y>5g4=:8201m;|q2f0<72;q6=o:5939>5g0=:820q~<>0;291~;5l33970<>0;04e>;6j=03463>5d8;<>;5n3;;n6s|20394?5|5;;;64<4=0:7>=><582h6??7;|q151<72hq6?o7511589<2=0h16?8658`9>6ak950;0xZ7`034>:>7??9:p70>=83=p1>;7:35b?850;3;;56366;33f>;6>m0:<45219;955?<58=n6<>6;<16a?7712wx?8750;0x963?21201>;m:33;?xu4=h0;6?u234c964><5:<;6<>n;|q01f<72;q6?8m520:8960728:i7p}<5e83>7}:;<64=242>46f3ty89h4?:3y>70c=:8201>8>:02a?xu4=o0;6?u234d964><5:?i6<>m;|q025<72;q6?;>520:8960528:i7p}<6083>7}:;?;1><64=240>46d3ty8:?4?:3y>734=:8201>8<:02b?xu4>:0;6?u2371964><5:?i6<>n;|q02<<721q6?;7526c8961f28:270=84;33=>;4>k0:m;<34b?7712789n4>089~w60f2909w0=99;;1?851k38:46s|37`94?4|5:;4?<0:m;<35b?77i27:4:4>0`9>5=6=99301>;n:02:?xu4>l0;6?u237f9=7=:;>:1><64}r15b?6=:r78:k4=199>723=99h0q~=81;296~;4?809=552365955g7>52z?037<59116?:8511c8yv50;3:1>v3<72815==:;><1==l4}r140?6=:r78;94=199>72>=99h0q~=85;296~;4?<09=552362955g52z?033<59116?:9511`8yv50?3:1>v3<76815==:;>21==o4}r14726=99h0q~=89;296~;4?h09=55236`955d52z\0`==:<8;1==74}r1f52z?0a<<59116?ho511`8yv27k3:1>vP;0b9>05b=:820q~:?f;296~;38m0:<452406964>:<7>52z?755<591168<:511c8yv2693:1>v3;10815==:<891==o4}r626?6=:r7?=?4=199>045=99h0q~:>3;296~;39:09=552406955d:m7>52z\75d=:;lk1==o4}r62f?6=:rT?=o52144955?:j7>53z\75c=:9?:1==74=07a>46>3ty?994?:2y]002<58h=6<>6;<3a5?7712wx85<50;6xZ1>534;3877=;<34e?77i27?==4>0c9~yg5di3:157?<:05xL6g03-911d8:l50;9l056=831b?i650;9l36<722cmj7>5;h110?6=3`9997>5;h11e?6=3`;:>7>5;c1a=?6=93:1o8;%1`4?5d>2.:nl4;889l551=83.8o=4=2698yg2783:197<56z&03f<68;1C?o64H2c4?!7ei3>356g78;29?l>f2900e:h50;9j=7<722e9;l4?::`13d<72?0;6=u+3b29552=n;<:1<7*5$2a3>74032wi?oj50;394?6|,:i;6>m9;n013?6=,:i;6?<8;:p62g=838pR?9n;<04e?45?2wx5?4?:3y]=7=::>k1=<94}r:b>5<5sW2j70<8a;0eb>{t010;6?uQ899>62g=011v:h50;0xZ2`<5;=j6574}r337gb=:;=0qpl<2783>0<52?q/?:m51108L6d?3A9j;6*>b`87<<=n010;66g7a;29?l1a2900e4<50;9l62g=831i>:o50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6dc290:6=4?{%1`4?5d>2e9>:4?:%1`4?45?21v?9n:181[40i279;l4=269~w<4=838pR4<4=35b>4703ty3m7>52z\;e>;5?h09jk5rs9:94?4|V1201?9n:9:8yv1a2909wS9i;<04e?>>3ty:<54?:3y>62g=;<:01>lk:304?x{e;hk1<7;52;4x 61d28:97E=m8:J0e2=#9kk18574i9:94?=n0h0;66g8f;29?l?52900c?9n:188f71f290=6=4?{%1`4?77<2c:=:4?:%1`4?76?21b454?:%1`4?>?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=md;295?6=8r.8o=4vP=7`9>62g=:;=0q~7=:181[?53487:p=838p1?9n:273?85el389;6srb2594?5=:3>p(>9l:022?M5e02B8m:5+10;92>"6jh0?445f7g83>>o>:3:17b<8a;29?g40i3:197<56z&03f<68:1/=oo549;8k71f2900e?96:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"4k90:<95f10594?"4k90:=:54i9:94?"4k903465f8883>!5d832276g<5183>!5d839><65f2gd94?"4k909jk54o304>5<#;j:1>?94;|`0fg<7280;6=u+3b296757>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?4an2wx>:750;0xZ71>34;;?7=:0:p62g=838pR?9n;<337?45?2wx?l650;0x946421201>lm:30;?x{e93:1=7>50z&0e3<33-952358?xu5?h0;6?uQ26c8971f2;=j7p}62;296~X>:279;l462:p3c<72;qU;k5226c93c=z{;0;6>u226c962?<5;=j65o4=08242=#;h<196srb6694?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj>?1<7=50;2x 61d2;8>7E=m8:J0e2=#;j:1>?:4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo=m:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6F3:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;651058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj:836=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c11=?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e;10;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj==o6=4<:183!50k38996F10c??7:18'7f6=:;=07pl6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;6i0(m?:034?>i5910;6)=l0;013>N4jo10qo=m0;297?6=8r.8;n4=249K7g><@:k<7)=l0;010>"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n0230<68h1v>750;0x961=?o16?54=199~w6g=838p1>95939>7g<5911v:=50;5xZ25<5:8=64<4=67964><5=:=6<>6;<1bg?77i278>54>089>7d`=9930q~9;:1818132;;3709::02a?xuan3:19vPif:?745<0n278>;48f:?0ed<0n27847??9:p544=839pR0c9~w773290?w0=m9;333>;38903m63<278;e>;4ih03m6s|33794?5|V:8>70==9;33e>;4il0:5<5s499:7<8a:?0e`<68k1v><8:181855>32370==9;02<>{t;;21<777?349957??b:p7dg=838p1>on:35b?820k3;;56s|3``94?4|5:kj64<4=2c`>77?3ty8mi4?:2y>7dg=01168=>5899>7g6=:820q~=ne;296~;4il09=5523c2955g52z?0ec<59116?o>511`8yv5c03:18vP31<68016?o4>089>02b=99k0q~:?0;296~X389168=>526c8yv27=3:1>v3;018:6>;38>09=55rs525>5<5s4>;:7<>8:?742<68k1v99m:181[20j27?;i4=199~w11d2909w0:8c;02<>;3?m0:"4?j0:8?5+1c;973>5<5<k8:188k16?2900c9?::188k73=831d8;;50;9a7g?=83;1<7>t$25`>46?3A9i46Fm?:304?>{e?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=md;295?6=8r.8o=4vP=7`9>62g=:;=0q~7=:181[?53487:p=838p1?9n:273?85el389;6srbc794?5=:3>p(>9l:022?M5e02B8m:5+1cc90=?l1<75f9383>>i5?h0;66l=7`83>0<52?q/?:m51118 4df2=227b<8a;29?l4013:17d7=:188m=g=831b;k4?::`246<72?0;6=u+3b29552=n;<:1<7*5$2a3>74032wi?ol50;394?6|,:i;6?<<;n014=fg9~w71>2909wS<89:?246<4=91v?9n:181[40i27:<>4=269~w6g?2909w0??3;:;?85ej38946srb083>4<729q/?l854:&03f<6811/?n>53b48 4df2=227b??7;29 6e72;8<76s|26c94?4|V;=j70<8a;04e>{t1;0;6?uQ939>62g=1;1v:h50;0xZ2`<5;=j6:h4}r094?5|5;=j6?96;<04e?>f34;1==94$2c5>0=zuki26=4<:387!50k3;;=6F5<k1<7;52;4x 61d28:87)?ma;6;=>i5?h0;66g=7883>>o>:3:17d6n:188m2`=831i===50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6de290:6=4?{%1`4?45;2e9>54?:%1`4?45?21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=:ol0q~<89;296~X5?016===53428yv40i3:1>vP=7`9>555=:;=0q~=n8;296~;68:03463i68>0;6)=l0;013>=z{;=j6=4={_04e>;5?h09;l5rs8094?4|V0801?9n:808yv1a2909wS9i;<04e?1a3ty96=4<{<04e?401279;l47a:?2>4603-9j:7;4}|``1?6=;3818v*<7b8244=O;k20D>o8;%3ae?2?12c5;h;1>5<5<22;0=w)=8c;337>"6jh0?445`26c94?=n:>31<75f9383>>o?i3:17d9i:188f464290=6=4?{%1`4?77<2c:=:4?:%1`4?76?21b454?:%1`4?>?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=mb;295?6=8r.8o=4=229l67>=83.8o=4=2698yv?52909wS7=;<337?76?2wx;k4?:3y]3c=:9991445rs9c94?4|V1k01<><:3de?xu5?00;6?uQ26;894642:?;7p}=7`83>7}Y:>k01<><:304?xu4i10;6?u21119<==:;kh1>?64}|`2>5<6290;w)=n6;68 61d28:37)=l0;1`2>"6jh0?445`11594?"4k909>:54}r04e?6=:rT9;l5226c962g62g=0h16=7??7:&0e3<23twio<4?:281>1}#;>i1==?4H2`;?M5f?2.:nl4;889j3c<722c2>7>5;n04e?6=3k855;092~"4?j0:<>5+1cc90=?5<>o0n3:17o??3;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jk0;6<4?:1y'7f6=:;90c?<7:18'7f6=:;=07p}62;296~X>:27:<>4>169~w2`=838pR:h4=020>=?{t:>k1<774?3twi=7>51;294~"4i?0?7)=8c;33<>"4k908o;5+1cc90=?63=7`8:6>{t?o0;6?uQ7g9>62g=?o1v?4?:2y>62g=:>301?9n:9c894<68>1/?l855:~fgb=8391>7:t$25`>4663A9i46F5;h;1>5<>d68:0;6;4?:1y'7f6=99>0e50;&0g5<4=910e?hi:18'7f6=:ol07b<=7;29 6e72;8<76sm3c`94?7=83:p(>m?:300?j4503:1(>m?:304?>{t1;0;6?uQ939>555=98=0q~9i:181[1a34;;?766;|q;e?6=:rT3m63>0281bc=z{;=26=4={_04=>;68:089=5rs35b>5<5sW8028162=z{:k36=4={<337?>?349in7<=8:~f4<7280;6=u+3`490>"4?j0:<55+3b297f0<,8hj6966;n333?6=,:i;6?<8;:p62g=838pR?9n;<04e?40i2wx5?4?:3y]=7=::>k15?5rs6d94?4|V>l01?9n:6d8yv4=839p1?9n:35:?840i32j70?51158 6g12<1vqo<::180>7<3s-9:o50;796?0|,:=h6<><;%3ae?2?12e9;l4?::k13<<722c2>7>5;h:b>5<l1<75m11194?0=83:p(>m?:027?l76?3:1(>m?:034?>o?03:1(>m?:9:8?l>>290/?n>58898m637290/?n>53428?l4an3:1(>m?:3de?>i5:>0;6)=l0;013>=zj:hi6=4>:183!5d8389?6a=2983>!5d8389;65rs8094?4|V0801<><:034?xu0n3:1>vP8f:?246kh4}r04=?6=:rT9;452111970652z\13d=:9991>?94}r1b478:?0fg<5:11vqo?50;394?6|,:k=695+36a955><,:i;6>m9;%3ae?2?12e:<:4?:%1`4?45?21v?9n:181[40i279;l4=7`9~w<4=838pR4<4=35b><4;628:<7)=n6;78yxd6:l0;6>4=:5y'72e=99;0D>l7;I1b3>"6jh0?445f7g83>>o>:3:17b<8a;29?g40i3:197<56z&03f<68:1/=oo549;8k71f2900e?96:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"4k90:<95f10594?"4k90:=:54i9:94?"4k903465f8883>!5d832276g<5183>!5d839><65f2gd94?"4k909jk54o304>5<#;j:1>?94;|`0fg<7280;6=u+3b296757>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?4an2wx>:750;0xZ71>34;;?7=:0:p62g=838pR?9n;<337?45?2wx?l650;0x946421201>lm:30;?x{e93:1=7>50z&0e3<33-952358?xu5?h0;6?uQ26c8971f2;=j7p}62;296~X>:279;l462:p3c<72;qU;k5226c93c=z{;0;6>u226c962?<5;=j65o4=08242=#;h<196srbgc94?5=:3>p(>9l:022?M5e02B8m:5+1cc90=?l1<75f9383>>i5?h0;66l=7`83>0<52?q/?:m51118 4df2=227b<8a;29?l4013:17d7=:188m=g=831b;k4?::`246<72?0;6=u+3b29552=n;<:1<7*5$2a3>74032wi?ol50;394?6|,:i;6?<<;n014=fg9~w71>2909wS<89:?246<4=91v?9n:181[40i27:<>4=269~w6g?2909w0??3;:;?85ej38946srb083>4<729q/?l854:&03f<6811/?n>53b48 4df2=227b??7;29 6e72;8<76s|26c94?4|V;=j70<8a;04e>{t1;0;6?uQ939>62g=1;1v:h50;0xZ2`<5;=j6:h4}r094?5|5;=j6?96;<04e?>f34;1==94$2c5>0=zuk>>87>53;090~"4?j0:<<5G3c:8L6g03-;im7:79:k4b?6=3`396=44o35b>5<b`87<<=h:>k1<75f26;94?=n1;0;66g7a;29?l1a2900n<><:185>5<7s-9h<7??4:k252<72-9h<7?>7:9j<=<72-9h<767;:k;=?6=,:i;6574;h164?6=,:i;6>;?;:k1bc<72-9h<750z&0g5<5::1d>?650;&0g5<5:>10q~7=:181[?534;;?7?>7:p3c<72;qU;k521119<<=z{1k1<77}Y:>301<><:273?xu5?h0;6?uQ26c894642;8<7p}7}:999145523c`967>:183!5f>3>0(>9l:02;?!5d839h:6*>b`87<<=h99=1<7*52z\13d=::>k1>:o4}r;1>5<5sW3970<8a;;1?xu0n3:1>vP8f:?13d<0n2wx>7>53z?13d<5?016>:o58`9>5?77?2.8m;4:;|a04`=8391>7:t$25`>4663A9i46F5;h;1>5<>d68:0;6;4?:1y'7f6=99>0e50;&0g5<4=910e?hi:18'7f6=:ol07b<=7;29 6e72;8<76sm3c`94?7=83:p(>m?:300?j4503:1(>m?:304?>{t1;0;6?uQ939>555=98=0q~9i:181[1a34;;?766;|q;e?6=:rT3m63>0281bc=z{;=26=4={_04=>;68:089=5rs35b>5<5sW8028162=z{:k36=4={<337?>?349in7<=8:~f4<7280;6=u+3`490>"4?j0:<55+3b297f0<,8hj6966;n333?6=,:i;6?<8;:p62g=838pR?9n;<04e?40i2wx5?4?:3y]=7=::>k15?5rs6d94?4|V>l01?9n:6d8yv4=839p1?9n:35:?840i32j70?51158 6g12<1vqo=ke;297?4=009K7g><@:k<7)?ma;6;=>o0n3:17d7=:188k71f2900n?9n:186>7<1s-9:o50;9j62?=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k909>>5`23:94?"4k909>:54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86?hi;|q13<<72;qU>:74=020>6373ty9;l4?:3y]62g<58:86?<8;|q0e=<72;q6===5899>7gd=:;20qpl>:182>5<7s-9j:7:4$25`>46?3-9h<7=l6:&2fd<3001d==950;&0g5<5:>10q~<8a;296~X5?h16>:o526c8yv?52909wS7=;<04e??53ty52z\4b>;5?h0>d5?h0;684=:7y'72e=9990(4?:783>5}#;j:1==:4i034>5<#;j:1=<94;h:;>5<#;j:14554i9;94?"4k903565f34294?"4k9089=54i3de>5<#;j:1>kh4;n013?6=,:i;6?<8;:a7gd=83;1<7>t$2a3>7443f8947>5$2a3>74032wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<5no1v?96:181[40127:<>4<519~w71f2909wS<8a:?246<5:>1v>o7:181877;32370=mb;01<>{zj80;6<4?:1y'7d0=<2.8;n4>099'7f6=;j<0(m?:304?>{t:>k1<77}Y1;16>:o5939~w2`=838pR:h4=35b>2`71>3485<42;0?w)=8c;335>N4j11C?l94$0`b>1>>3`=m6=44i8094?=h:>k1<75m26c94?3=:39l:020?!7ei3>356a=7`83>>o5?00;66g62;29?l>f2900e:h50;9a555=83<1<7>t$2a3>4633`;:;7>5$2a3>47032c347>5$2a3>=><3`226=4+3b29<<=5$2a3>7`a32e9>:4?:%1`4?45?21vn>lm:182>5<7s-9h<7<=3:m16=<72-9h<7<=7:9~w<4=838pR4<4=020>4703ty52z\4b>;68:0356s|8`83>7}Y0h16===52gd8yv4013:1>vP=789>555=;<:0q~<8a;296~X5?h16===52358yv5f03:1>v3>028;<>;4jk09>55r}c394?7=83:p(>o9:59'72e=9920(>m?:2a5?!7ei3>356a>0683>!5d8389;65rs35b>5<5sW85<4s488;%1b2?31}#;>i1==?4H2`;?M5f?2.:nl4;889j3c<722c2>7>5;n04e?6=3k855;092~"4?j0:<>5+1cc90=?5<>o0n3:17o??3;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jk0;6<4?:1y'7f6=:;90c?<7:18'7f6=:;=07p}62;296~X>:27:<>4>169~w2`=838pR:h4=020>=?{t:>k1<774?3twi=7>51;294~"4i?0?7)=8c;33<>"4k908o;5+1cc90=?63=7`8:6>{t?o0;6?uQ7g9>62g=?o1v?4?:2y>62g=:>301?9n:9c894<68>1/?l855:~f7`329086?4;{%14g?7792B8n55G3`58 4df2=227d9i:188m<4=831d>:o50;9a62g=83?1>78t$25`>4643-;im7:79:m13d<722c9;44?::k:6?6=3`2j6=44i6d94?=e9991<7850;2x 6e728:?7d?>7;29 6e728;<76g78;29 6e721207d66:18'7f6=0010e>;?:18'7f6=;<:07d!5d8389;65rb2`a>5<6290;w)=l0;017>i5:10;6)=l0;013>=z{081<7479:p52z\13<=:9991?8>4}r04e?6=:rT9;l52111967152z?246t$2c5>1=#;>i1==64$2a3>6e13-;im7:79:m242<72-9h<7<=7:9~w71f2909wS<8a:?13d<5?h1v4<50;0xZ<4<5;=j64<4}r5e>5<5sW=m70<8a;5e?xu52908w0<8a;04=>;5?h03m63>:024?!5f>3?0qpl87;297?4=009K7g><@:k<7)?ma;6;=>o0n3:17d7=:188k71f2900n?9n:186>7<1s-9:o50;9j62?=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k909>>5`23:94?"4k909>:54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86?hi;|q13<<72;qU>:74=020>6373ty9;l4?:3y]62g<58:86?<8;|q0e=<72;q6===5899>7gd=:;20qpl>:182>5<7s-9j:7:4$25`>46?3-9h<7=l6:&2fd<3001d==950;&0g5<5:>10q~<8a;296~X5?h16>:o526c8yv?52909wS7=;<04e??53ty52z\4b>;5?h04=:5y'72e=99;0D>l7;I1b3>"6jh0?445f7g83>>o>:3:17b<8a;29?g40i3:197<56z&03f<68:1/=oo549;8k71f2900e?96:188m<4=831b4l4?::k4b?6=3k;;?7>56;294~"4k90:<95f10594?"4k90:=:54i9:94?"4k903465f8883>!5d832276g<5183>!5d839><65f2gd94?"4k909jk54o304>5<#;j:1>?94;|`0fg<7280;6=u+3b296757>52z\:6>;68:0:=:5rs6d94?4|V>l01<><:9;8yv>f2909wS6n;<337?4an2wx>:750;0xZ71>34;;?7=:0:p62g=838pR?9n;<337?45?2wx?l650;0x946421201>lm:30;?x{e93:1=7>50z&0e3<33-952358?xu5?h0;6?uQ26c8971f2;=j7p}62;296~X>:279;l462:p3c<72;qU;k5226c93c=z{;0;6>u226c962?<5;=j65o4=08242=#;h<196srb050>5<42;0?w)=8c;335>N4j11C?l94$03:>3=#9kk18574i6d94?=n1;0;66a=7`83>>d5?h0;684=:7y'72e=9990(4?:783>5}#;j:1==:4i034>5<#;j:1=<94;h:;>5<#;j:14554i9;94?"4k903565f34294?"4k9089=54i3de>5<#;j:1>kh4;n013?6=,:i;6?<8;:a7gd=83;1<7>t$2a3>7443f8947>5$2a3>74032wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<5no1v?96:181[40127:<>4<519~w71f2909wS<8a:?246<5:>1v>o7:181877;32370=mb;01<>{zj80;6<4?:1y'7d0=<2.8;n4>099'7f6=;j<0(m?:304?>{t:>k1<77}Y1;16>:o5939~w2`=838pR:h4=35b>2`71>3485<42;0?w)=8c;335>N4j11C?l94$03:>3=#9kk18574i6d94?=n1;0;66a=7`83>>d5?h0;684=:7y'72e=9990(4?:783>5}#;j:1==:4i034>5<#;j:1=<94;h:;>5<#;j:14554i9;94?"4k903565f34294?"4k9089=54i3de>5<#;j:1>kh4;n013?6=,:i;6?<8;:a7gd=83;1<7>t$2a3>7443f8947>5$2a3>74032wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<5no1v?96:181[40127:<>4<519~w71f2909wS<8a:?246<5:>1v>o7:181877;32370=mb;01<>{zj80;6<4?:1y'7d0=<2.8;n4>099'7f6=;j<0(m?:304?>{t:>k1<77}Y1;16>:o5939~w2`=838pR:h4=35b>2`71>3485<42;0?w)=8c;335>N4j11C?l94$03:>3=#9kk18574i6d94?=n1;0;66a=7`83>>d5?h0;684=:7y'72e=9990(4?:783>5}#;j:1==:4i034>5<#;j:1=<94;h:;>5<#;j:14554i9;94?"4k903565f34294?"4k9089=54i3de>5<#;j:1>kh4;n013?6=,:i;6?<8;:a7gd=83;1<7>t$2a3>7443f8947>5$2a3>74032wx5?4?:3y]=7=:9991=<94}r5e>5<5sW=m70??3;::?xu?i3:1>vP7a:?246<5no1v?96:181[40127:<>4<519~w71f2909wS<8a:?246<5:>1v>o7:181877;32370=mb;01<>{zj80;6<4?:1y'7d0=<2.8;n4>099'7f6=;j<0(m?:304?>{t:>k1<77}Y1;16>:o5939~w2`=838pR:h4=35b>2`71>348o8;%32=?0<,8hj6966;h5e>5<k1<7;52;4x 61d28:87)?ma;6;=>i5?h0;66g=7883>>o>:3:17d6n:188m2`=831i===50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6de290:6=4?{%1`4?45;2e9>54?:%1`4?45?21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=:ol0q~<89;296~X5?016===53428yv40i3:1>vP=7`9>555=:;=0q~=n8;296~;68:03463i68>0;6)=l0;013>=z{;=j6=4={_04e>;5?h09;l5rs8094?4|V0801?9n:808yv1a2909wS9i;<04e?1a3ty96=4<{<04e?401279;l47a:?2>4603-9j:7;4}|`2=6<72:0969u+36a9557<@:h37E=n7:&25<<13-;im7:79:k4b?6=3`396=44o35b>5<b`87<<=h:>k1<75f26;94?=n1;0;66g7a;29?l1a2900n<><:185>5<7s-9h<7??4:k252<72-9h<7?>7:9j<=<72-9h<767;:k;=?6=,:i;6574;h164?6=,:i;6>;?;:k1bc<72-9h<750z&0g5<5::1d>?650;&0g5<5:>10q~7=:181[?534;;?7?>7:p3c<72;qU;k521119<<=z{1k1<77}Y:>301<><:273?xu5?h0;6?uQ26c894642;8<7p}7}:999145523c`967>:183!5f>3>0(>9l:02;?!5d839h:6*>b`87<<=h99=1<7*52z\13d=::>k1>:o4}r;1>5<5sW3970<8a;;1?xu0n3:1>vP8f:?13d<0n2wx>7>53z?13d<5?016>:o58`9>5?77?2.8m;4:;|a5d7=8391>7:t$25`>4663A9i46F2.:nl4;889j3c<722c2>7>5;n04e?6=3k855;092~"4?j0:<>5+1cc90=?5<>o0n3:17o??3;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jk0;6<4?:1y'7f6=:;90c?<7:18'7f6=:;=07p}62;296~X>:27:<>4>169~w2`=838pR:h4=020>=?{t:>k1<774?3twi=7>51;294~"4i?0?7)=8c;33<>"4k908o;5+1cc90=?63=7`8:6>{t?o0;6?uQ7g9>62g=?o1v?4?:2y>62g=:>301?9n:9c894<68>1/?l855:~fa6=8391=7=tH2c4?!50k3;ii6gn0;29?lg62900c>:i:188f6e329086=4?{%14g?5e?2B8n55G3`58 6e72:h=7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*52z\b4>;4k=0:m;:02b?xu46<62:qC?l94$25`>4db3`k;6=44i`394?=h;=l1<75m3b694?5=83:p(>9l:2`4?M5e02B8m:5+3b297g0<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yvg72909wSo?;<1`0?77j2wxm<4?:3y]e4=:;j>1==o4}r17b?6=:rT88k523b6964>53;397~N4i>1/?:m51cg8md6=831bm<4?::m00c<722h8o94?:283>5}#;>i1?o94H2`;?M5f?2.8o=4n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rs`294?4|Vh:01>m;:02a?xuf93:1>vPn1:?0g1<68h1v>:i:181[53n278o94=199~ygec29086<4<{I1b3>"4?j0:nh5fa183>>of93:17b=;f;29?g5d<3:1?7>50z&03f<4j>1C?o64H2c4?!5d839i:6*>b`87<<=n99k1<7*5$2a3>74032wxm=4?:3y]e5=:;j>1==l4}rc2>5<5sWk:70=l4;33e>{t;=l1<75<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;651058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj0l1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb6`94?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj;l=6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c1a7?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th?>54?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a00b=8381<7>t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn98n:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6Fi0(m?:034?>i5910;6)=l0;013>N4jo10qoml:180>5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rbb594?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zjj21<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wio>4?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:ag1<72:0;6=u+36a974=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xden3:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*m?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}cf1>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;6t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{el?0;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`230<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi8<850;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f17029096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qo:>8;297?6=8r.8;n4=249K7g><@:k<7)=l0;010>"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e9h91<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi=l:50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm1`794?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj8k=6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c3b3?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th:m54?:283>5}#;>i1?<5G3c:8L6g03-9h<7=?;%3ae?2?12c:10c??7:18'7f6=:;=07pl>a883>6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;651058?l77j3:1(>m?:034?>o68j0;6)=l0;323>=h:821<7*53;294~"4?j09>85G3c:8L6g03-9h<7<=4:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm18794?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj8326=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c3:e?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th:5o4?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a5t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn<7k:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6Fm3:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*9g83>0<729q/?:m5329K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54i02`>5<#;j:1=<94;h33`?6=,:i;651058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj83=6=4;:183!50k389:6F10e<>l:18'7f6=98=07b<>8;29 6e72;8<76sm18594?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02=8391<7>t$25`>7423A9i46F0(m?:034?>o68k0;6)=l0;323>=h:821<7*53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo?60;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd6180;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1>?;4H2`;?M5f?2.8o=4=259'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rbdg94?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zjll1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rbg294?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zjo;1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rbg094?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zjo91<7950;2x 61d2:?0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>47032c:10e<>i:18'7f6=98=07b<>8;29 6e72;8<76smd983>7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{el00;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*53;294~"4?j09>85G3c:8L6g03-9h<7<=4:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm46494?3=83:p(>9l:218L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;h33g?6=,:i;67:9l64>=83.8o=4=2698yg20?3:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e<>31<7:50;2x 61d2:80D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>47032e9=54?:%1`4?45?21vn99n:180>5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb3;94?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg4f29096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qo5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb3a94?5=83:p(>9l:306?M5e02B8m:5+3b29672<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg5b83:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e;l81<7=50;2x 61d2;8>7E=m8:J0e2=#;j:1>?:4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo=j3;297?6=8r.8;n4<1:J0f==O;h=0(>m?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}cg3>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;65$2a3>47032c:<650;&0g5<5:>10qok::181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6Fi0(m?:034?>i5910;6)=l0;013>N4jo10qok8:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6Fi0(m?:034?>i5910;6)=l0;013>N4jo10qok6:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6Fo68h0;6)=l0;323>=n99h1<7*54;294~"4?j08>6F>4$0`b>1>>3`;;m7>5$2a3>47032c:10c??7:18'7f6=:;=07plj1;297?6=8r.8;n4<1:J0f==O;h=0(>m?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}cg1>5<4290;w)=8c;011>N4j11C?l94$2a3>7433-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xdb;3:187>50z&03f<4:2B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=n99i1<7*5}#;>i1?95G3c:8L6g03-9h<7=?;%3ae?2?12c:10e<>l:18'7f6=98=07d??d;29 6e728;<76g>0d83>!5d83;:;65`20:94?"4k909>:54}c65`?6=:3:1o8;%1`4?1d3-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=269K7g`<3th?:h4?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a03`=8381<7>t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn99?:181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6F7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*1<729q/?:m5339K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54i02`>5<#;j:1=<94;n02t$25`>7423A9i46F0(m?:034?>o68k0;6)=l0;323>=h:821<7*<87>54;294~"4?j08>6F>4$0`b>1>>3`;;m7>5$2a3>47032c:10c??7:18'7f6=:;=07pl>3183>7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e9:31<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb01b>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;652;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`27f<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi=>j50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f45b29096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qo?<@:k<7)=l0;010>"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn<=>:180>5<7s-9;I1a<>N4i>1/?n>5319'5gg=<130e<>n:18'7f6=98=07d??b;29 6e728;<76a=1983>!5d8389;65rb011>5<3290;w)=8c;11?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;67:9l64>=83.8o=4=2698yg74;3:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*53;294~"4?j09>85G3c:8L6g03-9h<7<=4:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm12794?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n021<7>t$25`>7413A9i46F0(m?:034?>o68k0;6)=l0;323>=n99i1<7*5}#;>i1?<5G3c:8L6g03-9h<7=?;%3ae?2?12c:10c??7:18'7f6=:;=07pl>3983>6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;6t$25`>7423A9i46F0(m?:034?>o68k0;6)=l0;323>=h:821<7*6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}cc5>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;61>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}cc;>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;65$2a3>47032e9=54?:%1`4?45?21vnlo50;494?6|,:=h6>:4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07d??c;29 6e728;<76g>0e83>!5d83;:;65f11g94?"4k90:=:54o33;>5<#;j:1>?94;|`bf?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{ej>0;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{ej00;6?4?:1y'72e=9;h0D>l7;I1b3>"4k90b`87<<=n9931<7*6<729q/?:m5309K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`af?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{ejj0;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*l4?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a07d=8381<7>t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn95<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6F50z&03f<5:<1C?o64H2c4?!5d838986*>b`87<<=n99k1<7*5$2a3>74032wi8?k50;694?6|,:=h6><4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07d??c;29 6e728;<76a=1983>!5d8389;65rb50e>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;6o68h0;6)=l0;323>=n99h1<7*52z\11>;5=387}::<02>63=c;02<>{t:00;6?u228815==::k0:<64=3`955d77?348h6<>m;|q5f?6=1==l4=`c955d71f34;297??9:?27d<6801v:650;7x921=?o16>k:57g9>b<<0n272h79i;<5:>77?3ty52z?43??534=i6??7;|q;4?6=99qU4=5247793c=:j<0l01n?57g9>fa<0n279979i;<31a?1a34lj6:h4=da93c=:i;08e84b>;61:0a084b>;6?<0:<45rs9494?3|V1<01:7511;894g228:270?6d;33=>;6;90:<45rs8f94?5|50n1>:o4=0;:>46>34;8h7??9:p=`<72;q65i462:?:b?4602wxm?4?:3y>e7<5?h168<8511;8yvg42909w0o=:8089dd=:820q~o;:1818g32;;370o6:02a?xuf=3:1>v3n5;02<>;fi3;;m6s|a783>7}:i?09=552a`824f=z{h=1<7<5hk1==j4}rc;>5<5s4k36??7;46b3tyj57>52z?b=?46027jn7??a:ped<72;q6ml4=199>eg<68k1vlm50;0xZde<5o315?5rsc294?g|5k?1>:o4=bf9e5=:l;0:<1==m4=d6955g<5l<1==74=54`>46f34>=i7??9:?af0<>:27io7<>8:pf2<72;q6n:4=199>fd<68k1vo650;0x9g>=:8201ol511`8yvd>2909w0l6:33;?8dd28:i7p}ma;296~;ei38:463mb;33e>{tjk0;6?u2bc815==:jj0::o4=bf9e4=:l;0:<1==j4=d6955d<5l?1==74=54`>46e34>=h7??9:pf`<72;q6ni462:?`4?4602wxnk4?:3y>fc<59116o=4>0c9~wg4=832p1n?526c89fc=i816h94>0`9>b7<680168:7511`89`>=9930198l:02`?821n3;;56s|c383>7}:k802>63l4;02<>{tk:0;6?u2c2815==:k=0::o4=bd9e4=:l?0:=1==74=d;955?<5==:6<>6;|q`2?6=:r7h977=;77?3tyh;7>52z?`3?46027h47??b:pf1<72>q6o44=7`9>`50b9>a2<680168:>511;8yvef2909w0m6:8089fe=:820q~mm:1818ee2;;370ml:02a?xuc93:1?v3le;c3?8b52;;370j;:02a?xuc;3:1?v3lf;c3?8b32;;370j9:02a?xuc=3:1>v3k0;c3?8b12;;37p}k7;291~;dj3;;563l7;33=>;d;3;;563mf;33=>;ci38:46s|d983>7}:l109=552d8824g=z{m31<7<5mk1==l4}rfa>5<5s4io6>:i;46f3tyoo7>52z?`a?53n27h87??a:p`a<72;q6ok4<4g9>g=<68h1vik50;0x9a6=;=l01nm511c8yvba2908w0jn:02b?8c42;;370l6:02:?xub83:1>v3j0;02<>;bi3;;n6s|e083>7}:m809=552e2824f=z{l81<7<5l91==o4}rg7>5<5s4o?6??7;46f3tyn97>52z?f1?46027nn7??b:pa3<72;q6i;4=199>ag<68h1vh950;0x9`1=:8201hl511a8yvc?2909w0k7:33;?8c628:j7p}j9;296~;b138:463j1;33f>{tmh0;6?u2e`815==:m:0:<64=d0955d71f34;2m7??9:?27<<6801vhj50;0x9`e=1;16j>4=199~w`c=838p1hk520:89c5=99k0q~ki:1818ca2;;370h<:02`?xua83:1>v3i0;02<>;a;3;;i6s|f083>7}:n809=552f2824a=z{o81<7<5o91==h4}rd:>5<2s4lj64<4=g;962g<5m21==74=dc955g<5k=1==74}rdb>5<3s4lj6?9n;46f34o;6<>6;<`b>46f3ty:>h4?:5y]57c<588n6?9n;<642?77i27?:n4>0e9~w44a2909w0?=e;;1?874038:46s|12294?4|589;6??7;<305?77j2wx=>?50;0x94562;;370?<6;33f>{t9:81<777?34;887??a:p565=838p1<=<:33;?87403;;n6s|12694?4|589?6??7;<301?77i2wx=>;50;0x94522;;370?<6;33e>{t9:<1<777?34;8;7??b:p561=838p1<=8:33;?87403;;m6s|12;94?4|58926??7;<306?77j2wx=>o50;0x945f2;;370?<2;33e>{t9:h1<777?34;8>7??c:p56e=838p1<=l:33;?874<3;;n6s|12f94?4|589o6??7;<301?77j2wx=>k50;0x945b2;;370?<6;33g>{t9:l1<777?34;8?7??a:p516=838p1<:?:33;?874;3;;n6s|17394?4|V8<:70:=6;33e>{t9?=1<77283>0}:9>91>:o4=0c7>46f34826<>n;<30b?77i27j97??9:p522=83=p1<9<:6d891332>l019?i:6d8916?2>l01>jj:6d896d62>l01<9::33;?xu60m0;6iu219f962g<5=:i6<>m;<3b7?77i27:m:4>089>5;6;l0:<452152955?<5h<1==74=50a>46>34>9h7??a:p5=c=838p1<6k:80894?52;;37p}>8g83>7}:91l1><64=0;1>46f3ty:5=4?:3y>5<6=:8201<7>:02a?xu6180;6?u2183964><58396<>m;|q2=6<72jq6=4=526c8916e28:j70?n3;33f>;6i?0:<452185955g<583:6<>n;<0`>46f349n=7??9:?272<68h16m94>0`9>e2<680168?h511c8yv7><3:1>v3>928:6>;61109=55rs0;6>5<5s4;297<>8:?2=c<68h1v<79:18187>>38:463>96824g=z{83<6=4={<3:3?46027:554>0`9~w4?>2909w0?69;02<>;61o0:5<5s4;2m7<>8:?2=c<68j1v<7m:18187>j38:463>9g824a=z{83h6=4={<3:g?46027:5;4>0`9~w4?c2909w0?6d;02<>;61?0:5<5s4;2i7<>8:?2e5<68k1v<7i:18187>n38:463>97824g=z{8k;6=4={<3b4?46027:554>0c9~w4g6290mw0?n1;04e>;6i00:n;<3;b?77i27:5=4>089>6<<68k16?h>511;8945d28:270?;6;80:m;|q2e7<72;q6=l?5939>5dd=:820q~?n3;296~;6i:09=5521``955g52z?2e1<59116=l6511c8yv7f=3:1>v3>a4815==:9h21==l4}r3b2?6=:r7:m;4=199>5dg=99k0q~?n7;296~;6i>09=5521`c955e52z?2e=<59116=l7511`8yv7f13:1>v3>a8815==:9hk1==l4}r3be?6=:r7:ml4=199>5dd=99h0q~?m7;296~X6j>1688l511c8yv7e03:1?vP>b99>e<<68h168?m511;8yv46<3:1>v35<4s48m87<8a:?2=g<68016=>l511;8yv4a=3:1>v3=f58:6>;5n?09=55rs2`2>5<4s49i=7<8a:?733<68k168;m511g8yv5e:3:1>v3;4j:09=55rs2ff>5<5sW9oi638:p7`6=838p1>k?:33;?85b:3;;n6s|3d394?4|5:o:6??7;<1f7?77j2wx?h<50;0x96c52;;370=j3;33e>{t;l=1<77}Y<9:014h511;8yv2703:1>vP;099>05>=:>k0q~:?a;296~;38102>63;0c815==z{=;>6=4={_621>;39109=55rs535>5<5s4>::7<>8:?75=<68h1v9?8:181826?38:463;19824g=z{=;m6=4={_62b>;39o09;l5rs506>5<5s4>9:7<>8:?76=<6801v9<8:181826n33970:=8;02<>{t<;31<7=t=505>46e34>>n7??b:?775<5911v9h4>0`9~w14d2909w0:=c;02<>;3:l0:5<5s4>9h7<>8:?76c<68k1v90`9~w12>2909wS:;9:?1b3<6801v9;;:181[22<27?994=7`9~w13f2909w0::b;02<>;3=m0:<45rs57`>5<5s4>>877=;<66`?4602wx8;;50;1xZ10234>=97<8a:?fb?7712wx8;750;0x91022080198n:33;?xu3>k0;6?u24779<==:<>>1><64}r65g?6=:r7?:n4=199>025=99k0q~:9d;296~;3>m09=552460955d=i7>52z?72`<591168:<511c8yv21n3:1>v3;6g815==:<>81==m4}r644?6=:r7?;=4=199>022=99k0q~:81;296~;3?809=552466955e<>7>52z?737<591168:=511`8yv20;3:1>v3;72815==:<>>1==l4}r641?6=:r7?:l4>089>02g=:820q~:86;296~;3??09=55246c955g<;7>52z?732<591168:7511c8yv2003:1>v3;79815==:<>31==m4}r64=?6=:r7?;44=199>02g=99h0q~:8b;296~X3?k16?o=511;8yxd4k10;6549c;4eM5f?2.8;n47b:&2f<<4>11d?9750;9j<<<722e8?n4?::m0b5<722cjo7>5;h62g?6=3f>?h7>5;n4a>5<:183!50k3;;46Fm9;%3ae?2?12e:<:4?:%1`4?45?21vn>7::186>7<1s-95;h;1>5<5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k908o;5`23594?"4k909>:54}r04e?6=:rT9;l5226c96717}Y0h16>:o52gd8yv>?2909wS67;<04e?>?3ty52z\4b>;5?h0356s|11:94?4|5;=j6>;?;<1a`?45?2wvn>7>:186>7<1s-95;h;1>5<5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k908o;5`23594?"4k909>:54}r04e?6=:rT9;l5226c96717}Y0h16>:o52gd8yv>?2909wS67;<04e?>?3ty52z\4b>;5?h0356s|11:94?4|5;=j6>;?;<1a`?45?2wvn>6k:186>7<1s-95;h;1>5<5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k908o;5`23594?"4k909>:54}r04e?6=:rT9;l5226c96717}Y0h16>:o52gd8yv>?2909wS67;<04e?>?3ty52z\4b>;5?h0356s|11:94?4|5;=j6>;?;<1a`?45?2wvn>67:186>7<1s-95;h;1>5<5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k908o;5`23594?"4k909>:54}r04e?6=:rT9;l5226c96717}Y0h16>:o52gd8yv>?2909wS67;<04e?>?3ty52z\4b>;5?h0356s|11:94?4|5;=j6>;?;<1a`?45?2wvn7<1s-9?2900e5o50;9j3c<722c2>7>5;n04e?6=3k856;294~"4k90:<95f10594?"4k90:=:54i9:94?"4k903465f8883>!5d832276g<5183>!5d839><65f2gd94?"4k909jk54o304>5<#;j:1>?94;|`0fa<7280;6=u+3b297f063=7`8252=z{1k1<7356g78;29?l>f2900e:h50;9j=7<722e9;l4?::`13d<72?0;6=u+3b29552=n;<:1<7*5$2a3>74032wi?oj50;394?6|,:i;6>m9;n013?6=,:i;6?<8;:p62g=838pR?9n;<04e?45?2wx5?4?:3y]=7=::>k1=<94}r:b>5<5sW2j70<8a;0eb>{t010;6?uQ899>62g=011v:h50;0xZ2`<5;=j6574}r337gb=:;=0qpl>d983>0<52?q/?:m51108L6d?3A9j;6*>b`87<<=n010;66g7a;29?l1a2900e4<50;9l62g=831i>:o50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6dc290:6=4?{%1`4?5d>2e9>:4?:%1`4?45?21v?9n:181[40i279;l4=269~w<4=838pR4<4=35b>4703ty3m7>52z\;e>;5?h09jk5rs9:94?4|V1201?9n:9:8yv1a2909wS9i;<04e?>>3ty:<54?:3y>62g=;<:01>lk:304?x{e9m31<7;52;4x 61d28:97E=m8:J0e2=#9kk18574i9:94?=n0h0;66g8f;29?l?52900c?9n:188f71f290=6=4?{%1`4?77<2c:=:4?:%1`4?76?21b454?:%1`4?>?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=md;295?6=8r.8o=4vP=7`9>62g=:;=0q~7=:181[?53487:p=838p1?9n:273?85el389;6srb0fb>5<22;0=w)=8c;336>N4j11C?l94$0`b>1>>3`236=44i9c94?=n?o0;66g62;29?j40i3:17o<8a;292?6=8r.8o=4>059j541=83.8o=4>1698m=>=83.8o=478:9j<<<72-9h<766;:k015<72-9h<7=:0:9j6c`=83.8o=4=fg98k740290/?n>52358?xd4jm0;6<4?:1y'7f6=;j<0c?<8:18'7f6=:;=07p}=7`83>7}Y:>k01?9n:304?xu>:3:1>vP62:?13d<69>1v5o50;0xZ=g<5;=j6?hi;|q;{t?o0;6?uQ7g9>62g=001v<>7:181840i39><6355;092~"4?j0:>d5?h0;6;4?:1y'7f6=99>0e50;&0g5<4=910e?hi:18'7f6=:ol07b<=7;29 6e72;8<76sm3cf94?7=83:p(>m?:2a5?j45?3:1(>m?:304?>{t:>k1<77}Y1;16>:o51058yv>f2909wS6n;<04e?4an2wx454?:3y]<==::>k1455rs6d94?4|V>l01?9n:9;8yv7703:1>v3=7`8015=:;kn1>?94}|`2`f<72<096;u+36a9554<@:h37E=n7:&2fd<3001b454?::k;e?6=3`=m6=44i8094?=h:>k1<75m26c94?0=83:p(>m?:027?l76?3:1(>m?:034?>o?03:1(>m?:9:8?l>>290/?n>58898m637290/?n>53428?l4an3:1(>m?:3de?>i5:>0;6)=l0;013>=zj:ho6=4>:183!5d839h:6a=2683>!5d8389;65rs35b>5<5sW8=838pR564=35b>=>0983>7}::>k1?8>4=2`g>7403twi=ij50;796?0|,:=h6<>=;I1a<>N4i>1/=oo549;8m=>=831b4l4?::k4b?6=3`396=44o35b>5<1683>!5d83;:;65f8983>!5d832376g79;29 6e721307d=:0;29 6e72:?;76g=fg83>!5d838mj65`23594?"4k909>:54}c1a`?6=93:152z\13d=::>k1>?94}r;1>5<5sW3970<8a;323>{t0h0;6?uQ8`9>62g=:ol0q~67:181[>?348{t9921<7637349ih7<=7:~f4bb290>6?49{%14g?77:2B8n55G3`58 4df2=227d67:188m=g=831b;k4?::k:6?6=3f85;c04e?6=>3:1=n000;6)=l0;::?>o4=90;6)=l0;164>=n:ol1<7*5}#;j:1?n84o304>5<#;j:1>?94;|q13d<72;qU>:o4=35b>7403ty2>7>52z\:6>;5?h0:=:5rs9c94?4|V1k01?9n:3de?xu?03:1>vP78:?13dk1445rs02;>5<5s481vqo?kf;291?4=>r.8;n4>039K7g><@:k<7)?ma;6;=>o?03:17d6n:188m2`=831b5?4?::m13d<722h9;l4?:783>5}#;j:1==:4i034>5<#;j:1=<94;h:;>5<#;j:14554i9;94?"4k903565f34294?"4k9089=54i3de>5<#;j:1>kh4;n013?6=,:i;6?<8;:a7gb=83;1<7>t$2a3>6e13f89;7>5$2a3>74032wx>:o50;0xZ71f3487}Y0116>:o5899~w2`=838pR:h4=35b>=?52z?13d<4=916?oj52358yxd6l<0;684=:7y'72e=9980D>l7;I1b3>"6jh0?445f8983>>o?i3:17d9i:188m<4=831d>:o50;9a62g=83<1<7>t$2a3>4633`;:;7>5$2a3>47032c347>5$2a3>=><3`226=4+3b29<<=5$2a3>7`a32e9>:4?:%1`4?45?21vn>lk:182>5<7s-9h<7=l6:m162<72-9h<7<=7:9~w71f2909wS<8a:?13d<5:>1v4<50;0xZ<4<5;=j6:o5342896dc2;8<7psm1e494?3=:39l:021?M5e02B8m:5+1cc90=?>o0n3:17d7=:188k71f2900n?9n:185>5<7s-9h<7??4:k252<72-9h<7?>7:9j<=<72-9h<767;:k;=?6=,:i;6574;h164?6=,:i;6>;?;:k1bc<72-9h<750z&0g5<4k?1d>?950;&0g5<5:>10q~<8a;296~X5?h16>:o52358yv?52909wS7=;<04e?76?2wx4l4?:3y]k1>kh4}r:;>5<5sW2370<8a;:;?xu0n3:1>vP8f:?13d{zj8n<6=4::385!50k3;;>6F5<>o>:3:17b<8a;29?g40i3:1:7>50z&0g5<68=1b=<950;&0g5<69>10e5650;&0g5>32c89=4?:%1`4?52821b>kh50;&0g5<5no10c?<8:18'7f6=:;=07pl4<729q/?n>53b48k740290/?n>52358?xu5?h0;6?uQ26c8971f2;8<7p}62;296~X>:279;l4>169~w=g=838pR5o4=35b>7`a3ty347>52z\;<>;5?h0346s|7g83>7}Y?o16>:o5889~w46?2909w0<8a;164>;4jm09>:5r}c3`g?6==381:v*<7b8247=O;k20D>o8;%3ae?2?12c347>5;h:b>5<l1<75f9383>>i5?h0;66l=7`83>3<729q/?n>51168m470290/?n>51058?l>?290/?n>58998m=?=83.8o=479:9j706=83.8o=4<5198m7`a290/?n>52gd8?j45?3:1(>m?:304?>{e;kn1<7?50;2x 6e72:i=7b<=7;29 6e72;8<76s|26c94?4|V;=j70<8a;013>{t1;0;6?uQ939>62g=98=0q~6n:181[>f348l1<73}#;>i1==<4H2`;?M5f?2.:nl4;889j<=<722c3m7>5;h5e>5<k1<7850;2x 6e728:?7d?>7;29 6e728;<76g78;29 6e721207d66:18'7f6=0010e>;?:18'7f6=;<:07d!5d8389;65rb2`g>5<6290;w)=l0;1`2>i5:>0;6)=l0;013>=z{;=j6=4={_04e>;5?h09>:5rs8094?4|V0801?9n:034?xu?i3:1>vP7a:?13d<5no1v5650;0xZ=><5;=j6564}r5e>5<5sW=m70<8a;::?xu6810;6?u226c9706<5:ho6?<8;|a76e=83?1>78t$25`>4653A9i46F5;h;1>5<5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k908o;5`23594?"4k909>:54}r04e?6=:rT9;l5226c96717}Y0h16>:o52gd8yv>?2909wS67;<04e?>?3ty52z\4b>;5?h0356s|11:94?4|5;=j6>;?;<1a`?45?2wvnkk50;196?2|,:=h6<>>;I1a<>N4i>1/=oo549;8m2`=831b5?4?::m13d<722h9;l4?:481>3}#;>i1===4$0`b>1>>3f85;h04=?6=3`396=44i9c94?=n?o0;66l>0283>3<729q/?n>51168m470290/?n>51058?l>?290/?n>58998m=?=83.8o=479:9j706=83.8o=4<5198m7`a290/?n>52gd8?j45?3:1(>m?:304?>{e;kh1<7?50;2x 6e72;887b<=8;29 6e72;8<76s|9383>7}Y1;16===51058yv1a2909wS9i;<337?>>3ty3m7>52z\;e>;68:09jk5rs35:>5<5sW8<563>028015=z{;=j6=4={_04e>;68:09>:5rs2c;>5<5s4;;?767;<1af?4502wvn<4?:083>5}#;h<186*<7b824==#;j:1?n84$0`b>1>>3f;;;7>5$2a3>74032wx>:o50;0xZ71f348l1<7l0q~<50;1x971f2;=270<8a;:b?87=99=0(>o9:49~yg`c29086?4;{%14g?7792B8n55G3`58 4df2=227d9i:188m<4=831d>:o50;9a62g=83?1>78t$25`>4643-;im7:79:m13d<722c9;44?::k:6?6=3`2j6=44i6d94?=e9991<7850;2x 6e728:?7d?>7;29 6e728;<76g78;29 6e721207d66:18'7f6=0010e>;?:18'7f6=;<:07d!5d8389;65rb2`a>5<6290;w)=l0;017>i5:10;6)=l0;013>=z{081<7479:p52z\13<=:9991?8>4}r04e?6=:rT9;l52111967152z?246t$2c5>1=#;>i1==64$2a3>6e13-;im7:79:m242<72-9h<7<=7:9~w71f2909wS<8a:?13d<5?h1v4<50;0xZ<4<5;=j64<4}r5e>5<5sW=m70<8a;5e?xu52908w0<8a;04=>;5?h03m63>:024?!5f>3?0qpl6<52=q/?:m51138L6d?3A9j;6*>b`87<<=n?o0;66g62;29?j40i3:17o<8a;291?4=>r.8;n4>029'5gg=<130c?9n:188m71>2900e4<50;9j5;c337?6=>3:1=n000;6)=l0;::?>o4=90;6)=l0;164>=n:ol1<7*5}#;j:1>?=4o30;>5<#;j:1>?94;|q:6?6=:rT2>63>028252=z{>l1<7f34;;?7:o50;0xZ71f34;;?7<=7:p7d>=838p1<><:9:896de2;837psm1;295?6=8r.8m;4;;%14g?7702.8o=48:18'7f6=:;=07p}=7`83>7}Y:>k01?9n:35b?xu>:3:1>vP62:?13d<>:2wx;k4?:3y]3c=::>k1;k5rs383>6}::>k1>:74=35b>=g<580:<:5+3`491>{zj=>o6=4<:387!50k3;;=6F5<k1<7;52;4x 61d28:87)?ma;6;=>i5?h0;66g=7883>>o>:3:17d6n:188m2`=831i===50;494?6|,:i;6<>;;h323?6=,:i;65<#;j:14454i273>5<#;j:1?8>4;h0eb?6=,:i;6?hi;:m162<72-9h<7<=7:9~f6de290:6=4?{%1`4?45;2e9>54?:%1`4?45?21v4<50;0xZ<4<58:86028;=>{t0h0;6?uQ8`9>555=:ol0q~<89;296~X5?016===53428yv40i3:1>vP=7`9>555=:;=0q~=n8;296~;68:03463i68>0;6)=l0;013>=z{;=j6=4={_04e>;5?h09;l5rs8094?4|V0801?9n:808yv1a2909wS9i;<04e?1a3ty96=4<{<04e?401279;l47a:?2>4603-9j:7;4}|`5f?6=;3818v*<7b8244=O;k20D>o8;%3ae?2?12c5;h;1>5<5<22;0=w)=8c;337>"6jh0?445`26c94?=n:>31<75f9383>>o?i3:17d9i:188f464290=6=4?{%1`4?77<2c:=:4?:%1`4?76?21b454?:%1`4?>?32c357>5$2a3>=?<3`9><7>5$2a3>63732c9jk4?:%1`4?4an21d>?950;&0g5<5:>10qo=mb;295?6=8r.8o=4=229l67>=83.8o=4=2698yv?52909wS7=;<337?76?2wx;k4?:3y]3c=:9991445rs9c94?4|V1k01<><:3de?xu5?00;6?uQ26;894642:?;7p}=7`83>7}Y:>k01<><:304?xu4i10;6?u21119<==:;kh1>?64}|`2>5<6290;w)=n6;68 61d28:37)=l0;1`2>"6jh0?445`11594?"4k909>:54}r04e?6=:rT9;l5226c962g62g=0h16=7??7:&0e3<23twi8>;I1a<>N4i>1/=oo549;8m2`=831b5?4?::m13d<722h9;l4?:481>3}#;>i1===4$0`b>1>>3f85;h04=?6=3`396=44i9c94?=n?o0;66l>0283>3<729q/?n>51168m470290/?n>51058?l>?290/?n>58998m=?=83.8o=479:9j706=83.8o=4<5198m7`a290/?n>52gd8?j45?3:1(>m?:304?>{e;kh1<7?50;2x 6e72;887b<=8;29 6e72;8<76s|9383>7}Y1;16===51058yv1a2909wS9i;<337?>>3ty3m7>52z\;e>;68:09jk5rs35:>5<5sW8<563>028015=z{;=j6=4={_04e>;68:09>:5rs2c;>5<5s4;;?767;<1af?4502wvn<4?:083>5}#;h<186*<7b824==#;j:1?n84$0`b>1>>3f;;;7>5$2a3>74032wx>:o50;0xZ71f348l1<7l0q~<50;1x971f2;=270<8a;:b?87=99=0(>o9:49~yg76k3:1?7<54z&03f<6881C?o64H2c4?!7613<0(:o50;796?0|,:=h6<><;%3ae?2?12e9;l4?::k13<<722c2>7>5;h:b>5<l1<75m11194?0=83:p(>m?:027?l76?3:1(>m?:034?>o?03:1(>m?:9:8?l>>290/?n>58898m637290/?n>53428?l4an3:1(>m?:3de?>i5:>0;6)=l0;013>=zj:hi6=4>:183!5d8389?6a=2983>!5d8389;65rs8094?4|V0801<><:034?xu0n3:1>vP8f:?246kh4}r04=?6=:rT9;452111970652z\13d=:9991>?94}r1b478:?0fg<5:11vqo?50;394?6|,:k=695+36a955><,:i;6>m9;%3ae?2?12e:<:4?:%1`4?45?21v?9n:181[40i279;l4=7`9~w<4=838pR4<4=35b><4;628:<7)=n6;78yxd6:90;6>4=:5y'72e=99;0D>l7;I1b3>"6900=7)?ma;6;=>o0n3:17d7=:188k71f2900n?9n:186>7<1s-9:o50;9j62?=831b5?4?::k;e?6=3`=m6=44b020>5<1290;w)=l0;330>o69>0;6)=l0;323>=n010;6)=l0;:;?>o?13:1(>m?:9;8?l5283:1(>m?:273?>o5no0;6)=l0;0eb>=h:;=1<7*51;294~"4k909>>5`23:94?"4k909>:54}r;1>5<5sW3970??3;323>{t?o0;6?uQ7g9>555=001v5o50;0xZ=g<58:86?hi;|q13<<72;qU>:74=020>6373ty9;l4?:3y]62g<58:86?<8;|q0e=<72;q6===5899>7gd=:;20qpl>:182>5<7s-9j:7:4$25`>46?3-9h<7=l6:&2fd<3001d==950;&0g5<5:>10q~<8a;296~X5?h16>:o526c8yv?52909wS7=;<04e??53ty52z\4b>;5?h05<l9;%3ae?2?12c:10c??7:18'7f6=:;=07p}n0;296~Xf8278o94>0c9~wd7=838pRl?4=2a7>46f3ty88k4?:3y]71`<5:i?6??7;|a7:i:188f6e329086=4?{%14g?5e?2B8n55G3`58 6e72:h=7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*52z\b4>;4k=0:m;:02b?xu4o8;%14g?7em2cj<7>5;hc2>5<m6=44b2a7>5<4290;w)=8c;1a3>N4j11C?l94$2a3>6d13-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xuf83:1>vPn0:?0g1<68k1vl?50;0xZd7<5:i?6<>n;|q00c<72;qU?9h4=2a7>77?3twi=h<50;195?5|@:k<7)=8c;3aa>of83:17do>:188k62a2900n>m;:180>5<7s-9m?:2`5?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|qb4?6=:rTj<637}Y;=l01>m;:33;?x{e9l;1<7=51;1xL6g03-95<l9;%3ae?2?12c:10c??7:18'7f6=:;=07p}n0;296~Xf8278o94>0c9~wd7=838pRl?4=2a7>46f3ty88k4?:3y]71`<5:i?6??7;|a5`6=8391=7=tH2c4?!50k3;ii6gn0;29?lg62900c>:i:188f6e329086=4?{%14g?5e?2B8n55G3`58 6e72:h=7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*52z\b4>;4k=0:m;:02b?xu4o8;%14g?7em2cj<7>5;hc2>5<m6=44b2a7>5<4290;w)=8c;1a3>N4j11C?l94$2a3>6d13-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xuf83:1>vPn0:?0g1<68k1vl?50;0xZd7<5:i?6<>n;|q00c<72;qU?9h4=2a7>77?3twi=ho50;195?5|@:k<7)=8c;3aa>of83:17do>:188k62a2900n>m;:180>5<7s-9m?:2`5?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|qb4?6=:rTj<637}Y;=l01>m;:33;?x{e9l31<7=51;1xL6g03-95<l9;%3ae?2?12c:10c??7:18'7f6=:;=07p}n0;296~Xf8278o94>0c9~wd7=838pRl?4=2a7>46f3ty88k4?:3y]71`<5:i?6??7;|a5`>=8391=7=tH2c4?!50k3;ii6gn0;29?lg62900c>:i:188f6e329086=4?{%14g?5e?2B8n55G3`58 6e72:h=7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*52z\b4>;4k=0:m;:02b?xu4o8;%14g?7em2cj<7>5;hc2>5<m6=44b2a7>5<4290;w)=8c;1a3>N4j11C?l94$2a3>6d13-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xuf83:1>vPn0:?0g1<68k1vl?50;0xZd7<5:i?6<>n;|q00c<72;qU?9h4=2a7>77?3twi=h850;195?5|@:k<7)=8c;3aa>of83:17do>:188k62a2900n>m;:180>5<7s-9m?:2`5?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|qb4?6=:rTj<637}Y;=l01>m;:33;?x{e9l?1<7=51;1xL6g03-95<l9;%3ae?2?12c:10c??7:18'7f6=:;=07p}n0;296~Xf8278o94>0c9~wd7=838pRl?4=2a7>46f3ty88k4?:3y]71`<5:i?6??7;|a5`2=8391=7=tH2c4?!50k3;ii6gn0;29?lg62900c>:i:188f6e329086=4?{%14g?5e?2B8n55G3`58 6e72:h=7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*52z\b4>;4k=0:m;:02b?xu4o8;%14g?7em2cj<7>5;hc2>5<m6=44b2a7>5<4290;w)=8c;1a3>N4j11C?l94$2a3>6d13-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xuf83:1>vPn0:?0g1<68k1vl?50;0xZd7<5:i?6<>n;|q00c<72;qU?9h4=2a7>77?3twimh4?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:aec<72:0;6=u+36a974=O;k20D>o8;%1`4?573-;im7:79:k24d<72-9h<7?>7:9j55d=83.8o=4>1698k77?290/?n>52358?xd4i=0;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*4?:383>5}#;>i1=?l4H2`;?M5f?2.8o=48c:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=0D>li;:a5`c=8391<7>t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e9o:1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi=k<50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm1g694?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e9o21<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi=ko50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm1ga94?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e:9:1<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi>=<50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm1da94?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj:3<6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c1:N4j11C?l94$2a3>66<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg5>;3:1>7>50z&03f<6:k1C?o64H2c4?!5d83=h7)?ma;6;=>o6800;6)=l0;323>=h:821<7*6<729q/?:m5309K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54o33;>5<#;j:1>?94;|`01698k77?290/?n>52358L6da32wi?4>50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm39c94?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj:2i6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c1;g?6=;3:1N4j11C?l94$2a3>66<,8hj6966;h33e?6=,:i;67:9l64>=83.8o=4=2698yg5>l3:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo?=8;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd6:00;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1??5G3c:8L6g03-9h<7=?;%3ae?2?12c:10e<>l:18'7f6=98=07b<>8;29 6e72;8<76sm39194?5=83:p(>9l:238L6d?3A9j;6*"6jh0?445f11c94?"4k90:=:54i02a>5<#;j:1=<94;n02t$25`>44e3A9i46F290/?n>51058?j4603:1(>m?:304?M5en21vn>6::181>5<7s-9m?:6a8 4df2=227d??9;29 6e728;<76a=1983>!5d8389;6F3:1?7>50z&03f<492B8n55G3`58 6e72::0(m?:034?>o68k0;6)=l0;323>=h:821<7*53;294~"4?j08=6F>4$0`b>1>>3`;;m7>5$2a3>47032c:<650;&0g5<5:>10qo?le;29=?6=8r.8;n4<6:J0f==O;h=0(>m?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65f11a94?"4k90:=:54i02g>5<#;j:1=<94;h33a?6=,:i;67:9j546=83.8o=4>1698m476290/?n>51058?j4603:1(>m?:304?>{e9jl1<7;50;2x 61d2:90D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>47032c:<650;&0g5<5:>10qo?k0;296?6=8r.8;n4>2c9K7g><@:k<7)=l0;5`?!7ei3>356g>0883>!5d83;:;65`20:94?"4k909>:5G3cd8?xd6l80;6>4?:1y'72e=;81C?o64H2c4?!5d839;7)?ma;6;=>o68h0;6)=l0;323>=n99h1<7*5}#;>i1?<5G3c:8L6g03-9h<7=?;%3ae?2?12c:10c??7:18'7f6=:;=07pl>2383>7<729q/?:m513`8L6d?3A9j;6*"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94H2`e?>{e9;91<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb007>5<4290;w)=8c;12?M5e02B8m:5+3b2975=#9kk18574i02b>5<#;j:1=<94;h33f?6=,:i;651058?l77j3:1(>m?:034?>i5910;6)=l0;013>=zj88=6=4<:183!50k38996F10c??7:18'7f6=:;=07pl>1d83>1<729q/?:m5339K7g><@:k<7)=l0;13?!7ei3>356g>0`83>!5d83;:;65f11`94?"4k90:=:54i02`>5<#;j:1=<94;n02t$25`>7423A9i46F0(m?:034?>o68k0;6)=l0;323>=h:821<7*52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`003<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi?9950;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f62?290?6=4?{%14g?553A9i46F51058?l77j3:1(>m?:034?>o68j0;6)=l0;323>=h:821<7*1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c4f>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;65$2a3>47032e9=54?:%1`4?45?21vn:>50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm7083>6<729q/?:m52378L6d?3A9j;6*5<#;j:1=<94;h33f?6=,:i;6t$25`>67<@:h37E=n7:&0g5<482.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e;o91<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi?k:50;094?6|,:=h6<N4i>1/?n>57b9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<7E=mf:9~f6`229096=4?{%14g?75j2B8n55G3`58 6e72>i0(m?:034?>i5910;6)=l0;013>N4jo10qo=i6;297?6=8r.8;n4<1:J0f==O;h=0(>m?:228 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}c1e3?6=;3:1o8;%1`4?45<2.:nl4;889j55g=83.8o=4>1698m46e290/?n>51058?j4603:1(>m?:304?>{e;o21<7=50;2x 61d2:;0D>l7;I1b3>"4k908<6*>b`87<<=n99k1<7*5$2a3>74032wi?k750;194?6|,:=h6?<:;I1a<>N4i>1/?n>52368 4df2=227d??a;29 6e728;<76g>0c83>!5d83;:;65`20:94?"4k909>:54}r4a>5<4sWv39d;02<>;1n3;;n6s|6d83>7}:>l09=55271824g=z{?l1<7<5>;1==o4}r53>5<5s4=;6??7;<52>46e3ty<=7>52z?45?46027<>7??b:p<<<728npR574=2;6>2`<5:3:6:h4=2:g>2`<5:236:h4=0f0>2`<58n?6:h4=0f;>2`<58n26:h4=0fb>2`<58ni6:h4=0f`>2`<58no6:h4=0ff>2`<58nm6:h4=0f6>2`<58n=6:h4=0f4>2`<58ih6:h4=2:2>2`<5:9h6:h4=gg93c=:nm0;3c;5e?87583=m7p}nc;296~Xfk27mh77=;|qb`?6=1r784<478:?07f8:?0e1<68k16={t98k1<7:t=03a>77?34;9?7??9:?261<68h16=1b813d=:9;31==o4=001>46>34;:j7??a:?46?77i278j>4>0`9>7c2=9930q~?>d;296~;69j02>63>1g815==z{8;n6=4={<32a?46027:=k4>0c9~w447290;6:10:<452137955g<58;n6<>l;<4g>46>34=;6<>n;<1e<588?6<>m;|q266<72;q6=?=520:8944228:i7p}>2583>7}:9;>1><64=005>46f3ty:>84?:3y>573=:8201<<9:02a?xu6:>0;6?u213:964><58826<>m;|q26d<72;q689j5939>57?=:820q~?lc;296~;6kj09;l5210`955e52z?2gf<>:27:h?4=199~w4eb2909w0?le;02<>;6l;0:5<5s4;hj7<>8:?2`4<68h1vd0824g=z{8n:6=4={<3g5?46027:h?4>0c9~w4da290>w0?k3;04e>;6m:0j<63>ed824g=:9li1==74=0f3>46>3ty:o>4?:5y>5a2=:>k01{t9j:1<7:t=0f6>71f34;n<7o>;<034?77i27:ok4>0b9~w4e6290?w0?k6;04e>;6m80j=63=03824d=:9jl1==l4}r3`6?6=;r7:h:4=7`9>5`4=i816=nh511f8yv7d<3:18v3>d9813d=:9l>1m<521g2955g<58in6<>m;|q2g0<72=q6=i7526c894c22h;01e68b5>;6n?0:54z?2`f<5?h16=h65a09>5c>=99k0146f34;hi7?>1:p5fg=83>p1;6kl0:==5rs0aa>5<3s4;oj7<8a:?2ag0`9>5f`=99k0q~?jd;297~;6m=0j<63>ed815==:9o:1==l4}r3fb?6=;r7:i84n0:?2b5<59116=k<511`8yv7a93:1?v3>e78b4>;6n;09=5521g6955d53z?2a25c0=99h0q~?i5;297~;6m10j<63>f7815==:9o21==l4}r3e3?6=;r7:i44n0:?2b=<59116=ko511`8yv7a13:1?v3>e`8b4>;6nh09=5521ga955d53z?2ag5cc=99h0q~?id;297~;6m90j<63>fd815==::9:1==l4}r3eb?6=;r7:i<4n0:?145<59116>=<511`8yv4793:1>v3>e38b4>;58;09=55rs320>5<5s4;o?77=;<3fg?4602wx>=:50;0x94b2208018:18187c<33970?j3;17b>{t:921<7<4<58o?6>:i;|q14<<72;q6=i75939>5`3=;=l0q~63>e7800c=z{;:i6=4={<3gf??534;n;7=;f:p65e=838p1m7p}=0e83>7}:9mn15?521d;971`52z?2``<>:27:il4<4g9~w76a2909w0?kf;;1?87bj39?j6s|20694?72s49i57??7:?0=0=l;<10g?40i2wx?9<50;0x965d20801>:<:33;?xu4<=0;6?u2351955?<5:>36??7;|q000<72;q6?9;520:8962?28:i7p}<4783>7}:;=<1><64=26;>46f3ty88:4?:3y>711=:8201>:7:02`?xu4080;6>u2393962g<5:k?6<>n;<32f?77j2wx?5<50;0x96>620801>68:33;?xu40:0;6?u2391964><5:2<6<>n;|q0<1<72;q6?5:520:896>128:j7p}<8483>7}:;1?1><64=2:5>46e3ty84;4?:3y>7=0=:8201>68:02a?xu4?m0;68u239:962g<5:326l>4=2:a>46>3492h7??b:?0<6<68h1v>66:18185?033970=7c;02<>{t;1k1<777?3493o7??a:p7=d=838p1>6m:33;?85?k3;;n6s|36g94?3|5:2o6?9n;<1:=?g63492h7??a:?0<1<68016?98511;8yv5?m3:1>v3<8e8:6>;41909=55rs2:e>5<5s493j7<>8:?0=5<68k1v>9i:18685>938;41o0:>6<>6;|q0=7<72;q6?4?5939>7<2=:820q~=63;296~;41:09=552386955d55z\00<=:;0?1>:o4=2;a>d7<5:286<>m;<173?7712wx?4850;0x96?220801>77:33;?xu41>0;6?u2385964><5:336<>m;|q0=f<72:q6?4o5a19>77i:02a?xu41l0;6?u238`9e5=:;0l1><64}r1b4?6=:r78544<4g9>7<6=99k0q~=n1;296~;41h088k52386955g7>52z?0=g<4u21e19<==:9m>145521e:9<==:9m3145521ec9<==:9mh145521ea9<==:9mn145521eg9<==:9ml145521e79<==:9m<145521e59<==:9ji145523`6964><5:3<6<>6;<1:7?7712784k4>089>7=g=9930q~=i0;291~X4n916?k>526c893c=99301>h<:02a?85a?3;;m6s|3g094?4|5:l;64<4=2d:>77?3ty8j>4?:3y>7c5=:8201>h6:02b?xu4n=0;6?u23g6964><5:l=6<>n;|q0b0<72;q6?k;520:896`128:i7p}7}:;o<1><64=2d4>46e3ty8j:4?:3y>7c1=:8201>h7:02a?xu4n10;6?u23g:964><5:l26<>m;|q75f<72;qU8<4:i7>53z?75`<5?h16=7}Y<=n019:k:35b?x{e;m<1<7<50;2x 61d288i7E=m8:J0e2=#;j:1;n5+1cc90=?5$2a3>7403A9ij65rb2g6>5<5290;w)=8c;31f>N4j11C?l94$2a3>2e<,8hj6966;h33=?6=,:i;67>52;294~"4?j0:>o5G3c:8L6g03-9h<79l;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>1C?oh4;|`0`6<72;0;6=u+36a957d<@:h37E=n7:&0g5<0k2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358L6da32wi?i:50;194?6|,:=h6>?4H2`;?M5f?2.8o=4<0:&2fd<3001b==o50;&0g5<69>10e<>m:18'7f6=98=07b<>8;29 6e72;8<76sm3bf94?4=83:p(>9l:00a?M5e02B8m:5+3b293f=#9kk18574i02:>5<#;j:1=<94;n02=zj=>h6=4=:183!50k3;9n6F1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?2B8nk54}c1ge?6=:3:1o8;%1`4?1d3A;?h6*>ab8252=#9kk18574i02:>5<#;j:1=<94;n02t$25`>4713A9i46F4703-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=2698yg5bl3:1>7>50z&03f<69?1C?o64H2c4?!5d83=h7E?;d:&2ef<69>1/=oo549;8m46>290/?n>51058?j4603:1(>m?:304?>{en10;6?4?:1y'72e=98<0D>l7;I1b3>"4k904e9'5de=98=0(m?:034?>i5910;6)=l0;013>=zj8:;6=4=:183!50k3;::6Fb`87<<=n9931<7*5}#;>i1=<84H2`;?M5f?2.8o=48c:J20a=#9hi1=<94$0`b>1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?21vn9?k:181>5<7s-96:J0f==O;h=0(>m?:6a8L42c3-;jo7?>7:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=07pl;8083>7<729q/?:m51048L6d?3A9j;6*N6!5d8389;65rb9594?4=83:p(>9l:035?M5e02B8m:5+3b293f=O9=n0(356g>0883>!5d83;:;65`20:94?"4k909>:54}c:2>5<5290;w)=8c;322>N4j11C?l94$2a3>2e<@8>o7)?nc;323>"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94;|`764<72;0;6=u+36a9540<@:h37E=n7:&0g5<0k2B:8i5+1`a9541<,8hj6966;h33=?6=,:i;6329096=4?{%14g?76>2B8n55G3`58 6e72>i0D<:k;%3bg?76?2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358?xd1:3:1>7>50z&03f<69?1C?o64H2c4?!5d83=h7E?;d:&2ef<69>1/=oo549;8m46>290/?n>51058?j4603:1(>m?:304?>{e>80;6?4?:1y'72e=98<0D>l7;I1b3>"4k904e9'5de=98=0(m?:034?>i5910;6)=l0;013>=zj?:1<7<50;2x 61d28;=7E=m8:J0e2=#;j:1;n5G15f8 4gd28;<7)?ma;6;=>o6800;6)=l0;323>=h:821<7*b`87<<=n9931<7*h7>52;294~"4?j0:=;5G3c:8L6g03-9h<79l;I37`>"6ij0:=:5+1cc90=?5$2a3>74032wi9n4?:383>5}#;>i1=<84H2`;?M5f?2.8o=48c:J20a=#9hi1=<94$0`b>1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?21vn8l50;094?6|,:=h6N4i>1/?n>57b9K51b<,8kh6<650;&0g5<5:>10qo;n:181>5<7s-96:J0f==O;h=0(>m?:6a8L42c3-;jo7?>7:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=07pl:9;296?6=8r.8;n4>179K7g><@:k<7)=l0;5`?M73l2.:mn4>169'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<76sm5983>7<729q/?:m51048L6d?3A9j;6*N6!5d8389;65rb4594?4=83:p(>9l:035?M5e02B8m:5+3b293f=O9=n0(356g>0883>!5d83;:;65`20:94?"4k909>:54}c75>5<5290;w)=8c;322>N4j11C?l94$2a3>2e<@8>o7)?nc;323>"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94;|`61?6=:3:1o8;%1`4?1d3A;?h6*>ab8252=#9kk18574i02:>5<#;j:1=<94;n02t$25`>4713A9i46F4703-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=2698yg0>29096=4?{%14g?76>2B8n55G3`58 6e72>i0D<:k;%3bg?76?2.:nl4;889j55?=83.8o=4>1698k77?290/?n>52358?xd103:1>7>50z&03f<69?1C?o64H2c4?!5d83=h7E?;d:&2ef<69>1/=oo549;8m46>290/?n>51058?j4603:1(>m?:304?>{e>>0;6?4?:1y'72e=98<0D>l7;I1b3>"4k904e9'5de=98=0(m?:034?>i5910;6)=l0;013>=zj?<1<7<50;2x 61d28;=7E=m8:J0e2=#;j:1;n5G15f8 4gd28;<7)?ma;6;=>o6800;6)=l0;323>=h:821<7*6=4=:183!50k3;::6Fb`87<<=n9931<7*52;294~"4?j0:=;5G3c:8L6g03-9h<79l;I37`>"6ij0:=:5+1cc90=?5$2a3>74032wi:>4?:383>5}#;>i1=<84H2`;?M5f?2.8o=48c:J20a=#9hi1=<94$0`b>1>>3`;;57>5$2a3>47032e9=54?:%1`4?45?21vn8k50;094?6|,:=h6N4i>1/?n>57b9K51b<,8kh6<650;&0g5<5:>10qo:;a;290?4=4b9K7g><@:k<7)?ma;6;=>o6800;66gnc;29?j4603:17b?=d;29?g76>3:1>7>50z&03f<69?1/?n>57b9K51b<,8kh6<650;&0g5<5:>10qo<>a;297?7=;r.8;n4=1`9K51b<,8kh6?<8;%3ae?2?12c:<44?::kbg?6=3f8:47>5;c1ag?6=;3:16=4+3b29{tij0;6?uQab9>7ge=;??0q~<>8;296~X59116?om520:8yxu5910;6?uQ20:894712;;37p}>2e83>6}Y9;n01a;33=>{tij0;6?uQab9>64g=ij1vqo6<:181>5<7s-99:J0f==O;h=0(>m?:6a8L42c3-;jo7<=7:&2fd<3001b==750;&0g5<69>10c??7:18'7f6=:;=07pl;0383>7<729q/?:m520;8L6d?3A9j;6*N6!5d8389;65rb55e>5<5290;w)=8c;02=>N4j11C?l94$2a3>2e<@8>o7)?nc;013>"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94;|`716<72;0;6=u+36a964?<@:h37E=n7:&0g5<0k2B:8i5+1`a9671<,8hj6966;h33=?6=,:i;6t$25`>77>3A9i46F7403-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=2698yg2303:1>7>50z&03f<5901C?o64H2c4?!5d83=h7E?;d:&2ef<5:>1/=oo549;8m46>290/?n>51058?j4603:1(>m?:304?>{e<=91<7<50;2x 61d2;;27E=m8:J0e2=#;j:1;n5G15f8 4gd2;8<7)?ma;6;=>o6800;6)=l0;323>=h:821<7*52;294~"4?j09=45G3c:8L6g03-9h<79l;I37`>"6ij09>:5+1cc90=?5$2a3>74032wi?k?50;094?6|,:=h6??6;I1a<>N4i>1/?n>57b9K51b<,8kh6?<8;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>10qo=kc;297?7=;r.8;n4=1`9K7g><@:k<7E?;d:&2ef<5:>1/=oo549;8m46>2900elm50;9l64>=831i?om50;194?6|,:i;6>89;h33=?6=,:i;652z\24<=:;ki1==74}rc`>5<5sWkh70=mc;151>{t:821<75<5290;w)=8c;02=>N4j11C?l94$2a3>2e<@8>o7)?nc;013>"6jh0?445f11;94?"4k90:=:54o33;>5<#;j:1>?94;|`700<72;0;6=u+36a964?<@:h37E=n7:&0g5<0k2B:8i5+1`a9671<,8hj6966;h33=?6=,:i;65$2a3>=`<3f8:47>5$2a3>7403A9=;65rs02:>5<5sW;;5637p}=1983>7}Y:8201>ll:33;?x{e<:h1<7<50;2x 61d2;;27E=m8:J0e2=#;j:1;n5G15f8 4gd2;8<7)?ma;6;=>o6800;6)=l0;323>=h:821<7*52;294~"4?j09=45G3c:8L6g03-9h<79l;I37`>"6ij09>:5+1cc90=?5$2a3>74032wi?i950;094?6|,:=h6??6;I1a<>N4i>1/?n>57b9K51b<,8kh6?<8;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>10qo::0;296?6=8r.8;n4=189K7g><@:k<7)=l0;5`?M73l2.:mn4=269'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<76sm41;94?4=83:p(>9l:33:?M5e02B8m:5+3b293f=O9=n0(356g>0883>!5d83;:;65`20:94?"4k909>:54}c617?6=;3;1?v*<7b815d=O;k20D>o8;I37`>"6ij09>:5+1cc90=?m?:245?l7713:1(>m?:034?>o4><0;6)=l0;:e?>i5910;6)=l0;013>N4>>10q~??9;296~X68016?om511;8yvgd2909wSol;<1ag?51=2wx><650;0xZ77?349io7<>8:~f10029086<4<{%14g?46i2B8n55G3`58L42c3-;jo7<=7:&2fd<3001b==750;9jef<722e9=54?::`0ff<72:0;6=u+3b297305$2a3>=`<3f8:47>5$2a3>7403A9=;65rs02:>5<5sW;;5637p}=1983>7}Y:8201>ll:33;?x{e<9o1<7<50;2x 61d2;;27E=m8:J0e2=#;j:1;n5G15f8 4gd2;8<7)?ma;6;=>o6800;6)=l0;323>=h:821<7*:57>52;294~"4?j09=45G3c:8L6g03-9h<79l;I37`>"6ij09>:5+1cc90=?5$2a3>74032wi?hl50;094?6|,:=h6??6;I1a<>N4i>1/?n>57b9K51b<,8kh6?<8;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>10qo<@:k<7)=l0;5`?M73l2.:mn4=269'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<76sm2b294?4=83:p(>9l:33:?M5e02B8m:5+3b293f=O9=n0(356g>0883>!5d83;:;65`20:94?"4k909>:54}c0f`?6=:3:1o8;%1`4?1d3A;?h6*>ab8162=#9kk18574i02:>5<#;j:1=<94;n02t$25`>77>3A9i46F7403-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=2698yg4b>3:1>7>50z&03f<5901C?o64H2c4?!5d83=h7E?;d:&2ef<5:>1/=oo549;8m46>290/?n>51058?j4603:1(>m?:304?>{e:l:1<7<50;2x 61d2;;27E=m8:J0e2=#;j:1;n5G15f8 4gd2;8<7)?ma;6;=>o6800;6)=l0;323>=h:821<7*52;294~"4?j09=45G3c:8L6g03-9h<79l;I37`>"6ij09>:5+1cc90=?5$2a3>74032wi>i:50;094?6|,:=h6??6;I1a<>N4i>1/?n>57b9K51b<,8kh6?<8;%3ae?2?12c:<44?:%1`4?76?21d><650;&0g5<5:>10qo<@:k<7)=l0;5`?M73l2.:mn4=269'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<76sm2b:94?4=83:p(>9l:33:?M5e02B8m:5+3b293f=O9=n0(356g>0883>!5d83;:;65`20:94?"4k909>:54}c0`6?6=:3:1o8;%1`4?1d3A;?h6*>ab8162=#9kk18574i02:>5<#;j:1=<94;n02t$25`>77>3A9i46F7403-;im7:79:k24<<72-9h<7?>7:9l64>=83.8o=4=2698yg5d290:6=4?{%1`4?40=2B8m:5G10:8 4gd28;<7)?mc;050>o5?<0;6)=l0;041>=zj:n1<7?50;2x 6e72;=>7E=n7:J25==#9hi1=<94$0``>70d3`8<97>5$2a3>71232wi?h4?:083>5}#;j:1>:;4H2c4?M7602.:mn4>169'5ge=:;k0e?9::18'7f6=:>?07pl"6jj09>o5f26794?"4k909;854}c63>5<6290;w)=l0;041>N4i>1C=<64$0c`>4703-;io7<=c:k130<72-9h<7<85:9~f17=83;1<7>t$2a3>7123A9j;6F>199'5de=98=0(m?:356?>{e<;0;6<4?:1y'7f6=:>?0D>o8;I32<>"6ij0:=:5+1ca967c6=4+3b29623<3th??7>51;294~"4k909;85G3`58L47?3-;jo7?>7:&2ff<5:o1b>:;50;&0g5<5?<10qo:;:182>5<7s-9h<7<85:J0e2=O9820(!5d838<965rb5794?7=83:p(>m?:356?M5f?2B:=55+1`a9541<,8hh6?=>;h041?6=,:i;6?9:;:a03<7280;6=u+3b29623<@:k<7E?>8:&2ef<69>1/=om52638m712290/?n>52678?xd3?3:1=7>50z&0g5<5?<1C?l94H03;?!7fk3;:;6*>bb8177=n:>?1<7*36=4>:183!5d838<96F<,8kh6N6911/=lm51058 4dd2;9>7d<85;29 6e72;=>76sm4c83>4<729q/?n>52678L6g03A;:46*>ab8252=#9ki1>>64i356>5<#;j:1>:;4;|`7g?6=93:1o5?<0;6)=l0;041>=zj=o1<7?50;2x 6e72;=>7E=n7:J25==#9hi1=<94$0``>7133`8<97>5$2a3>71232wi8k4?:083>5}#;j:1>:;4H2c4?M7602.:mn4>169'5ge=::>0e?9::18'7f6=:>?07pl:0;295?6=8r.8o=4=749K7d1<@8;37)?nc;323>"6jj09?i5f26794?"4k909;854}c72>5<6290;w)=l0;041>N4i>1C=<64$0c`>4703-;io7<;5:k130<72-9h<7<85:9~f04=83;1<7>t$2a3>7123A9j;6F>199'5de=98=0(m?:356?>{e=:0;6<4?:1y'7f6=:>?0D>o8;I32<>"6ij0:=:5+1ca960d6=4+3b29623<3th3<7>51;294~"4k909;85G3`58L47?3-;jo7?>7:&2ff<5;?1b>:;50;&0g5<5?<10qo6=:182>5<7s-9h<7<85:J0e2=O9820(>6a=7483>!5d838<965rb9694?7=83:p(>m?:356?M5f?2B:=55+1`a9671<,8hh6?;>;n041?6=,:i;6?9:;:a<3<7280;6=u+3b29623<@:k<7E?>8:&2ef<69>1/=om52258m712290/?n>52678?xdfk3:1=7>50z&0g5<5?<1C?l94H03;?!7fk3;:;6*>bb817<=n:>?1<7*:183!5d838<96F<,8kh65<7s-9h<7<85:J0e2=O9820(!5d838<965rb35`>5<6290;w)=l0;041>N4i>1C=<64$0c`>7403-;io7<;f:m130<72-9h<7<85:9~f71b290:6=4?{%1`4?40=2B8m:5G10:8 4gd2;8<7)?mc;062>i5?<0;6)=l0;041>=zj;=m6=4>:183!5d838<96F<,8kh6?<8;%3ag?43j2e9;84?:%1`4?40=21vn?6?:182>5<7s-9h<7<85:J0e2=O9820(!5d838<965rb3:2>5<6290;w)=l0;041>N4i>1C=<64$0c`>7403-;io7<;7:m130<72-9h<7<85:9~f7>5290:6=4?{%1`4?40=2B8m:5G10:8 4gd2;8<7)?mc;072>i5?<0;6)=l0;041>=zj;286=4>:183!5d838<96F<,8kh6?<8;%3ag?4302e9;84?:%1`4?40=21vn?6;:182>5<7s-9h<7<85:J0e2=O9820(!5d838<965rb3:6>5<6290;w)=l0;041>N4i>1C=<64$0c`>7403-;io7<;c:m130<72-9h<7<85:9~f7>1290:6=4?{%1`4?40=2B8m:5G10:8 4gd2;8<7)?mc;07a>i5?<0;6)=l0;041>=zj;2<6=4>:183!5d838<96F<,8kh6?<8;%3ag?4282e9;84?:%1`4?40=21vn>mi:182>5<7s-9h<7<85:J0e2=O9820(!5d838<965rb2f6>5<6290;w)=l0;041>N4i>1C=<64$0c`>7403-;io7<97:m130<72-9h<7<85:9~f6b>290:6=4?{%1`4?40=2B8m:5G10:8 4gd28;<7)?mc;00b>o5?<0;6)=l0;041>=zj:ni6=4>:183!5d838<96F<,8kh6?<8;%3ag?41>2e9;84?:%1`4?40=2B8::54}c1f0?6=93:150z&0g5<5?<1C?l94H03;?!7fk389;6*>bb811f=h:>?1<7*51;294~"4k909;85G3`58L47?3-;jo7?>7:&2ff<5>91b>:;50;&0g5<5?<10qo=i0;295?6=8r.8o=4=749K7d1<@8;37)?nc;013>"6jj099i5`26794?"4k909;854}c1ee?6=93:150z&0g5<5?<1C?l94H03;?!7fk389;6*>bb817g=h:>?1<7*;?7>51;294~"4k909;85G3`58L47?3-;jo7?>7:&2ff<5>;1b>:;50;&0g5<5?<10qo:?8;295?6=8r.8o=4=749K7d1<@8;37)?nc;013>"6jj09:45`26794?"4k909;854}c63g?6=93:150z&0g5<5?<1C?l94H03;?!7fk389;6*>bb812g=h:>?1<7*:o7>51;294~"4k909;85G3`58L47?3-;jo7?>7:&2ff<5>o1b>:;50;&0g5<5?<10qo:=0;295?6=8r.8o=4=749K7d1<@8;37)?nc;323>"6jj09?h5f26794?"4k909;854}c616?6=93:15}#;j:1>:;4H2c4?M7602.:mn4=269'5ge=:=>0c?9::18'7f6=:>?07pl;4383>4<729q/?n>52678L6g03A;:46*>ab8162=#9ki1>864o356>5<#;j:1>:;4;|`701<7280;6=u+3b29623<@:k<7E?>8:&2ef<5:>1/=om524;8k712290/?n>52678?xd3?0D>o8;I32<>"6ij09>:5+1ca96016=4+3b29623<3th?844?:083>5}#;j:1>:;4H2c4?M7602.:nn4=6d9(623=83.8o=4=7498yg23m3:1=7>50z&0g5<5?<1C?l94H03;?!7fk389;6*>bb8107=h:>?1<7*>=7>51;294~"4k909;85G3`58L47?3-;jo7<=7:&2ff<5<81d>:;50;&0g5<5?<10qo::5;295?6=8r.8o=4=749K7d1<@8;37)?nc;323>"6jj098=5f26794?"4k909;854}c663?6=93:15}#;j:1>:;4H2c4?M7602.:mn4=269'5ge=:?0D>88;:a02c=83;1<7>t$2a3>7123A9j;6F>199'5de=:;=0(m?:356?>{e<1:1<7?50;2x 6e72;=>7E=n7:J25==#9hi1=<94$0``>7063`8<97>5$2a3>71232wi85=50;394?6|,:i;6?9:;I1b3>N6911/=lm51058 4dd2;9h7d<85;29 6e72;=>76sm3dg94?7=83:p(>m?:356?M5f?2B:=55+3`7973><,8kh6ki:181>5<7s-9h<79l;%14g?76>2.:mn4>169'7d3=;?20(?h<:24;?M5f?2B:8i5+1cc90=?5$2a3>74032wi?8850;394?6|,:i;6?9:;I1b3>N6911/?l;537:8 4gd28;<7)?mc;06e>o5?<0;6)=l0;041>=zj:?<6=4=:183!5d83=h7)=8c;322>"6ij0:=:5+3`7973><,;l86>87;I1b3>N6290/?n>51058?j4603:1(>m?:304?>{e;<91<7?50;2x 6e72;=>7E=n7:J25==#;h?1?;64$0c`>4703-;io7<:4:k130<72-9h<7<85:9~f63329096=4?{%1`4?1d3-96:&2ef<69>1/?l;537:8 7`42:<37E=n7:J20a=#9kk18574i02:>5<#;j:1=<94;n02t$2a3>7123A9j;6F>199'7d3=;?20(96g=7483>!5d838<965rb271>5<5290;w)=l0;5`?!50k3;::6*>ab8252=#;h?1?;64$3d0>60?3A9j;6F>4e9'5gg=<130e<>6:18'7f6=98=07b<>8;29 6e72;8<76s|2783>7}:;jh1>8523e0955?7a0=9930q~=l:180[5d34??6<>6;<1`>7123ty8h7>53z\0`>;2=3;;563{t;l0;6>uQ3d9>13<68016?h4=749~w6`=839pR>h4=45955?<5:l1>:;4}r63>5<4sW>;70;7:02:?8272;=>7p};1;297~X3927>57??9:?75?40=2wx8?4?:2y]07=:=h0:<452438130=z{=91<7=t^51890d=993019=52678yv232908wS:;;<7`>46>34>?6?9:;|q71?6=;rT?963:d;33=>;3=38<96s|4783>6}Y089>03<5?<1v9950;1xZ11<536=4<{_6;?80728:270:7:356?xu313:1?vP;9:?55?77127?57<85:p0g<72:qU8o5263824<=:?0q~:k:180[2c346;<6g>7123ty?i7>53z\7a>;1=3;;563;e;041>{tuQ4g9>23<680168k4=749~w06=839pR8>4=75955?<5<:1>:;4}r72>5<4sW?:7087:02:?8362;=>7p}:2;297~X2:27=57??9:?66?40=2wx9>4?:2y]16=:>h0:<452528130=z{<>1<7=t=2a:>f=:<1<1o63:4;02<>{t=<0;6>u23b;9`>;30?0o70;::33;?xu2>3:1?v33o0188520:8yv302908w0=l9;d891>12o169:4=199~w0>=839p1>m6:02891>128:0186520:8yv3>2908w0=l9;32?82?>3;:70;6:33;?xu2i3:1?v3;30?0:>63:a;02<>{t=k0;6>u23b;956=:<1<1=>525c815==z{42<5=2=6<:4=4a964>3:7?:;<7g>77?3ty>i7>52z?7<3<6>27>i7<>8:p1c<72:q6?n75169>0=0=9>169k4=199~w36=839p1>m6:0;891>128301;>520:8yv062909w0=l9;3b?8062;;37p}92;296~;4k00:o6392;02<>{t>:0;6?u249495a=:>:09=55rs7694?4|5=2=66=4={<6;2?7a34<>6??7;|q52?6=:r7?4;4=0:?52?4602wx::4?:3y>0=0=:816::4=199~w3>=838p1969:30893>=:820q~86:18085d138870:76;00?80>2;;37p}9a;297~;4k009863;87810>;1i38:46s|6c83>2}:;jh1:o523b:92g=:;l?1==74=2f`>de<5=?36lm4=500>de<5=<<6lm4}r50>5<3s49h579<;<6;2?14349hi79<;<1`e?143ty3<7>53z\;4>;?93;;56370;041>{t080;6?u23b`9<5=:0809=55rs9094?5|V18015=520:89=4=:>?0q~6;:180[>3342>6??7;<:7>7123ty397>54z?0fd<5:116?no533c89=5=993015;511;8yv>12908wS69;<:4>46>342=6?9:;|q;3?6=:r78oo476:?;3?4602wxmn4?:2y]ef=:n10:<452ab8130=z{o21<7=t=2aa>de<5:i36lm4=g:964>{t99:1<78t=2a:>=?<5=2=6574=2af>=?<5:ij6kh4=2a;>=?<58:;6??7;|q257<72;q6?n75100896ef28;97p}>2d83>7}:;jo1=?k4=2aa>44b3ty:894?:3y>7fc=9=>01>mn:206?xu66383>7}:;j31=;<4=2af>4053ty:::4?:3y>7fc=9?=01>mm:044?xu6??0;6?u23bg9520<5:io6<>6;|q2ea<72;q6?nk51`f896ee28h<7p}=1583>7}:;k31==94=56b>46>3ty9=84?:2y>01g=:8201>m6:56:?85dj3>?56s|26`94?5|V;=i70;5?k09;85rs35`>5<4sW8i1>:;4}r04a?6=;rT9;h522b3964><5;=n6?9:;|q13c<72:qU>:h4=3a1>77?34862908wS<71:?1g`<59116>5?52678yv4?:3:1?vP=839>6a2=:8201?6=:356?xu50:0;6>uQ291897bf2;;370<73;041>{t:1>1<7=t^3:7?84b838:463=858130=z{;2>6=4<{_0;1>;5m?09=552297962353z\1<3=::li1><64=3:5>7123ty94:4?:2y]6=1<5;oo6??7;<0;3?40=2wx>5750;0x96e>2;2270:76;0;=>{t:h?1<77g2349hm7==4:p6gg=838p1969:35a?84ei3;;56s|2b294?4|5=2=6?9l;<0`4?7712wx>n?50;0x91>12;=n70{t:j81<771a348h>7??9:p6f>=838p1969:3:3?84d03;;56s|2bg94?4|5=2=6?6>;<0`a?7712wx>i:50;0x91>12;2970{t:mk1<77>4348om7??9:p6`6=838p1969:3:7?84b83;;56s|2d494?4|5=2=6?6:;<0f2?7712wx>hm50;0x91>12;2=70{t:ln1<77>0348nh7??9:p6c1=839p1>m6:3d4?82?>38m;632909w0:76;17e>;4k108845rs2a`>5<5s49hn7?m8:?0ga<5911v>mi:180[5dn278h=4=199>7f`=:>?0q~=k0;296~;4l=09=5523e2955?52z?0`7<59116?i:511c8yv5c:3:1>v31==l4}r1g1?6=;rT8h8523e5964><5:n>6?9:;|q0`2<72;q6?i8520:896b028:27p}6}Y;m301>jn:02:?85c138<96s|3ec94?2|5=2=6>j7;<1`a?5c0278ol47ag=:820q~=kb;297~X4lk16?im520:896be2;=>7p}7}:;jh1?ik4=2f`>46>3ty8i94?:2y]7`2<5:o=6??7;<1f0?40=2wx?h850;0x96c22;;370=j6;33=>{t;l21<7=t^2g;?85bj38:463089~w6cd2908wS=jc:?0aa<68016?hm52678yv5bl3:1?v377?3ty8j=4?:2y]7c6<5:l:6??7;<1e4?40=2wx?k?50;0x96e?2:l;70=i1;33=>{t;ok1<7=t^2db?85al38:463089~w1662908wS:?1:?747<591168=?52678yv27:3:1>v352416955?<5=:86?9:;|q741<72:q6?nk540`896ee2=:;70:?4;02<>{t<921<7=t^52;?827138:463;098130=z{=:26=4={<1`f?27027?<44>089~w16d2908wS:?c:?74`<591168=m52678yv27m3:1>v3<5=;>6?9:;|q75<<72;q6?nl54078917>28:27p};1b83>6}Y<8i019?k:02:?826k38<96s|40f94?4|5:i369?l;<62`?4602wx8?>50;1xZ14734>9=7??9:?765<5?<1v9<>:18082?>3>:j63<64}r616?6=;rT?>?52431964><5=896?9:;|q761<72;q6?nl540d8914428:27p};3983>6}Y<:2019=m:33;?824038<96s|42`94?4|5=2=69=7;<60f?7712wx89<50;1xZ12534>??7<>8:?707<5?<1v9:<:18182?>3>8=63;42824<=z{=>?6=4<{_670>;3<<09=5524569623?97>52z?7<3<3=l1689;511;8yv23>3:1?vP;479>01>=:82019:9:356?xu3<10;6?u24949010<5=>36<>6;|q70<<72:qU8974=56b>44c34>?57<85:p01d=838p19:l:33;?823i3kh7p};4e83>7}:;j2189j4=56`>46>3ty?8h4?:2y]01c<5=?;6??7;<67a?40=2wx88>50;0x91>12=>n70::0;33=>{t<<;1<7=t^572?822;38:463;508130=z{=?86=4={<6;2?22927?9>4>089~w1322908wS::5:?713<6801688;52678yv22>3:1?v3;878711=:;jo188:4=575>77?3ty?9:4?:2y]001<5=?36??7;<663?40=2wx88750;0x96ee2=??70::8;33=>{t089~w11b2908wS:8e:?73c<591168:k52678yv20n3:1>v3l1==74}r6;4?6=;rT?4=52493955?<5=2;6?9:;|q7<4<72;q6?nl546`891>62;;37p};8283>6}Y<190196;:02:?82?;38<96s|49694?2|5:i2696=;<6;2?2?:278oh4;839>0=2=:820q~=je;297~X4ml16?hk5267896ca28:27p}<5783>6}Y;<<01>;9:356?852?3;;56s|34194?5|V:?870=:3;041>;4==0:<45rs272>5<4sW9>=63<508130=:;<81==74}r1fb?6=9r78ik4=199~w630290:w0=:7;02<>{t;<>1<7?t=277>77?3ty89?4?:0y>704=:820qp`>62494?2|@:k<7p`>62594?2|@:k<7p`>62:94?5|@:k<7p`>62;94?7|@:k<7D<85;3x5?{]99?1=v?mc;~j404i3:1=vFl50;3xL6g03@8<97?t1;Y553=9r;io7srn040g?6=9rB8m:5F26795~7=uS;;97?t1ca9yxh6>:n1<7?tH2c4?L40=3;p=7sU11795~7ek3wvb<81B>:;51z39y_77=3;p=om5}|l226`=83;pD>o8;H041?7|93wQ==;51z3ag?{zf851zJ0e2=N:>?1=v?5}[331?7|9ki1qp`>65394?7|@:k<7D<85;3x5?{]99?1=v?mc;~j403:3:1=vF=?1<7?tH2c4?L40=3;p=7sU11795~7ek3wvb<8;6;295~N4i>1B>:;51z39y_77=3;p=om5}|l2211=83;pD>o8;H041?7|93wQ==;51z3ag?{zf851zJ0e2=N:>?1=v?5}[331?7|9ki1qp`>65;94?7|@:k<7D<85;3x5?{]99?1=v?mc;~j403i3:1=vF=n1<7?tH2c4?L40=3;p=7sU11795~7ek3wvb<8;e;295~N4i>1B>:;51z39y_77=3;p=om5}|l221`=83;pD>o8;H041?7|93wQ==;51z3ag?{zf8<><7>53zJ0e2=zf8<>=7>53zJ0e2=zf8<>>7>53zJ0e2=zf8<>?7>53zJ0e2=zf8<>87>53zJ0e2=zf8<>97>53zJ0e2=zf8<>:7>53zJ0e2=zf8<>;7>53zJ0e2=zf8<>47>54zJ0e2=zf8<>57>54zJ0e2=zf8<>m7>52zJ0e2=zf8<>n7>53zJ0e2=zf8<>o7>54zJ0e2=zf8<>h7>52zJ0e2=zf8<>i7>52zJ0e2=zf8<>j7>52zJ0e2=zf8<=<7>52zJ0e2=zf8<==7>52zJ0e2=zf8<=>7>52zJ0e2=zf8<=?7>52zJ0e2=zf8<=87>52zJ0e2=zf8<=97>53zJ0e2=zf8<=:7>52zJ0e2=zf8<=;7>59zJ0e2=zf8<=47>5azJ0e2=zf8<=57>51zJ0e2=N:>?1=v?5}[331?7|9ki1qp`>67c94?7csA9j;6sa174a>5<6sA9j;6G=748276bb8~yk71>j0;604824dd2twe=;8k:182M5f?2we=;8j:182M5f?2C9;84>{08~^46228q:nn4r}o352c<72;qC?l94}o3535<728qC?l94I356>4}62tP:<84>{0``>x{i9?=:6=48{I1b3>{i9?=96=4>{I1b3>O5?<0:w<4rZ026>4}6jj0vqc?97283>36|@:k<7p`>66694?5|@:k<7p`>66794?1|@:k<7p`>66494?5|@:k<7p`>66594?5|@:k<7p`>66:94?2|@:k<7p`>66;94?5|@:k<7p`>66c94?1|@:k<7p`>66`94?5|@:k<7p`>66a94?2|@:k<7p`>66f94?5|@:k<7p`>66g94?3|@:k<7p`>66d94?7|@:k<7D<85;3x6?{]99?1=v?mc;~j40?83:1=vF191<7?tH2c4?L40=3;p>7sU11795~7ek3wvb<874;295~N4i>1B>:;51z09y_77=3;p=om5}|l22=3=83;pD>o8;H041?7|:3wQ==;51z3ag?{zf8<3:7>51zJ0e2=N:>?1=v<5}[331?7|9ki1qp`>69594?7|@:k<7D<85;3x6?{]99?1=v?mc;~j40?03:1=vF1h1<7=tH2c4?xh6>1i1<7?tH2c4?xh6>1n1<70:1<70;1<7081<7091<70>1<70?1<70<1<7021<7031<7:tH2c4?xh6>0k1<78tH2c4?xh6>0h1<79tH2c4?xh6>0i1<70n1<7?tH2c4?L40=3;p>7sU11795~7ek3wvb<86e;295~N4i>1vb<86f;295~N4i>1vb<8n0;295~N4i>1vb<8n1;295~N4i>1B>:;51z09y_77=3;p=om5}|l22d4=83;pD>o8;|l22d5=83;pD>o8;H041?7|93wQ==;51z3ag?{zf854zJ0e2=zf852zJ0e2=N:>?1=v=5}[331?7|9ki1qp`>6`494?3|@:k<7p`>6`594?7|@:k<7D<85;3x6?{]99?1=v?mc;~j40f03:1=vFho1<7:tH2c4?xh6>hl1<7?tH2c4?L40=3;p>7sU11795~7ek3wvb<8m0;290~N4i>1vb<8m1;295~N4i>1B>:;51z09y_77=3;p=om5}|l22g4=839pD>o8;|l22g5=83;pD>o8;H041?7|93wQ==;51z3ag?{zf853zJ0e2=zf851zJ0e2=N:>?1=v<5}[331?7|9ki1qp`>6c494?2|@:k<7p`>6c594?7|@:k<7D<85;3x6?{]99?1=v?mc;~j40e03:1>vFko1<7=tH2c4?xh6>kl1<71vb<8l1;295~N4i>1B>:;51z09y_77=3;p=om5}|l22f4=838pD>o8;|l22f5=83;pD>o8;H041?7|:3wQ==;51z3ag?{zf852zJ0e2=zf851zJ0e2=N:>?1=v<5}[331?7|9ki1qp`>6b494?4|@:k<7p`>6b594?7|@:k<7D<85;3x6?{]99?1=v?mc;~j40d03:1>vF6bc94?5|@:k<7p`>6b`94?2|@:k<7p`>6ba94?7|@:k<7D<85;3x6?{]99?1=v?mc;~j40dl3:1>vF50;3xL6g03@8<97?t1;Y553=9r;io7srn04g5?6=;rB8m:5rn04g6?6=:rB8m:5F26795~5=uS;;97?t1ca9yxh6>m91<7;tH2c4?xh6>m>1<71vb<8k6;295~N4i>1B>:;51z09y_77=3;p=om5}|l22a1=838pD>o8;|l22a>=83;pD>o8;H041?7|93wQ==;51z3ag?{zf852zJ0e2=zf851zJ0e2=N:>?1=v?5}[331?7|9ki1qp`>6e`94?3|@:k<7p`>3583>4}O;h=0qc?<5;295~N4i>1vb<=8:182M5f?2we=>650;3xL6g03td:?44?:0yK7d151zJ0e2=zf89h6=4>{I1b3>{i9:n1<7?tH2c4?xh6;l0;6o8;|l207<728qC?l94}o377?6=9rB8m:5rn067>5<6sA9j;6sa15794?7|@:k<7p`>4983>4}O;h=0qc?;9;295~N4i>1vb<:n:182M5f?2we=9l50;3xL6g03td:8n4?:0yK7d151zJ0e2=zf8>n6=4={I1b3>{i9=l1<7o8;|l676<72;qC?l94}o774?6=;rB8m:5rn462>5<4sA9j;6sa55094?7|@:k<7p`:4283>4}O;h=0qc;;4;295~N4i>1vb8:::182M5f?2we99850;3xL6g03td>8:4?:0yK7d151zJ0e2=zf<>26=4>{I1b3>{i==k1<7?tH2c4?xh2o8;|l60c<728qC?l94}o764?6=9rB8m:5rn472>5<6sA9j;6sa54094?7|@:k<7p`:5283>4}O;h=0qc;:4;295~N4i>1vb8;::182M5f?2we98850;3xL6g03td>9:4?:0yK7d147>51zJ0e2=zf{I1b3>{i=uG3`58yk32k3:1=vFo8;|l61c<728qC?l94}o754?6=9rB8m:5rn442>5<6sA9j;6sa57094?7|@:k<7p`:6283>4}O;h=0qc;94;295~N4i>1vb88::182M5f?2we9;850;3xL6g03td>::4?:0yK7d151zJ0e2=zf<<26=4>{I1b3>{i=?k1<7?tH2c4?xh2>k0;6o8;|l62c<728qC?l94}o744?6=9rB8m:5rn452>5<6sA9j;6sa56094?7|@:k<7p`:7283>4}O;h=0qc;84;295~N4i>1vb89::182M5f?2we9:850;3xL6g03td>;:4?:0yK7d151zJ0e2=zf<=26=4>{I1b3>{i=>k1<7?tH2c4?xh2?k0;6o8;|l63c<728qC?l94}o7;4?6=9rB8m:5rn4:2>5<6sA9j;6sa59094?7|@:k<7p`:8283>4}O;h=0qc;74;295~N4i>1vb86::182M5f?2we95850;3xL6g03td>4:4?:0yK7d153zJ0e2=zf<2j6=4<{I1b3>{i=1h1<7?tH2c4?xh20j0;6b290:wE=n7:m1=`=83;pD>o8;|l6=5<728qC?l94}o7:5?6=9rB8m:5rn4;1>5<4sA9j;6sa58194?5|@:k<7p`:9583>4}O;h=0qc;65;295~N4i>1vb879:182M5f?2we94950;3xL6g03td>554?:0yK7d151zJ0e2=zf<3j6=4>{I1b3>{i=0h1<7?tH2c4?xh21j0;6l3:1=vFo8;|l6e5<728qC?l94}o7b5?6=;rB8m:5rn4c1>5<4sA9j;6sa5`194?7|@:k<7p`:a583>4}O;h=0qc;n5;295~N4i>1vb8o9:182M5f?2we9l950;3xL6g03td>m54?:3yK7d152zJ0e2=zf{I1b3>{i=hh1<7?tH2c4?xh2ij0;6o8;|l6f5<728qC?l94}o7a5?6=9rB8m:5rn4`1>5<6sA9j;6sa5c194?7|@:k<7p`:b583>4}O;h=0qc;m5;295~N4i>1vb8l9:180M5f?2we9o950;1xL6g03td>n44?:5yK7d153zJ0e2=zf{I1b3>{i=ki1<7=tH2c4?xh2jl0;6>uG3`58yk3en3:1=vFo8;|l6g1<72:qC?l94}o7`1?6=;rB8m:5rn4a;>5<6sA9j;6sa5b;94?7|@:k<7p`:c`83>4}O;h=0qc;lb;295~N4i>1vb8mk:182M5f?2we9i=50;3xL6g03td>h94?:0yK7d151zJ0e2=zf{I1b3>{i0l31<7uG3`58yk>bl3:19vFo8;|l;b5<728qC?l94}o:e5?6=9rB8m:5rn9d1>5<6sA9j;6sa8g194?7|@:k<7p`7f583>4}O;h=0qc6i5;295~N4i>1vb5h8:180M5f?2we4k750;3xL6g03td3jl4?:0yK7d151zJ0e2=zf1lh6=4>{I1b3>{i0on1<7?tH2c4?xh?nl0;6o8;|l:41<728qC?l94}o;31?6=9rB8m:5rn825>5<6sA9j;6sa91594?7|@:k<7p`60983>7}O;h=0qc7?9;296~N4i>1vb4>l:180M5f?2we5=j50;3xL6g03td251zJ0e2=zf0;;6=4>{I1b3>{i18;1<7?tH2c4?xh>9;0;6o8;|l:52<72;qC?l94}o;25<6sA9j;6sa90c94?7|@:k<7p`61c83>7}O;h=0qc7>c;295~N4i>1vb4?k:182M5f?2we551zJ0e2=zf08:6=4>{I1b3>{i1;81<7::0;6o8;|l:62<728qC?l94}o;15<5sA9j;6sa93`94?7|@:k<7p`62b83>4}O;h=0qc7=d;295~N4i>1vb456zJ0e2=zf0996=4;{I1b3>{i1:91<7otH2c4?xh>;<0;6?uG3`58yk?4>3:1>vF=83;pD>o8;|l:7<<728qC?l94}o;0e?6=9rB8m:5rn81a>5<6sA9j;6sa92a94?7|@:k<7p`63e83>4}O;h=0qc71vb4=i:182M5f?2we59>50;1xL6g03td28<4?:2yK7d17>51zJ0e2=zf0>86=4:{I1b3>{i1=>1<7=tH2c4?xh><<0;63:1=vF=83;pD>o8;|l:0<<728qC?l94}o;7e?6=9rB8m:5rn86a>5<6sA9j;6sa95a94?7|@:k<7p`64e83>4}O;h=0qc7;e;295~N4i>1vb4;>:182M5f?2we58=50;3xL6g03td2994?:0yK7d197>51zJ0e2=zf0?=6=4>{I1b3>{i1<=1<7?tH2c4?xh>n10;6>uG3`58yk?a13:1?vFo8;|l:bc<72=qC?l94}oc35?6=:rB8m:5rn`21>5<5sA9j;6saa1194?7|@:k<7p`n0583>7}O;h=0qco?5;296~N4i>1vbl>9:180M5f?2wem=950;3xL6g03tdj<54?:0yK7d152zJ0e2=zfh:h6=4>{I1b3>{ii9o1<7?tH2c4?xha910;6>uG3`58yk`613:1=vFo8;|le5a<72:qC?l94}od2a?6=1rB8m:5rng3e>5<4sA9j;6saf3094?5|@:k<7p`i2283>6}O;h=0qch=4;297~N4i>1vbk<::180M5f?2wej?850;3xL6g03tdm>:4?:0yK7d151zJ0e2=zfo826=4>{I1b3>{in;k1<7?tH2c4?xha:k0;6o8;|le75<728qC?l94}od05?6=9rB8m:5rng11>5<6sA9j;6saf2194?7|@:k<7p`i3583>4}O;h=0qch<5;290~N4i>1vbk=9:180M5f?2wej>950;3xL6g03tdm?54?:5yK7d153zJ0e2=zfo9j6=4>{I1b3>{in:h1<7:tH2c4?xha;j0;6>uG3`58yk`4l3:1=vFo8;|le05<728qC?l94}od75?6=:rB8m:5rng61>5<5sA9j;6saf5194?4|@:k<7p`i4583>4}O;h=0qch;5;295~N4i>1vbk:9:182M5f?2wej9950;0xL6g03tdm854?:3yK7d152zJ0e2=zfo>j6=4={I1b3>{in=h1<7?tH2c4?xhao8;|le15<728qC?l94}od65?6=9rB8m:5rng71>5<6sA9j;6saf4194?7|@:k<7p`i5583>4}O;h=0qch:5;295~N4i>1vbk;9:182M5f?2wej8950;1xL6g03tdm954?:2yK7d157>51zJ0e2=zfo?j6=4>{I1b3>{inwE=n7:mb0`=839pD>o8;|le24<72:qC?l94}od56?6=9rB8m:5rng40>5<6sA9j;6saf7694?7|@:k<7p`i6483>4}O;h=0qch96;295~N4i>1vbk88:182M5f?2wej;650;3xL6g03tdm:44?:0yK7d151zJ0e2=zfo{I1b3>{in?i1<7?tH2c4?xha>m0;6o8;|le34<728qC?l94}od40?6=;rB8m:5rng56>56}O;h=0qch88;295~N4i>1vbk96:182M5f?2wej:o50;3xL6g03tdm;o4?:2yK7d153zJ0e2=zfo=o6=4>{I1b3>{in>o1<7?tH2c4?xha?o0;66290:wE=n7:mb=4=83;pD>o8;|le<6<728qC?l94}od;0?6=9rB8m:5rng:6>5<6sA9j;6saf9494?7|@:k<7p`i8683>4}O;h=0qch78;297~N4i>1vbk66:180M5f?2wej5o50;3xL6g03tdm4o4?:0yK7d151zJ0e2=zfo2o6=4>{I1b3>{in1o1<7?tH2c4?xha0o0;683:1=vFo8;|le=0<72:qC?l94}od:2?6=;rB8m:5rng;4>5<4sA9j;6saf8:94?5|@:k<7p`i9`83>6}O;h=0qch6b;295~N4i>1vbk7l:182M5f?2wej4j50;3xL6g03tdmm<4?:2yK7d151zJ0e2=zfok?6=4>{I1b3>{inh<1<7?tH2c4?xhai>0;6>uG3`58yk`f03:1=vF290:wE=n7:mbdg=83;pD>o8;|leeg<728qC?l94}odbg?6=9rB8m:5rngcg>5<6sA9j;6saf`g94?7|@:k<7p`ib083>4}O;h=0qchm2;297~N4i>1vbkl;:181M5f?2wejo;50;0xL6g03tdmn;4?:0yK7d151zJ0e2=zfoh36=4>{I1b3>{ink31<7?tH2c4?xhajh0;6o8;|lef`<728qC?l94}odab?6=9rB8m:5rnga3>5<6sA9j;6safb394?7|@:k<7p`ic383>4}O;h=0qc?;0683>6}O;h=0qc?;0983>4}O;h=0qc?;0883>4}O;h=0qc?;0`83>4}O;h=0qc?;0c83>4}O;h=0qc?;0b83>4}O;h=0qc?;0g83>2}O;h=0qc?;1183>4}O;h=0qc?;1083>0}O;h=0qc?;1383>6}O;h=0qc?;1283>4}O;h=0qc?;1583>6}O;h=0qc?;1483>6}O;h=0qc?;1783>4}O;h=0qc?;1683>6}O;h=0qc?;1983>6}O;h=0qc?;1883>4}O;h=0qc?;1`83>4}O;h=0qc?;1c83>4}O;h=0qc?;1b83>4}O;h=0qc?;1e83>4}O;h=0qc?;1d83>6}O;h=0qc?;1g83>7}O;h=0qc?;2183>7}O;h=0qc?;2083>4}O;h=0qc?;2383>4}O;h=0qc?;2283>4}O;h=0qc?;2583>4}O;h=0qc?;2483>6}O;h=0qc?;2783>6}O;h=0qc?;2683>6}O;h=0qc?;2983>6}O;h=0qc?;2883>6}O;h=0qc?;2`83>6}O;h=0qc?;2c83>6}O;h=0qc?;2b83>6}O;h=0qc?;2e83>6}O;h=0qc?;2d83>6}O;h=0qc?;2g83>6}O;h=0qc?;3183>6}O;h=0qc?;3083>6}O;h=0qc?;3383>7}O;h=0qc?;3283>7}O;h=0qc?;3583>7}O;h=0qc?;3483>7}O;h=0qc?;3783>7}O;h=0qc?;3683>7}O;h=0qc?;3983>7}O;h=0qc?;3883>7}O;h=0qc?;3`83>7}O;h=0qc?;3c83>7}O;h=0qc?;3b83>7}O;h=0qc?;3e83>7}O;h=0qc?;3d83>6}O;h=0qc?;3g83>6}O;h=0qc?;4183>6}O;h=0qc?;4083>6}O;h=0qc?;4383>6}O;h=0qc?;4283>6}O;h=0qc?;4583>6}O;h=0qc?;4483>6}O;h=0qc?;4783>6}O;h=0qc?;4683>6}O;h=0qc?;4983>6}O;h=0qc?;4883>6}O;h=0qc?;4`83>43|@:k<7p`>45a94?4|@:k<7p`>45f94?7|@:k<7p`>45g94?7|@:k<7p`>45d94?7|@:k<7p`>44294?7|@:k<7p`>44394?4|@:k<7p`>44094?4|@:k<7p`>44194?7|@:k<7p`>44694?7|@:k<7p`>44794?7|@:k<7p`>44494?7|@:k<7p`>44594?5|@:k<7p`>44:94?4|@:k<7p`>44;94?7|@:k<7p`>44c94?7|@:k<7p`>44`94?5|@:k<7p`>44a94?4|@:k<7p`>44f94?7|@:k<7p`>44g94?5|@:k<7p`>44d94?4|@:k<7p`>47294?7|@:k<7p`>47094?4|@:k<7p`>47194?7|@:k<7p`>47694?4|@:k<7p`>47794?4|@:k<7p`>47494?4|@:k<7p`>47594?4|@:k<7p`>47:94?4|@:k<7p`>47;94?`|@:k<7p`>47`94?5|@:k<7p`>47a94?7|@:k<7p`>47f94?7|@:k<7p`>47g94?7|@:k<7p`>47d94?7|@:k<7p`>46294?7|@:k<7p`>46394?7|@:k<7p`>46194?5|@:k<7p`65;297~{i0o0;651zm5gc=839pqc?m8;295~{i9mn1<7=t}o3g3?6=9rwe=km50;1xyk7a>3:1=vsa20`94?5|ug8:97>51zm66g=839pqc<<4;295~{i:<31<7=t}o067?6=9rwe>:650;1xyk40:3:1=vsa28594?5|ug82=7>51zm6g0=839pqck:50;1xyk4bm3:1=vsa30194?5|ug9;h7>51zm252=83;pqc8>9;295~{i>;o1<7?t}o47`?6=;rwe:9950;3xyk01k3:1?vsa67494?7|ug<3=7>51zm2<0=83;pqc8nb;295~{i>j:1<7?t}o4g1?6=9rwe:ho50;3xyk1713:1?vsa71194?7|ug=947>53zm374=83;pqc9;7;297~{i?=;1<7?t}o552?6=;rwe;;>50;3xyk1?=3:1?vsa76d94?7|ug=j87>53zm357>53zme05=83;pqco88;297~{ii>81<7?t}oc:3?6=;rwem4?50;3xykge>3:1?vsaac294?7|ugko97>53zmef`=83;pqcoi4;297~{iilo1<7?t}o`27?6=;rwen=j50;3xykd4:3:1?vsab3a94?7|ugh>=7>53zmf1d=83;pqcl80;297~{ij?k1<7?t}o`;b?6=;rwen5750;3xykdfm3:1?vsab`:94?7|ughhh7>53zmff1=83;pqcljc;297~{ijl<1<7?t}oa3f?6=;rweo=;50;3xyke5i3:1?vsac3694?7|ugi?57>53zmg15=83;pqcm98;297~{ik?81<7?t}oa;3?6=;rweo5?50;3xykef>3:1?vsac`294?7|ugih97>53zmgg`=83;pqcmj4;297~{ikmo1<7?t}of37?6=;rweokj50;3xyk`b;3:1=vsafg:94?7|ug;;5<6std:<9m50;3xyk77>80;6la;295~{i99nm6=4>{|l24c2=83;pqc?>0883>4}zf8;:i7>51zm5454290:wp`>15:94?7|ug;:9i4?:0y~j470:3:1=vsa10;2>5<4std:=5l50;3xyk76j90;6>urn03be?6=9rwe=6}zf889:7>51zm572e2908wp`>25794?7|ug;9:l4?:2y~j441<3:1=vsa17f`>5<6sS;;97?t1ca9yM46?2we=;jk:182_77=3;p=om5}I023>{i9?nn6=4>{[331?7|9ki1qE<>7:m53ba290:wW??5;3x5ge=uA8:;6sa17g3>5<6std::h?50;3xyk71m;0;6;r@A@x4xFGXrwKL \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index 035e99a..3d170bd 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$54b==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;13857=68;1:=?5>200857:10:30>LHW]]0O0<<50?37?45=AGZ^X7Jnt`C?57<76o1:?7B[ilgq844=87;:7<=5OTVSQQ58f3891]1?=:12;2=54=6;3Ujhi>?01>26?69i2;=6L2=3;:=55=6>3CE\XZ5A=00>=863;k0><4N<3395;773;;1EC^ZT;C?64<66l19?7OPFR@?66<668>0>>4FNQWW>DYA[K69?7?18:09@97=8730>7K}<083:`=52@D[YY4K<083:c=52F__\XZ5Q=394;><:3[7=7>11:1e?62?3=0O0>4?>b97>AgsiH686=0j;58JJUSS2M686=0>2:69MKVR\3NjxlO33;2=b>2=G\^[YY4^<283:42<<3E^X][[:Sgpqir;;3:546:5Q=194;b<<3Xnxb{<283:f=32]cfi2<:133;2=5>3?3<0O094?>b96>AgsiH6?6=06;48FW92=87o097GAPTV9@92=87;9784FNQWW>AgsiH6?6=0i;48LQQVR\3[787>11596>JSSX\^1^h}zlu>7>58?3<0Z094?>e96>Wct}e~787>1f:79[dbc89:;094?>0955>1631;0585N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566m2KGI=Qbuy234576l2KGI=Qbuy23454682KGI=Qbuy2345Yney>0MAK>4:CM@70GIL:H9:6OAD2@00>GIL=<0MCJ;B048EKB3J;<0MCJ;B248EKB3J=<0MCJ:B048EKB2J;<0MCJ:B248EKB2J=<0MCJ:B468EKB?<2KX~km4ARpe[kis89::=h5NSsd\jjr789;Te`~PARpe[kis89::=45N_GQA846912KTJ^L310<:?DYA[K6:>374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753:4Abnq53=FkexTECXPilr\EfjuW@D]=;5NotvLA`=Fg|~DIRczx1234c=Fg|~DIRczx12344773He~xBKPmtz3456698:0Mb{{OD]nq}67898:j6O`uuMF[hs89:;?<<4AnwwK@Yj}q:;<=Qfmqf8EjssGLUd~=>?0328EjssGLUd~=>?0^kntZGh}}ENSb|?01220>DFK]o0NLM[_hos[GGD\8?0NLM[r59AAWTb3KOY^Rgbp^@FVW713KOY^h9;CGQVwtb3KOY^|Pnnv34575:2HN^_|}_omw4566W`g{SOK]Rsp\jjr789;:86LZFD:8FPUXAGLD=6M;;B35I3=D:9GNB;5L24OFJ6=D0D80OH=4CMI0?FJU;2IEX45LOLOJPQCC?2IYILZJD39@W3=Ddlky=h5Lldcq5Zgil9:;?0018Gim23Jf`Ic94Cmi\BVK6<2IggRGAV^kntZEkcVCEZ4?>59G86813M6?6=0;;E>7:1=C4<4?7I29>59G82833M63295K<8<6?ARFKB;;7IZNCJ]jiuYC\HI@=:5KT@AHvc1>259GPDELz{Uecy>?00]jiuYC\HI@~Qaou2344703Mkm1>17:Fbpd:66<1OmyoN8:FbpdG;8730HlznA=33:<=Ci}kJ01<364D`vbE92902NjxlO35?:8@drfI5<546Jnt`C?3;>?0101?Air|FOTmcj?012\mhvXLfCHQnne23457b3Me~xBKPaof34575:2NdyyAJ_`lg4566W`g{SIaztNG\ekb789;:h6J`uuMF[jt789:9<6J`uuMF[jt789:Te`~PDnwwK@Yhz9:;<4DnwwK@Yhz9:;=Rgbp^FlqqIBWfx;<=?>1:G6?@@fde;;7HHnlm]jiuYBNhfg=?5JS49FW969=2OX0<0:;DQ?6;3?003b?@UXign;<=?Pilr\AVYffm:;<?1e9FWZejxVoz<=>>219FWZejxVoz<=>>_hos[@UXkdzTi|>?003:?@UXg{:;<=?7;DQ\kw6789Uba}QJS^mq45679<1NXLMDd:GWEFMXign;<=>=0:GWEFMXign;<=>Pilr\AQGDCVkeh=>?0033?@RFKBUha}Qjq12347049Fv979<2LH@F<4FE68BAEB:2LM86HId058BCb6%@d37KHk1,Km5<=ANm;&Ec?>8:DE`4+Nf;30JKj>-Hl15==ANm;&Ec=6;GDg5(Oi;830JKj>-Hl75==ANm;&Ec;m;GDg5(OiW`g{86HId358BCb5%@d37KHk2,Km5<=ANm8&Ec?>8:DE`7+Nf;30JKj=-Hl15==ANm8&Ec=6;GDg6(Oi;820JKj=-Hl7=>@Al;'Bb9?7;GDg6(Oi=01MJi<"Io72<>@Al;'Bb;74FGf1)Lh1901MJi<"Io52<>@Al;'Bb5l4FGf1)LhXadz?7KHk369EBa5*Ag20JKj<-Hl2=>@Al:'Bb@Al:'Bb>74FGf0)Lh4911MJi="Io6:?C@c;$Ce8<74FGf0)Lh2911MJi="Io4a?C@c;$CeSdc3:DPF6=A[Di0J^CP0,rbv`hsk2LXAR?"p`pfjqe ~nrdlwg>@TEV9&|l|jnu38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8?0ELM\249JEFU402CJO^Qfmq68MKOS=2CEEY]8;HLJPVRD9=1BBDZ\TB]jiuYNF@^XXN?>0:KMMQUXkdzTi|>?0133?LHN\ZUha}Qjq123546>0028MJDBZ[Ufyu>?0132b>OHJLXYS`{w01236464IN@FVWYj}q:;<=:>f:KLF@TUWds<=>?5028MJDBZ[Ufyu>?017255=NGKOY^Rczx123437682CDNH\]_lw{4567?8l0EBLJRS]nq}67892:<6G@BDPQ[hs89:;461008MJDBZ[Ufyu>?01]jiubOHJZUYiljv139JKGUXZlkouRoad12347g?003b?LIE[VXnmiwPilr\MJDTW[ojht?;;HM@W0=NGJY:96G@CR06?LID[:l0EBM\_bos[`w789:986G@CR]`iuYby9:;?00d8MJETWjg{Sh?01310>OHKZUha}Qjq1235ZojxVCDO^Qlmq]fu56798l0EBM\_bos[`w7898986G@CR]`iuYby9:;>Rgbp^KLGVYdeyUn}=>?20d8MJETWjg{Sh?01110>OHKZUha}Qjq1237ZojxVCDO^Qlmq]fu567;8l0EBM\_bos[`w789>986G@CR]`iuYby9:;8Rgbp^KLGVYdeyUn}=>?40d8MJETWjg{Sh?01710>OHKZUha}Qjq1231ZojxVCDO^Qlmq]fu567=8l0EBM\_bos[`w789<986G@CR]`iuYby9:;:Rgbp^KLGVYdeyUn}=>?60d8MJETWjg{Sh?01510>OHKZUha}Qjq1233ZojxVCDO^Qlmq]fu567?8l0EBM\_bos[`w7892986G@CR]`iuYby9:;4Rgbp^KLGVYdeyUn}=>?80d8MJETWjg{Sh?01;10>OHKZUha}Qjq123=ZojxVCDO^Qlmq]fu56718l0EBM\_bos[`w788:986G@CR]`iuYby9::>00:8MJETW`g{86G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?j;HMO4Zkrp9:;<??;HMO4Zkrp9:;?219JKWCVWhdo<=>?_hos[LIUMXUjbi>?013g?LIUMXUfyu>?01g8MJTBYVg~t=>?00d8MJTBYVg~t=>?003e?LIUMXUfyu>?0102a>OHZL[Taxv?0120b>OHZL[Taxv?01205c=NG[OZS`{w012304c?10a8MJTP8Vey<=>?1d9JKWQ7Wfx;<=>Pilr\MJTP8Vey<=>?1b9JKWQ7Wfx;<=?>e:KLVR6Xg{:;<5:KLVR7c3@EY[_`lg45679j1BC_Y>_np34566m2CD^Z?Pos2345YneyUBC_Y>_np34566?2CD^hokyg9JKWcflpUjbi>?0107?LIUmhnrSl`k0123[lkwW@EYiljv_`lg45679o1BC_kndx]mkq67888?7DA]e`fz[kis89::Sdc_HMQadb~Wge<=>>1d9JKWcflpUd~=>?0308MJTbimsTc>?01]jiuYNG[ojhtQ`r123445n7DA\_bos[`w789?n7DA\_bos[`w789k;HMV4Zkrp9:;<4INW3[hs89:;Sdc4:KLQ4e87D`:3:Km2`=LMLZTDDK]ASAK]2=KGNCHMAj4LQO\EABX[\HT=i5CPL]B@AYT]KU9h6B_M^CG@ZURJV9i7A^B_CWPPWO6l2F[ARM@UOVFVZ7c3EZFSNAZNUGQ[7bk0@]CPDGD\5=gl5CPL]GBCY58h1G\@QKFG]15d=KXDUOJKQ=2`9OTHYCNOU9?l5CPL]GBCY527A^B_EDE[0?>3EZFSIHI_8c8HUKXPFX9S<84LUknaw?`9OPlkbz5;;2l5CThofv9766j1GXdcjr=31>58f3E^bah|313<:?IRnelx7=374LUknaw:5601GXdcjr=1==>JSadoy0906;MVji`t;=730@Ygbes>5:<=K\`gn~1919:NWmhcu41427AZfmdp?=;>?0^knt0=Kghn:h6B`ae3\jjr789;9<6B`ae3\jjr789;Te`~PLncg5Zhh|9:;=H6:2D9=6A;;NF@W`=HLJYTe`~POEAP56=HZ;?0C_IU<:1D^8=4OS40?JT0;2EY495@nbg`?JhdmVkeh=>?00g8KkebWhdo<=>?_hos[JhdmVkeh=>?00`8KkebWfx;<=>>c:Mmg`Yhz9:;Ir|9Uba}Q@uu220>Ir|8o0Cxz>_hos[Jss98>0Cxz=e:Mvp7YneyUDyy<>4:Mvp6c:86Azt4g8Kpr2W`g{SB{{5068Kpr1m2E~x;Qfmq]Lqq06<2E~x::4Otv;a>Ir|1Uba}Q@uu:25>Vd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF6>VTl2ZXSnc_ds3456c3YYTo`~Pep2344bVTWjg{Sck?0122?T2384Q=194;2W;<7>0]1;14:S?2;2?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZejxVoz<=>?279QEHETWjg{Sh?012\mhvXZHGH_Rmbp^gr456798:0^LCLS^kntZTFEJY:96\NMDLg?WGJMGUfyu>?01g8VDKBFVg~t=>?00d8VDKBFVg~t=>?003e?WGJMGUfyu>?0102a>TFELDTaxv?0120b>TFELDTaxv?01205`=UIDOES`{w01230c=UIDOES`{w012304`2XJA_kndx]bja6789Uba}Q]ALPfeaXign;<=>>119QEHTbimsTmcj?01312>TFE[ojhtQnne2344YneyUYM@\jae{\ekb789;:==5]ALPfeaXe|r;<=>>1:PBIWcflpUfyu>?01326>TFE[ojhtQbuy2345769;1YM@\jae{\ip~789:9=?3068VDKUmhnrS`{w0123[lkw12XJAR\jae{2<>TFEVXnmiwPilr\VDKXZlkou<;4R@QFHa=UIZOGS`{w0123a>TF[LFTaxv?0122b>TF[LFTaxv?01225c=UIZOGS`{w012364cPmtz345669l1YMR>Pmtz345659m1YMR>Pmtz34564991YMR>Pmtz3456Xadzh7_OP1^ov|5678m1YMR?Pmtz34566m2XJSRczx123447b3[KT>Rczx123477c3[KT>Rczx12346773[KT>Rczx1234Zojxj1YMR=Pmtz3456c3[KT?Rczx12344cTaxv?01225`=UIV>Taxv?01215a=UIV>Taxv?012055=UIV>Taxv?012\mhvd3[KT9Rczx1234a=UIV?Taxv?0122a>TFW?0132a>TFW?0102`>TFW?01124>TFW?01]jiueTFW?Ufyu>?013f?WGX>Vg~t=>?003f?WGX>Vg~t=>?033g?WGX>Vg~t=>?0233?WGX>Vg~t=>?0^kntf=UIV=Taxv?012g?WGX?Vg~t=>?00g8VDY0Wds<=>?10g8VDY0Wds<=>?20f8VDY0Wds<=>?3028VDY0Wds<=>?_hosg>TFW1Ujbi>?013f?WGX0Vkeh=>?0^kntZTFW1Ujbi>?013`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>e:PB[=Yj}q:;<=?>e:PB[=Yj}q:;<=<>d:PB[=Yj}q:;<==>0:PB[=Yj}q:;<=Qfmqa8VDY>Wds<=>?d:PB[??;SC\=Zkrp9:;TB[{l>7_K\rsf8V@UuzVddx=>?1328V@UuzVddx=>?1^kntZTB[{xTbbz?01321>THEJYo7_ABCR]bja67898;7_ABCR]bja6789Uba}Q]OLAP[dhc89:;=<>4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|02Xnmiw=119Qadb~W`g{S_kndx3`?WcflpUd~=>?00g8V`gcqVey<=>?_hos[WcflpUd~=>?00a8V`gcqVey<=>>1d9Qadb~Wfx;<=?Pilr\V`gcqVey<=>>169QacBhflo0^hhKoog\kw6789897_kiDnlf[jt789:Te`~PRddGkkcXg{:;<=?n;SgeBwijZZ:n7_kiFsmnVV6Imq~::6\jfGpliWU7FlrSl`k012377=UmoLyc`\\0Og{pZgil9:;?0011?WcaN{ef^^>Aeyv\ekb789;Te`~PRddEvjkU[9DntyQnne234476>2XnjK|`mSQ3J`~sWhdo<=>=339Qac@ugdXX7_kiFsmnVV6Imq~Tc>?0113?WcaN{ef^^>Aeyv\kw6789Uba}Q]egDqkhTT8GosxRa}01235a=UmoLyc`\\0Qpf65=UmoLyc`\\0Qpf[lkwW[omJabRR2Sv`76i2XnjK|`mSQ3[lkwW[omJabRR22e>TbnOxda_]81`9Qac@ugdXX;Rgbp^PfbCthe[Y<=;5]egPBW3=UmoXn}55]egPfuLhf3[om^h\yoaf?WcaZl{Tmcj?01216>Tbn[ozSl`k0123[lkwW[om^hPaof34566981Yik\jq^antZcv89:;>55]egPfuZejxVoz<=>?_hos[WcaZl{To`~Pep23457692Xnj_k~_bos[`w789;946\jfSgr[fkwWl{;<=?Pilr\V``UmxUha}Qjq12354c=2:PfbPt`Whdo<=>?_hos[Wca]{mTmcj?0122e>Tbo\xl_t`l6:Pfwpjs12Xnxb{<119:Pfwpjs4=427_k|umv?1;?89Qavsk|5=556\jstnw8=8>3[oxyaz39?05?Wct}e~TUNOVH^_COBE49PS979=2Y\0?08;RU?7?79=2Y\0>0;;Raov1=Tkz~j7^`kR@O@WRT33Zynx95[YQG6?Qojm{20Xdcjr=2=<>Rnelx7=364Thofv949i2^bah|33;2=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;>l5[ilgqPFYdeyUn}=>?0^kntZRnelx_ORmbp^gr45679m1_e`k}_`lg4567:91_e`k}_`lg4567W`g{SYgbes]bja6789;<7Y|fD`vb7>PDK01]EHYPTXRF7>PWIj1]\LQfmq]UTD723_ZJ~k;4VQCqva=QXHxySca{012265=QXHxySca{0122[lkwW_ZJ~Qaou23447f3_co^LCLSVPg?SocZHGH_Z\94j3;?SocZHGH_Z\94j]`iuYby9:;<>84VhfQEHET_[?1248RlbUIDIX[_8;k^antZcv89::Sdc_WkgVDKD[^X=8fQlmq]fu567988;7[gkR@O@WRT1`=<;4VhfQEHET_[Uha}Qjq123466<^`nYM@M\WS]`iuYby9:;>319UmaTFEJY\^Rmbp^gr4566W`g{S[gkR@O@WRTXkdzTi|>?00321>Pnl[KFO^Y]_bos[`w78988<6XfdSCNGVQUWjg{Sh?010\mhvX^`nYM@M\WS]`iuYby9:;>Qfmq]UmaTFEJY\^Rmbp^gr456498?0Zdj]ALAPSWYdeyUn}=>?4228RlbUIDIX[_Qlmq]fu567?=5YiePBIFUPZVif|Rk~0126[lkwW_co^LCLSVP\ghvXmx:;<8?>5:Tj`WGJKZ]YSnc_ds3450482\bh_OBCRUQ[fkwWl{;<=8Pilr\RlbUIDIX[_Qlmq]fu567>8;>7[gkR@O@WRTXkdzTi|>?0613?SocZHGH_Z\Pclr\at678>Uba}QYiePBIFUPZVif|Rk~0124543<^`nYM@M\WS]`iuYby9:;4>>4VhfQEHET_[Uha}Qjq123149UmaTFEJY\^Rmbp^gr456>;91]ei\NMBQTVZejxVoz<=>6_hos[SocZHGH_Z\Pclr\at6780;:96XfdSCNGVQUWjg{Sh?00204>Pnl[KFO^Y]_bos[`w788:Te`~PVhfQEHET_[Uha}Qjq12244723_co^LCLSVP\ghvXmx:;=<=?;WkgVDKD[^XTo`~Pep2354YneyU]ei\NMBQTVZejxVoz<=?>10c8RlbUIDIX[_Qfmq]UmaTFEJY\^;WkgVDKD[^XTc>?0131?SocZHGH_Z\Pos234576:2\bh_OBCRUQ[jt789:9=?5YiePBIFUPZVey<=>?3078RlbUIDIX[_Q`r1234Zojx?1\m~\E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI79[WQJNJ>1S_YQHNE3f?]USW@G[@HCJNUCWMJHXKFDXX_OFNUQ24>^T\VX_@R^]OQGQP\1^h494::6V`<1<12>^h494856V`<1<\mhv43Qy56V|tFOl``je3Vkoh=>?0=2=g>Yflm:;<=2>0?a8[dbc89:;07>1c:]b`a67896:>3l4_`fg4567484i7Rokd1234949l2Ujhi>?01>0>58e3Vkoh=>?0=1=`>Yflm:;<=2;:12o5Paef3456;>7h0Sljk0123828e3Vkoh=>?0=:=f>Yflm:;<=26>99\ekb789:::6Qnne2345YneyUTmcj?0122<>Yffm:;<?2048[dhc89:9Sdc_^cm`567:820Sl`k012053=Xign;<==Pilr\[dhc89:8=55Paof34526>2Ujbi>?05]jiuYXign;<=:>8:]bja678<;=7Road1231ZojxVUjbi>?043;?Zgil9:;:<84_`lg4561W`g{SRoad12324>8179\ekb789=Te`~P_`lg4560911Tmcj?01:22>Yffm:;<5Qfmq]\ekb7892:46Qnne234<713Vkeh=>?9^kntZYffm:;<4?7;^cm`56688<0Sl`k0133[lkwWVkeh=>>00:8[hs89:;;6Q`r123442Pilr\[jt789::;6Q`r123542gil9:;?_np34566;2iex:5lotlwaw4ohjdUecy>?01]jiuYngkgTbbz?01220>ohjz30bLJ`uuNF44>>119mEAir|EO;Sb|?01212>hFLf@H>Pos2345YneyUeMIaztMG3[jt789::56`NDnwwH@7602dJHb{{LD3\mhvXfHNdyyBJ1018jDU03gKXSK]M7:lBWZOHJ880bL]PIN@\jDUXAFHdyyo4n@Q\MJDh}}<0bL]`uug8jDUh}}Uecy>?0001?kGTg|~Tbbz?013\mhvXfHYdyyQaou23447c3gKXcxzPos2345473gKXcxzPos2345YneyUeM^azt^mq45679>1eMb{{OD37?kGh}}ENSdc_oClqqIB9<1eNH\]9:lAAWTXNZH27cLJRS]JKG10bO\PIN@\ekb789:Te`~PnCP\MJDXign;<=>>f:lAVZOHJVg~t=>?0028jGTXAFHTaxv?012254=iJ[UBCOQbuy234576981eN_QFOC]nq}67898:==5aBS]JKGYj}q:;<==>3:lAVZOHJVg~t=>?0^knt`=iJ[UBCOQ`r1234740bNO\c:l@EVYig}:;<?0308jAoiGLUjbi>?01]jiuYiL`dDIRoad12344753gNbbBKPilr\jAoiGL;<7cJ`uuMFb>hCg|~DIRoad123472hH~lxgmtQnne2345413gE}ibny^cm`5678Vcf|R`@vdpoe|Yffm:;<=?8;oPBIIQB?2dYM@[XE59mVDUd3gXJ_Road12344chUIZUd~=>?0^kntZhUIZUd~=>?0068jWCTk2dYI^Qaou23447b3gXN_R``t1235ZojxVdYI^Qaou2344703gXN_b{{6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad1234740bXJ\_HMA[kSC[VCDNb{{b:lV@VYNGKe~xn5aUEQ\ekb789::i6`ZDR]bja6789Uba}QaUEQ\ekb789::o6`ZDR]mkq6788;n7c[KS^llp5679Vcf|R`ZDR]mkq6788;?7c[XEb9mQRCXign;<=>>e:lVS@Yffm:;<=Qfmq]mQRCXign;<=>>e:lVS@YneyUeYZK>4:lUID>hQEHUjbi>?013f?kPJIVkeh=>?0^kntZhQEHUjbi>?013e?kPJIVif|Rk~012361=i^DKTo`~Pep2345YneyUeZ@OPclr\at6789;h7cXBA^llp56798o0b[CN_omw4566W`g{ScXBA^llp56798>0b[^N8:lUTDYA[K20b[^N_HMAg>hQXHUjbi>?013f?kPWIVkeh=>?0^kntZhQXHUjbi>?013f?kPWIVcf|R`YP@30?kQB?2d\IRH\B69skiYddb30|bbPcmifj==wgeUxoa|7;qmo[vub|11{czPcmib?uiu|Viggh`6;qmqpZbf|h20|b|{_nrb2>vhz}Uzn6~`ru]qavsk|01{czPsbnq=>vhz}Uxhz>;s18vdk?3{~gSyweg9pkptdmVlb`h`nmdf8wjsuklUgeckab39vt<=qienSnga9:tbhpcXgyh37um6512{h`=k0?8:ubc56.1xFGx8=886NOx2;`>C<528qXmk4<9c811a<6;=h;n:4=10:6k5><3;0b>7::79'7<5=;1n0q^oj:2;a>73c289?n=l8:332f5=c;1i1<7?51zQbb?5>j38>h7?<4c2a3?4691?0zK=?3;295?7=8rYjj7=6b;06`?741978 60728oj7)<:e;65=>d40j0;69l512857~J41909wE?j9:&11`<3>11v@>7>:0y'035=>2w/?8<539a8^d2=;ri1=h4=5;Y711=:rn1=i4r+50a>5<>o4m00;66a;2283>>i4n?0;66a>obk3:17d??b;29?l5aj3:17b=kd;29?j5b=3:17d:?7;29?j25<3:17d:;e;29?j24?3:17b:>c;29?lg>2900el850;9l7a3=831d?i750;9j05g=831b?ho50;9l07`=831d?km50;9l05d=831d89=50;9l7c`=831d8==50;9j005=831d?h650;9l62c=83.9:=4=7e9m60`=821d>:m50;&125<5?m1e>8h51:9l6=1=83.9:=4=7e9m60`=:21d>5850;&125<5?m1e>8h53:9l6=3=83.9:=4=7e9m60`=<21d>5:50;&125<5?m1e>8h55:9l6=5=83.9:=4=7e9m60`=>21d>5<50;&125<5?m1e>8h57:9l6=7=83.9:=4=7e9m60`=021d>5>50;&125<5?m1e>8h59:9l62`=83.9:=4=7e9m60`=i21d>:l50;&125<5?m1e>8h5b:9j<3<72-8=<76:;o06b?6<3`2?6=4+2729<0=i:!41832>7c<:f;08?l>5290/>;>5849m60`=;21b4=4?:%054?>23g8>j7:4;h5e>5<#:?:1485a24d91>=n?l0;6)<90;:6?k42n3<07d9k:18'636=0<1e>8h57:9j3f<72-8=<76:;o06b?><3`=i6=4+2729<0=i:!41832>7c<:f;c8?l1>290/>;>5849m60`=j21b;54?:%054?>23g8>j7m4;h54>5<#:?:1485a24d9`>=n0l0;6)<90;:6?k42n3o07d6k:18'636=0<1e>8h5f:9j5$343>=35<#:?:1485a24d957=h5=o0:?65f8983>!41832>7c<:f;37?>o??3:1(?8?:978j73a28?07d6>:18'636=0<1e>8h51798m62c290/>;>535a8j73a2910e>:m:18'636=;=i0b?;i:098m62f290/>;>535a8j73a2;10n>6i:182>5<7s-9>>7?5<6290;w)=:2;16b>N40l1d>8m50;9~f6ec290:m7<7:2axH6?728qC=h74}M1:5?4|f=j4$540>3=z,:?96o>4Z`695~7>2tP88:4>{0:9ylge2900c5750;9l6c0=831d=9750;9j15<72-8=<7:i;o06b?6<3`>n6=4+27290c=i:!4183>m7c<:f;08?l2e290/>;>54g9m60`=;21b844?:%054?2a3g8>j7:4;h6;>5<#:?:18k5a24d91>=n<>0;6)<90;6e?k42n3<07d:9:18'636=8h57:9j00<72-8=<7:i;o06b?><3`>?6=4+27290c=i:!4183>m7c<:f;c8?l25290/>;>54g9m60`=j21b8<4?:%054?2a3g8>j7m4;h63>5<#:?:18k5a24d9`>=n=10;6)<90;6e?k42n3o07d;8:18'636=8h5f:9a7<4=83;1<7>t$271>63a3A93i6a=5b83>>{e<;:1<7;52;4xH6?728qC=h74}M1:5?7|,=<86<5r$271>4`43`h36=44o344>5<1<75fb483>>o?n3:17o950;794?6|,:?96<>k;I1;a>J4190:w)?;e;654>{nih0;66gnc;29?lg22900eo650;9l631=831vn4>50;094?6|,:?96<;9;I1;a>o6<90;66a=3883>>{tj=0;6?uQb59>3?g23ty3j7>52z\;b>;>83;?<6s|22494?4|5>0jo6360;00=>{tj<0;6?uQb49>3?gf3ty9?:4?:3y>3?41?2T9::5rs31;>5<5sWh37095b99~yg73l3:1=<4<:01x 63528>o7b?;9;29?l302900e8650;9j05<722c?=7>5;h61>5<>o3=3:17d:9:188m11=831b854?::k7=?6=3`>i6=44i5f94?=n50z&017<0;2B84h5f15394?=n9=81<75f15194?=n9=>1<75f15794?=n9=<1<75f15594?=n9=21<75`22;94?=zj8>i6=46:183!52:3=87E=7e:k204<722c:8?4?::k206<722c:894?::k200<722c:8;4?::k202<722c:854?::m17<<722wi=9m50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17p}>4883>7}Y9=301<:l:31:?xu2?3:1>vP:7:?20d<6<81v8650;0xZ0><58>j6<:=;|q74?6=:rT?<63>4`8206=z{=;1<7?7p};2;296~X3:27:8l4>449~w15=838pR9=4=06b>4213ty?87>52z\70>;63:1>vP;6:?20g<6<81v9950;0xZ11<58>i6<:=;|q74c8206=z{=31<7?7p};b;296~X3j27:8o4>449~w1b=838pR9j4=06a>4213ty?i7>52z\7a>;6h6<:>;|q20g<72;q6=9l522;8942d28>97psm18:94?b=;3lp(>;=:0;;?j70m3:17d?72;29?l7?<3:17d?76;29?l7?03:17d?7a;29?l7?k3:17d?7e;29?l7>83:17d?62;29?l7><3:17d?66;29?l51?3:17o?8f;29=?6=8r.89?4=449K7=c:6=44i061>5<86=44i067>5<>6=44i065>5<<6=44i06;>5<:188m4252900e<:<:188m4232900c?=6:188yg7?93:1?7>50z&017<5;j1C?5k4i062>5<96=44o31:>5<52z\23`=:91;1>>74}r3;6?6=:rT:4?5216d951452z\2<1=:9>l1=9?4}r3;2?6=:rT:4;5216d951552z\2<==:9>l1=9;4}r3;e?6=:rT:4l5216d951252z\2l1=984}r3;a?6=:rT:4h5216d951>52z\2=5=:9>l1=994}r3:6?6=:rT:5?52192951752z\2=1=:91:1=9=4}r3:2?6=:rT:5;52192951452z\022=:91:1=9:4}r34b?6=:r7:;k4=389>5=7=9=;0q~?70;296~;60909?4521939514c}#;<81?5l4o2:4>5<5<5<5<5<5<5<66:186>5<7s-9>>7<;1:J0<`=n9=;1<75f15094?=n9=91<75f15694?=h::31<75rb2:b>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w6>02909wS=77:?08j:181[51m278454>439~w6172909wS=80:?0<=<6<81v>9=:181[50:278454>429~w6132909wS=84:?0<=<6<<1v>99:181[50>278454>459~w61?2909wS=88:?0<=<69n:181[50i278454>499~w61d2909wS=8c:?0<=<6<>1v>9j:181[50m278444>409~w6>72909wS=70:?0<<<6<:1v>6=:181[5?:278444>439~w6>32909wS=74:?0<<<6<=1v>67:18185?0388563<8`8204=z{:226=4={<1;=?4412784l4>439~yg44j3:197>50z&017<1k2B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj;2h6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?;j50;794?6|,:?96;o4H2:f?l7393:17d?;2;29?l73;3:17d?;4;29?j4413:17pl<8783>6<729q/?8<5639K7=c:6=44i061>5<:188m4252900c?=6:188yg7f=3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj=896=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi>5l50;194?6|,:?96;:4H2:f?l7393:17d?;2;29?j4413:17pl<6`83>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831b=9=50;9j512=831d>>750;9~f60a290>6=4?{%166?0d3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66a=3883>>{e;>;1<7;50;2x 6352?h0D>6j;h375?6=3`;?>7>5;h377?6=3`;?87>5;n00=?6=3th8;>4?:483>5}#;<81:n5G39g8m4262900e<:=:188m4242900e<:;:188k75>2900qo=85;291?6=8r.89?49b:J0<`=n9=;1<75f15094?=n9=91<75f15694?=h::31<75rb254>5<2290;w)=:2;4a?M5?m2c:8<4?::k207<722c:8>4?::k201<722e9?44?::a72?=83?1<7>t$271>3g<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd4?k0;684?:1y'704=>k1C?5k4i062>5<96=44i060>5<?6=44o31:>5<55;294~"4=;0=m6F<8d9j517=831b=9<50;9j515=831b=9:50;9l66?=831vn>9i:186>5<7s-9>>78m;I1;a>o6<80;66g>4383>>o6<:0;66g>4583>>i5;00;66sm39394?3=83:p(>;=:7c8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3f8857>5;|`0<6<72<0;6=u+34092d=O;1o0e<:>:188m4252900e<:<:188m4232900c?=6:188yg5?=3:197>50z&017<112B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj;lj6=4::183!52:38483>0<729q/?8<56c9K7=c:6=44i061>5<86=44i067>5<N40l1b=9?50;9j514=831b=9=50;9j512=831d>>750;9~f4>>290>6=4?{%166?0d3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66a=3883>>{e91h1<7;50;2x 6352?h0D>6j;h375?6=3`;?>7>5;h377?6=3`;?87>5;n00=?6=3th:4i4?:483>5}#;<81:o5G39g8m4262900e<:=:188m4242900e<:;:188k75>2900qo?7f;291?6=8r.89?49a:J0<`=n9=;1<75f15094?=n9=91<75f15694?=h::31<75rb0;2>5<2290;w)=:2;4a?M5?m2c:8<4?::k207<722c:8>4?::k201<722e9?44?::a5<5=83?1<7>t$271>3g<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd61<0;684?:1y'704=>h1C?5k4i062>5<96=44i060>5<?6=44o31:>5<55;294~"4=;0=56F<8d9j517=831b=9<50;9j515=831b=9:50;9l66?=831vn?h7:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66s|ac83>7}Yik168?>5b59~w4g32909wS?n4:?2e0<5;01v4o50;0xZ;|q76g<72;qU8?l4=503>=`52z\23`=:9021=:k4}r666?6=;rT?9?5237f9517<58k>6<:>;|q1<<<72=qU>574=3:`>75>349=h7?;2:?2e0<6<;1v?h9:181[4a>279jo4=389~w42>2909wS?;9:?20a<6<01v<6=:18187>03;3>63>82817<=z{82?6=4={<3:12909w0?68;3;2>;60>09?45rs0:;>5<5s4;247?78:?2<<<5;01v<6n:18187>03;3m63>8c817<=z{82h6=4={<3:;61>09?45rs0:f>5<5s4;247?7e:?203;2<63>90817<=z{8396=4={<3::27:5>4=389~w4?32909w0?68;3:0>;61<09?45rs3d4>5<5s48mn7?;1:?1b=<5;01v?=n:180844j388563=fc8207=:<;81=9<4}r0e=?6=:r79jl4=389>6c>=9=80q~=99;296~;4>m0:8>5237c966?52z?02a<6<=16?;m522;8yv4?i3:1>v3=8b8204=::1h1>>74}r1;3?6=:r784o4<869>7=0=9=80q~:=0;297~;3:909::5229a9514<5;l36<:>;|q02=<72;q6?;j522;896>128>:7p};2083>7}:<;:1n852430966?52z?0l16?;h522;8yv5083:1>v3<8c8035=:;>;1>>74}r146?6=:r784o4<739>725=::30q~=84;296~;40k08;952367966?52z?0v3<8c803==:;>31>>74}r1;6?6=:r784o4<839>7=5=::30q~=97;296~;61108::52394966?52z?0v3<8c803d=:;>h1>>74}r14g?6=:r784o4<7b9>72b=::30q~=8e;296~;40k08;h5236d966?52z?0429>6=d=9=801?hn:067?87?;3;?>63>848207=:91=1=9?4=0::>42334;3n7?;4:?2?70?63;370>;61<0:89521859512<,:>269h4n26;>5=z{=o1<7??{_6f?873l3>n70<;50k0:8<522gc9515<58286<:;;<3;1?73<27:4:4>459>5=?=9=901<6m:061?87?l3;?>63>8g8204=:90;1=9=4=0;0>42434;297?;3:?2=2<6<:1/?9754g9m71>=92wx8i4?:02xZ1b<58>o69j4=31a>425349=m7?;2:?1bd<6<;16=5=5153894>228>870?77;377>;6000:8?5219`9517<582o6<:<;<3;b?73;27:5<4>439>5<5=9=;01<7::061?87>?3;?>6*<4887b>h4<1097p};b;2955}Y66d=9=;01>8n:062?84ai3;?=63>828206=:91?1=9?4=0:4>42534;357?;1:?2a28>970?61;375>;61:0:8?521879517<583<6<:>;%17=?2a3g9?47=4}r6:>5<4sW>270?;d;6:?851k3;?86*<4887b>h4<10?7p};8;297~X3027:8i4;8:?02f<6<:1/?9754g9m71>==2wx8:4?:2y]02=:9=n18:5237a9514<,:>269h4n26;>3=z{=<1<7=t^548942c2=<01>8l:062?!5313>m7c=;8;58yv22290nwS::;<37`?22349=j7?;3:?034<6<;16?:=51518961228>970=87;376>;4?00:8<5236`9512<5:=o6<:;;<14b?73<2784<4>459>7=5=9=>01>6::067?!5313>m7c=;8;:8yv23290nwS:;;<37`?23349=j7?;2:?034<6<816?:=51568961228>?70=87;370>;4?00:895236`9514<5:=o6<:>;<14b?73;2784<4>429>7=5=9=901>6::060?!5313>m7c=;8;;8yv24290nwS:<;<37`?24349=j7?;4:?034<6<=16?:=51508961228>:70=87;377>;4?00:8>5236`9515<5:=o6<:<;<14b?73:2784<4>409>7=5=9=801>6::061?!5313>m7c=;8;c8yv25290nwS:=;<37`?25349=j7?;1:?034<6<:16?:=51538961228>870=87;375>;4?00:8?5236`9517<5:=o6<:=;<14b?7392784<4>439>7=5=9=;01>6::062?!5313>m7c=;8;`8yv262909wS:>;<37`?263-9?57:i;o17;6=4={_63?873l3>;7)=;9;6e?k5303n0q~;7:181[3?34;?h7;7;%17=?2a3g9?47k4}r74>5<5sW?<70?;d;74?!5313>m7c=;8;d8yv44?3:1>v3<93811f=:<;:1n55r}c655?6=;:08:7;m{M1:4?7|@8o27pB<9081k21<3>=7):93;48y!52:38346Tn4;0xg?7d2tP88:4={e82f?{nik0;66a;3d83>>o4lk0;66a;3083>>i3:<0;66a>o>i3:17d=<1;29?j4f>3:17b:>c;29?l2713:17d==4;29?l22:3:17d:<5;29?l4?13:17b:=f;29?l4a>3:17b:>8;29?j40m3:1(?8?:35g?k42n3:07b<8c;29 7072;=o7c<:f;38?j4??3:1(?8?:35g?k42n3807b<76;29 7072;=o7c<:f;18?j4?=3:1(?8?:35g?k42n3>07b<74;29 7072;=o7c<:f;78?j4?;3:1(?8?:35g?k42n3<07b<72;29 7072;=o7c<:f;58?j4?93:1(?8?:35g?k42n3207b<70;29 7072;=o7c<:f;;8?j40n3:1(?8?:35g?k42n3k07b<8b;29 7072;=o7c<:f;`8?l2c290/>;>54b9m60`=821b8o4?:%054?2d3g8>j7?4;h6:>5<#:?:18n5a24d96>=n<10;6)<90;6`?k42n3907d:8:18'636=8h54:9j03<72-8=<7:l;o06b?3<3`>>6=4+27290f=i:!4183>h7c<:f;58?l24290/>;>54b9m60`=021b8?4?:%054?2d3g8>j774;h62>5<#:?:18n5a24d9e>=n<90;6)<90;6`?k42n3h07d;7:18'636=8h5c:9j12<72-8=<7:l;o06b?b<3`?=6=4+27290f=i:!4183>h7c<:f;d8?l33290/>;>54b9m60`=9910e8=50;&125<3k2d99k4>1:9j17<72-8=<7:l;o06b?7532c>=7>5$343>1e5<#:?:18n5a24d951=0;3955}K;0:1=vF>e89~H6?628q/8;=55:'704=9:k0eo:50;9l61d=831d>9j50;9l61`=831d>8?50;9l605=831d>8;50;9l601=831d>8750;9l60g=831b=h<50;9j5`5=831b=h:50;9j5`3=831b=h850;9j5`1=831i=>750;33>a<6{I3f=>{#;<81>km4i0g1>5<5<6=44i0g5>5<7?:0yK5`?>7??e:ka5;h`7>5<0;6>4?:1y'704=99i0D>6j;M1:4?7|,8>n698?;|kb1?6=3`h36=44o344>5<5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3E92<7?t$06f>1073tcj97>5;h`;>5<5<5sWh?7095a49~w7512909w0952758Z7003ty9?:4?:3y]f==:?3h37psm13`94?5=939p@>7?:0yK5`?>7??e:ka5;h`7>5<0;6>4?:1y'704=99i0D>6j;M1:4?7|,8>n698?;|kb1?6=3`h36=44o344>5<0;29?g7603:187>50z&017<1>2B84h5f15394?=n9=81<75f15194?=h::31<75rb031>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a542=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd69?0;6;4?:1y'704=>o1C?5k4i062>5<96=44i060>5<?6=44i066>5<:188m4252900e<:<:188m4232900c?=6:188yv75j3:18vP>2c9>54>=9=9013;?>6s|10c94?2|V8;j70?>8;376>;69=0:8<52104951754z\24c=:9821=9?4=031>42534;:87?;2:p5`4=838pR50;0xZ47734;:;7<<9:p547=838p17;377>{t98?1<775>34;:;7?;4:~f44f2902654>0z&017<6:h1b==h50;9j5`4=831b=h850;9j54g=831b=h:50;9j5`5=831b=h;50;9j57d=831d=1<7>t$271>30<@:2n7d?;1;29?l73:3:17d?;3;29?j4413:17pl>1e83>1<729q/?8<5669K7=c:6=44i061>5<86=44o31:>5<56;294~"4=;0<<6F<8d9j517=831b=9<50;9j515=831b=9:50;9j513=831d>>750;9~f44629086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e9;91<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th:>84?:283>5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo?=7;290?6=8r.89?497:J0<`=n9=;1<75f15094?=n9=91<75`22;94?=zj8836=47:183!52:38?86F<8d9j517=831b=9<50;9j515=831b=9:50;9j513=831b=9850;9j511=831d>>750;9~w46a2908wS??f:?26<<6<:16=?;51508yv7b:3:18vP>e39>57?=9=;016s|1d494?2|V8o=70?=9;376>;69m0:8>52135951557z\25d=:98n1=9<4=03e>42534;9=7?;1:?266<6<;16=?;51538944028>:7p}>e583>6}Y9l>01f;377>{t9l?1<7;6::0:8<5rs03a>5<5sW;:n63>29817<=z{8;h6=4={<31=?44127:>54>409~w47c2909w0?>d;00=>;6:10:8?5rs03f>5<5s4;:j7<<9:?26=<6<:1v<298201=z{8896=4={<317?44127:>54>449~w4432909w0?=5;00=>;6:10:8;5rs005>5<5s4;9;7<<9:?26=<6<>1vqo?<7;29369j5`4=831b=h850;9j54g=831b==h50;9j5`2=831b=h950;9j57d=831d=?m50;9a560=83?1<7>t$271>3?<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd6:l0;684?:1y'704=>h1C?5k4i062>5<96=44i060>5<?6=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn<==:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm12694?3=83:p(>;=:7c8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3f8857>5;|`270<72?0;6=u+3409614<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17d?;5;29?j4413:17p}>e383>1}Y9l801<=9:062?875m3;?>63>358207=z{8o=6=4<{_3f2>;6;?0:8?52126951253z\25d=:9:<1=9=4=013>4253ty:h4>459~w44e290>wS?=b:?26`<6<816=>>51538945528>:70?<4;375>{t9;i1<7{t9;l1<775>34;897?;3:p567=838p1<==:31:?874=3;?86s|12194?4|589?6?=6;<301?73=2wvn?:l:187>5<7s-9>>788;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e:=o1<7:50;2x 6352?<0D>6j;h375?6=3`;?>7>5;h377?6=3f8857>5;|`115<72=0;6=u+34092==O;1o0e<:>:188m4252900e<:<:188k75>2900qo<:2;290?6=8r.89?495:J0<`=n9=;1<75f15094?=n9=91<75`22;94?=zj;??6=4;:183!52:3<<7E=7e:k204<722c:8?4?::k206<722e9?44?::a60>=83>1<7>t$271>30<@:2n7d?;1;29?l73:3:17d?;3;29?j4413:17pl=5c83>6<729q/?8<5629K7=c:6=44i061>5<54?=99l01<424348>>7?;3:?111<6<816>8651508973e28>:7p}>1`83>g}:98k1>;94=03:>47f34;9m7?>a:?272<69h16>9m51518972b28>970<:0;376>;5=;0:8?522469514<5;?36<:>;<06f?73:2wx=?l50;cx944e2;<<70?>9;31f>;6:h0:>o52125957d<5;>h6<:=;<07a?73;2799=4>409>604=9=;01?;;:060?84203;??6s|1d094?2|V8o970?>9;3f6>;6:h0:i?5212595`452z\2a6=:9;k1=h=4}r3f0?6=27:?:4>e79~w4c02908wS?j7:?25<<6m>16=>951d58yvd1290?wSl9;<33b?d334;:m7l;;<31f?d33ty98o4?:3y]61d<5;>h6?=6;|q10a<72;qU>9j4=36f>75>3ty98k4?:3y]61`<5;?;6?=6;|q114<72;qU>8?4=371>75>3ty99>4?:3y]605<5;??6?=6;|q112<72;qU>894=37;>75>3ty99l4?:3y]60g<5;?i6?=6;|q255<72;q6==h5b99>54?=98:0q~?>b;296~;69h0i463>2`825g=z{88h6=4={<31f?d?34;8;7?=c:~wg2=838pRo:4=01:>g052z\10g=:9:31>9l4}r07`?6=:rT98i5212;961b52z\10c=:9:31>9h4}r065?6=:rT99<5212;9607?7>52z\116=:9:31>8=4}r061?6=:rT9985212;9603;7>52z\112=:9:31>894}r06=?6=:rT9945212;960?m7>52z\11d=:9:31>8o4}r3f6?6=:rT:i?5212;95`452z\2a6=:9:31=h=4}r3f0?6=:rT:i95212;95`252z\2a0=:9:31=h;4}r3f2?6=:rT:i;5212;95`052z\2a2=:9:31=h94}|`:g?6=;3;1?vB<9182M7b12wG?4?51z&726<23t.89?4>fd9jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:kb1?6=3`h36=44o344>5<4`b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqo=<4;297?7=;rF85=4>{I3f=>{K;0;1=v*;6286?x"4=;0:jh5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6gn5;29?ld?2900c?88:188yvd32909wSl;;<59e0=z{;9=6=4={<596317}Yj116;7l7;|a775=8391=7=tL2;3>4}O9l30qA=61;3x 1042<1v(>;=:0df?ld?2900c?88:188mg2=831i;7>53;294~"4=;0:7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk98<7>53;397~J4190:wE?j9:O7<7=9r.?:>4:;|&017<6nl1bn54?::m122<722ci87>5;c594?5=83:p(>;=:02`?M5?m2cj97>5;h`;>5<5<5sWh?7095a49~w7512909w0952758Z7003ty9?:4?:3y]f==:?3h37psm31d94?3=:3?p@>7?:0yK5`?0=#g><,=?h6?88;%66>87?4$57:>g36<5+44g971c76>3`h?6=44ic794?=nj10;66a=6683>>o47<2sE92<7?tH0g:?x"4=;0:j?5fb983>>i5>>0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<>850;0x96>a289n7095ab9~w7502909w0952758Z7003ty9?54?:3y]f==:?3h37psm3b794?5=83:p(>;=:31g?M5?m2c:8<4?::k207<722e9?44?::pf1<72;qUn9527;`7?xue=3:1>vPm5:?0g0<6<;1v?88:181[41?27<6?88;|q00`<72:qU?9k4=68a<>;4k<0:8<5rs2a7>5<5s4=1n8523b7966?6}K;0:1=vF>e89~H6?628q/8;=55:'704=:9k0eo650;9l631=831bn94?::`4>5<4290;w)=:2;33g>N40l1G?4>51z&20`<3>91vel;50;9jf=<722e9::4?::pf1<72;qUn9527;c6?xu5;?0;6?u27;053>X5>>1v?=8:181[d?34=1n55r}c0:f?6=;3;1?vB<9182M7b12wG?4?51z&726<23t.89?4=0c9jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:N0=5<6s-;?i7:90:je0<722ci47>5;n053?6=3tyi87>52z\a0>;02h?0q~<<6;296~;02;<<7S<97:p661=838pRo64=68a<>{zj;k36=4<:080I5>83;pD=?7;4}%166?47k2ci47>5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3E92<7?t$06f>1073tcj97>5;h`;>5<5<5sWh?7095a49~w7512909w0952758Z7003ty9?:4?:3y]f==:?3h37psm33094?5=939p@>7?:0yK5`?0=z,:?96?>k;h`;>5<50z&017<68j1C?5k4L2;3>4}#9=o18;>4}hc6>5<1<7>850;0x92<5>>1U>;94}r003?6=:rTi4638:c:8yxd4n?0;6>4=:5yO7<6=9rB:i45rL2;2>4}#5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17plm7;296?6=8r.89?4>579K7=c;6=44o31:>5<;7p}=3783>7}:?3k>70l8:31:?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c0:>o50m0;66g<0183>>o4:90;66g=9883>>d51>0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;09?i5G39g8m4262900e<:=:188k75>2900qo<61;290?6=8r.89?496:J0<`=n9=;1<75f15094?=n9=91<75`22;94?=zj;386=4;:183!52:3<<7E=7e:k204<722c:8?4?::k206<722e9?44?::a6<3=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd51?0;694?:1y'704=::o0D>6j;h375?6=3`;?>7>5;h377?6=3f8857>5;|q1<`<72;qU>5k4=3;5>75>3ty9jk4?:3y]6c`<5;3:6<:<;|q057<72;qU?<<4=3;2>4263ty2m7>54z\:e>;51>0:8<522829517<5;386<:>;|q15j4=3;4>425348297?;2:p756=838pR>>?;<0:7?73;2wx??>50;0xZ6473482?7?;2:p65h50;0x97?02;9270<60;376>{t::<1<775>3482=7?;2:p661=838p1?7>:31:?84>>3;?=6s|28094?4|5;386?=6;<0:2?73:2wx>4:50;0x97?22;9270<66;377>{zj;k>6=47:28b!52:38j96a=a183>>o5no0;66g<1283>>o49m0;66g=9b83>>o4890;66g<1783>>o51l0;66l=a583>0<729q/?8<56b9K7=c:6=44i061>5<86=44i067>5<N40l1b=9?50;9j514=831b=9=50;9l66?=831vn?o<:180>5<7s-9>>7<5<5sW8j<63=a2817<=z{;lm6=4={_0eb>;5i=0:895rs230>5<5sW9:?63=a58204=z{:;o6=4={_12`>;5i=0:8?5rs3;`>5<5sW82o63=a58206=z{::;6=4={_134>;5i;0:8>5rs235>5<5sW9::63=a38207=z{;3n6=4={_0:a>;5i;0:8<5rs3c2>5<5s48j87<<9:?1e6<6<81v?o=:18184f:388563=a28207=zuk8i=7>5a;79a~"4=;09n<5`2`;94?=n:ol1<75f30194?=n;8n1<75f28a94?=n;9;1<75f2gf94?=n;981<75f31294?=n;8<1<75m2c294?2=83:p(>;=:758L6>b3`;?=7>5;h376?6=3`;??7>5;n00=?6=3th9mo4?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl=ab83>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7ga290=6=4?{%166?43:2B84h5f15394?=n9=81<75f15194?=n9=>1<75f15794?=h::31<75rs3c:>5<5sW8j563=ag817<=z{;lm6=4={_0eb>;5ij0:8<5rs230>5<4sW9:?63=b18204=::hh1=9?4}r12`?6=:rT8=i522c2951452z\1=f=::k:1=9=4}r135?6=:rT8<<522`d951452z\1ba=::hl1=9=4}r136?6=:rT852z\045=::ho1=9?4}r122?6=:rT8=;522`g951452z?1f5<5;016>ll51508yv44>3:1>v3=ac817<=::hi1=9<4}r003?6=:r79mn4=389>6d`=9=;0q~3}#;<81>o94o35a>5<6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7d229086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{;=i6=4={_04f>;5j<09?45rs3c;>5<4sW8j463=b78204=::k>1=9?4}r6b>5<5sW>j70{t<90;6?uQ419>6g2=9=80q~52z?1f1<5;016>o;51508yxd5jm0;694<:7y'704=:kn0c?9i:188m7g?2900e8?50;9j04<722h9nn4?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w71a2909wS<8f:?1fg<5;01v?o7:180[4f0279nn4>409>6gg=9=;0q~;>:181[36348io7?;2:p04<72;qU8<522cc951452z?1ff<5;016>ol51538yv4e13:1>v3=b`817<=::kh1=9<4}|`1g6<72=086;u+34096f55<>d5k;0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn?m>:180>5<7s-9>>7<5<5sW83<63=c0817<=z{;k36=4<{_0b<>;5k;0:8<522b295176s|4383>7}Y<;16>n>51508yv4em3:1>v3=c3817<=::j;1=9?4}r0ab?6=:r79o=4=389>6f7=9=80qpl=c883>1<42?q/?8<52b;8k7>62900e?o7:188m05=831b8>4?::`1g=<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg4d>3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj;i<6=4<:183!52:388o6F<8d9j517=831b=9<50;9l66?=831v?6>:181[4?9279o:4=389~w7g?2908wSn851538yv342909wS;<;<0`4?:3y]06=::j<1=9<4}r0`0?6=:r79o54=389>6f1=9=;0q~3}#;<81>nh4o3:1>5<6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7ec29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{;296=4={_0;6>;5km09?45rs3c;>5<4sW8j463=cd8204=::ji1=9?4}r77>5<5sW??70{t<=0;6?uQ459>6fe=9=80q~52z?1gf<5;016>nj51508yxd5l<0;694<:7y'704=:m?0c?6<:188m7g?2900e8;50;9j00<722h9h94?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w7>42909wS<73:?1`6<5;01v?o7:180[4f0279h94>409>6a4=9=;0q~;::181[32348o87?;2:p00<72;qU88522e0951452z?1`1<5;016>i=51538yv4c93:1>v3=d3817<=::m91=9<4}|`1`g<72=086;u+34096ad5<>d5lh0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn?j6:180>5<7s-9>>7<5<5sW83863=d8817<=z{;k36=4<{_0b<>;5lh0:8<522e:95176s|4783>7}Yi651508yv4c>3:1>v3=d`817<=::m31=9?4}r0g3?6=:r79h54=389>6a?=9=80qpl=e083>1<42?q/?8<52d38k7>22900e?o7:188m01=831b8:4?::`1a5<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg4cm3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj;nm6=4<:183!52:388o6F<8d9j517=831b=9<50;9l66?=831v?6::181[4?=279hk4=389~w7g?2908wSik51538yv302909wS;8;<0f4?73:2wx8:4?:3y]02=::mo1=9<4}r0gg?6=:r79i=4=389>6a`=9=;0q~3}#;<81>h74o3:5>5<6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f7c029086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{;2=6=4={_0;2>;5m>09?45rs3g1>5<4sW8n>63=e98204=::l<1=9?4}r7;>5<5sW?370{t<10;6?uQ499>6`0=9=80q~52z?1a3<5;016>h951508yxd5mo0;694<:7y'704=:ll0c?68:188m7g?2900e9l50;9j0<<722h9ih4?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w7>02909wS<77:?1aa<5;01v?o7:180[4f0279ih4>409>6`e=9=;0q~:m:181[2e348ni7?;2:p0<<72;qU84522da951452z?1a`<5;016>hj51538yv4bj3:1>v3=eb817<=::ln1=9<4}|`1e2<72:0;6=u+340966b<@:2n7d?;1;29?l73:3:17b<<9;29?xd3;=0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`704<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17pl;1c83>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3th?=k4?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xd3:10;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`775<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17pl<2083>6<729q/?8<5639K7=c:6=44i061>5<:188m4252900c?=6:188yg56m3:1:7>50z&017<1m2B84h5f15394?=n9=81<75f15194?=n9=>1<75f15794?=h::31<75rb23b>5<4290;w)=:2;41?M5?m2c:8<4?::k207<722e9?44?::a771=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd4;:0;6>4?:1y'704=>:1C?5k4i062>5<96=44o31:>5<53;294~"4=;09?n5G39g8m4262900e<:=:188k75>2900qo5<4290;w)=:2;47?M5?m2c:8<4?::k207<722e9?44?::a016=83?1<7>t$271>3e<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17b<<9;29?xd39h0;684?:1y'704=>j1C?5k4i062>5<96=44i060>5<?6=44o31:>5<:i7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn9<8:187>5<7s-9>>789;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e<;k1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wi?<;50;794?6|,:?96;l4H2:f?l7393:17d?;2;29?l73;3:17d?;4;29?j4413:17pl<1683>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831b=9=50;9l66?=831vn>?l:187>5<7s-9>>7<5<7s-9>>78:;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e:0l1<7=50;2x 6352?80D>6j;h375?6=3`;?>7>5;n00=?6=3th8j54?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xufj3:1hvPnb:?1bfk4=562>75>3ty8ho4?:7y]7ad<5=986<:>;<674?73927?=l4>409>04c=9=;019<8:062?xu3;80;6?uQ423891532;927p};2483>7}Y<;?019<7:31:?xu4n?0;6?uQ3g4896`12;<<7p}6a;29e~X>i279jn4>e39>=f:70=>9;377>;51m0:8<5228d951752z\074=:;:>1n55rs3c5>5<5sW8j:63=a6817<=z{=;h6=4={_62g>;39o09?45rs52:>5<5sW>;563;1`8206=z{:8?6=4={_110>;4:<0i46s|44094?3|V=?970:<3;376>;3<90:8?5240c9514<5=;n6<:=;|q770<72;qU8>;4=563>4243ty9444?:8y]6=?<5;lh6459>6`5=9=8019<8:061?856=3;?>63<188204=::0n1=9<4}r61b?6=:rT?>k52422966?52z\1b3=:<::1=9>4}r6257z?1bf<6m?16?>>52758964628>970=>e;371>;49>0:8?5230;9514<5;3m6<:=;|q077<72;q6?>>5b99>765=::30q~<69;296~;51109545228c966?>i:180857n38=;63<268204=:;:91=9?4}r116?6=:r78>?4=669>07g=9=80q~:>d;296~;39o0:8=5240g966?8j7>52z?704<6<91689>522;8yv4b:3:1>v3=e881a7=::l91>>74}r12`?6=6g7=;8n01>?i:061?856m38856s|2gf94?5|5;lh6?:m;<0a5?4al278=<4>409~w6442908w0;4::09::52307951252z?1bf<5kh4=3c6>7`a348i=7:7p}=9b83>6}::h?1>4m4=3`2>7?d3482h7<<9:p756=83039;<63=a48045=::k;1?=>4=202>4263482m7?;2:p6n38856s|31394?4|5;lh6?;<;<0a5?5792wx?=<50;0x97`d2;?<70{t;j91<773f3499>7l7;|q75<<72;q687}:<;=1>>74=50b>4263ty8j:4?:3y>7c0=j116?k6522;8yv4f03:1ov3=a98122=::k=1>l64=3`g>7g?348h?7nh52`:897b22;k370;5m809m5522dd96d><5;o86<:>;<1el750;0x97g?2k201?l>:3c:?xu49k0;6?u230c9514<5:;h6?=6;|q057<72;q6>4653008967a2;927p}<3583>7}:;:>1>;94=210>4253ty8>=4?:3y>6<>=;;:01><>:31:?xu4990;6?u231d9f0=:;8;1>>74}r127?6=6g7=;8901>?i:062?856i38856s|29f94?>|5;lh674c=9=;019:?:067?826i3;?863;268206=::0n1=9=4}r112?6=:r78>>4m8:?062<5;01v9<6:18182503;?<63;2`817<=z{:8>6=4<{<111?41?278771=9=80q~=>4;296~;49<09?45230a951754z?1e0<49?16>o?5304896702;9270=>c;376>{t;821<775>349:o7?;3:p66s|2`294?4|5;3i6o64=3c6>7g73ty???4?:3y>062=9=:019=<:31:?xu50l0;6?u229f9f==::021>5k4}r0;3?6=:rT94:522dd96=1<,:>26?9k;o1752z\1<3=::l31>584$26:>71c3g9?47=4}r0;1?6=:rT948522d396=3<,:>26?9k;o1752z\1<1=::mh1>5:4$26:>71c3g9?47;4}r0;7?6=:rT94>522e796=5<,:>26?9k;o177>52z\1<7=::jl1>5<4$26:>71c3g9?4794}r0;5?6=:rT94<522b;96=7<,:>26?9k;o1752z\1<5=::j91>5>4$26:>71c3g9?4774}r04b?6=:rT9;k522cf962`<,:>26?9k;o1752z\13g=::k=1>:l4$26:>71c3g9?47l4}r6g>5<5sW>o7S<8c:&00<<3k2d8854?;|q7f?6=:rT?n63=eg87f>"4<00?o6`<4982?xu313:1?vP;9:\13`=::ll1845+35;90f=i;=21>6s|4983>7}Y<116>h75499'71?={t6ad===2wx884?:3y]00=::m?1885+35;90f=i;=21:6s|4583>7}Y<=16>nh5459'71?=522b;906=#;=318n5a35:9<>{t<;0;6?uQ439>6f5=<;1/?9754b9m71>=12wx8<4?:3y]04=::kn18<5+35;90f=i;=21m6s|4183>7}Y<916>o95419'71?={t=>0;6?uQ569>6`7==>1/?9754b9m71>=l2wx9;4?:3y]13=::mh19;5+35;90f=i;=21i6s|5483>7}Y=<16>i;5549'71?=2<90(>:6:5a8j62?28;0q~;=:181[35348h?7;=;%17=?2d3g9?47?=;|q65?6=:rT>=63=be865>"4<00?o6`<49827>{t6g1=

=9=1vqo=k4;2956<5039=wA=60;3xL4c>3tF85<4={o650?3>3->=?784}%166?7012cjn7>5;h357?6=3`;j87>5;h363?6=3`9on7>5;h;b>5<5<5<5<5<5<>i4m10;66l=6083>g<62kqG?4>51zJ2a<=zD:3:6{#;<81=>l4ic694?=h:=h1<75`25f94?=h:=l1<75`24394?=h:<91<75f1d094?=n9l91<75f1d694?=n9l?1<75f1d494?=e9:31<7l59;dxH6?728qC=h74}%166?4192c:i?4?::k2a6<722c:i94?::k2a0<722c:i;4?::ka2?6=3f8?n7>5;n07`?6=3f8?j7>5;n065?6=3f8>?7>5;c33b?6=;3;1?vB<9182M7b12w/?8<511g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9O7<6=9r.:8h4;619~md3=831bn54?::m122<722wxn94?:3y]f1=:?3k>7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk;:m7>53;397~J4190:wE?j9:'704=99o0eo650;9l631=831bn94?::`4>5<4290;w)=:2;33g>N40l1G?4>51z&20`<3>91vel;50;9jf=<722e9::4?::pf1<72;qUn9527;c6?xu5;?0;6?u27;053>X5>>1v?=8:181[d?34=1n55r}c32=?6=<3819v*<53825<=n99l1<75f1d494?=n98k1<75`10294?=e9891<7=50;2x 6352?80D>6j;h375?6=3`;?>7>5;n00=?6=3th:=?4?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17p}>0g83>7}Y99l0197p}>1`83>7}Y98k011083>7}:9891>>74=031>4263twi=?o50;:92?b|,:?96<5;h32e?6=3`;n:7>5;h3f7?6=3`;n87>5;h3f1?6=3f;:n7>5;c312?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f47c29086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e98l1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th:><4?:583>5}#;<81:;5G39g8m4262900e<:=:188m4242900c?=6:188yg75;3:197>50z&017<1j2B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj88>6=49:183!52:38?>6F<8d9j517=831b=9<50;9j515=831b=9:50;9j513=831d>>750;9~w4c52909wS?j2:?263<6<;1v<>i:186[77n27:>;4>409>54b=9=;01<<>:061?875;3;?>6s|10c94?2|V8;j70?>d;376>;69o0:8?52133951753z\2a3=:98l1=9?4=002>4243ty:i>4?:3y]5`5<58886<:<;|q2a1<72;qU=h:4=000>4233ty:i84?:3y]5`3<58886<:>;|q25g<72;qU=75>3ty:=n4?:3y>570=::301<<::062?xu69m0;6?u210f966?<588>6<:=;|q25`<72;q6=87p}>2183>7}:9;;1>>74=006>4233ty:>?4?:3y>575=::301<<::066?x{e:=i1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th98h4?:283>5}#;<81:?5G39g8m4262900e<:=:188k75>2900qo<:0;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb371>5<4290;w)=:2;47?M5?m2c:8<4?::k207<722e9?44?::a602=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{t99l1<76t=02e>70034;:57??f:?26d<68o16>9m51538972b28>970<:0;376>;5=;0:8?52246951458z?25d<5>>16=<7510c8944f28;j70<;c;376>;5;<060?7392wx=h<50;0xZ4c534;9m7?j2:p5`5=838pRg252z\10g=::=i1>>74}r07`?6=:rT98i5225g966?52z\10c=::<:1>>74}r065?6=:rT99<52240966??7>52z\116=::<>1>>74}r324?6=:r7:{z{k>1<72k<0q~<;b;296~X57525`8yv43l3:1>vP=4e9>56?=:=n0q~<;f;296~X57525d8yv4293:1>vP=509>56?=:<;0q~<:3;296~X5=:16=>752418yv7b:3:1>vP>e39>56?=9l80q~?j3;296~X6m:16=>751d18yv7b<3:1>vP>e59>56?=9l>0q~?j5;296~X6m<16=>751d78yv7b>3:1>vP>e79>56?=9l<0qpl>6383>6<62:qG?4>51zJ2a<=zD:3:6{#;<81>=h4ic:94?=h:?=1<75fb583>>d029086=4?{%166?77k2B84h5C38295~"6>{tj=0;6?uQb59>3?g23ty9?;4?:3y>3?41?2T9::5rs314>5<5sWh37095b99~yg7d:3:197<55zN0=5<6sA;n56sC38395~"3>:0?7p*<5382b1=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e:;<1<7:52;6xH6?728qC=h74}M1:5?>|,=<8695+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?n6>:j;o662?7>7?i5:ka0?6=3`h36=44o344>5<n6=44b683>6<62:qG?4>51zJ2a<=z,:?965<50z&017<68j1C?5k4i`794?=nj10;66a=6683>>{tj=0;6?uQb59>3?g23ty9?;4?:3y>3?41?2T9::5rs314>5<5sWh37095b99~yg5fm3:1>7>50z&017<6=?1C?5k4i063>5<5<5sWh?7095b59~w7002909wS<97:?4>7003ty88h4?:3y]71c<5:kn6<:?;|q0ea<72;q6;7l7;<1ba?4412wvno?50;695?5|D:3;6932p(98<:59'034=;jo0(9;n:c:8 13d2;<<7)::8;`7?k22<3;0(9;j:26f?k22>3:0q)=:2;3e2>oe<3:17dl7:188k7002900e>:j:188f2<72:0:6>uC38295~N6m01v(>;=:0d1?ld?2900c?88:188mg2=831i;7>53;294~"4=;0:7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuzh?6=4={_`7?81=j=1v?88:181[41?27<6?88;|q00`<72;qU?9k4=68a<>{zj?;1<7;52;7xH6?728qC=h74}M1:5?g|,=<8695+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?26o;4n576>4=#<4=z,:?965<>i5>>0;66g<5083>>d0290>6?4:{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;j=1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wxn94?:3y]f1=:?3h?7p}m5;296~Xe=278o:4>439~w7002909wS<97:?4>7003ty89<4?:2y]707<5>0i463>74}|`2e5<72<0968uC38295~N6m01v@>7>:0y'035=<2w/?8<51g68mg>=831d>;950;9jf1<722ci97>5;hc`>5<0;684?:1y'704=99n0D>6j;hcb>5<>oe03:17b<97;29?xd40o0;6<4?:1y'704=9:l0D>6j;n30a?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs315>5<5s493j7?de52z?4>7003W8=;6s|22:94?4|Vk201:4m8:~f4bc290>6?4:{M1:4?7|@8o27pB<9082!21;3>0q)=:2;3e0>oe03:17b<97;29?ld32900eo;50;9jef<722h<6=4::183!52:3;;h6F<8d9jed<722cjo7>5;hc6>5<:183!52:3;8j6F<8d9l56c=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q173<72;q6?5h512g892>950;0x92<5>>1U>;94}r004>:2yO7<6=9rB:i45rL2;2>4}#5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<77<2sE92<7?tH0g:?xJ4180:w):93;68y!52:3;m86gm8;29?j41?3:17dl;:188mg3=831bmn4?::`4>5<2290;w)=:2;33`>N40l1bml4?::kbg?6=3`k>6=44ic:94?=h:?=1<75rb2:e>5<6290;w)=:2;30b>N40l1d=>k50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?;4?:3y>7=`=9:o01:4nc:p661=838p1:4=669]63152z\a<>;02k20qpl:9;297?7=;rF85=4>{I3f=>{K;0;1=v*;6287?x"4=;0:jh5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6gn5;29?ld?2900c?88:188yvd32909wSl;;<59e0=z{;9=6=4={<596317}Yj116;7l7;|a57;tL2;3>4}O9l30qA=61;3x 1042=1v(>;=:0d7?ld?2900c?88:188mg2=831bn84?::kbg?6=3k=1<7;50;2x 63528:o7E=7e:kbe?6=3`kh6=44i`794?=nj10;66a=6683>>{e;1l1<7?50;2x 635289m7E=7e:m27`<722wxn94?:3y]f1=:?3k>7p}m5;296~Xe=27<6lo4}r002?6=:r784k4>3d9>3?gd3ty9?:4?:3y>3?41?2T9::5rs31;>5<5sWh37095b99~yg7c>3:197<55zN0=5<6sA;n56sC38395~"3>:0?7p*<5382b1=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e:=<1<7;52;7xH6?728qC=h74}M1:5?g|,=<8695+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?26o;4n576>4=#<5=z,:?965<>i5>>0;66g<4d83>>d0290>6?4:{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5;n053?6=3th84k4?:083>5}#;<81=>h4H2:f?j74m3:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7512909w0=7f;30a>;02hi0q~<<7;296~;02;<<7S<97:p66>=838pRo64=68a<>{zj:i>6=4<:183!52:388h6F<8d9j517=831b=9<50;9l66?=831vo:50;0xZg2<5>0i86s|b483>7}Yj<16?n;51508yv41?3:1>vP=669>3?41?2wx?9k50;1xZ62b34=1n5523b7951752z?4>g3<5:i>6?=6;|a5f1=83?1?79tL2;3>4}O9l30qA=61;cx 1042=1/8;<53bg8 13f2k20(9;l:344?!2203h?7c::4;38 13>2k?0b9;::09'00c=;=o0b9;9:19~ 6352;:27dl;:188mg3=831bn54?::m122<722c88h4?::`4>5<22;0>wA=60;3xL4c>3t.89?4>f39jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a7dc=8391<7>t$271>34<@:2n7d?;1;29?l73:3:17b<<9;29?xd4k<0;6>4?:1y'704=::n0D>6j;h375?6=3`;?>7>5;n00=?6=3tyi87>52z\a0>;02k>0q~l::181[d2349h97?;2:pf=<72;qUn5523`g951452z\122=:?38=;6s|35g94?5|V:>n70=ne;375>;4k<0:8<5rs2cg>5<5s4=1n5523`g966?52z?4>g3<5:i>6?=6;|a7`>=83?1?79tL2;3>4}O9l30qA=61;cx 1042=1/8;<53bg8 13f2k20(9;l:344?!2203h?7c::4;38 13>2k?0b9;::09'00`=;<;0b9;8:19~ 63528l27dl;:188mg3=831bn54?::m122<722c89<4?::`4>5<22;0>wA=60;3xL4c>3t.89?4>f39jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a7f3=8391<7>t$271>75c3A93i6g>4083>>o6<;0;66a=3883>>{e;j=1<7=50;2x 6352;9o7E=7e:k204<722c:8?4?::m17<<722wxn94?:3y]f1=:?3h?7p}m5;296~Xe=278o:4>439~wg>=838pRo64=2a6>4253ty9::4?:3y]631<5>09::5rs272>5<4sW9>=6375>3ty8o94?:3y>3?d?349h97<<9:~f4d6290<684m{%166?7e92e:m44?::k120<722c==7>5;h3b3?6=3`8=>7>5;h057?6=3`;=>7>5;c3a4?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f4ge29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=zj8kh6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=lk50;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl>ag83>1<729q/?8<522g8L6>b3`;?=7>5;h376?6=3`;??7>5;n00=?6=3ty:m44?:3y]5d?<58km6?=6;|q120<72;qU>;;4=0c`>4263ty==7>53z\55>;6j90:8<521``951752z\2e2=:9k:1=9<4}r056?6=:rT9:?521`d951452z\126=:9ho1=9<4}r356?6=:rT::?521`g951752z?2f5<5;016=ll51508yv44>3:1>v3>ac817<=:9hi1=9<4}r003?6=:r7:mn4=389>5d`=9=;0q~?nd;296~;6il09?4521`d95153}#;<81=ol4o0`5>5<;=:708L6>b3`;?=7>5;h376?6=3f8857>5;|`2f=<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg7e13:1?7>50z&017<5;j1C?5k4i062>5<96=44o31:>5<52z\2f3=:9k31>>74}r42>5<4sW<:70?ma;375>;6j10:8<5rs0a1>5<5sW;h>63>b`8207=z{=?96=4={_666>;6j10:8?5rs0`4>5<5s4;im7<<9:?2f<<6<81vb88207=zuk;2j7>54;192~"4=;0:5k5`18c94?=n>80;66g>a183>>o3800;66l>9d83>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f4?c29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{83j6=4={_3:e>;61m09?45rs7394?5|V?;01<7j:062?87>k3;?=6s|1`294?4|V8k;70?6e;376>{t<931<7k3;?>6s|18`94?4|583n6?=6;<3:`?7392wx=4m50;0x94?d2;9270?6d;376>{zj8nh6=4;:285!52:3;oo6a>d683>>o193:17d?kd;29?l24=3:17o?kb;297?6=8r.89?492:J0<`=n9=;1<75f15094?=h::31<75rb0f:>5<4290;w)=:2;47?M5?m2c:8<4?::k207<722e9?44?::a5ag=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{t9m=1<76}Y>816=il5153894b>28>:7p}>de83>7}Y9mn0128>97p}>d983>7}:9mh1>>74=0fb>4263ty:h44?:3y>5a?=::301n1<7:50;2x 6352;9m7E=7e:k204<722c:8?4?::k206<722e9?44?::a054=8381<7>t$271>4313A93i6g>4183>>i5;00;66sm1b694?0=83:p(>;=:7d8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;n00=?6=3thi?7>53;294~"4=;0=>6F<8d9j517=831b=9<50;9l66?=831vn?:7:180>5<7s-9>>78=;I1;a>o6<80;66g>4383>>i5;00;66sm1b;94?3=83:p(>;=:7`8L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3f8857>5;|`23f<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg2793:187>50z&017<1>2B84h5f15394?=n9=81<75f15194?=h::31<75rb0a5>5<3290;w)=:2;00a>N40l1b=9?50;9j514=831b=9=50;9l66?=831vn5<7s-9>>789;I1;a>o6<80;66g>4383>>o6<:0;66a=3883>>{e9kl1<7:50;2x 6352;>;7E=7e:k204<722c:8?4?::k206<722e9?44?::a5g3=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd6k80;6>4?:1y'704=::i0D>6j;h375?6=3`;?>7>5;n00=?6=3th98l4?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl>ce83>6<729q/?8<522a8L6>b3`;?=7>5;h376?6=3f8857>5;|`16=<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17pl>a383>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3th:hk4?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xd6jm0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`2gg<72;0;6=u+3409500<@:2n7d?;0;29?j4413:17p}nb;2954}Yik16>;?5b59>534=j=16=n<5b59>670=j=16n<4m4:?55?d334;j<7l;;<3g`?d334;j:7l;;<3a7?d334?26o:4=0;:>g2<58n=6o:4=365>g2<58i<6o:4=2g;>g252z\226=:9jh1=9>4}r3b0?6=:rT:m95216a9514;7>52z\212=:9?81n55rs2fa>5<5sW9on63;008204=z{0k1<76t^8c8970628o870<;6;17a>;6k>088h523d:9707<58i?6<:<;<3b409~w4g12909wS?n6:?2e3<5>>1v9>6:180[27127:5k4;089>5d4=9=:0q~?8e;29<~X6?l16>;?51d68941c28>:70?l4;371>;5<10:8<524139514<58k36<:=;<3ab?73:2wx=o=50;0xZ4d434;i?7<97:p004=83>pR9;=;<3`6?d?34;in7::2:?744<6<:1v9=::180[24=27:hn4;349>5a`=9=:0q~?69;296~X61016=4752758yv70i3:1>vP>7`9>52b=::30q~=if;296~X4no168=<522;8yv7c>3:1>vP>d79>5a0=:?=0q~;6:181[3>34?26?88;|q55?6=krT==63=6082a7=:>809::521c3924=:9kh1:<5218d924=:9mi1:<521b69517<5k91=9<4=0a:>42534;k7:181[5b0278i54=669~w4ef2908w0?l7;`;?85b03h370?lb;00=>{t<9:1<742734>;=7<<9:p5a1=838p1c283>6}:9j81n8521b6966?<5;836<:?;|q2f1<72;q6=o;522;894e628>:7p}>6383>1}::?;1=h84=041>70034;i=7?92:?2g<<6<81va9817<=z{k81<7>74}r072?6=>r79:<4>e49>610=:?=01a98204=:9kl1=9=4}r`2>5<5s4h:6?88;<42>g353z?23a<6<:16=:m522;8972f28>97p}=2683>7}::;<1?9k4=30;>75>3ty9844?:3y>61>=9=801?:n:31:?xu5>?0;6?u22739605<58i:6<:=;|q2g=<72:q6=n95b49>7`>=j<16=n7522;8yv7f93:1>v3>a18a<>;6i;09?45rs0a`>5<5s4;h57?;4:?2ga<5;01v70?md;00=>{t:?81<79t=342>72e34?26o64=0;:>g3<58n=6o;4=0`2>70534h86<:>;<3`2?7392wx=n;50;0x94e328>?70?l6;00=>{t:?91<7=t=342>72c34;i=7<93:?2g3<6<;1v?8;:180841938?j63>c78206=:9jn1=9<4}r051?6=5g7=:??01?:n:062?87dl3;?=6s|1b094?4|58i96?88;<3af?7d:2wx>9950;0x97212k?01?:7:31:?xu5:?0;69u22349631<5?;1?8?4=0c3>g3<58no6o;4}r3b4?6=:r7:m=4=669>5<`=9h:0q~?6a;296~;6100i463>9g82=d=z{8h=6=4={<3a7?d?34;in7?m6:p5d?=838p1bd83>7}:9kl1>>74=0`6>4253ty:o=4?:3y>5f7=::301>74}r3g`?6=:r7:hi4=669>5ae=9mn0q~?l7;296~;6k>09::5216f9514{I3f=>{K;0;1>v`;65844>"3>:0=7p*<53823==];==1=v=;:|m226<722c?8n4?::m0a5<722c8j44?::k2a4<722e:9:4?::kfg?6=3`>9n7>5;h3b2?6=3`k26=44i`494?=h<931<75f1`194?=n180;66a;3483>>o6m90;66g>i4nj0;66a;0283>>i213:17b:;2;29?g7dm3:1==4>:02xH6?728qC=h74}M1:5?7|,=<86>5r$271>45d3`h?6=44o36a>5<o6=44o36e>5<5<6=44o374>5<5<5<6=44i0g5>5<5<0;f950}K;0:1=vF>e89~ 63528in7d?j2;29?l7b;3:17d?j4;29?l7b=3:17d?j6;29?l7b?3:17d?j8;29?ld12900c?:m:188k72c2900c?:i:188k7362900c?;<:188k7322900c?;8:188k73>2900n<>i:180>4<4sE92<7?tH0g:?x"4=;0:>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6B<9182!73m3>=<6sfa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f47f29086<4<{M1:4?7|@8o27p*<53824`=nj10;66a=6683>>oe<3:17o950;194?6|,:?96<>l;I1;a>J4190:w)?;e;654>{ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<74<4sE92<7?tH0g:?x"4=;0:>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6B<9182!73m3>=<6sfa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f47>290?6>49{%166?7612c:>o4?::k25d<722c:5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo?>2;297?6=8r.89?492:J0<`=n9=;1<75f15094?=h::31<75rb037>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~w44e2908wS?=b:?250<6<816=<<51538yv76i3:1>vP>1`9>543=9=80q~??f;296~X68o16=<<51508yv7683:1>vP>119>542=::30q~?>1;296~;69<09?45210695177>52z?257<5;016=<:51508yxd6:h0;644::ey'704=9;k0e4083>>o6<;0;66a=3883>>{e98n1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th:=k4?:283>5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo?=1;292?6=8r.89?481:J0<`=n9=;1<75f15094?=n9=91<75f15694?=n9=?1<75`22;94?=zj8886=4::183!52:38?=6F<8d9j517=831b=9<50;9j515=831b=9:50;9l66?=831v94>439>54`=9=801<<>:066?xu6m;0;6?uQ1d08944328>:7p}>2c83>6}Y9;h01d;375>{t9l?1<7{t9l=1<7{t98h1<7{t98o1<775>34;9?7?;3:p576=838p1<<>:31:?875;3;?86srb014>5<02=0jw)=:2;303>o69h0;66g>0g83>>o6:k0;66g>e383>>o6m:0;66g>e583>>i6:j0;66l>3283>6<729q/?8<5639K7=c:6=44i061>5<N40l1b=9?50;9j514=831b=9=50;9l66?=831vn<=?:185>5<7s-9>>79?;I1;a>o6<80;66g>4383>>o6<:0;66g>4583>>o6<<0;66a=3883>>{e9:81<7:50;2x 6352;9n7E=7e:k204<722c:8?4?::k206<722e9?44?::p54g=83>pRh4>439>566=9=;0q~??f;290~X68o16=>=51508944b28>:70?<0;377>{t9;h1<7{t9l91<7{t9;i1<7{t9;l1<775>34;8>7?;3:~f72d290?6=4?{%166?0?3A93i6g>4083>>o6<;0;66g>4283>>i5;00;66sm25g94?2=83:p(>;=:758L6>b3`;?=7>5;h376?6=3`;??7>5;n00=?6=3th99=4?:583>5}#;<81:;5G39g8m4262900e<:=:188m4242900c?=6:188yg42:3:187>50z&017<1?2B84h5f15394?=n9=81<75f15194?=h::31<75rb377>5<3290;w)=:2;45?M5?m2c:8<4?::k207<722c:8>4?::m17<<722wi>8850;694?6|,:?96;;4H2:f?l7393:17d?;2;29?l73;3:17b<<9;29?xd5=10;694?:1y'704=>?1C?5k4i062>5<96=44i060>5<54?=99l01<426348>>7?;1:?111<6<:16>8851518973?28>97p}>1`83>g}:98k1>;94=03:>47f34;9m7?>a:?272<69h16>9m51508972b28>970<:0;376>;5=;0:8>522469514<5;?=6<:=;<069;31f>;6:h0:>o52125957d<5;>h6<:>;<07a?73;2799=4>429>604=9=801?;;:062?842>3;?=63=598206=z{8o96=4<{_3f6>;6:h0:i?5212595`452z\2a6=:9:=1=h=4}r3f0?6=:rT:i95212595`252z\2a0=:9;k1=h;4}r3f2?6=:rT:i;5213c95`052z\2a2=:9;k1=h94}r3fa;`7?875j3h?7p}=4c83>7}Y:=h01?:l:31:?xu57}Y:=l01?;?:31:?xu5=80;6?uQ243897352;927p}=5283>7}Y:<901?;;:31:?xu5=<0;6?uQ247897312;927p}=5683>7}Y:<=01?;7:31:?xu6990;6?u211d9f==:9831=<>4}r32f?6=:r7:=l4m8:?26d<69k1v<{z{k>1<72k<0q~<;b;296~X57525`8yv43l3:1>vP=4e9>56?=:=n0q~<;f;296~X57525d8yv4293:1>vP=509>56?=:<;0q~<:3;296~X5=:16=>752418yv42=3:1>vP=549>56?=:16=>752458yv4213:1>vP=589>56?=:<30q~?j2;296~X6m;16=>751d08yv7b;3:1>vP>e29>56?=9l90q~?j4;296~X6m=16=>751d68yv7b=3:1>vP>e49>56?=9l?0q~?j6;296~X6m?16=>751d48yv7b?3:1>vP>e69>56?=9l=0q~?j8;296~X6m116=>751d:8yxd6j;0;6>4=:5yO7<6=9rB:i45rL2;2>4}#5<7s-9>>7??c:J0<`=K;0:1=v*>4d8725=zah?1<75fb983>>i5>>0;66smb683>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3tyi87>52z\a0>;e?3;?<6s|22494?4|5>0j963m7;00=>{t::=1<7fd9jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:kb1?6=3`h36=44o344>5<1<7=51;1xH6?728qC=h74}M1:5?7|,=<86>5r$271>4`b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqoo?:180>4<4sE92<7?tH0g:?xJ4180:w):93;18y!52:3;mi6gm8;29?j41?3:17dl;:188f2<72:0;6=u+340955e<@:2n7do::188mg>=831d>;950;9~wg2=838pRo:4=68b1>{t::<1<7uC38295~N6m01v@>7>:0y'035=;2w/?8<51gg8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3tyi87>52z\a0>;02h?0q~<<6;296~;02;<<7S<97:p661=838pRo64=68a<>{zjji1<7=52;6xH6?728qC=h74}M1:5?7|,=<86>5r$271>76b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66smb683>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3tyi87>52z\a0>;e?3;?<6s|22494?4|5>0j963m7;00=>{t::=1<77>:0y'035=;2w/?8<521g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3thi;7>52;294~"4=;0:9;5G39g8m4272900c?=6:188yvd32909wSl;;<`4>4273ty9?;4?:3y>3?g234h<6?=6;|q172<72;q6;7<97:\122=z{;936=4={_`;?81=j11vqo7i:180>7<3sE92<7?tH0g:?xJ4180:w):93;18y!52:38;i6gm8;29?j41?3:17dl;:188f2<72:0;6=u+340955e<@:2n7do::188mg>=831d>;950;9~fg1=8381<7>t$271>4313A93i6g>4183>>i5;00;66s|b583>7}Yj=16n:4>419~w7512909w095a49>f2<5;01v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e:o81<7=52;6xH6?728qC=h74}M1:5?7|,=<86>5r$271>76b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66smb683>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3tyi87>52z\a0>;e?3;?<6s|22494?4|5>0j963m7;00=>{t::=1<77>:0y'035=;2w/?8<521g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3thi;7>52;294~"4=;0:9;5G39g8m4272900c?=6:188yvd32909wSl;;<`4>4273ty9?;4?:3y>3?g234h<6?=6;|q172<72;q6;7<97:\122=z{;936=4={_`;?81=j11vqo??7;297?7=;rF85=4>{I3f=>{K;0;1=v*;6280?x"4=;0:jh5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6gn5;29?ld?2900c?88:188yvd32909wSl;;<59e0=z{;9=6=4={<596317}Yj116;7l7;|aac<721096iuC38295~N6m01v@>7>:9y'035=;2.?:?4n7c::6;38y!52:3;nj6Tn4;3x72<6?u<9;1a>xo44}O9l30qA=61;5x 1052:in7)::a;`;?!22k38=;6*;598a0>h3==0:7)::e;17a>h3=?0:7p*<5382bg=]i=09w>95348~^6202;q857==:|k00c<722ci87>5;h17a?6=3f85$343>7153g8>j7>4;n045?6=,;<;6?9=;o06b?7<3f8=j7>5$343>7153g8>j7<4;n05a?6=,;<;6?9=;o06b?5<3f8=47>5$343>7153g8>j7:4;ha7>5<#:?:1o>5a24d94>=nk;0;6)<90;a0?k42n3;07dm?:18'636=k:1e>8h52:9jfc<72-8=<7m<;o06b?5<3`h26=4+2729g6=i:{I3f=>{K;0;15v*;6380g`=#<4=#<4=#<4=z,:?965<5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<7oj:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm3bc94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::pf1<72;qUn9527;`7?xue03:1>vPm8:?0gd<6<;1v?88:181[41?27<6?88;|q014<72;qU?8?4=2ab>4263ty88h4?:3y]71c<5:kn6<:>;|q0g<<72;q6?lk5150896ef2;927p}7}:?3h370=ne;00=>{zj10;694=:4yO7<6=9rB:i45rL2;2>2}#g><,=?h6?88;%66>87?4$57f>62b3g>>:7?4}%166?7a=2ci87>5;h`;>5<5<0;6>4>:2yO7<6=9rB:i45r$271>4`53`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqo=ne;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rsc694?4|Vk>01:4m4:pf=<72;qUn5523`g951452z\122=:?38=;6s|35g94?4|V:>n70=ne;375>{t;hn1<7;4il09?45r}c3b>5<32;0>wA=60;3xL4c>3tF85<48{%656?5dm2.?9l4m8:&71f<5>>1/8865b59m002=92.?9h4<4d9m000=92w/?8<51g78mg2=831bn54?::m122<722c88h4?::`4>5<42808wA=60;3xL4c>3t.89?4>f39jf=<722e9::4?::ka0?6=3k=1<7=50;2x 63528:h7E=7e:kb1?6=3`h36=44o344>5<6j;h375?6=3`;?>7>5;n00=?6=3tyi87>52z\a0>;02k>0q~l7:181[d?349ji7?;2:p631=838pR?88;<5963152z\00`=:;ho1=9?4}r1b`?6=:r7<6o64=2cf>75>3twi>94?:581>0}K;0:1=vF>e89~H6?62>q/8;<53bg8 13f2k20(9;l:344?!2203h?7c::4;38 13b2:>n7c::6;38y!52:3;m96gm4;29?ld?2900c?88:188m62b2900n:4?:282>6}K;0:1=vF>e89~ 63528l97dl7:188k7002900eo:50;9a3?6=;3:1X5>>1v?=8:181[d?34=1n55r}c1ba?6=;3:1N40l1b=9?50;9j514=831d>>750;9~wg2=838pRo:4=68a0>{tj10;6?uQb99>7dc=9=80q~<97;296~X5>>16;7<97:p71c=838pR>:j;<1ba?7392wx?lj50;0x92"3=j09::5+44:9f1=i<<>1=6*;5d800`=i<<<1=6s+34095c31<75fb983>>i5>>0;66g<4d83>>d029086<4<{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17o950;194?6|,:?96<>l;I1;a>of=3:17dl7:188k7002900q~l;:181[d334=1m85rs315>5<5s4=1>;94^344?xu5;>0;6?uQb99>3?d?3twi?lk50;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17p}m4;296~Xe<27<6o:4}r`;>5<5sWh370=ne;376>{t:?=1<7v38:c:896gb2;927psm26c94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p71`=839pR>:i;<59707<5;=j6<:=;|qa0?6=>rTi8638:c689=g2<5;<1n95rs26f>5<4sW9?i638:26f?840i3;?=6s|26;94?3|51088h521`800`=::=088h5227800`=::>k1>>74}r047?6=:rT9;>52278122=#;=31>:<4n26;>5=z{;=:6=4={_045>;5<38=;6*<488137=i;=21=6s|27d94?4|V;6`<4981?xu5>l0;6?uQ27g89=<5>>1/?9752608j62?2:1v?87:181[41027<6?88;%17=?40:2d8854;;|q`0?6=:rTh863=6;`;?!5313i87c=;8;28yve52909wSm=;<07>g><,:>26n=4n26;>4=z{j:1<7=:2wxnk4?:3y]fc=:03h37)=;9;a0?k530390q~l6:181[d>34=1n55+35;9g6=i;=2186srb30:>53tF85<4:{%656?5d02.?9o4k2:&722>h7=<5:&723<03t.89?4>ec9Ye1<5s:21?;4rZ264>7}4i3986pa<4183>!418398j6`=5g83?>i4;l0;6)<90;10b>h5=o0:76a<3b83>!418398j6`=5g81?>i4;k0;6)<90;10b>h5=o0876a<3783>!418398j6`=5g87?>ocl3:1(?8?:ea8j73a2910eil50;&125;:kg=?6=,;<;6im4n37e>7=h5=o0876gk3;29 7072mi0b?;i:598f74c29086<4<{M1:4?7|@8o27p*<538155=nl90;66gk1;29?j54=3:17o=6a;297?5==r.89?4<9`9j`5<722co=7>5;n101?6=3k92;7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>77:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38;94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p`5<72:qUh=523859514<5:336<:>;|qg5?6=;rTo=63<968204=:;021=9<4}r101?6=:rT8?85238;966?52z?0=2<5;016?4751538yv5>?3:1>v3<99817<=:;031=9<4}|qg4?6=:rTo<63<9`8g4>{tl80;6?uQd09>7=::181[54=2785l4<349~yg45m3:1?7?53zN0=5<6sA;n56s+3409646>i4;<0;66l<9`83>6<425}#;<81:>5G39g8m4262900e<:=:188k75>2900qo=68;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;:>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~wa6=839pRi>4=2;4>425349247?;1:p`4<72:qUh<523859517<5:336<:=;|q070<72;qU?>;4=2;:>75>3ty85;4?:3y>7<1=::301>76:062?xu41>0;6?u238:966?<5:326<:=;|p`5<72;qUh=5238c9`5=z{m;1<74>:2yO7<6=9rB:i45r$271>7773`n;6=44ie394?=h;:?1<75m38c94?5=;3?p(>;=:2;b?lb72900ei?50;9l763=831i?4950;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl<9983>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvb72908wSj?;<1:3?73:278554>409~wa7=839pRi?4=2;4>426349247?;2:p763=838pR>=:;<1:=?4412wx?4850;0x96?02;9270=69;375>{t;0=1<775>349257?;2:~wa6=838pRi>4=2;b>a6i3n:7p}<3483>7}Y;:?01>7n:216?x{e:::1<7=51;1xH6?728qC=h74}%166?4682co<7>5;hf2>5<6=44b2;b>5<42:0>w)=:2;1:e>oc83:17dj>:188k6522900n>78:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38:94?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0=<<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xuc83:1?vPk0:?0=2<6<;16?4651538yvb62908wSj>;<1:3?739278554>439~w6522909wS=<5:?0=<<5;01v>79:18185>?388563<988204=z{:3<6=4={<1:439~yvb72909wSj?;<1:e?b73tyo=7>52z\g5>;41h0o=6s|32794?4|V:9>70=6a;101>{zj:kn6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?o>50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl6<729q/?8<5639K7=c:6=44i061>5<2900q~=nd;297~;5:l0o<63k4k0:?0f5<5;016?o<51508yv5e93:1>v3=318g4>;4j;09?45rs263>5<5sW9?<63=318070=#;=31?>h4n26;>5=z{:9n6=4={_10a>;5:o08?85+35;976`36<5rs21`>5<5sW98o63=2d8070=#;=31?>h4n26;>7=z{:9i6=4={_10f>;5:m08?85+35;976`36>5rs215>5<5sW98:63<47817<=#;=31?>h4n26;>1=z{mn1<7:6:ea8j62?291vil50;1xZad<5;8m6i?4=2`1>4263-9?57jl;o17"4<00oo6`<4981?xuc03:1?vPk8:?16a409'71?=lj1e?9653:p`6<72=qUh>5223f9`5=:;ho1=9<4=265>4273-9?57jl;o17088k5rsc694?4|Vk>01:4m4:p71c=838pR>:j;<5971c53z\136=:?38"4<009;?5a35:94>{t:>;1<7=t^352?81=:>;01?<6:e`8 62>2;=97c=;8;38yv41n3:1?vP=6g9>3?41n279>44k9:&00<<5?;1e?9652:p63c=839pR?8j;<5963c<5;826i64$26:>7153g9?47=4}r05;5:00o?6*<488137=i;=2186s|3`594?4|5>0h863=288005=#;=31?l84n26;>5=z{:k>6=4={<59g7=::;31?>k4$26:>6g13g9?47?4}r1b7?6=:r7<6n>4=30:>65d3-9?57=n6:l00=<53ty8m?4?:3y>3?da348957={I3f=>{K;0;1=v*;6280?x"4=;0:ji5fb983>>i5>>0;66gm4;29?g1=8391<7>t$271>46d3A93i6B<9182!73m3>=<6sfa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f125290>6>49{M1:4?7|@8o27pB<908b!21;390(98=:2af?!22i3h37)::c;053>"3=10i86`;5582?!2213h>7c::5;28 13b2:>n7c::6;38y!52:3;mj6gm4;29?ld22900eo650;9l631=831b?9k50;9a3?6==3819vB<9182M7b12w/?8<51g08mg>=831d>;950;9jf1<722ci97>5;hc`>5<0;684?:1y'704=99n0D>6j;hcb>5<>oe03:17b<97;29?xd40o0;6<4?:1y'704=9:l0D>6j;n30a?6=3tyi87>52z\a0>;02h?0q~l::181[d234=1ml5rs315>5<5s493j7?de52z?4>7003W8=;6s|22:94?4|Vk201:4m8:~f6gb29096=4?{%166?72>2B84h5f15294?=h::31<75rb2a6>5<4290;w)=:2;00`>N40l1b=9?50;9j514=831d>>750;9~wg2=838pRo:4=68a0>{tj<0;6?uQb49>7f3=9=;0q~<97;296~X5>>16;7<97:p71c=839pR>:j;<1ba?738278o84>439~w6gc2909w095b99>7dc=::30q~=l4;296~;02k?01>m::31:?x{e9<=1<7=51;1xH6?728qC=h74}M1:5?7|,=<86>5r$271>4`b3`h36=44o344>5<1<75m7;297?6=8r.89?4>0b9K7=c>i5>>0;66s|b583>7}Yj=16;7o:;|q173<72;q6;7<97:\122=z{;9<6=4={_`;?81=j11vqo;6:180>4<4sE92<7?tH0g:?xJ4180:w):93;18y!52:3;mi6gm8;29?j41?3:17dl;:188f2<72:0;6=u+340955e<@:2n7do::188mg>=831d>;950;9~wg2=838pRo:4=68b1>{t::<1<7uC38295~N6m01v@>7>:0y'035=;2w/?8<51gg8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9je0<722ci47>5;n053?6=3tyi87>52z\a0>;02h?0q~<<6;296~;02;<<7S<97:p661=838pRo64=68a<>{zj:lh6=4<:387I5>83;pD=?7=4}%166?47m2ci47>5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3`k>6=44ic:94?=h:?=1<75rbc594?4=83:p(>;=:075?M5?m2c:8=4?::m17<<722wxn94?:3y]f1=:j>0:8=5rs315>5<5s4=1m852b6817<=z{;9<6=4={<596317}Yj116;7l7;|aa6<72:0:6>uC38295~N6m01v@>7>:0y'035=;2w/?8<52128mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9O7<6=9r.:8h4;619~md3=831bn54?::m122<722wxn94?:3y]f1=:?3k>7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk9n<7>53;090~J4190:wE?j9:O7<7=9r.?:>4<;|&017<58l1bn54?::m122<722ci87>5;c594?5=83:p(>;=:02`?M5?m2cj97>5;h`;>5<5<5290;w)=:2;362>N40l1b=9>50;9l66?=831vo:50;0xZg2<5k=1=9>4}r002?6=:r7<6l;4=c5966?52z?4>7003W8=;6s|22:94?4|Vk201:4m8:~f16>29086?4;{M1:4?7|@8o27pB<9082!21;390q)=:2;03a>oe03:17b<97;29?ld32900n:4?:283>5}#;<81==m4H2:f?lg22900eo650;9l631=831vno950;094?6|,:?96<;9;I1;a>o6<90;66a=3883>>{tj=0;6?uQb59>f2<6<91v?=9:18181=i<16n:4=389~w7502909w0952758Z7003ty9?54?:3y]f==:?3h37psm42794?5=:3>p@>7?:0yK5`?6=z,:?96?>j;h`;>5<50z&017<68j1C?5k4i`794?=nj10;66a=6683>>{ej>0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|qa0?6=:rTi863m7;374>{t::<1<7;e?38856s|22594?4|5>09::5Q2758yv4403:1>vPm8:?4>g>g}#;<81=8h4o07b>5<5<5<5<5<5e83>2<729q/?8<52518L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;h372?6=3f8857>5;|q21d<72;qU=8o4=07g>75>3ty:ok4?:3y]5f`<58?n6<:>;|q2f7<72;qU=o<4=07f>4253ty:h=4?:3y]5a6<58?o6<:=;|q2`4<72;qU=i?4=07g>4243ty:h?4?:3y]5a4<58?o6<:;;|q2`6<72;qU=i=4=07g>4223ty:h94?:3y]5a2<58?h6<:=;|q21=<72;qU=864=07`>4263ty:9o4?:3y>50c=::301<;k:062?xu6=j0;6?u214a966?<58?o6<:9;|a25<7210964u+340925=h=j0;66g>cg83>>o6j;0;66g>d183>>o6l80;66g>d383>>o6l:0;66g>d583>>d2n3:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj87E=7e:k204<722c:8?4?::k206<722c:894?::k200<722c:8;4?::m17<<722wx9n4?:3y]1f=:=l09?45rs0ae>5<5sW;hj63:f;375>{t9k81<797p}>d183>7}Y9m:018k51508yv7c93:1>vP>d09>1`<6<:1vi7?;4:p5a5=838pR4223ty:h94?:3y]5a2<55<5s4?m6?=6;<7f>4263twi=:;50;c9e?74s-9>>7?85:m221<722c:ok4?::k226<722c:h<4?::k2`7<722c:h>4?::k2`1<722c:954?::k0a2<722c:;;4?::`223<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg71?3:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj8<26=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=;l50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl>6e83>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f41729086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=zj8=:6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=:=50;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl>7583>2<729q/?8<52518L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;h372?6=3f8857>5;|q221<72;qU=;:4=057>75>3ty:ok4?:3y]5f`<58<=6<:>;|q226<72>qU=;=4=045>42534;=;7?;2:?22<<6<;16=;l51508940a28>970?83;376>{t9m;1<7{t9m91<7{t9<21<7=t^07;?871l3;?>63>6g8204=z{:o<6=4={_1f3>;6>m0:8<5rs055>5<5sW;<:63>728204=z{8<>6=4={<352?44127:;94>409~w4002909w0?97;00=>;6?=0:8?5rs04;>5<5s4;=57<<9:?231<6<:1v<8n:181871j388563>758201=z{8409~w40b2909w0?9f;00=>;6?90:8?5rs315>5<5s4;<<7<<9:?234<6<;1v?=8:1818709388563>758200=z{8=96=4={<347?44127:;94>479~yg27>3:1>7>50z&017<6=?1C?5k4i063>5<N40l1b=9?50;9j514=831d>>750;9~f60529086=4?{%166?053A93i6g>4083>>o6<;0;66a=3883>>{e1l0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<5}#;<81:>5G39g8m4262900e<:=:188k75>2900qoh6:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm11094?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`702<72=0;6=u+340920=O;1o0e<:>:188m4252900e<:<:188k75>2900qo=ie;297?6=8r.89?4=3b9K7=c:6=44i061>5<5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~fcd=83<1<7>t$271>24<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17d?;5;29?j4413:17plid;292?6=8r.89?49f:J0<`=n9=;1<75f15094?=n9=91<75f15694?=n9=?1<75`22;94?=zj8:?6=49:183!52:3=:7E=7e:k204<722c:8?4?::k206<722c:894?::k200<722e9?44?::a01?=83<1<7>t$271>3`<@:2n7d?;1;29?l73:3:17d?;3;29?l73<3:17d?;5;29?j4413:17pl;0483>6<729q/?8<5639K7=c:6=44i061>5<5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~f406290>6=4?{%166?4392B84h5f15394?=n9=81<75f15194?=n9=>1<75`22;94?=zj8?26=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi=:950;594?6|,:?96?:<;I1;a>o6<80;66g>4383>>o6<:0;66g>4583>>o6<<0;66g>4783>>i5;00;66smec83>2<729q/?8<52518L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;h372?6=3f8857>5;|`f1?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f`1=83>1<7>t$271>30<@:2n7d?;1;29?l73:3:17d?;3;29?j4413:17pl3<729q/?8<52508L6>b3`;?=7>5;h376?6=3`;??7>5;h370?6=3`;?97>5;n00=?6=3th?=84?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl;0g83>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900e<:<:188m4232900c?=6:188yg2693:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj=9i6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wiok4?:383>5}#;<81=884H2:f?l7383:17b<<9;29?xd4>?0;6?4?:1y'704=9<<0D>6j;h374?6=3f8857>5;|`b6?6=:3:12900qo579K7=c;6=44o31:>5<?n7>52;294~"4=;0:9;5G39g8m4272900c?=6:188yg5b:3:1>7>50z&017<6=?1C?5k4i063>5<2900qo:579K7=c;6=44o31:>5<53z\226=:9?91>;94=056>4043ty?8n4?:3y]01e<5:<=6<:?;|q0a5<72;qU?h>4=2g3>7003ty8j44?:3y]7c?<5jl1=9>4}r3f5?6=;rT:i<52e48204=:<9l1=9?4}r363?6=;rT:9:521459631<5=><6<:=;|qfg?6=:rTno63>078a<>{t<;h1<750?=9=;0q~o9:1823~Xf>27:oh4m4:?2f7g2<5ji1n9523719f1=:1o0i863=f38a0>;68>0i863jf;`7?87783h?70:;2;`7?872?3h?70;6:c6894042k>01>hl:c689`5=j=16?h>5b59>05?=j=168>;5b59~w16>2909wS:?9:?74<<5>>1v409~w<7=83>pR4?4=0af>4c334>;97?;1:?f3?73;2wx8>;50;0xZ15234>897<97:p5`6=838pR<;<632?4412wx944?:3y]1<=:=009::5rs561>5<4sW>?>63;438122=:9981=9?4}r337?6=:r7:439>552=::30q~k7:1818c42k201h7522;8yvcf2909w0km:31:?8c228>97p}la;297~;6kl0:i852cc817<=:9?;1=9?4}rg0>5<5s4o86?88;<631?73:2wx8>o50;0x915e2;9270:{t99<1<7=t=025>70034;;;7l7;4263ty8:>4?:3y>735=:?=01>8=:061?xu6>90;6?u2173966?<58?26<:=;|q:`?6=;r7:oh4>e69>=`<5;016=;?51518yv7793:1>v3>018a<>;68;09?45rs247>5<5s49=87<97:?027<6<81vnk50;1x9fb=j116on4m8:?`b?4412wxj;4?:3y>ac<4=839p1hh535d89c1=9=;01k7522;8yv`b2909w0h8:061?8`a2;927p}7}:;l>1>>74=2g1>4273ty2j7>52z?:b?41?272i7?;2:p010=838p19:=:26f?823?38856s|11594?4|58:<6?88;4253ty?05`=::3019?8:062?xu3990;6?u2403966?<5=;86<:?;|q`g?6=:r7ho7<97:?`f?73:2wxm=4?:3y>e5<5>>165h4>409~w6`c2909w0=ic;`;?85am38856s|11294?5|58in6439~w4d5290>w0?le;3f7>;6j;09::5214d95g4<5?:1=o<4=d5951742634o>6?=6;|qf2?6=:r7n57?;2:?f3?4412wxoi4?:3y>ga<5>>16oo4>409~w4032909w0?93;`;?870=3;=86s|2g094?4|5;l96?88;<0e5?73:2wx8=:50;0x916128>;70:?5;00=>{t;??1<7=t=247>g><5:<86o64=245>75>3ty:9l4?:3y>501=j116=8h514c8yv4a;3:1>v3=f28122=::o;1=9?4}r155?6=:r78:?4=389>011=9=90q~;l:18183>2k201;>55b9~wcg=839p1kl522;89c`=9=;019:m:063?xuak3:1>v3id;00=>;an3;?>6s|1bd94?0|58in6?:m;<36b?7dn27=<7?lf:?230<6ko16=:9515389`1=9=80q~?k0;293~;6kl098i5214d95a6<5?:1=i>4=054>42534oi6<:>;<1f0?73927?=84>409~w4b62903w0?le;07b>;6=o0:h<526182`4=:9>?1=i?4=054>42434oi6<:=;<1f0?73:27?=84>439~w4b52903w0?le;065>;6=o0:h?526182`7=:9>?1=i<4=054>42334oi6<:<;<1f0?73;27?=:4>439~w4b42902w0?le;067>;6=o0:h>526182`6=:9>?1=i=4=2df>42634;<;7?;5:?ff?73<278i94>459>041=9=90q~?k4;29=~;6kl09985214d95a2<5?:1=i:4=056>4b3349mi7?;2:?232<6449>7`2=9=?019?8:067?xu6l<0;6?u21bg9601<5lh1=984}r1f5?6=:r78i=4m8:?0a7<5;01v9=l:181824=3h370:{t:o>1<7=t=3d0>g><5;l96o64=3d6>75>3tyj=7>53z?b4?d?343m6o64=`0966??m7>52z?7075;00=>;38o0:8?5rs535>5<4s4>:;7<<9:?754<6<;168>l51508yv26:3:1>v3;088a<>;39:09?45rs3d3>5<4s4;hi7?j8:?1b4<5;016=;?51568yv7203:1?v3>5g821==:9>?1=864=07:>75>3ty:;;4?:3y>523=9><01<98:31:?xu3<10;6?u24559517<5=>26?=6;|qe1?6==r7nj7<83:?ef?73=27mh7?;5:?241<6<<1689751538 62>2o>0b>:7:19~wc5=83?p1hh526389cd=9=>01kj51538946328>?70:;9;376>"4<00m86`<4982?xua:3:19v3jf;05b>;aj3;??63id;376>;68=0:8>5245;9513<,:>26k:4n26;>7=z{o;1<7;t=dd963c<5oh1=9<4=gf9515<58:?6<:=;<67=?73;2.8844i4:l00=<43tym<7>55z?fb?41027mn7?;1:?e`?73<27:<94>409>01?=9=>0(>:6:g68j62?2=1vqo=lb;292;lp@>7?:0yK5`?2d<,=<86;5r$271>db<6>u=f;03>44=uf98<7>5;hca>5<5<>d6:6yO7<6=9rB:i45rL2;2>4}#1<75`25`94?=h:=n1<75`25d94?=h:<;1<75f1d094?=n9l91<75m12;94?1=03hp@>7?:0yK5`?>7?;f:k2a7<722c:i>4?::ka2?6=3f8?n7>5;n07`?6=3f8?j7>5;n065?6=3k;;j7>53;397~J4190:wE?j9:'704=99o0eo650;9l631=831bn94?::`4>5<4290;w)=:2;33g>N40l1G?4>51z&20`<3>91vel;50;9jf=<722e9::4?::pf1<72;qUn9527;c6?xu5;?0;6?u27;053>X5>>1v?=8:181[d?34=1n55r}c32e?6=;3;1?vB<9182M7b12w/?8<511g8mg>=831d>;950;9jf1<722h<6=4<:183!52:3;;o6F<8d9O7<6=9r.:8h4;619~md3=831bn54?::m122<722wxn94?:3y]f1=:?3k>7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk;:57>55;092~"4=;0:=45f11d94?=n9l81<75f1d194?=n98k1<75`10294?=e9891<7:50;2x 6352??0D>6j;h375?6=3`;?>7>5;h377?6=3f8857>5;|`257<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xu68o0;6?uQ11d8947528>:7p}>e383>7}Y9l80197p}>1`83>7}Y98k011083>7}:9891>>74=031>4253twi=?o50;697?0|,:?96<5;h33b?6=3f;:n7>5;c314?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f47c29086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e98l1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wx=h<50;1xZ4c534;9<7?;1:?25a<6<;1v=4>439~w46a2909wS??f:?25a<6<81v;69o0:8<5rs03g>5<5s4;:h7<<9:?25c<6<;1vqo<;c;297?6=8r.89?494:J0<`=n9=;1<75f15094?=h::31<75rb36f>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a606=8391<7>t$271>34<@:2n7d?;1;29?l73:3:17b<<9;29?xd5=;0;6>4?:1y'704=>:1C?5k4i062>5<96=44o31:>5<57z?24c<5>>16=<7511d8944f28:m70<;c;376>;5q6=28;j70?=a;32e>;5;<066?7392wx=h<50;1xZ4c534;:57?j2:?26d<6m;1ve29~wg0=839pRo84=02e>g2<58;j6o:4}r07f?6=:rT98o5225a966?52z\10a=::=o1>>74}r07b?6=:rT98k52242966?=7>52z\114=::<81>>74}r324?6=:r7:{z{k>1<72k<0q~<;b;296~X57525`8yv43l3:1>vP=4e9>56?=:=n0q~<;f;296~X57525d8yv4293:1>vP=509>56?=:<;0q~?j2;296~X6m;16=>751d08yv7b;3:1>vP>e29>56?=9l90qpl>0783>0<5251zJ2a<=zD:3:6;u+47194>"3>;08oh5+44c9f==#<;94$57;>g27663Sk?6?u=2;02>x\4<>09w?=51g8~mg2=831d>;k50;&125<5>m1e>8h50:9l63>=83.9:=4=6e9m60`=921bnk4?:%054?db3g8>j7>4;h`:>5<#:?:1nh5a24d95>=e?3:1?7?53zN0=5<6sA;n56s+34095cc5<7s-9>>7??c:J0<`=ni<0;66gm8;29?j41?3:17p}m4;296~Xe<27<6l;4}r002?6=:r7<6?88;_053>{t::=1<76}K;0:1=vF>e89~ 63528ln7dl7:188k7002900eo:50;9a3?6=;3:1X5>>1v?=8:181[d?34=1n55r}r`7>5<4sWh?7095b59>36=5rs34;>5<5sW8=4638:344?!53138=h6`<4982?xuen3:1>vPmf:?;>g><,:>26ok4n26;>5=z{k31<793kp(98<:19'034=;ji0(>mi:546?!22k38=;6*;598a0>h3==0:7)::9;`6?k22=3;0(9;j:26f?k22>3;0q)=:2;3e4>\f<3;p>o4rZ264>7}5l39;6pgm4;29?ld22900e>:j:188k716290/>;>52628j73a2910c?8i:18'636=:>:0b?;i:098k70b290/>;>52628j73a2;10c?87:18'636=:>:0b?;i:298f2<72k0?6ouC38295~N6m01v@>7>:8y'034=;jo0(9;n:c:8 13d2;<<7)::8;`7?k22<3;0(9;6:c78j132281/88k535g8j131281v(>;=:321?_g32;q9n7<6:|X002<5s;n1>:4ric694?=nj<0;66g<4d83>>i5?80;6)<90;044>h5=o0;76a=6g83>!41838<<6`=5g82?>i5>l0;6)<90;044>h5=o0976a=6983>!41838<<6`=5g80?>od:3:1(?8?:b38j73a2910en>50;&125;:kab?6=,;<;6n?4n37e>7=h5=o0876l8:186>6<0sE92<7?tH0g:?xJ41802w):92;1`a>"3=h0i46*;5b8122=#<<21n95a44695>"3=00i96`;5482?!22m39?i6`;5782?x"4=;09<>5fb583>>oe=3:17dl7:188k7002900e>:j:188f2<72<0968uC38295~N6m01v(>;=:0d1?ld?2900c?88:188mg2=831bn84?::kbg?6=3k=1<7;50;2x 63528:o7E=7e:N0=5<6s-;?i7:90:jed<722cjo7>5;hc6>5<:183!52:3;8j6F<8d9l56c=831vo:50;0xZg2<5>0j96s|b483>7}Yj<16;7on;|q173<72;q6?5h512g892>950;0x92<5>>1U>;94}r004?:1y'704=>:1C?5k4i062>5<96=44o31:>5<53;294~"4=;09?n5G39g8m4262900e<:=:188k75>2900q~l;:181[d334=1n95rsc794?4|Vk?01>m::061?xue03:1>vPm8:?0e`<6<;1v?88:181[41?27<6?88;|q00`<72:qU?9k4=2cf>426349h97?;1:p7db=838p1:4m8:?0e`<5;01v>m;:18181=j<16?n;522;8yxd?290>6>48{M1:4?7|@8o27pB<908:!21:39hi6*;5`8a<>"3=j09::5+44:9f1=i<<>1=6*;588a1>h3=<0:7)::e;17a>h3=?0:7p*<538141=nj=0;66gm5;29?ld?2900c?88:188m62b2900n:4?:481>0}K;0:1=vF>e89~ 63528l97dl7:188k7002900eo:50;9jf0<722cjo7>5;c594?3=83:p(>;=:02g?M5?m2F85=4>{%37a?2182wbml4?::kbg?6=3`k>6=44ic:94?=h:?=1<75rb2:e>5<6290;w)=:2;30b>N40l1d=>k50;9~wg2=838pRo:4=68b1>{tj<0;6?uQb49>3?gf3ty9?;4?:3y>7=`=9:o01:4nc:p661=838p1:4=669]63152z\a<>;02k20qpl6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvd32909wSl;;<59f1=z{k?1<797p}m8;296~Xe0278mh4>439~w7002909wS<97:?4>7003ty88h4?:2y]71c<5:kn6<:>;<1`1?7392wx?lj50;0x927f3=::30qpl>a;291?5=?rF85=4>{I3f=>{K;0;15v*;6380g`=#<4=#<<31n85a44795>"3=l088h5a44495>{#;<81>=;4ic694?=nj<0;66gm8;29?j41?3:17d=;e;29?g1=83?1>7;tL2;3>4}O9l30q)=:2;3e6>oe03:17b<97;29?ld32900eo;50;9jef<722h<6=4::183!52:3;;h6F<8d9O7<6=9r.:8h4;619~mdg=831bmn4?::kb1?6=3`h36=44o344>5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;ho1<7=50;2x 6352?90D>6j;h375?6=3`;?>7>5;n00=?6=3th8o84?:283>5}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17p}m4;296~Xe<27<6o:4}r`6>5<5sWh>70=l5;376>{tj10;6?uQb99>7dc=9=80q~<97;296~X5>>16;7<97:p71c=839pR>:j;<1ba?739278o84>409~w6gc2909w095b99>7dc=::30q~=l4;296~;02k?01>m::31:?x{e:=0;684<:6yO7<6=9rB:i45rL2;2><}#g><,=?h6?88;%66>87?4$57:>g36<5+44g971c7613`h?6=44ic794?=nj10;66a=6683>>o47<2sE92<7?tH0g:?x"4=;0:j?5fb983>>i5>>0;66gm4;29?ld22900elm50;9a3?6==3:17?:0y'51c=5;|`0vPm4:?4>d36=4={_`6?81=ih1v?=9:18185?n3;8i638:`a8yv44?3:1>v38:344?[41?2wx>>650;0xZg><5>0i46srb2cf>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7f3=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{tj=0;6?uQb59>3?d33tyi97>52z\a1>;4k<0:8?5rsc:94?4|Vk201>oj:061?xu5>>0;6?uQ275892<5>>1v>:j:180[53m278mh4>409>7f3=9=;0q~=nd;296~;02k201>oj:31:?xu4k=0;6?u27;`6?85d=38856srsc694?3|Vk>01:4m4:?;>g2<58k1n952258a0>{tj<0;68uQb49>3?d23421n8521`8a1>;5<3h>7p}<4d83>0}Y;=o01:4<4d9>:?50;0xZ716348?6?88;%17=?4082d8854?;|q12c<72;qU>;h4=0c9631<,:>26?9?;o1752z\12`=:038=;6*<488135=i;=21>6s|27:94?4|V;<370952758 62>2;=;7c=;8;18yve52909wSm=;<07>g><,:>26n?4n26;>5=z{j:1<7=92wxnk4?:3y]fc=:03h37)=;9;a2?k530380q~l6:181[d>34=1n55+35;9g4=i;=21?6srb30:>53tF85<4:{%656?5d02.?9o4k2:&722>h7=<5:&723<03t.89?4>eb9Ye1<5s;i1>l4rZ264>7}5m3836pa<3d83>!418398h6`=5g83?>i4;j0;6)<90;10`>h5=o0:76a<3c83>!418398h6`=5g81?>i4;?0;6)<90;10`>h5=o0876gkb;29 7072mk0b?;i:198ma?=83.9:=4ka:l11c<632co47>5$343>ag90om6`=5g80?>d5:m0;6>4>:2yO7<6=9rB:i45r$271>7773`n;6=44ie394?=h;:?1<75m38c94?5=;3?p(>;=:2;b?lb72900ei?50;9l763=831i?4950;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl<9983>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvb72908wSj?;<1:3?73:278554>409~wa7=839pRi?4=2;4>426349247?;2:p763=838pR>=:;<1:=?4412wx?4850;0x96?02;9270=69;375>{t;0=1<775>349257?;2:~wa6=838pRi>4=2;b>a6i3n:7p}<3483>7}Y;:?01>7n:216?x{e:;o1<7=51;1xH6?728qC=h74}%166?4682co<7>5;hf2>5<6=44b2;b>5<42:0>w)=:2;1:e>oc83:17dj>:188k6522900n>78:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38:94?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0=<<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xuc83:1?vPk0:?0=2<6<;16?4651538yvb62908wSj>;<1:3?739278554>439~w6522909wS=<5:?0=<<5;01v>79:18185>?388563<988204=z{:3<6=4={<1:439~yvb72909wSj?;<1:e?b73tyo=7>52z\g5>;41h0o=6s|32794?4|V:9>70=6a;101>{zj;8m6=4<:080I5>83;pD5;c1:e?6=;3919v*<5380=d=nl90;66gk1;29?j54=3:17o=67;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;;>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{tl90;6>uQd19>7<1=9=801>77:062?xuc93:1?vPk1:?0=2<6<816?4651508yv54=3:1>vP<349>709?45238;951752z?0==<5;016?4751508yxuc83:1>vPk0:?0=d5<5sW98963<9`8070=zuk9ji7>53;294~"4=;0=>6F<8d9j517=831b=9<50;9l66?=831vn>l?:180>5<7s-9>>78=;I1;a>o6<80;66g>4383>>i5;00;66sm35494?4=83:p(>;=:075?M5?m2c:8=4?::m17<<722wx?lj50;1x974b2m:01>oj:31:?85e83;?>6s|3`d94?4|5;8m6i>4=2`3>75>3ty8?h4?:3y]76c<5;8m6>=:;%17=?54l2d8854?;|q07f<72;qU?>m4=30f>6523-9?57==:;%17=?54l2d8854=;|q073<72;qU?>84=265>75>3-9?57=52z\gf>;5:o0o=6*<488ge>h4<10;7p}k9;297~Xc1279>h4k1:?0f5<6<81/?975d`9m71>=92wxh54?:2y]`==::;n1h<523`g9517<,:>26io4n26;>7=z{m91<7:t^e18974c2m:01>oj:061?853>3;?<6*<488ge>h4<1087ps|b583>7}Yj=16;7l;;|qa1?6=:rTi9638:c78yv53m3:1>vP<4d9>3?53m2wx>:?50;1xZ71634=1>:?4=30:>ad<,:>26?9?;o1753z\12c=:?38=j63=288g=>"4<009;=5a35:95>{t:?o1<7=t^34f?81=:?o01?<6:e:8 62>2;=;7c=;8;08yv4103:1?vP=699>3?410279>44k3:&00<<5?91e?9653:p7d3=838p1:4l2:?16<<4;l1/?9753`68j62?291v>o<:18181=k916>?7532a8 62>2:k?7c=;8;38yv5f:3:1>v38:cd8974>2:9i7)=;9;1b0>h4<1097p}<9e83>7}:?3h270<=9;102>"4<008m95a35:97>{zj:886=4::386I5>83;pD=?7>4}%166?7a<2ci47>5;n053?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9>>7??d:J0<`=nih0;66gnc;29?lg22900eo650;9l631=831vn>6i:182>5<7s-9>>7?01:4n5:pf0<72;qUn8527;cb?xu5;?0;6?u239d956c<5>0jo6s|22594?4|5>09::5Q2758yv4403:1>vPm8:?4>g>0}K;0:1=vF>e89~H6?628q/8;=50:'704=9o>0eo650;9l631=831bn94?::ka1?6=3`kh6=44b683>0<729q/?8<511f8L6>b3`kj6=44i`a94?=ni<0;66gm8;29?j41?3:17pl<8g83>4<729q/?8<512d8L6>b3f;8i7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9=6=4={<1;b?74m27<6lm4}r003?6=:r7<6?88;_053>{t::21<7:18e>7<6krF85=4>{I3f=>{K;0;14v*;6283?!21:39ho6*;94$57;>g21=v?<:|X002<5s8?1=:4ric694?=nj<0;66a=6b83>!41838=n6`=5g83?>i5>h0;6)<90;05f>h5=o0:76a=6883>!41838=n6`=5g81?>i5?10;6)<90;05f>h5=o0876a=7683>!41838=n6`=5g87?>i5??0;6)<90;05f>h5=o0>76a=7483>!41838=n6`=5g85?>i5?=0;6)<90;05f>h5=o0<76a=7283>!41838=n6`=5g8;?>i5?80;6)<90;05f>h5=o0276a=6g83>!41838=n6`=5g8b?>i5>l0;6)<90;05f>h5=o0i76a=6983>!41838=n6`=5g8`?>d0290:o7j51byO7<6=9rB:i45rL2;2>2}#g><,=?h6?88;%66>87?4$57:>g36<5r$271>7603Sk?6?u>3;33>x\4<>09w<;5e;jf1<722ci97>5;n05g?6=,;<;6?8m;o06b?6<3f8=m7>5$343>70e3g8>j7?4;n05=?6=,;<;6?8m;o06b?4<3f8<47>5$343>70e3g8>j7=4;n043?6=,;<;6?8m;o06b?2<3f8<:7>5$343>70e3g8>j7;4;n041?6=,;<;6?8m;o06b?0<3f8<87>5$343>70e3g8>j794;n047?6=,;<;6?8m;o06b?><3f8<=7>5$343>70e3g8>j774;n05b?6=,;<;6?8m;o06b?g<3f8=i7>5$343>70e3g8>j7l4;n05!4183hh7c<:f;38?ldf290/>;>5bb9m60`=:21bo44?:%054?dd3g8>j7=4;ha;>5<#:?:1nn5a24d90>=nk>0;6)<90;``?k42n3?07dm9:18'636=jj1e>8h56:9jg0<72-8=<7ll;o06b?1<3`i?6=4+2729ff=i:!4183hh7c<:f;;8?le7290/>;>5bb9m60`=i21bnk4?:%054?dd3g8>j7l4;h`:>5<#:?:1nn5a24d9g>=e?3:197<55zN0=5<6sA;n56s+34095c25}#;<81==j4H2:f?lgf2900elm50;9je0<722ci47>5;n053?6=3th84k4?:083>5}#;<81=>h4H2:f?j74m3:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7512909w0=7f;30a>;02hi0q~<<7;296~;02;<<7S<97:p66>=838pRo64=68a<>{zj10;684=:4yO7<6=9rB:i45r$271>4`33`h36=44o344>5<1<75fb483>>ofk3:17o950;794?6|,:?96<>k;I1;a>ofi3:17dol:188md3=831bn54?::m122<722wi?5h50;394?6|,:?96<=i;I1;a>i6;l0;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44>3:1>v3<8g827`=:?3kh7p}=3683>7}:?38=;6P=669~w75?2909wSl7;<59f==zuk;j6=4::386I5>83;pD5;h`6>5<0e9K7=c>of=3:17dl7:188k7002900qo=7f;295?6=8r.89?4>3g9K7=c5<5sWh?7095a49~wg3=838pRo;4=68be>{t::<1<745b34=1mn5rs314>5<5s4=1>;94^344?xu5;10;6?uQb99>3?d?3twi>94?:481>0}K;0:1=vF>e89~ 63528l?7dl7:188k7002900eo:50;9jf0<722cjo7>5;c594?3=83:p(>;=:02g?M5?m2cjm7>5;hc`>5<>i5>>0;66sm39d94?7=83:p(>;=:01e?M5?m2e:?h4?::pf1<72;qUn9527;c6?xue=3:1>vPm5:?4>dg52z?07<2sE92<7?tH0g:?x"4=;0:j95fb983>>i5>>0;66gm4;29?ld22900elm50;9a3?6==3:15;h`;>5<>850;0x96>a289n7095ab9~w7502909w0952758Z7003ty9?54?:3y]f==:?3h37psm3083>0<5251zJ2a<=z,:?965<t$271>46c3A93i6gna;29?lgd2900el;50;9jf=<722e9::4?::a7=`=83;1<7>t$271>45a3A93i6a>3d83>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<6;296~;40o0:?h527;c`?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c1`>5<22;0>wA=60;3xL4c>3t.89?4>f59jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a7a<72<0968uC38295~N6m01v(>;=:0d7?ld?2900c?88:188mg2=831bn84?::kbg?6=3k=1<7;50;2x 63528:o7E=7e:kbe?6=3`kh6=44i`794?=nj10;66a=6683>>{e;1l1<7?50;2x 635289m7E=7e:m27`<722wxn94?:3y]f1=:?3k>7p}m5;296~Xe=27<6lo4}r002?6=:r784k4>3d9>3?gd3ty9?:4?:3y>3?41?2T9::5rs31;>5<5sWh37095b99~yg5b290>6?4:{M1:4?7|@8o27p*<5382b1=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;o0;684=:4yO7<6=9rB:i45r$271>4`33`h36=44o344>5<1<75fb483>>ofk3:17o950;794?6|,:?96<>k;I1;a>ofi3:17dol:188md3=831bn54?::m122<722wi?5h50;394?6|,:?96<=i;I1;a>i6;l0;66s|b583>7}Yj=16;7o:;|qa1?6=:rTi9638:`c8yv44>3:1>v3<8g827`=:?3kh7p}=3683>7}:?38=;6P=669~w75?2909wSl7;<59f==zuk31<7;52;7xH6?728qC=h74}%166?7a<2ci47>5;n053?6=3`h?6=44ic794?=nij0;66l8:186>5<7s-9>>7??d:J0<`=nih0;66gnc;29?lg22900eo650;9l631=831vn>6i:182>5<7s-9>>7?01:4n5:pf0<72;qUn8527;cb?xu5;?0;6?u239d956c<5>0jo6s|22594?4|5>09::5Q2758yv4403:1>vPm8:?4>g>83;pD5;h`6>5<0e9K7=c>of=3:17dl7:188k7002900qo=7f;295?6=8r.89?4>3g9K7=c5<5sWh?7095a49~wg3=838pRo;4=68be>{t::<1<745b34=1mn5rs314>5<5s4=1>;94^344?xu5;10;6?uQb99>3?d?3twin7>55;091~J4190:wE?j9:'704=9o>0eo650;9l631=831bn94?::ka1?6=3`kh6=44b683>0<729q/?8<511f8L6>b3`kj6=44i`a94?=ni<0;66gm8;29?j41?3:17pl<8g83>4<729q/?8<512d8L6>b3f;8i7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9=6=4={<1;b?74m27<6lm4}r003?6=:r7<6?88;_053>{t::21<70i8637:c6894g=j=16>94m4:?12?d3349:6o:4=2a9f1=:;m0i8630144m4:?b>g2<5k0i86s|b483>`}Yj<16;7l:;<:9f0=:9h0i963=4;`6?8412k?01>?5b49>7fg3<5:l1n8529;`6?8g=j<16n7l:;|q12f<72;qU>;m4=c8122=#;=31>;l4n26;>5=z{;;f2;<<7)=;9;05f>h4<10:7p}=6883>7}Y:?30144=669'71?=:?h0b>:7:39~w71?2909wS<88:?0b?41?2.8844=6c9m71>=;2wx>:950;0xZ710349n6?88;%17=?41j2d8854;;|q133<72;qU>:84=2f9631<,:>26?8m;o1752z\130=:;j09::5+35;963d36;5rs357>5<5sW8<863<1;053>"4<009:o5a35:93>{t:>91<7h4<1037p}=7083>7}Y:>;01?:52758 62>2;vP=6g9>5d<5>>1/?97527`8j62?2h1v?8j:181[41m2736?88;%17=?41j2d8854m;|q12=<72;qU>;64=68122=#;=31>;l4n26;>f=z{kn1<752z\af>;f2k20(>:6:ca8j62?281voo50;0xZgg<500i46*<488ag>h4<1097p}l9;296~Xd1278j7l7;%17=?dd3g9?47=4}ra;>5<5sWi370=j:c:8 62>2ki0b>:7:59~wf1=838pRn94=2f9f==#;=31nn5a35:91>{tk?0;6?uQc79>7f52z\`1>;493h37)=;9;``?k5303=0q~m;:181[e3348=6o64$26:>ge3655rsb094?4|Vj801?:5b99'71?=jj1e?9659:pg5<72;qUo=521`8a<>"4<00io6`<498b?xuen3:1>vPmf:?;>g><,:>26om4n26;>g=z{k31<7?750;3b>4>=:51zJ2a<=zD:3:68u+47097f><,=?i6i<4$544>==#<;4$545>2=z,:?967}6<3;:6pT<468140=n3wd?>o50;&125<4;01e>8h50:9l76>=83.9:=4<389m60`=921d?>950;&125<4;01e>8h52:9l713=83.9:=4<389m60`=;21d?9:50;&125<4;01e>8h54:9l715=83.9:=4<389m60`==21d?9<50;&125<4;01e>8h56:9l717=83.9:=4<389m60`=?21d?9>50;&125<4;01e>8h58:9l76c=83.9:=4<389m60`=121d?>m50;&125<4;01e>8h5a:9l76d=83.9:=4<389m60`=j21d?>850;&125<4;01e>8h5c:9j`2<72-8=<7j9;o06b?6<3`n>6=4+2729`3=i:!4183n=7c<:f;08?lc5290/>;>5d79m60`=;21bi<4?:%054?b13g8>j7:4;hg3>5<#:?:1h;5a24d91>=nlo0;6)<90;f5?k42n3<07djj:18'636=l?1e>8h57:9j`a<72-8=<7j9;o06b?><3`ni6=4+2729`3=i:!4183n=7c<:f;c8?lb?290/>;>5d79m60`=j21bh>4?:%054?b13g8>j7m4;c01`?6=;3;1?vB<9182M7b12w/?8<52028ma6=831bh<4?::m070<722h85l4?:280>0}#;<81?4o4ie294?=nl80;66a<3483>>d41>0;6>4?:1y'704=>:1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>76:180>5<7s-9>>7<78:061?85>03;?=6s|d083>6}Yl816?495153896??28>97p}<3483>7}Y;:?01>76:31:?xu41?0;6?u2385966?<5:326<:>;|q0=2<72;q6?46522;896?>28>97ps|d183>7}Yl916?4o5d19~wa7=838pRi?4=2;b>a752z\070=:;0k1?>;4}|`16`<72:0:6>uC38295~N6m01v(>;=:333?lb72900ei?50;9l763=831i?4o50;197?3|,:?96>7n;hf3>5<6j;h375?6=3`;?>7>5;n00=?6=3th8554?:283>5}#;<81:>5G39g8m4262900e<:=:188k75>2900qo=69;297?6=8r.89?4=3b9K7=c:6=44i061>5<5<4sWn;70=67;376>;4110:8<5rse394?5|Vm;01>78:062?85>03;?>6s|32794?4|V:9>70=69;00=>{t;0<1<775>349257?;1:p7<1=838p1>77:31:?85>13;?>6srse294?4|Vm:01>7n:e28yvb62909wSj>;<1:e?b63ty8?84?:3y]763<5:3j6>=:;|a67`=8391=7=tL2;3>4}O9l30q)=:2;024>oc83:17dj>:188k6522900n>7n:180>6<2s-9>>7=6a:kg4?6=3`n:6=44o216>5<6<729q/?8<522a8L6>b3`;?=7>5;h376?6=3f8857>5;|qg4?6=;rTo<63<968207=:;021=9?4}rf2>5<4sWn:70=67;375>;4110:8?5rs216>5<5sW98963<98817<=z{:3=6=4={<1:3?441278544>409~w6?02909w0=68;00=>;4100:8?5r}rf3>5<5sWn;70=6a;f3?xuc93:1>vPk1:?0=d;50;0xZ6523492m7=<5:~f75729086<4<{M1:4?7|@8o27p*<538155=nl90;66gk1;29?j54=3:17o=6a;297?5==r.89?4<9`9j`5<722co=7>5;n101?6=3k92;7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>77:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38;94?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p`5<72:qUh=523859514<5:336<:>;|qg5?6=;rTo=63<968204=:;021=9<4}r101?6=:rT8?85238;966?52z?0=2<5;016?4751538yv5>?3:1>v3<99817<=:;031=9<4}|qg4?6=:rTo<63<9`8g4>{tl80;6?uQd09>7=::181[54=2785l4<349~yg4493:1?7?53zN0=5<6sA;n56s+3409646>i4;<0;66l<9`83>6<425}#;<81:>5G39g8m4262900e<:=:188k75>2900qo=68;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;:>5<4290;w)=:2;00g>N40l1b=9?50;9j514=831d>>750;9~wa6=839pRi>4=2;4>425349247?;1:p`4<72:qUh<523859517<5:336<:=;|q070<72;qU?>;4=2;:>75>3ty85;4?:3y>7<1=::301>76:062?xu41>0;6?u238:966?<5:326<:=;|p`5<72;qUh=5238c9`5=z{m;1<74>:2yO7<6=9rB:i45r$271>7773`n;6=44ie394?=h;:?1<75m38c94?5=;3?p(>;=:2;b?lb72900ei?50;9l763=831i?4950;194?6|,:?96;=4H2:f?l7393:17d?;2;29?j4413:17pl<9983>6<729q/?8<5629K7=c:6=44i061>5<:188m4252900c?=6:188yvb72908wSj?;<1:3?73:278554>409~wa7=839pRi?4=2;4>426349247?;2:p763=838pR>=:;<1:=?4412wx?4850;0x96?02;9270=69;375>{t;0=1<775>349257?;2:~wa6=838pRi>4=2;b>a6i3n:7p}<3483>7}Y;:?01>7n:216?x{e::91<7=51;1xH6?728qC=h74}%166?4682co<7>5;hf2>5<6=44b2;b>5<42:0>w)=:2;1:e>oc83:17dj>:188k6522900n>78:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm38:94?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0=<<72:0;6=u+340966e<@:2n7d?;1;29?l73:3:17b<<9;29?xuc83:1?vPk0:?0=2<6<;16?4651538yvb62908wSj>;<1:3?739278554>439~w6522909wS=<5:?0=<<5;01v>79:18185>?388563<988204=z{:3<6=4={<1:439~yvb72909wSj?;<1:e?b73tyo=7>52z\g5>;41h0o=6s|32794?4|V:9>70=6a;101>{zj;9?6=4<:080I5>83;pD5;c1:e?6=;3919v*<5380=d=nl90;66gk1;29?j54=3:17o=67;297?6=8r.89?493:J0<`=n9=;1<75f15094?=h::31<75rb2;;>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{tl90;6>uQd19>7<1=9=801>77:062?xuc93:1?vPk1:?0=2<6<816?4651508yv54=3:1>vP<349>709?45238;951752z?0==<5;016?4751508yxuc83:1>vPk0:?0=d5<5sW98963<9`8070=zuk8897>53;397~J4190:wE?j9:'704=:8:0ei>50;9j`4<722e8?84?::`0=d<72:0868u+34097>i4;<0;66l<9683>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f6?>29086=4?{%166?44k2B84h5f15394?=n9=81<75`22;94?=z{m:1<7=t^e2896?028>970=68;375>{tl80;6>uQd09>7<1=9=;01>77:061?xu4;<0;6?uQ327896?>2;927p}<9783>7}:;0=1>>74=2;:>4263ty85:4?:3y>7<>=::301>76:061?x{tl90;6?uQd19>7l4?:282>6}K;0:1=vF>e89~ 6352;;;7dj?:188ma7=831d?>;50;9a76?f3`n;6=44ie394?=h;:?1<75m38594?5=83:p(>;=:718L6>b3`;?=7>5;h376?6=3f8857>5;|`0==<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg5>13:1?7>50z&017<5;j1C?5k4i062>5<96=44o31:>5<?3;?>63<998204=z{m;1<7=t^e3896?028>:70=68;376>{t;:?1<7138856s|38494?4|5:3<6?=6;<1:=?7392wx?4950;0x96??2;9270=69;376>{z{m:1<7:181[b63492m7j>;|q070<72;qU?>;4=2;b>6523twi>?l50;195?5|D:3;6=::188f6?f29086>4:{%166?5>i2co<7>5;hf2>5<6=44b2;4>5<4290;w)=:2;40?M5?m2c:8<4?::k207<722e9?44?::a7<>=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd4100;6>4?:1y'704=::i0D>6j;h375?6=3`;?>7>5;n00=?6=3tyo<7>53z\g4>;41>0:8?5238:9517?3;?=63<998207=z{:9>6=4={_101>;41009?45rs2;5>5<5s492;7<<9:?0=<<6<81v>78:18185>0388563<988207=zuzn;6=4={_f3?85>i3n;7p}k1;296~Xc92785l4k1:p763=838pR>=:;<1:e?54=2wvn?4<4sE92<7?tH0g:?x"4=;09==5fd183>>oc93:17b=<5;29?g5>i3:1?7=55z&017<41h1bh=4?::kg5?6=3f9897>5;c1:3?6=;3:1N40l1b=9?50;9j514=831d>>750;9~f6??29086=4?{%166?043A93i6g>4083>>o6<;0;66a=3883>>{e;031<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wxh=4?:2y]`5=:;0=1=9<4=2;;>4263tyo=7>53z\g5>;41>0:8<5238:951452z\070=:;031>>74}r1:2?6=:r785:4=389>752z\g4>;41h0o<6s|d083>7}Yl816?4o5d09~w6522909wS=<5:?0=d<4;<1vqo=ne;297?6=8r.89?492:J0<`=n9=;1<75f15094?=h::31<75rb2`3>5<4290;w)=:2;41?M5?m2c:8<4?::k207<722e9?44?::a7g4=8391<7>t$271>34<@:2n7d?;1;29?l73:3:17b<<9;29?xd4j=0;6>4?:1y'704=>;1C?5k4i062>5<96=44o31:>5<53;294~"4=;0=>6F<8d9j517=831b=9<50;9l66?=831vn>l7:180>5<7s-9>>78=;I1;a>o6<80;66g>4383>>i5;00;66sm3cc94?5=83:p(>;=:708L6>b3`;?=7>5;h376?6=3f8857>5;|`0ff<72:0;6=u+340927=O;1o0e<:>:188m4252900c?=6:188yg5em3:1?7>50z&017<1:2B84h5f15394?=n9=81<75`22;94?=zj:i;6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?n<50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl<4783>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3ty8mi4?:2y>67c=l916?lk522;896d728>97p}6}::;l1h=523c2966?<5:h96<:=;|q0f4<72:q6>>>5d19>7g4=::301>l;:061?xu4j:0;6>u22239`5=:;k>1>>74=2`5>4253ty8n84?:2y>664=l916?o8522;896d?28>97p}6}:::91h=523c:966?<5:hj6<:=;|q0g4<72;q6>?m5d19>7f4=::30q~=m9;297~;5;=0o<63;4jl09?4523b2951453z?16g7f4=9=80q~=?m53278 62>2:927c=;8;28yv5403:1>vP<399>67d=;:?0(>:6:21:?k5303;0q~=<7;296~X4;>16>?o53278 62>2:927c=;8;08yv53=3:1>vP<449>663=;:?0(>:6:21:?k530390q~=;4;296~X4<=16>>:53278 62>2:927c=;8;68yv53;3:1>vP<429>665=;:?0(>:6:21:?k5303?0q~=;2;296~X4<;16>><53278 62>2:927c=;8;48yv5393:1>vP<409>667=;:?0(>:6:21:?k5303=0q~=;0;296~X4<916>>>53278 62>2:927c=;8;:8yv54m3:1>vP<3d9>67`=;:?0(>:6:21:?k530330q~=?k53278 62>2:927c=;8;c8yv54j3:1>vP<3c9>67b=;:?0(>:6:21:?k5303h0q~=<6;296~X4;?16?98522;8 62>2:927c=;8;a8yvb02909wSj8;<01g?b63-9?57j9;o176=4<{_f6?845j3n:70=l2;375>"4<00o:6`<4982?xuc<3:1?vPk4:?16d409'71?=l?1e?9652:pa7<72:qUi?522279`4=:;ko1=9?4$26:>a036>5rsd394?5|Vl;01?=;:e3896dd28>:7)=;9;f5?k5303>0q~k?:180[c73488?7j>;<1ae?7392.8844k6:l00=<23tyoj7>53z\gb>;5;;0o=63{tll0;6>uQdd9>667=l816?o851538 62>2m<0b>:7:69~wab=839pRij4=313>a7<5:h?6<:>;%17=?b13g9?4764}rfa>5<4sWni70<=f;f2?85e:3;?=6*<488g2>h4<1027p}k9;297~Xc1279>h4k1:?0f5<6<81/?975d79m71>=i2wxh54?:2y]`==::;n1h<523`g9517<,:>26i84n26;>g=z{m91<7:t^e18974c2m:01>oj:061?853>3;?<6*<488g2>h4<10h7ps|b583>7}Yj=16;7l;;|qa1?6=:rTi9638:c78yv41k3:1?vP=6b9>3?41k279>44k7:&00<<5>k1e?9650:p63g=839pR?8n;<5963g<5;826i;4$26:>70e3g9?47?4}r05=?6=;rT9:4527;05=>;5:00o86*<48812g=i;=21>6s|26:94?5|V;=3709526:8974>2l80(>:6:34a?k530390q~<87;297~X5?>16;7<87:?16<=<2wx>:850;1xZ71134=1>:84=30:>`6<,:>26?8m;o1753z\130=:?38<963=288gb>"4<009:o5a35:92>{t:>>1<7=t^357?81=:>>01?<6:eg8 62>2;3?40;279>44kd:&00<<5>k1e?9658:p627=839pR?9>;<59627<5;826il4$26:>70e3g9?4774}r05b?6=;rT9:k527;05b>;5:00o56*<48812g=i;=21m6s|27g94?5|V;2m20(>:6:34a?k5303h0q~<98;297~X5>116;7<98:?16<=k2wx?l?50;0x9244<3`9'71?=;h:0b>:7:19~w6?a2909w095bc9>67?=;:20(>:6:2c3?k5303;0q~=6e;296~;02kk01?<6:214?!53139j<6`<4981?xu4ij0;6?u27;a:?845139?96*<4880e5=i;=21?6s|3``94?4|5>0h463=288001=#;=31?l>4n26;>1=z{:kj6=4={<59g2=::;31?9=4$26:>6g73g9?47;4}r1b=?6=:r7<6n84=30:>6253-9?57=n0:l00=<13ty8m54?:3y>3?e2348957=;1:&00<<4i91e?9657:p7d1=838p1:4l4:?16<<4<91/?9753`28j62?211v>o::18181=k;16>?7532g8 62>2:k;7c=;8;;8yv5f;3:1>v38:b28974>2:9h7)=;9;1b4>h4<10j7p}7}:?3hm70<=9;10f>"4<008m=5a35:9f>{t;0n1<7;5:008?;5+35;97d636n5r}c014?6==3819vB<9182M7b12wG?4?51z&726<73t.89?4>f59jf=<722e9::4?::ka0?6=3`h>6=44i`a94?=e?3:197>50z&017<68m1C?5k4i`c94?=nij0;66gn5;29?ld?2900c?88:188yg5?n3:1=7>50z&017<6;o1C?5k4o01f>5<0jm6s|22494?4|5:2m6<=j;<59ef=z{;9<6=4={<596317}Yj116;7l7;|a05>=8391=7=tL2;3>4}O9l30qA=61;3x 104291v(>;=:0df?ld?2900c?88:188mg2=831i;7>53;294~"4=;0:7p}=3783>7}:?38=;6P=669~w7502909wSl7;<59f==zuk3:6=4<:080I5>83;pD=?7>4}%166?7am2ci47>5;n053?6=3`h?6=44b683>6<729q/?8<511a8L6>b3`k>6=44ic:94?=h:?=1<75rsc694?4|Vk>01:4n5:p660=838p1:4=669]63152z\a<>;02k20qpl;2d83>6<62:qG?4>51zJ2a<=zD:3:6{#;<81=kk4ic:94?=h:?=1<75fb583>>d029086=4?{%166?77k2B84h5fa483>>oe03:17b<97;29?xue<3:1>vPm4:?4>d352z?4>7003W8=;6s|22594?4|Vk201:4m8:~f6ce29086<4<{M1:4?7|@8o27pB<9082!21;3:0q)=:2;3ea>oe03:17b<97;29?ld32900n:4?:283>5}#;<81==m4H2:f?lg22900eo650;9l631=831vo:50;0xZg2<5>0j96s|22494?4|5>09::5Q2758yv44?3:1>vPm8:?4>g>84?:d80>46|,:?96?<:;n015?6=3`8:>7>5;h023?6=3`8:47>5;h02=?6=3`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3`8:?7>5;h020?6=3`8::7>5;c016?6=13:1N40l1b=9?50;9j514=831b=9=50;9j512=831b=9;50;9j510=831b=9950;9j51>=831d>>750;9~f744290=6=4?{%166?0b3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66g>4483>>i5;00;66sm23694?5=83:p(>;=:708L6>b3`;?=7>5;h376?6=3f8857>5;|q164<72;qU>??4=307>75>3ty9=?4?:3y]644<5;886<:>;|q152<72;qU><94=301>4263ty9=54?:3y]64><5;896<:=;|q15<<72;qU><74=301>4243ty9=l4?:3y]64g<5;896<::;|q15g<72;qU>4233ty9=n4?:3y]64e<5;896<:9;|q15a<72;qU>42?3ty9=h4?:3y]64c<5;896<:8;|q15c<72;qU>4253ty9=>4?:3y]645<5;886<:;;|q151<72;qU><:4=300>4243ty9=;4?:3y]640<5;886<::;|q167<72;q6>?<522;8974328>:7p}=2283>7}::;91>>74=307>4253twi5:4?:480>2}#;<815:5`9383>>o6==0;66g>o>03:17d7>:188f<0=8391<7>t$271>35<@:2n7d?;1;29?l73:3:17b<<9;29?xd><3:1?7>50z&017<1;2B84h5f15394?=n9=81<75`22;94?=zj0?1<7=50;2x 6352;9h7E=7e:k204<722c:8?4?::m17<<722wx5?4?:3y]=7=:1<09?45rs077>5<5sW;>86366;376>{t;lh1<7:7p}68;296~X>027287?;1:p=4<72;qU5<52958207=z{091<75<5s43?6?=6;<;6>4253twi?k=50;797?1|,:?96>h<;n1fa?6=3`;>?7>5;h1fg?6=3`9m87>5;h1ff?6=3k9m>7>53;294~"4=;0=?6F<8d9j517=831b=9<50;9l66?=831vn>h?:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm3g394?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::p7`c=838pR>kj;<1e5?4412wx=8=50;0xZ434349m>7?;2:p7`e=838pR>kl;<1e6?7392wx?k:50;0xZ6`3349m<7?;1:p7`d=838pR>km;<1e4?73:2wx?hh50;0x96`52;9270=i1;375>{t;o:1<775>349m=7?;2:~f436290?6=4?{%166?023A93i6g>4083>>o6<;0;66g>4283>>i5;00;66smed83>6<729q/?8<5629K7=c:6=44i061>5<N40l1b=9?50;9j514=831d>>750;9~f63e290>6=4?{%166?0e3A93i6g>4083>>o6<;0;66g>4283>>o6<=0;66a=3883>>{e;;31<7;50;2x 6352?n0D>6j;h375?6=3`;?>7>5;h377?6=3`;?87>5;n00=?6=3th8>o4?:483>5}#;<81:n5G39g8m4262900e<:=:188m4242900e<:;:188k75>2900qo==f;297?6=8r.89?4=3b9K7=c:6=44i061>5<5<3290;w)=:2;00a>N40l1b=9?50;9j514=831b=9=50;9l66?=831vn>kk:180>5<7s-9>>78<;I1;a>o6<80;66g>4383>>i5;00;66sm3g794?5=83:p(>;=:31`?M5?m2c:8<4?::k207<722e9?44?::a77b=8381<7>t$271>4313A93i6g>4183>>i5;00;66s|ac83>f}Yik16=9h5b59>550=j=16?8=5b59>775=j=16?875b59>647=j=16>?>5b59>05>=j=165<4m4:?76`<<;<117?41?2wx?hl50;7xZ6ce349nn7<97:?:3?5bj278j>47`b=9=;0q~kl:181[cd34;;:7l6;|q742<72;qU8=94=52;>g>9i7>52z\76`=:<;o1>;94}r;2>5<4sW3:707>:344?8?020;0q~?:0;296~;652z?06<<5;016??h51538yv55i3:1>v3<2c817<=:;;l1=9<4}r366?6=;r7:8k4=4c9>=<<6<816?k;51538yv72;3:18v3>4g810a=:;o91=8=4=8;9514<5:l>6<:=;|q211<72:q6=9h525d89<1=9<>014751518yv55k3:1>v3<228a<>;4:m09?45rs076>5<5s4;?j7<:1:?76`{t;lo1<7g><5:l86>kj;|qf`?6=>r789>4m5:?06670b=9=80q~=:c;290~;4=:088h522039f0=::;:1n85234f966?g><50=15?5rs8:94?4|50=1555298817<=z{;8:6=4={<014?d?348997<=1:p70?=839p1>;6:344?87293;?>63<5e8204=z{=:36=4<{<37b?7b;27?<54=669>7`b=9=80q~=:a;296~;4=00i463<5c817<=z{:oh6=4={<1e7?5bk278ii4=389~w64b2909w0==f;00=>;4:m0:8=5rs303>5<5s489<7<97:?214<6<:1v>;7:186[5482789>4=709>70d=9=>01><6:067?855j3;??6*<488012=i;=21<6s|34494?2|5:?86?8i;<16f?73:278>44>429>77d=9=80(>:6:274?k5303;0q~=:5;290~;4=:09:h5234`9517<5:826<:=;<11f?7392.8844<569m71>=:2wx?8:50;6x96342;<370=:b;377>;4:00:8<5233`9512<,:>26>;8;o1752z?243<5>l16ih4>439'71?=9930b>:7:19~w46?2908w0??6;`e?877>38=463je;375>"4<00:<45a35:95>{t:8<1<770d348997<>6:&00<<59<1e?9650:p642=838p1??>:34b?845=38:86*<488150=i;=21=6s|20194?4|5;;:6?86;<011?46;2.8844=149m71>=:2wx>"4<009=85a35:97>{t:8o1<7710348997<>e:&00<<59<1e?9654:p64b=838p1??>:355?845=38:h6*<488150=i;=2196s|20a94?4|5;;:6?9:;<011?46k2.8844=149m71>=>2wx>"4<009=85a35:93>{t:8k1<7714348997<>a:&00<<59<1e?9658:p64?=838p1??>:352?845=38:56*<488150=i;=2156s|20:94?4|5;;:6?8i;<011?4602.8844=149m71>=i2wx><950;0x97762;"4<009=85a35:9f>{t:881<770?348997<>2:&00<<59<1e?965c:~f6b729026n4>1zN0=5<6sA;n56sC38396~h3>=0396*;6285?x"4=;0:?55`45a94?=h;o31<75f3e`94?=h1h0;66g>0c83>>o48<0;66g<0683>>o4800;66g>4883>>d0<3:1?7<54zN0=5<6sA;n56sC38395~"3>:097p*<53814==nj10;66a=6683>>oe<3:17o950;194?6|,:?96<>l;I1;a>J4190:w)?;e;654>{ni<0;66gm8;29?j41?3:17plm7;296?6=8r.89?4>579K7=c;6=44o31:>5<;7p}=3783>7}:?3k>70l8:31:?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c132?6==3819vB<9182M7b12wG?4?5az&726<53->=>7=le:&71d=j=1e88:51:&71<;%66a?53m2d?9;4?;|&017<5801bn94?::ka1?6=3`h36=44o344>5<n6=44b683>0<5251zJ2a<=z,:?965<t$271>46c3A93i6gna;29?lgd2900el;50;9jf=<722e9::4?::a7=`=83;1<7>t$271>45a3A93i6a>3d83>>{tj=0;6?uQb59>3?g23tyi97>52z\a1>;02hk0q~<<6;296~;40o0:?h527;c`?xu5;>0;6?u27;053>X5>>1v?=7:181[d?34=1n55r}c1`1?6=;3:1:188m4252900c?=6:188yvd32909wSl;;<59f1=z{k?1<797p}=6683>7}Y:?=01:4=669~w62b2908wS=;e:?4>g><5:i>6<:>;|q0g1<72;q6;7l:;<1`1?4412wvn>>7:186>7<2sE92<7?tH0g:?xJ4180jw):93;08 1052:in7)::a;`;?!22k38=;6*;598a0>h3==0:7)::9;`6?k22=3;0(9;j:26f?k22>3:0q)=:2;03=>oe<3:17dl::188mg>=831d>;950;9j71c=831i;7>55;091~J4190:wE?j9:'704=9o80eo650;9l631=831bn94?::ka1?6=3`kh6=44b683>0<729q/?8<511f8L6>b3`kj6=44i`a94?=ni<0;66gm8;29?j41?3:17pl<8g83>4<729q/?8<512d8L6>b3f;8i7>5;|qa0?6=:rTi8638:`78yvd22909wSl:;<59ed=z{;9=6=4={<1;b?74m27<6lm4}r003?6=:r7<6?88;_053>{t::21<7m::180>5<7s-9>>7<01:4m4:pf0<72;qUn8523b7951452z\122=:?38=;6s|35g94?5|V:>n7095b99>7f3=9=;0q~=l4;296~;02k?01>m::31:?x{e;o31<7;53;5xH6?728qC=h74}M1:5?g|,=<86?5+47097fc<,=?j6o64$57`>7003->>47l;;o660?7<,=?26o;4n576>4=#<5=z,:?965<>i5>>0;66g<5083>>d0290>6?4:{M1:4?7|@8o27p*<5382b7=nj10;66a=6683>>oe<3:17dl::188mde=831i;7>55;294~"4=;0:5<51;294~"4=;0:?k5G39g8k45b2900q~l;:181[d334=1m85rsc794?4|Vk?01:4na:p660=838p1>6i:01f?81=ij1v?=8:18181=:?=0R?88;|q17=<72;qUn5527;`;?x{e;j?1<7=50;2x 6352;9o7E=7e:k204<722c:8?4?::m17<<722wi?n950;194?6|,:?96?=k;I1;a>o6<80;66g>4383>>i5;00;66s|b583>7}Yj=16;7l;;|qa1?6=:rTi96397p}=6683>7}Y:?=01:4=669~w6362908wS=:1:?0g0<6<816?n951538yv5d>3:1>v38:c7896e02;927p}7}:?3h370=l5;00=>{zj:<:6=4::284I5>83;pD=?7<4$541>6eb3->>m7l7;%66g?41?2.?954m4:l711<63->>57l:;o661?7<,=?n6>:j;o662?6>76=44ic:94?=h:?=1<75f35g94?=e?3:197<55zN0=5<6sA;n56s+34095c45}#;<81==j4H2:f?lgf2900elm50;9je0<722ci47>5;n053?6=3th84k4?:083>5}#;<81=>h4H2:f?j74m3:17p}m4;296~Xe<27<6l;4}r`6>5<5sWh>7095a`9~w7512909w0=7f;30a>;02hi0q~<<7;296~;02;<<7S<97:p66>=838pRo64=68a<>{zj:kn6=4<:183!52:3<97E=7e:k204<722c:8?4?::m17<<722wi?n;50;194?6|,:?96?=k;I1;a>o6<80;66g>4383>>i5;00;66s|b583>7}Yj=16;7l;;|qa1?6=:rTi96397p}=6683>7}Y:?=01:4=669~w62b2908wS=;e:?0e`<6<816?n;51538yv5fl3:1>v38:c:896gb2;927p}7}:?3h>70=l5;00=>{zj0h1<7=50;2x 6352;9o7E=7e:k204<722c:8?4?::m17<<722wi?=o50;194?6|,:?96;<4H2:f?l7393:17d?;2;29?j4413:17pl;5083>7<729q/?8<51448L6>b3`;?<7>5;n00=?6=3th85}#;<81>>m4H2:f?l7393:17d?;2;29?j4413:17pl<0d83>6<729q/?8<522a8L6>b3`;?=7>5;h376?6=3f8857>5;|`715<72:0;6=u+340926=O;1o0e<:>:188m4252900c?=6:188yg1129096=4?{%166?72>2B84h5f15294?=h::31<75rs56`>5<5sW>?o63;50817<=z{:l26=4={_1e=>;4n009::5rs2fa>5<3sW9on636b;375>;3=90:8<52778205=z{0k1<78t^8c896612:>n70=?8;17a>;4n0089<52373971c<50h1>>74}r33f?6=>rT:;48?0i863<098a0>;4n00i863<608a0>{t;9?1<7=t^226?857>3h>70=?c;375>{t;9=1<7=t^224?85703h>70=?e;375>{t9=31<7=t^06:?85a13h370=91;`;?xu0<3:1>v384;053>;>j3;?>6s|31`94?4|5::j6<:>;<13g?4412wx?=j50;0x966f28>970=?e;00=>{t;?;1<770034>><7?;2:p750=838p1>>9:344?857k3;?>6s|31:94?4|5::36?88;<13a?73:2wx89h50;0x913628>;70::0;00=>{t;9>1<7=t=2d:>g3<5:<:6o;4=22b>75>3ty<97>52z?40?d?34==6?=6;|a07d=8391=7=tL2;3>4}O9l30qA=61;3x 1042?1v(>;=:0gf?l77=3:17d=:e;29?j54=3:17o950;194?6|,:?96l=4H2:f?l7383:17dkl:188k75>2900q~??5;296~X68<16;7kl;|q173<72;q6;7<<9:\070=z{;9<6=4={_16a>;028>;7psm3eg94?5=939p@>7?:0yK5`?3=z,:?96i7>5;n101?6=3k=1<7=50;2x 6352h90D>6j;h374?6=3`oh6=44o31:>5<52z\240=:?3oh7p}=3783>7}:?38856P<349~w7502909wS=:e:?4>4273twi8=m50;195?5|D:3;693;p(98<:79~ 63528on7d??5;29?l52m3:17b=<5;29?g1=8391<7>t$271>d5<@:2n7d?;0;29?lcd2900c?=6:188yv77=3:1>vP>049>3?cd3ty9?;4?:3y>3?4412T8?85rs314>5<5sW9>i638:063?x{e<:21<7=51;1xH6?728qC=h74}M1:5?7|,=<86;5r$271>4cb3`;;97>5;h16a?6=3f9897>5;c594?5=83:p(>;=:`18L6>b3`;?<7>5;hg`>5<X4;<1v?=8:181[52m27<6<:?;|a012=8391=7=tL2;3>4}O9l30qA=61;3x 1042?1v(>;=:0gf?l77=3:17d=:e;29?j54=3:17o950;194?6|,:?96l=4H2:f?l7383:17dkl:188k75>2900q~??5;296~X68<16;7kl;|q173<72;q6;7<<9:\070=z{;9<6=4={_16a>;028>;7psm3e494?4=83:p(>;=:075?M5?m2c:8=4?::m17<<722wi?io50;094?6|,:?96<;9;I1;a>o6<90;66a=3883>>{e;l<1<7<50;2x 63528?=7E=7e:k205<722e9?44?::a7a>=8391<7>t$271>75d3A93i6g>4083>>o6<;0;66a=3883>>{e;m91<7<50;2x 63528?=7E=7e:k205<722e9?44?::a07b=8381<7>t$271>4313A93i6g>4183>>i5;00;66s|43`94?2|V=8i70=ld;61f>;4l80?>o5243`976352z\0bd=:;m:1?k74}r1gg?6=jm;<1g4?5cj2wx89j50;0xZ12c349o<7:;c:p060=839pR9=9;<655?24=278h94;349~w1562909wS:<1:?724<3;81vl650;6xZd>7=:37`?85c839;56s|43794?4|V=8>70:91;611>{t;l31<7{t;o<1<7{tmj0;6>uQeb9>7a7=mj16?nl5eb9~w46e290=wS??b:?0gahm:181[5aj278h<4k::181[5b=278i;4=389~w1602909wS:?7:?0gg<38>1v9<;:181[25<27?:<4;3d9~w12b2909wS:;e:?0`4<3c:?724<39j1vl750;0xZd?<5:n:6l74}rc5>5<5sWk=70=k1;c5?xu4l<0;6?uQ3e7896b12;927p}7}Y;m301>jn:31:?xu38h0;6>uQ41c891062=:270=k4;63=>{t<;l1<79j6s|3ga94?4|V:lh70=k1;1eg>{t<9h1<7870:;4;101>{t;ol1<7{t<<91<7:t^570?85dl3>>>63;608717=:;m>188<4}r1f52z?724<4;816?nl53228yv71;3:1>v3a59>7a2=9h>0q~?:7;296~;4l=0:9:523e395019o7>52z?76g<68<168?j522;8yv3f2909w0=k1;7:?85c03;?>6s|5c83>7}:;m>194523e:9517;h7>52z?0`4<380168=m534g8yv55;3:1>v3;608061=:;jh1??=4}r;b>5<3s49hh77n;<655??f349o877n;<1g4??f3ty8h?4?:3y>7a7=9l;01>j<:31:?xu6i?0;6?u23e695d0<5:n:67p};2d83>7}:;jh18?k4=50g>4273ty2=7>57z?0`4<>9278oo461:?0``<68<168=m51178915?28:>70:;4;331>;4m?0:8=5rs05f>5<5s49hh7?8e:?0`1<6?l1v;4l109?45rs0;:>5<5s49o87?69:?0`4<6i:1v?66:18185dl383563;6081<<=z{:nm6=4={<1g5?5b8278hh4<5d9~w7`12909w0=ld;0e2>;3>809j;5rs05b>5<5s49o87?8a:?0`5<48>1v<:6:18185dl3;?563>6=4={<1g5?23:27?894<5d9~w4b12909w0=k4;3g2>;4l80:i=5rs7394?4|5:n?6;?4=2fb>4273ty9?;4?:3y>7=`=9:o019h4<10;7p}=7b83>7}Y:>i0198>:35`?!531380;6?uQ295891062;2<7)=;9;04`>h4<1097p}=8783>7}Y:1<0198>:3:5?!531387)=;9;04`>h4<10?7p}=8583>7}Y:1>0198>:3:7?!53138h4<10=7p}=8383>7}Y:180198>:3:1?!53138h4<1037p}=8183>7}Y:1:0198>:3:3?!53138h4<10j7p}=7c83>7}Y:>h0198>:35a?!531383:1>vP76:?0ga<282.884475:l00=<73ty387>52z\;0>;4km0?i6*<488;1>h4<10:7p}73;297~X?;278oi4;d:?724<3l2.884475:l00=<53ty3>7>53z\;6>;4km0?n63;6087f>"4<00396`<4980?xu?83:1?vP70:?0ga<3127?:<4;9:&00<;3>80?46*<488;1>h4<10>7p}8e;297~X0m278oi4;7:?724<3?2.884475:l00=<13ty53z\4`>;4km0?:63;60872>"4<00396`<4984?xu0k3:1?vP8c:?0ga<3=27?:<4;5:&00<;3>80?86*<488;1>h4<1027p}8a;297~X0i278oi4;3:?724<3;2.884475:l00=53z\4=>;4km0?>63;60876>"4<00396`<498a?xu003:1?vP88:?0ga<3927?:<4;1:&00<;3>80?<6*<488;1>h4<10o7p}7e;297~X?m278oi4:8:?724<202.884475:l00=53z\;`>;4km0>;63;60863>"4<00396`<498e?xu?k3:1>vP7c:?724<2>2.884475:l00=<682wx4o4?:3y]:468 62>21?0b>:7:008yv>>2909wS66;<655?343-9?576:;o1752z\;<>;3>80>>6*<488;1>h4<10:86s|8683>7}Y0>168;?5509'71?=0<1e?965149~w=7=838pR5?4=542>1g<,:>265;4n26;>40;13857=68;1:=?5>200857:10:30>LHW]]0O0<<50?37?45=AGZ^X7Jnt`C?57<76o1:?7B[ilgq844=87;:7<=5OTVSQQ58f3891]1?=:12;2=54=6;3Ujhi>?01>26?69i2;=6L2=3;:=55=6>3CE\XZ5A=00>=863;k0><4N<3395;773;;1EC^ZT;C?64<66l19?7OPFR@?66<668>0>>4FNQWW>DYA[K69?7?18:09@97=8730>7K}<083:`=52@D[YY4K<083:c=52F__\XZ5Q=394;><:3[7=7>11:1e?62?3=0O0>4?>b97>AgsiH686=0j;58JJUSS2M686=0>2:69MKVR\3NjxlO33;2=b>2=G\^[YY4^<283:42<<3E^X][[:Sgpqir;;3:546:5Q=194;b<<3Xnxb{<283:f=32]cfi2<:133;2=5>3?3<0O094?>b96>AgsiH6?6=06;48FW92=87o097GAPTV9@92=87;9784FNQWW>AgsiH6?6=0i;48LQQVR\3[787>11596>JSSX\^1^h}zlu>7>58?3<0Z094?>e96>Wct}e~787>1f:79[dbc89:;094?>0955>1631;0585N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566m2KGI=Qbuy234576l2KGI=Qbuy23454682KGI=Qbuy2345Yney>0MAK>4:CM@70GIL:H9:6OAD2@00>GIL=<0MCJ;B048EKB3J;<0MCJ;B248EKB3J=<0MCJ:B048EKB2J;<0MCJ:B248EKB2J=<0MCJ:B468EKB?<2KX~km4ARpe[kis89::=h5NSsd\jjr789;Te`~PARpe[kis89::=45N_GQA846912KTJ^L310<:?DYA[K6:>374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH75384AnwwK@c?=;@mvpJCXe|r;<=>Pilrg?Dir|FOTc>?0103?Dir|FOTc>?01]jiuYFg|~DIRa}012351=EIJ^n7OOLT^kntZDFK];>7OOLTs48F@TUzoo0NH\]rg]mkq6788897OK]Rsd\jjr789;Te`~PBDPQvcYig}:;<;B68G40J>2I9<@KA6:A11HCI;2I3A?5LE29@HN5ET>2Igil|>3:Aoo0=DdbOe;6Mck^DPI423:0=C48:596J310<4?A:6:3:596J313<5?A:6294?7I2>>59G87813M686=0;;E>0:3=C4=0;295K<5<7?A:26=1O0;0;;E>4:1=C414?7I26>69GPDELMGl0HYOLKDL\ekb789:986J[ABIFJZgil9:;?0058@QGDC{lm7IZNCJpe[kis89::>95KT@AHvcYig}:;<?0034?Agsi5:5;6Jnt`>2:0=Ci}kJ46Jnt`C?4;?89GeqgF48;5n6Jnt`C?57<7601OmyoN<00=<>Bf|hK7=364D`vbE949i2NjxlO33;2=<>Bf|hK7?3o4D`vbE92=8720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;0Pilr\@jssGLUjbi>?013f?Air|FOTmcj?01316>Bh}}ENSl`k0122[lkwWMe~xBKPaof34576l2NdyyAJ_np3456582NdyyAJ_np3456XadzTHb{{OD]lv56788n0Hb{{OD]lv5679;:0Hb{{OD]lv5679Vcf|RJ`uuMF[jt789;:=6K:;DDbhi773LLj`aQfmq]FBdjk9;1N_85JS=2=1>CT484>7H]32?78AV:46>1N_1:50?78AV:36h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<?0348AQGDCVif|Rk~0123[lkwWL^JOFQlmq]fu5678820IcomldVq6>Cu;2Oy>85Jr=2=3>Cu480;285Jr=3=0>@DDB80JI:4FEAF6>@A<2LMh<94FGf2)Lh?3OLo= Ga189EBa7*Ag;:46HId0/Jj7?199EBa4*Ag827KHk2,Km64>8:DE`7+Nf<30JKj=-Hl65==ANm8&Ec86;GDg6(Oi>830JKj=-Hl45==ANm8&Ec6m;GDg6(OiW`g{86HId258BCb4%@d37KHk3,Km5<=ANm9&Ec?>8:DE`6+Nf;30JKj<-Hl15<=ANm9&Ec=>8:DE`6+Nf=h0JKj<-Hl\mhv43OYI?6H\Mb9EWHY7%ykyiczl;GQN[4+wi{oexn5ISL]1)ugumg~h7K]B_2/sewci|81L?6IAD09J6>O7:2C:>6G=2:K06>O3:2C>>6G92:K40>OFKZ?0ELM\149JEFU5=2CJO^=7;HC@WZojx=1BBDZ:;HLJPV14:KMMQUSKVcf|RGAIUQWG4773@DBX^Qlmq]fu56788:0ECG[S^antZcv89::==5FNHVP[fkwWl{;<=<>0:KMMQUXkdzTi|>?0218MKP23@EJOYj4INC@PZgil9:;4INC@PZgil9:;>d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=?>e:KLEFRXe|r;<=>=f:KLEFRXe|r;<=>=1g9JKDESWds<=>?30g8MJGD\Vg~t=>?0532?LIFK]Ufyu>?01]jiuePilr\MJDTW[ojhtQnne234576:2CDN^Q]e`fz[dhc89::>l5FOCQ\V`gcqVkeh=>?1^kntZOHJZUYiljv_`lg456698k0EBL\_Sgb`|YneyUBCO]PRdcg}4763@EI_R\jae{\kw6789837DAMS^PfeaXg{:;<=Qfmq]JKGUXZlkouRa}012351=NGJY>7DALS078MJET:<1BCN]?259JKFUXkdzTi|>?01]jiuYNGJYTo`~Pep23457a3@EH_Rmbp^gr4566:=1BCN]Pclr\at6788Uba}QFOBQ\ghvXmx:;<=259JKFUXkdzTi|>?03]jiuYNGJYTo`~Pep23477a3@EH_Rmbp^gr4564:=1BCN]Pclr\at678:Uba}QFOBQ\ghvXmx:;<>?i;HM@WZejxVoz<=>;259JKFUXkdzTi|>?05]jiuYNGJYTo`~Pep23417a3@EH_Rmbp^gr4562:=1BCN]Pclr\at6789259JKFUXkdzTi|>?07]jiuYNGJYTo`~Pep23437a3@EH_Rmbp^gr4560:=1BCN]Pclr\at678>Uba}QFOBQ\ghvXmx:;<:?i;HM@WZejxVoz<=>7259JKFUXkdzTi|>?09]jiuYNGJYTo`~Pep234=7a3@EH_Rmbp^gr456>:=1BCN]Pclr\at6780Uba}QFOBQ\ghvXmx:;<4?i;HM@WZejxVoz<=??259JKFUXkdzTi|>?11]jiuYNGJYTo`~Pep23557a3@EH_Rmbp^gr4576:=1BCN]Pclr\at6798Uba}QFOBQ\ghvXmx:;=OHL]KHGQaou2344413@EOXLMDr^llp5679Vcf|RG@DUC@OwYig}:;<?00g8MJJ7Wds<=>?10g8MJJ7Wds<=>?20f8MJJ7Wds<=>?3028MJJ7Wds<=>?_hos0>OHD8i0EBB>_omw45669l1BCA?Pnnv3457XadzTEBB>_omw45669<1BCAJ\6:KLTRET9;1BC]YLS^kntZOHX^IX=85FOSGR`>OHZL[Tmcj?01214>OHZL[Tmcj?012\mhvXAFXN]Road12344b1:KLVR6Xe|r;<=>Pilrg?LIU_9Uecy>?0003?LIU_9Uecy>?00]jiuYNG[];Sca{01225f=NG[];Sb|?0122a>OHZ^:Tc>?01]jiuYNG[];Sb|?0122g>OHZ^:Tc>?003f?LIU_9Ud~=>?1^kntZOHZ^:Tc>?0036?LIU_8n0EB\X1^cm`5678l1BC_Y>_`lg45679l1BC_Y>_`lg4567:l1BC_Y>_`lg4567;8;0EB\X1^cm`5678Vcf|n5FOSU2[jt789::i6G@RV3\kw6789Uba}QFOSU2[jt789::?6G@Sd9JKVYdeyUn}=>?0d9JKVYdeyUn}=>?1d9JKVYdeyUn}=>?2d9JKVYdeyUn}=>?3d9JKVYdeyUn}=>?4d9JKVYdeyUn}=>?5d9JKVYdeyUn}=>?659JKP6d3@E^OH]9Ufyu>?0132a>OH]9Ufyu>?0102`>OH]9Ufyu>?01124>OH]9Ufyu>?01]jiu27DAZDR68MJQB;2Ce<>5Fn018Mk443@d8?6Ga429Jj05JWEVKOHR]ZB^3g?IVJWHNOS^[M_3f8HUKXIMNT_XLP3c9OTHYE]Z^YEa:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVNMJR96;MRN[A@AW130@]CPDGD\=d=KXDUSC_JSadoy0<>1a:NWmhcu48;5o6B[ilgq844=87k0@Ygbes>26;?>89OPlkbz58556B[ilgq868>3E^bah|34?;8HQojm{6>245CThofv90912F_e`k}<6<:?IRnelx74374LUknaw:>611GXdcjrUA27>JSadoyXNQlmq]fu56788>0@YgbesV@[fkwWl{;<=>>159OPlkbz]ITo`~Pep234546<2F_e`k}TB]`iuYby9:;<>?8;MVji`tSKVif|Rk~0123[lkw=2Fdmi?k;Mmb`4Yig}:;<<>1e9NeabXWhno<=>?f:O{kwYUmzgx1??>g9N|jtXZly~`y2>1?d8I}iuW[oxyaz313;N78KAETzm1DHN]}_`lg4567:91DHN]}_`lg4567W`g{SBJLSs]bja6789;87B\=5:MQ6G743FX896A]3C36?JT4J;90C_:<;NP67>IU>:1D^:=4OS:7?Jss8l1Dyy>Pilr\Kpr79=1Dyy?j;Nww5ZojxVE~x0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM81Z86_30?78U9776<1Z0W;;3:586_33?48U92=87>0]1:14:S?1;29<2[753o4Q^3\kw6789;j7\Q>_np3456XadzT]R?Pos2345723[K7<384R@>24;>16:PB8479=2XJ0<0:;SC?6;3TF4<4>7_O36?78VD:06<1YM1615:PB8<843[KF96\NMBQ6?WGJMGn0^LCJN^ov|5678l1YM@KA_lw{45679o1YM@KA_lw{456798l0^LCJN^ov|5678;;n7_OBEO]nq}67899m7_OBEO]nq}67899:i6\NMDL\ip~789:?j6\NMDL\ip~789:?=k5]ALGM[hs89:;9;SCNAKYj}q:;<=Qfmqa8VDKBFVey<=>?1d9QEHCIWfx;<=>Pilr\VDKBFVey<=>?189QEHYUmhnr96\NSDNg?WGTMEUfyu>?01g8VDUBDVg~t=>?00d8VDUBDVg~t=>?003e?WGTMEUfyu>?0102a>TF[LFTaxv?012054=UIZOGS`{w0123[lkwk2XJS=Qbuy2345bTFW8Ufyu>?0132a>TFW8Ufyu>?0102`>TFW8Ufyu>?01124>TFW8Ufyu>?01]jiueTFW;Ufyu>?013f?WGX:Vg~t=>?003f?WGX:Vg~t=>?033g?WGX:Vg~t=>?0233?WGX:Vg~t=>?0^kntf=UIV9Taxv?012g?WGX;Vg~t=>?00g8VDY4Wds<=>?10g8VDY4Wds<=>?20f8VDY4Wds<=>?3028VDY4Wds<=>?_hosg>TFW=Ufyu>?01f8VDY3Wds<=>?1d9QEZ2Xe|r;<=>>1d9QEZ2Xe|r;<=>=1e9QEZ2Xe|r;<=><119QEZ2Xe|r;<=>Pilr`?WGX=Vg~t=>?0e9QEZ3Xe|r;<=>>e:PB[0Yj}q:;<=?>e:PB[0Yj}q:;<=<>d:PB[0Yj}q:;<==>0:PB[0Yj}q:;<=Qfmqa8VDY1Wds<=>?d:PB[3Yj}q:;<=?j;SC\2Zkrp9:;<??;SC\2Zkrp9:;k;SC\3Zkrp9:;<4R@]4[hs89:;Sdcc:PB[?1028VJKD[Vif|Rk~012363=UGDIXSnc_ds3456XadzT^BCLS^antZcv89:;=<>4RNO@WZejxVoz<=>>279QKHETWjg{Sh?013\mhvXZFGH_Rmbp^gr456698:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|0?0308V``CggoTc>?01]jiuYUmoNdbhQ`r12344gAeyv\ekb789:8>6\jfGpliWU7FlrSl`k0123[lkwW[omJabRR2Ma}rXign;<=>>179Qac@ugdXX?00]jiuYUmoLyc`\\0Og{pZgil9:;==_hos[WcaN{ef^^>Aeyv\ekb7898:>?5]egDqkhTT8GosxRgbp^PfbCthe[Y;Bhv{1078V``AzfgY_=@jxu]lv5678::0^hhIrnoQW5Hbp}Ud~=>?0^kntZTbnOxda_]?Ndzw[jt789::m6\jfGpliWU09h1YikH}olPP3ZojxVXnjK|`mSQ453=UmoXJ_;5]egPfu==UmoXn}D`n;SgeV`wTqgin7_kiRds\ekb789:9>6\jfSgr[dhc89:;Sdc_SgeV`wXign;<=>>109QacTbyVif|Rk~01236==UmoXn}Rmbp^gr4567W`g{S_kiRds\ghvXmx:;<=?>1:PfbWcvWjg{Sh?0131<>Tbn[ozSnc_ds3457XadzT^hh]ep]`iuYby9:;=?003g?WcaZl{Tc>?0103?WcaZl{Tc>?01]jiuYUmoXn}Ra}012353=Umo_yk55]egWqcLhb3[omYiPaof34565:2XnjX|h_`lg4567W`g{S_kiUse\ekb789::m6\jgTpdW|hd>2Xnxb{9:Pfwpjs494j7_k|umv?558f3[oxyaz310<`?Wct}e~7=?4?>`9Qavsk|5;9245]erwop97912Xnxb{<319:Pfwpjs4:4i7_k|umv?0?6912Xnxb{<5<:?Wct}e~79374Rdqvhq:1601Yi~{ct=5==>Tb{|f0506;Sgpqir;178=7_k|umv\4Zhh|9:;?013a?VO@J\YTECH@a:QJCZDR[@NSn6]FG^@VWKGJM<1X[1?15:QT87803Z]7?7?15:QT86833Zig~95\crv7?Vci|j1XiczPaof34566m2YnbyQnne2345YneyUXiczPaof34566j2YnbyQ`r12344e<[ldSb|?012\mhvX[ldSb|?0122e>Uil[KFO^Y]4:Qpaq4<\Zn0X^Qlmq]fu5678m1__Rmbp^gr4566l2^XSnc_ds3454c3]YTo`~Pep2346b<\ZUha}Qae12341=SQYO>7Ygbes:8Plkbz5:546Zfmdp?5;><\`gn~1<1a:Vji`t;;3:546Zfmdp?7;1<\`gn~YM>2:Vji`tSKVif|Rk~01236d=SadoyXNQlmq]fu5678Vcf|RZfmdpWGZejxVoz<=>?1e9WmhcuWhdo<=>?219WmhcuWhdo<=>?_hos[Qojm{Ujbi>?0134?QtnLh~j?6XLC89UM@QX\PZN?6X_A59UTDtd3_ZJ~R``t12354c<^YKySca{0122[lkwW_ZJ~R``t123540<_hx{_H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1e:ZPPZOJXEOFICZNTHMM[FII[]XJECZ\119[WQYU\EU[^B^JRU[4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg?0Tb2?>79[k9699?1Sc1>1279[k969;01Sc1>1_hos7>^t|01SyIBoegof>Yflm:;<=2?>b9\eab789:7==0l;^cg`56785;:2h5Paef3456;9;0;2n5Paef3456;9;4i7Rokd1234979j2Ujhi>?01>1:a=Ximn;<=>33;2=f>Yflm:;<=2<>e9\eab789:787>1b:]b`a67896?2o5Paef3456;=7h0Sljk0123838e3Vkoh=>?0=5=f>Yflm:;<=27>c9\eab789:75364_`lg45679?1Tmcj?012\mhvXWhdo<=>?199\ekb789;::6Qnne2344YneyUTmcj?0132<>Yffm:;?3048[dhc89:8Sdc_^cm`567;820Sl`k012753=Xign;<=:Pilr\[dhc89:?=55Paof34536>2Ujbi>?04]jiuYXign;<=;>8:]bja678?;=7Road1232ZojxVUjbi>?073;?Zgil9:;;<84_`lg4560W`g{SRoad12334>7179\ekb7892Te`~P_`lg456?911Tmcj?01;22>Yffm:;<4Qfmq]\ekb7893:46Qnne2355713Vkeh=>>0^kntZYffm:;==?7;^ov|5678>1Tc>?0137?Ziu89:;Sdc_^mq45679>1Tc>?0037?Ziu89::Sdc_^mq45669<1jhik}e:cm`5678Vey<=>?239bja6789Ud~=>?0^kntZgil9:;?1d9jkgkXff~;<=>Pilr\mjdjWge<=>?159jkgu>3gKOcxzCE13;?kGCg|~GI=Qfmq]mEAir|EO;=<>4n@FlqqJB8Vey<=>?279mEAir|EO;Sb|?012\mhvXfHNdyyBJ0^mq4567901eMIaztMG25==iIMe~xAK>_hos[kGCg|~GIhF[VLXN:5aAR]JKG753gKXSDAM_oCP[LIEg|~j7cO\_HMAkpr13gKXcxzj;oCPkprXff~;<=?=2:lBWjssWge<=>>_hos[kGTg|~Tbbz?0132`>hF[fSb|?01214>hF[fSb|?012\mhvXfHYdyyQ`r1234414:lBkprHMVcf|R`NotvLA4355aBDPQ[CUEWhdo<=>?_hos[kDBZ[UM_OQnne23457602dII_\PFR@\mhvXfKOY^RH\B0;8jGCUZVCDN:5aBF]JKG1?259mFWYNGKUjbi>?01]jiuYiJ[UBCOQnne23457a3gHYSDAM_lw{4567991eN_QFOC]nq}6789;:=6`MR^KLFZkrp9:;<1:lAVZOHJVg~t=>?03324>hEZVCDNRczx12346743gHYSDAM_lw{4567W`g{i6`MR^KLFZiu89:;>?5aBS]JKGYhz9:;?159mGDUd3gIJ_R``t12354c4nEkmH@Yhz9:;>6:lGmkIBm2dOecAJ_`lg4567:;1eHd`@E^cm`5678Vcf|R`KioMF[dhc89:;=<<4nEkmK@YneyUeHd`@E058jAir|FOm7cJ`uuMF[dhc89:;>95aDnwwK@Yffm:;<=Qfmq]m@jssGLUjbi>?01320>hCg|~DIRgbp^lGkprHM8=0bDAMESP;?kOHL]KHG85aIQN12>hNXE8y86`CDR:8jIBTWOYI46`CDR]JKG733gFO_RG@B^lO@VYNGKe~xo5aLEQ\MJDh}}i0bAJ\_`lg45679l1e@I]Paof3456XadzTbAJ\_`lg45679j1e@I]Paof34576m2dGH^Qnne2344YneyUe@I]Paof34576k2dGH^Qaou23447b3gFO_R``t1235ZojxVdGH^Qaou23447e3gFO_Ra}01235f=iDMYTc>?01]jiuYiDMYTc>?013a?kJC[Vey<=>>1b9mHAUXg{:;<hK_LUjbi>?01]jiuYiD^OTmcj?0122a>hK_LUba}QaLVG27>hHMk1eCHQnne23457d3gENSl`k0123[lkwWgENSl`k01235f=iGLUba}QaOD3;?kIqm{fju<>4nNtfvig~Whdo<=>?279mKscudhsTmcj?012\mhvXfF|n~aov_`lg456798:0bBxjrmcz[kis89::>;5aOwgqhdXff~;<=?Pilr\jJpbzekrSca{01225c=iGoy`lwPos2345433gE}ibny^mq4567W`g{ScAyesnb}Ziu89:;=:5aR@OOS@16`]OLAP[lkwWgXDAN]>6:lQKHQBm2dYC@YJ_`lg4567:;1e^BCXE^cm`5678Vcf|R`]OLUF[dhc89:;=<<4nSMNS@YneyUe^BCXE068jPBT02d^H^QISC:8jPBTW@EI=95aUEQ\MJDXf\NXSDAMotva?kSC[VCDNb{{c:lV@VYffm:;<=?j;oWGWZgil9:;hR_LUjbi>?013f?kSPMVkeh=>?0^kntZhR_LUjbi>?013f?kSPMVcf|R`ZWD37?kPJI11eZ@OPIN@20>hQEHUBCOQaVLC\MJDh}}h0b[CN_HMAkprd3g\FMRoad12344cvhdVigg45om]`hnci02zd`R}lls:8tjjX{zo46~`ru]`hng3yeyxR}|eu38v6=uid20~ybPtxrfb>uh}{inSkgceocnaa=tg|xhiRbfndla6>sw12|j`xkPchl:?sgk}lUd|o64xb;645~km2rh58=9xmr`01+2uIJ{=8<7;AB{76d=N381=v]na;10e?43:3;88o??1;37e74|f:986<5a32692>"4;;08>n5rS`;976g=:=81=>:m113951g5=2n8>o4?:082Vgf2:9j6?:=:017f46628>j>?5yF3a4>5<6280;w^on:21b>725289?n<>>:06b67=#;;<1=i<4$360>16c3k99n7>54c827?05sE99j7;o6sC32295~"38>0=7p*<19806g=]1o08wn4>e;06>x\48;09wi4>d;(7f`=831dm?4?::m0=f<722c8;o4?::m0b4<722c8hl4?::m0`0<722ej?7>5;n1``?6=3`93n7>5;n1`f?6=3f9247>5;n1;`?6=3`o>6=44i027>5<5<5<5<5<1<75fa083>>i4?=0;66a<7983>>o4il0;66g<8b83>>i4l:0;66a<9d83>>i4io0;66a>i4i80;66a>o4n>0;66a<8683>>i5=m0;6)<;5;06g>h5<=0;76a=5c83>!43=38>o6`=4582?>i5>?0;6)<;5;06g>h5<=0976a=6483>!43=38>o6`=4580?>i5>=0;6)<;5;06g>h5<=0?76a=6283>!43=38>o6`=4586?>i5>;0;6)<;5;06g>h5<=0=76a=6083>!43=38>o6`=4584?>i5>90;6)<;5;06g>h5<=0376a=5g83>!43=38>o6`=458:?>i5=l0;6)<;5;06g>h5<=0j76a=5`83>!43=38>o6`=458a?>o?>3:1(?:::978j7232910e5:50;&100;:k;7?6=,;>>65;4n367>7=h5<=0876g70;29 72221?0b?:;:598m2`=83.988475:l101<232c5$366>=3?6;54i6f94?"5<<0396`=4584?>o0k3:1(?:::978j7232110e:l50;&100>65;4n367>d=31<7*=448;1>h5<=0i76g88;29 72221?0b?:;:b98m21=83.988475:l1015$366>=3?6h54i9f94?"5<<0396`=458e?>o?k3:1(?:::978j72328:07d6m:18'613=0<1e>9:51098m=g=83.988475:l101<6:21b444?:%071?>23g8?87?<;:k;>65;4n367>42<3`2<6=4+2579<0=i:=>1=854i9394?"5<<0396`=45822>=n;921<7*=448042=i:=>1<65f31494?"5<<08<:5a25695>=n;9?1<7*=448042=i:=>1>65m33g94?7=83:p(>?7:010?M55l2e:??4?::a767=83;1<7>t$23;>6423A99h6a=4083>>{e;?i1<7?n:0c96`}K;;l1=vF>d09~H6572;qe8=653e9'051=>2w/?<65ac9Y=c<6s831qW=?2;3x5=>o4ko0;66a>6283>>o4n?0;66a=6983>>i5j10;66a>3e83>>o283:1(?:::5d8j7232910e9k50;&100<3n2d9894>;:k7`?6=,;>>69h4n367>7=h5<=0876g;9;29 7222=l0b?:;:598m1>=83.9884;f:l101<232c?;7>5$366>1`?6;54i5494?"5<<0?j6`=4584?>o3=3:1(?:::5d8j7232110e9:50;&100<3n2d98946;:k77?6=,;>>69h4n367>d=h5<=0i76g;1;29 7222=l0b?:;:b98m16=83.9884;f:l10147>5$366>1`?6h54i4594?"5<<0?j6`=458e?>d5::0;6>4>:2yO77`=9rB:h<5rL213>4}#<9=1=6s+30:95ad5<7s-9:47??5:J06a=ni90;66gm1;29?j43>3:17p}nd;296~Xfl27<6l>4}r02b?6=:r7<6?:9;_072>{t:;:1<7m;:186>7<2sE99j7?tH0f2?xJ4;90:w):?7;38y!5603;n:6gm1;29?j43>3:17dok:188mdc=831bm:4?::`4>5<2290;w)=>8;332>N4:m1G??h51z&207<38=1vel;50;9je2<722cj<7>5;h`2>5<=6=44}c11a?6=93:1<4?:3y]f4=:?3h:7psm15394?762:0:?v*<198204=h9:n1<75f5683>>o203:17d:?:188m17=831b8?4?::k77?6=3`>?6=44i5794?=n5;h6f>5<?7:618L64c3`;897>5;h302?6=3`;8;7>5;h305;h30e?6=3`;8n7>5;h30g?6=3f89>7>5;|`27c<7200;6=u+30:936=O;;n0e<=::188m4512900e<=8:188m45?2900e<=6:188m45f2900e<=m:188m45d2900c?<=:188yg7383:1?7>50z&05=<1:2B8>i5f12794?=n9:<1<75`23094?=z{89o6=4={_30`>;6<909>?5rs4594?4|V<=01<=j:016?xu203:1>vP:8:?27`<6;?1v9>50;0xZ16<589n6<=8;|q75?6=:rT?=63>3d827==z{=81<73`9~w12=838pR9:4=01f>45e3ty?97>52z\71>;6;l0:?n5rs5494?4|V=<01<=i:016?xu3?3:1>vP;7:?27c<6;?1v9650;0xZ1><589m6<=8;|q7=?6=:rT?563>3g827==z{=h1<73`9~w1c=838pR9k4=01e>45e3ty><7>52z\64>;6;o0:?n5rs01f>5<5s4;8i7<=2:?205<6;<1v<=i:181874n389>63>418273=zuk;3=7>5e;1955}#;821=5?4o040>5<5<5<5<5<5<5<5<>290;w)=>8;01b>N4:m1b=>;50;9j560=831b=>950;9j56>=831b=>750;9j56g=831b=>l50;9j56e=831d>?<50;9~f402290=6=4?{%12i5f12794?=n9:<1<75f12594?=n9:21<75f12;94?=h:;81<75rb045>5<4290;w)=>8;012>N4:m1b=>;50;9j560=831d>?<50;9~w4042909wS?93:?223<5:;1v<88:181[71?27::94>349~w40>2909wS?99:?221<6;?1v<8m:181[71j27::94>369~w40c2909wS?9d:?221<6;11v<8i:181[71n27::94>3`9~w4162909wS?81:?221<6;01v<9<:181[70;27::94>3c9~w4122909wS?85:?220<6;?1v<98:181[70?27::94>3b9~w41>2909wS?89:?220<6;<1v<9m:181[70j27::84>399~w41c2909wS?8d:?220<6;>1v<9i:181[70n27::84>389~w4032909w0?94;016>;6>?0:?85rs046>5<5s4;=97<=2:?223<6;?1vqo6=44i015>5<N4:m1b=>;50;9j560=831d>?<50;9~f74229086=4?{%123483>>o6;?0;66a=2383>>{e:ki1<7;50;2x 67?2?n0D>5;h303?6=3`;847>5;n016?6=3th9nh4?:483>5}#;821:n5G33f8m4522900e<=9:188m4502900e<=7:188k7452900qo?9a;291?6=8r.8=549b:J06a=n9:?1<75f12494?=n9:=1<75f12:94?=h:;81<75rb04`>5<2290;w)=>8;4a?M55l2c:?84?::k273<722c:?:4?::k27=<722e9>?4?::a53c=83?1<7>t$23;>3g<@:8o7d?<5;29?l74>3:17d?<7;29?l7403:17b<=2;29?xd6?90;684?:1y'74>=>j1C??j4i016>5<5<5<7>55;294~"4910=n6F<2e9j563=831b=>850;9j561=831b=>650;9l674=831vn<9;:186>5<7s-9:478m;I11`>o6;<0;66g>3783>>o6;>0;66g>3983>>i5:;0;66sm16494?3=83:p(>?7:7c8L64c3`;897>5;h302?6=3`;8;7>5;h307>5;|`23=<72<0;6=u+30:92g=O;;n0e<=::188m4512900e<=8:188m45?2900c?<=:188yg70i3:197>50z&05=<1i2B8>i5f12794?=n9:<1<75f12594?=n9:21<75`23094?=zj8=h6=4::183!56038183>6<729q/?<65659K77b6=44i015>5<N4:m1b=>;50;9j560=831d>?<50;9~f40?29086=4?{%123483>>o6;?0;66a=2383>>{e;j<1<7=50;2x 67?2?90D>5;n016?6=3th8ol4?:283>5}#;821>?84H20g?l74=3:17d?<6;29?j45:3:17pl7<729q/?<6515c8L64c3`;887>5;n016?6=3tyj:7>53z\b2>;5::0jh63{t90:1<79389>6s|9983>6}Y1116>?;5124896ef289=7p}6}Y;jl01>m;:c3896e1289=7p}>6283>7}Y9?901<6>:040?xu4n?0;6?uQ3g4894?6289>7p}=b983>7}Y:k201?li:301?xu6;m0;6?uQ12f89426289o7p}>6883>7}:91;1=;74=04b>7453ty::o4?:3y>5=7=9?h01<8l:301?xu6>m0;6?u2193953b<587083>7}:91;1=:?4=051>7453ty:;>4?:3y>5=7=9>901<9;:301?xu6?m0;6?u2193952b<58=n6?<=;|q230<72;q6=5?5167894112;897p}>7g83>6}:91;1=:h4=0;2>45134;3<7<=2:p521=838p1<6>:054?8700389>6s|16;94?4|582:6<96;<34e?45:2wx=:l50;0x94>628=i70?8c;016>{t:k31<7452348im7<=2:p675=838p1?<<:365?85d>3;896s|23694?4|5;886o?4=306>7453ty8o:4?:3y>7f2=il16?n652308yv4ej3:1>v3=bb8167=::kk1=>84}r0a`?6=379>673=9:?01?lj:301?87103;8:6s|17594?4|582:6<88;<35{t;j>1<7=t=2a7>721348im7?<5:?22=<6;<1v>m::18185d>389>63;5jl0:?:5217c9560<58399>524=9:201<9;:01;?870>3;8463>79827==:9>k1=>64=05`>45?34;:69k4=3``>450348ii7?<8:?22d<6;116=;m512:8940b289370?80;303>;6?;0:?;521669560<58==6<=:;<34369>52e=9:=01<9j:014?87?83;896*<0587b>h48:0:7p};d;29b~X3l27:8<4;d:?1ff<6;?16>ok51248940f289>70?9c;303>;6>l0:?:521629560<58=96<=:;<340?74?27:;;4>369>52>=9:<01<9n:016?870k3;8:63>7d8273=#;9>18k5a31196>{t517=om5127897db289>70?9a;303>;6>j0:?85217g9560<58=;6<=:;<346?74?27:;94>349>520=9:<01<97:016?870i3;8:63>7b8270=:9>o1=>;4$227>1`5rs5;94?4|V=301<:>:5;8 6632=l0b>><:59~w1>=838pR964=062>1><,::?69h4n220>0=z{==1<7>;:5d8j6642?1v9850;0xZ10<58>:6984$227>1`:578 6632=l0b>><:99~w12=838pR9:4=062>12<,::?69h4n220><=z{=91<7>;:5d8j6642h1v9<50;0xZ14<58>:69<4$227>1`:538 6632=l0b>><:b9~w16=838pR9>4=062>16<,::?69h4n220>a=z{<21<7>;:5d8j6642l1v8950;0xZ01<58>:6894$227>1`=7):?7;48y!56038=;6T6f;0xg?7d2tP8>o4?h0;66a>i4km0;66a<9983>>o>03:17d3:17d=k9;29?l4103:17b=k3;29?l4e03:17b=mc;29?j42l3:1(?:::37`?k43<3:07b<:b;29 7222;?h7c<;4;38?j41>3:1(?:::37`?k43<3807b<95;29 7222;?h7c<;4;18?j41<3:1(?:::37`?k43<3>07b<93;29 7222;?h7c<;4;78?j41:3:1(?:::37`?k43<3<07b<91;29 7222;?h7c<;4;58?j4183:1(?:::37`?k43<3207b<:f;29 7222;?h7c<;4;;8?j42m3:1(?:::37`?k43<3k07b<:a;29 7222;?h7c<;4;`8?l2c290/>9;54b9m612=821b8o4?:%071?2d3g8?87?4;h6:>5<#:=?18n5a25696>=n<10;6)<;5;6`?k43<3907d:8:18'613=9:54:9j03<72-8?97:l;o070?3<3`>>6=4+25790f=i:=>1:65f4583>!43=3>h7c<;4;58?l24290/>9;54b9m612=021b8?4?:%071?2d3g8?8774;h62>5<#:=?18n5a2569e>=n<90;6)<;5;6`?k43<3h07d;7:18'613=9:5c:9j12<72-8?97:l;o070?b<3`?=6=4+25790f=i:=>1i65f5483>!43=3>h7c<;4;d8?l33290/>9;54b9m612=9910e8=50;&100<3k2d9894>1:9j17<72-8?97:l;o070?7532c>=7>5$366>1e?6<=4;h6b>5<#:=?18n5a256951=0;3955}K;;l1=vF>d09~H65728q/8=955:'74>=9;o0elj50;9l666=831d>><50;9l662=831d>>850;9l66>=831d>>o50;9l66e=831d>>k50;9l66`=831b=no50;9j5fd=831b=nm50;9j5fb=831b=nk50;9j5f`=831i=?j50;33>a<6:rF8>k4>{I3g5>{#;821>n>4i0ab>5<5<5<1<75`22494?=h::21<75`22c94?=h::i1<75`22g94?=h::l1<75m11:94?5=939p@>5;hcg>5<0;6>4?:1y'74>=99?0D>969>;;|kb4?6=3`h:6=44o365>5<5;n072?6=3`ko6=44b683>6<729q/?<651178L64c3E99j7?t$061>1633tcj<7>5;h`2>5<=6=44}rcg>5<5sWko7095a19~w77a2909w0952548Z7213ty9>=4?:3y]f4=:?3h:7psm13194?5=939p@>5;hcg>5<0;6>4?:1y'74>=99?0D>969>;;|kb4?6=3`h:6=44o365>5<0;290?6=8r.8=5496:J06a=n9:?1<75f12494?=n9:=1<75`23094?=zj8:i6=4<:183!5603<87E==d:k270<722c:?;4?::m167<722wi==j50;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17pl>0g83>1<729q/?<6523:8L64c3`;897>5;h302?6=3`;8;7>5;n016?6=3ty:>>4?:2y]575<58;;6<=8;<33f?74=2wx=<<50;1xZ47534;:<7?<6:?24a<6;<1v<>7:187[77027:==4>349>55d=9:<01<>k:015?xu6800;6?uQ11;8946a2;897p}>0`83>7}:98:1>?<4=02e>4523ty:55d=:;801<>i:015?xu68j0;6?u211f9674<58:m6<=8;|a574=832147ht$23;>4453`;;47>5;h3`e?6=3`;hi7>5;h326?6=3`;hn7>5;h3``?6=3`;9?7>5;n327?6=3k;9=7>54;294~"4910=:6F<2e9j563=831b=>850;9j561=831d>?<50;9~f47229086=4?{%123483>>o6;?0;66a=2383>>{e98=1<7;50;2x 67?2?h0D>5;h303?6=3`;847>5;n016?6=3th:=44?:283>5}#;821:>5G33f8m4522900e<=9:188k7452900qo?>b;297?6=8r.8=5493:J06a=n9:?1<75f12494?=h:;81<75rb03g>5<4290;w)=>8;40?M55l2c:?84?::k273<722e9>?4?::a54`=83>1<7>t$23;>31<@:8o7d?<5;29?l74>3:17d?<7;29?j45:3:17pl>2183>=<729q/?<6523g8L64c3`;897>5;h302?6=3`;8;7>5;h305;h30e?6=3`;8n7>5;n016?6=3ty:<54?:2y]55><588:6<=8;<32`?74>2wx=no50;6xZ4ef34;9=7?<5:?252<6;>16=cd9>577=9:<015;301>;69>0:?85210;9563<58;i6<=9;<32`?74=27:=k4>349~w4ee2909wS?lb:?252<6;?1v399~w4442908wS?=3:?25<<6;?16=vP>129>576=:;80q~?>4;296~;6:809>?52132956352z?250<5:;16=?>51248yv76>3:1>v3>168167=:9;:1=>94}r32576=9:20q~?>a;296~;69k09>?52132956?52z?25a<5:;16=?>512c8yv76m3:1>v3>1g8167=:9;:1=>l4}|`26g<72?0?64u+30:957d5<5<5<2983>6<729q/?<65629K77b6=44i015>5<vP>cd9>57g=9:<0q~?>2;297~X69;16=?o512589441289=7p}>0983>6}Y99201<;6:10:?85rs007>5<5sW;9863>288167=z{88>6=4={<31e?45:27:>44>349~w4412909w0?=6;016>;6:00:?;5rs004>5<5s4;947<=2:?26<<6;>1vqo<<1;290?6=8r.8=5497:J06a=n9:?1<75f12494?=n9:=1<75`23094?=zj;986=4;:183!5603<=7E==d:k270<722c:?;4?::k272<722e9>?4?::a663=83>1<7>t$23;>3><@:8o7d?<5;29?l74>3:17d?<7;29?j45:3:17pl=3683>1<729q/?<65649K77b6=44i015>5<5<54;294~"4910=;6F<2e9j563=831b=>850;9j561=831d>?<50;9~f75c290?6=4?{%123483>>o6;?0;66g>3683>>i5:;0;66sm25294?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|q24=<72kq6==652548947628:370?=2;33<>;6:k0:<5522239563<5;986<=:;<001?74?279?:4>369>66?=9:?01?=k:015?84383;896s|10094?d|58;96?:9;<325?76:27:>?4>139>57d=98801?=>:014?844;3;8:63=348273=:::=1=>84=31:>4513488h7?<5:?105<6;?1v<<<:18b875;38?:63>108266=:9;81=?=4=00a>4443488=7?<6:?176<6;>16>>;512789750289>70<<9;303>;5;m0:?:5rs0ab>5<4sW;hm63>2382gd=:9;h1=no4}r3`f?6=:rT:oo5213095fd52z\2ga=:9;81=nj4}r3`a?6=;rT:oh5213095fc<588i6098b`>;69;0jh63>228b`>{t:::1<76s|22094?4|V;9970<<3;016>{t::>1<76s|22494?4|V;9=70<<7;016>{t::21<76s|22a94?4|V;9h70<{t::l1<76s|11;94?4|58:36o?4=032>46>3ty:=>4?:3y>544=j816=?<51018yv75<3:1>v3>228a5>;6:k0:>95r}rcg>5<5sWko70?=d;ce?xu5;90;6?uQ2228944c2;9;7p}=3383>7}Y::801<7}Y::<01<7}Y::k01<7}Y::o01<c`83>7}Y9jk01<cb83>7}Y9ji01<cd83>7}Y9jo01<6<62:qG??h51zJ2`4=zD:9;6{#;821=il4ic394?=h:=<1<75fae83>>d029086=4?{%12i5fa183>>oe93:17b<;6;29?xufl3:1>vPnd:?4>d652z?4>7213W8?:6s|23294?4|Vk;01:4m1:~f7c729086<4<{M11b?7|@8n:7pB<3182!27?3?0q)=>8;3gf>oe93:17b<;6;29?lgc2900n:4?:283>5}#;821==;4H20g?lg72900eo?50;9l610=831vlj50;0xZdb<5>0j<6s|20d94?4|5>098;5Q2548yv4583:1>vPm1:?4>g76}K;;l1=vF>d09~H65728q/8=955:'74>=9mh0eo?50;9l610=831bmi4?::`4>5<4290;w)=>8;331>N4:m1bm=4?::ka5?6=3f8?:7>5;|qb`?6=:rTjh638:`28yv46n3:1>v38:365?[43>2wx>?>50;0xZg7<5>0i=6srb3ff>5<42808wA==f;3xL4b63tF8?=4>{%633?35;hcg>5<0;6>4?:1y'74>=99?0D>5<984}r014?6=:rTi=638:c38yxd5mk0;6>4>:2yO77`=9rB:h<5rL213>4}#<9=196s+30:95ad5<7s-9:47??5:J06a=ni90;66gm1;29?j43>3:17p}nd;296~Xfl27<6l>4}r02b?6=:r7<6?:9;_072>{t:;:1<77<2sE99j7?tH0f2?xJ4;90jw):?7;78 1612:h4n10:7)=id;cf?k5a13;0(9>=:22:?k5ai3:0q)=>8;3e5>ofl3:17doj:188mg7=831d>9850;9j75?=831i;7>55;091~J4:o0:wE?k1:'74>=9mk0eo?50;9l610=831bmi4?::kba?6=3`k<6=44b683>0<729q/?<651148L64c3`k>6=44i`594?=ni90;66gm1;29?j43>3:17pl<2d83>4<729q/?<651218L64c3f;8>7>5;|qb`?6=:rTjh638:`28yvgb2909wSoj;<59e0=z{;;m6=4={<11a?74:27<6l94}r014?6=:r7<6?:9;_072>{t:;;1<78;:180>5<7s-9:47<=7:J06a=n9:?1<75f12494?=h:;81<75rs`f94?4|Vhn01:4nd:pe`<72;qUmh52376956052z\103=:?38?:6s|31;94?5|V::27095b09>732=9:?0q~=93;296~;02ho01>8;:301?x{e:?31<7=51;1xH64a28qC=i?4}M104?7|,=:<685r$23;>4`33`h:6=44o365>5<049K77b52z\a5>;02k;0qpl=7983>6<62:qG??h51zJ2`4=zD:9;6{#;821=k;4ic394?=h:=<1<75fae83>>d029086=4?{%12i5C33d95~"6<;0?<95ri`294?=nj80;66a=4783>>{tim0;6?uQae9>3?g73ty9=k4?:3y>3?43>2T98;5rs303>5<5sWh:7095b09~yg4cl3:1?7?53zN06c<6sA;o=6sC32295~"38>0>7p*<1982b3=nj80;66a=4783>>ofl3:17o950;194?6|,:;36<>:;I11`>J4:o0:w)?;2;630>{ni90;66gm1;29?j43>3:17p}nd;296~Xfl27<6l>4}r02b?6=:r7<6?:9;_072>{t:;:1<777:180>7<3sE99j7?tH0f2?xJ4;90:w):?7;78y!5603;m;6gm1;29?j43>3:17dok:188f2<72:0;6=u+30:9553<@:8o7do?:188mg7=831d>9850;9~fg6=8381<7>t$23;>42f3A99h6g>3583>>i5:;0;66s|ae83>7}Yim16n=4>359~w77a2909w095a19>f5<5:;1v?>1<7656;fx 67?2;=?7b<9a;29?l4d;3:17d5<7s-9:478=;I11`>o6;<0;66g>3783>>i5:;0;66sm27a94?5=83:p(>?7:304?M55l2c:?84?::k273<722e9>?4?::a63b=83>1<7>t$23;>30<@:8o7d?<5;29?l74>3:17d?<7;29?j45:3:17pl=6g83>1<729q/?<65669K77b6=44i015>5<5<53;294~"4910=?6F<2e9j563=831b=>850;9l674=831vn?9=:187>5<7s-9:47<=8:J06a=n9:?1<75f12494?=n9:=1<75`23094?=z{;;5?;09>?5rs3a0>5<5sW8h?63=6e8272=z{;n:6=4={_0g5>;5>m0:?85rs8:94?2|V0201?9<:016?841k3;8963=6g8270=z{;<26=4<{_05=>;5?:0:?;52263956052z\1g1=::?l1=>94}r0gf?6=:rT9ho5227d956052z\130=::>;1=>;4}r05f?6=:r79;>4=239>63e=9:<0q~<>f;296~;5>j09>?5227f956052z?12a<5:;16>:<51278yv41m3:1>v3=6g8167=::>81=>84}r044?6=:r79;<4=239>624=9:=0qpl=7d83>=<42hq/?<6526g8k71>2900e?m<:188m7b62900e?m::188m7e62900e?m9:188m7e32900e?j;:188f71c29086=4?{%123483>>o6;?0;66a=2383>>{e:>h1<7=50;2x 67?2?80D>5;n016?6=3th9;n4?:783>5}#;821>?m4H20g?l74=3:17d?<6;29?l74?3:17d?<8;29?l7413:17b<=2;29?xu5?00;6?uQ26;8971d2;897p}=c283>7}Y:j901?9k:016?xu5l80;6?uQ2e38971c289=7p}=c483>7}Y:j?01?9l:015?xu5k80;6?uQ2b38971d289<7p}=c783>7}Y:j<01?9l:01;?xu5k=0;6?uQ2b68971e289>7p}=d583>7}Y:m>01?9m:015?xu5?h0;6?u226f9674<5;=h6<=:;|q13g<72;q6>:l52308971d28927psm29694?2=;3?7:3:7?j42i3:17d<88;29?l2f2900e9>50;9a6=5=8391<7>t$23;>34<@:8o7d?<5;29?l74>3:17b<=2;29?xd5080;6>4?:1y'74>=>:1C??j4i016>5<5<7>53;294~"49109>;5G33f8m4522900e<=9:188k7452900q~<:a;296~X5=h16>5<52308yv4003:1?vP=799>6=5=9:?01?6>:016?xu3i3:1>vP;a:?1<6<6;?1v9>50;0xZ16<5;2:6<=9;|q13c<72;q6>5=5230897>5289>7p}=8183>7}::1;1>?<4=3:1>4513twi>5o50;697?0|,:;36?6n;n06a?6=3`8<47>5;h72>5<?7:708L64c3`;897>5;h302?6=3f89>7>5;|`1<2<72:0;6=u+30:926=O;;n0e<=::188m4512900c?<=:188yg4?03:1?7>50z&05=<5:?1C??j4i016>5<5<i7>52z\11`=::121>?<4}r04=63=888273=z{=;1<70289=7p}=8483>7}::131>?<4=3:;>4523ty94;4?:3y>6=1=:;801?67:015?x{e:0:1<7:53;4x 67?2;3;7b<:f;29?l4003:17d;=:188m14=831i>5h50;194?6|,:;36;<4H20g?l74=3:17d?<6;29?j45:3:17pl=8e83>6<729q/?<65629K77b6=44i015>5<vP=5g9>6=c=:;80q~<88;297~X5?116>5h5127897>c289>7p}:2;296~X2:2794k4>379~w14=838pR9<4=3:g>4513ty94o4?:3y>6=`=:;801?6j:016?xu50j0;6?u229f9674<5;2n6<=9;|a6<0=83>1?78t$23;>7?13f8=<7>5;h045;n016?6=3th95>4?:283>5}#;821:>5G33f8m4522900e<=9:188k7452900qo<64;297?6=8r.8=54=279K77b6=44i015>5<53z\13==::0?1=>;4=3;0>4523ty>?7>52z\67>;51<0:?;5rs5194?4|V=901?7<:015?xu5180;6?u22879674<5;3?6<=:;|q1=7<72;q6>4=5230897?3289=7psm28a94?2=;3?7:3;`?j4193:17d<88;29?l332900e9:50;9a6t$23;>34<@:8o7d?<5;29?l74>3:17b<=2;29?xd5100;6>4?:1y'74>=>:1C??j4i016>5<5<53;294~"49109>;5G33f8m4522900e<=9:188k7452900q~<91;296~X5>816>4o52308yv4003:1?vP=799>6vP:4:?1=g<6;?1v9:50;0xZ12<5;326<=9;|q1=2<72;q6>4l5230897?f289>7p}=9983>7}::031>?<4=3;b>4513twi>l<50;697?0|,:;36?o=;n056?6=3`8<47>5;h76>5<?7:708L64c3`;897>5;h302?6=3f89>7>5;|`1=c<72:0;6=u+30:926=O;;n0e<=::188m4512900c?<=:188yg4f83:1?7>50z&05=<5:?1C??j4i016>5<5<7>52z\127=::h:1>?<4}r04963=a08273=z{=?1<77}::h;1>?<4=3c3>4523ty95h4?:3y>6<`=:;801?o?:015?x{e:h21<7:53;4x 67?2;k37b<93;29?l4003:17d;9:188m10=831i>l950;194?6|,:;36;<4H20g?l74=3:17d?<6;29?j45:3:17pl=a483>6<729q/?<65629K77b6=44i015>5<vP=629>6d0=:;80q~<88;297~X5?116>l95127897g2289>7p}:6;296~X2>279m:4>379~w10=838pR984=3c6>4513ty9m>4?:3y>6d1=:;801?o9:016?xu5i=0;6?u22`79674<5;k=6<=9;|a6dc=83>1?78t$23;>7gb3f8=87>5;h045;n016?6=3th9mo4?:283>5}#;821:>5G33f8m4522900e<=9:188k7452900qo6=44i015>5<53z\13==::hn1=>;4=3ca>4523ty>;7>52z\63>;5im0:?;5rs5594?4|V==01?om:015?xu5i00;6?u22`f9674<5;kh6<=:;|q1ed<72;q6>ll5230897gd289=7psm2c694?2=;3?7:3`7?j41>3:17d<88;29?l2e2900e9750;9a6g5=8391<7>t$23;>34<@:8o7d?<5;29?l74>3:17b<=2;29?xd5j80;6>4?:1y'74>=>:1C??j4i016>5<5<7>53;294~"49109>;5G33f8m4522900e<=9:188k7452900q~<96;296~X5>?16>o<52308yv4003:1?vP=799>6g5=9:?01?l>:016?xu3j3:1>vP;b:?1f6<6;?1v9750;0xZ1?<5;h:6<=9;|q1ec<72;q6>o=5230897d5289>7p}=b183>7}::k;1>?<4=3`1>4513twi?i650;094?6|,:;36<:n;I11`>o6;=0;66a=2383>>{e;l?1<7<50;2x 67?28>j7E==d:k271<722e9>?4?::a7g`=8381<7>t$23;>42f3A99h6g>3583>>i5:;0;66sm3b194?4=83:p(>?7:06b?M55l2c:?94?::m167<722wi?nk50;094?6|,:;36<:n;I11`>o6;=0;66a=2383>>{e;m>1<7<50;2x 67?28>j7E==d:k271<722e9>?4?::a6ae=8391<7>t$23;>34<@:8o7d?<5;29?l74>3:17b<=2;29?xd5l10;6>4?:1y'74>=>;1C??j4i016>5<5<7>53;294~"4910=?6F<2e9j563=831b=>850;9l674=831vn?kj:180>5<7s-9:478<;I11`>o6;<0;66g>3783>>i5:;0;66sm2e294?5=83:p(>?7:305?M55l2c:?84?::k273<722e9>?4?::a7a1=8391<7>t$23;>32<@:8o7d?<5;29?l74>3:17b<=2;29?xd4m=0;684?:1y'74>=>j1C??j4i016>5<5<5<55;294~"4910=o6F<2e9j563=831b=>850;9j561=831b=>650;9l674=831vn>m=:180>5<7s-9:478<;I11`>o6;<0;66g>3783>>i5:;0;66sm2e194?2=83:p(>?7:748L64c3`;897>5;h302?6=3`;8;7>5;n016?6=3th9h84?:283>5}#;821:>5G33f8m4522900e<=9:188k7452900qo5<3290;w)=>8;01<>N4:m1b=>;50;9j560=831b=>950;9l674=831vn?99:180>5<7s-9:47<=6:J06a=n9:?1<75f12494?=h:;81<75rb2;b>5<5290;w)=>8;37e>N4:m1b=>:50;9l674=831vl850;axZd0<5;i;6lj4=8c9ea=::l:1mi522dd9ea=::mo1mi522d`9ea=::jo1mi5227;9ea=::>21mi522ef9ea=:;021mi5rs2g1>5<5sW9n>63;4l>0:?8523d69563<5:hn6<=:;<1`6?74=2wx?i;50;0xZ6b2349o47<=2:p7fb=838pR>mk;<1`a?45:2wx?4650;0xZ6??349247<;6:p==<72>qU55522b295fg<50k1n<522669===::m91=>94=3f6>452348o;7?<5:p6`e=838pR?kl;<0fb?d63ty8o=4?:3y]7f6<5:i86?<=;|q0ea<72;qU?lj4=2`f>4503ty9hk4?:3y]6a`<5;o;6o?4}r1e2?6==rT8j;523e59560<5:o?6<=9;<1aa?74>278o?4>379~w6b>2909wS=k9:?0a1<6;>1v?87:181[410279o=4>cb9~w6b42909wS=k3:?0`1<5:;1v?l7:181[4e0278h94>359~w6dd2909wS=mc:?0fc<5:;1v?km:18684d83;hi63=ec8103=::mi1=>84=3f6>451348o;7?<6:p6`b=838p1?km:c3897cb2;897p}=7483>7}::>>1>:;4=355>7453ty2m7>53z?1g5<6kk165l4=479>6a5=9:?0q~ij5254896eb289?7p}7}:;j91=>:4=2a1>7453ty8i>4?:3y>7`3=9:>01>k;:301?xu5k80;6>u22b29666<5;=n6?m>;<0g4?74=2wx>ik50;1x97e728io70;5l:0:?;5rs3a1>5<5s48h<7<<2:?1`5<6;?1v?m<:18684d8388863=7581g6=::>o1>n=4=3f;>452348<:7?<5:p6f2=83?p1?m?:315?840<38h863=7d81g1=::mi1=>;4=355>4513ty9o84?:3y>6f6=::201?9j:3a6?xu5k?0;6?u22b2966e<5;=n6?m9;|q027<72;q6>n>522d897bc2k;0q~=md;296~;4jo0:?9523cg967452z?0==;50=09;55229c962><5;3;6?97;<0:2?4002795n4=799>6d4=:>201?o7:35;?84fm38<463=b5813==:;0k1=>:4}r04=?6=:r79;54m1:?13`<5?01v?j6:18184c03;8:63=d`8167=z{;n:6=4<{<040?4c9279;h4=d09>6a>=:;80q~52z?131<5lk16>im52308yv4dn3:1>v3=cd8ba>;5l909>?5rs34:>5<2s48h<7?lf:?12<<5::527;896c3289370=me;30<>{t:l;1<7g7<5;o96?<=;|q1a5<72:q6>h>5254897eb2::270{t:m81<7745348om7?<5:p6a2=839p1?9j:3f7?84c=389>63=d`8273=z{;n=6=4={<0g3?45:279hl4>369~w6b12909w0=k8;300>;4l>09>?5rs34b>5<5s48=57l>;<040?41i2wx>;850;0xZ701348i87<96:&041<5=j1e?==52:p633=838pR?8:;_6;?!57<38>o6`<0280?xu5>=0;6?uQ276897gb2;h48:0?7p}=6283>7}Y:?901?o7:340?!57<38>o6`<0286?xu5>;0;6?uQ270897g52;<97)=?4;06g>h48:0=7p}=6083>7}Y:?;01?7l:342?!57<38>o6`<0284?xu5>90;6?uQ272897?12;<;7)=?4;06g>h48:037p}=5g83>7}Y:o6`<028:?xu5=l0;6?uQ24g897>f2;?n7)=?4;06g>h48:0j7p}=5`83>7}Y:o6`<028a?xu3l3:1>vP;d:\11g=#;9>18n5a31194>{t6g2=><:39~w11=838pR994=3cf>11<,::?69m4n220>1=z{=<1<7>;:5a8j6642<1v9;50;0xZ13<5;k969;4$227>1e01?7l:568 6632=i0b>><:69~w15=838pR9=4=3;5>15<,::?69m4n220>==z{=81<7>;:5a8j664201v9?50;0xZ17<5;2j69?4$227>1e><:c9~w01=838pR894=3cf>01<,::?69m4n220>a=z{<<1<7>;:5a8j6642l1v8;50;0xZ03<5;k968;4$227>1e01?7l:468 6632=i0b>><:028yv342909wS;<;<0:2?343-9;87:l;o137?763ty>>7>52z\66>;5190>>6*<0587g>h48:0:>6s|5083>7}Y=816>5o5509'752=1g<,::?69m4n220>424?:0796d<4jrF8>k4>{I3g5>{K;::1>v`;0986=>"38>0=7p*<19821d=ni?0;66g>9183>>o4ih0;66g>4c83>>o4?h0;66g68;29?j7>:3:17d=nd;29?l71;3:17b?6f;29?l5a>3:17d=k9;29?j7?=3:17d=n9;29?l4e03:17b?:b;29?j5f93:17b?me;29?j3>2900c;?50;9l7=1=831i?<<50;a95?e|D:8m68:59~ 67?288m7dok:188k7572900c?==:188k7532900c?=9:188k75?2900c?=n:188m4ef2900e<>i5;;0;66a=3583>>i5;?0;66a=3983>>i5;h0;66l>0983>6<62:qG??h51zJ2`4=z,:;36<>8;h`2>5<=6=44i`f94?=e?3:1?7>50z&05=<68<1C??j4L20e>4}#9=818=:4}hc3>5<984}r014?6=:rTi=638:c38yxd69;0;6>4>:2yO77`=9rB:h<5r$23;>4603`h:6=44o365>5<049K77b52z\a5>;02k;0qpl>1083>1<526:188f46d29086=4?{%123483>>o6;?0;66a=2383>>{e99h1<7=50;2x 67?2;8=7E==d:k270<722c:?;4?::m167<722wx==650;0xZ46?34;;o7?<5:p5fc=838pR2wx>>o50;0xZ75f34;;n7?<6:p55?=838pR<>6;<33f?45:2wx==o50;0x946d2;8970??b;301>{zj8896=47:48`!5603;9>6g>c`83>>o6810;66g=3`83>>o6kl0;66g>cc83>>o6kj0;66g>ce83>>i69:0;66l>1b83>6<729q/?<65629K77b6=44i015>5<N4:m1b=>;50;9j560=831d>?<50;9~f47029086=4?{%123483>>o6;?0;66a=2383>>{e9831<7;50;2x 67?2?h0D>5;h303?6=3`;847>5;n016?6=3th:=o4?:483>5}#;821>?l4H20g?l74=3:17d?<6;29?l74?3:17d?<8;29?j45:3:17p}>c`83>7}Y9jk0170?>5;301>;6900:?;5rs31b>5<4sW88m63>148273=:98=1=>84}r3`a?6=:rT:oh52105956352z\2gg=:9831=>94}r3`g?6=:rT:on5210;956>52z\2ga=:9831=>;4}r327?6=:rT:=>5210`967452z?25f<5:;16=v3>148167=:98h1=>84}r322?6=:r7:=:4=239>54d=9:=0q~?>8;296~;69009>?5210`956>5}#;821:>5G33f8m4522900e<=9:188k7452900qo<<3;297?6=8r.8=5492:J06a=n9:?1<75f12494?=h:;81<75rb316>5<4290;w)=>8;40?M55l2c:?84?::k273<722e9>?4?::a661=8391<7>t$23;>32<@:8o7d?<5;29?l74>3:17b<=2;29?xd5;00;6>4?:1y'74>=:;<0D>5;n016?6=3ty:<54?:9y>55>=:=<01:02;?875:3;;463=308270=:::91=>84=316>4513488;7?<6:?17<<6;?1v?4>c`9~w4ee2909wS?lb:?267<6kk1v?4>cb9~w4ec2909wS?ld:?267<6km1vcd9>574=9jo0q~oi:180[ga34;;47ok;<326?gc3ty9?=4?:3y]666<5;9:6?<=;|q177<72;qU>><4=310>7453ty9?94?:3y]662<5;9>6?<=;|q173<72;qU>>84=314>7453ty9?54?:3y]66><5;926?<=;|q17d<720qU>>o4=031>72134;:=7<>?512489754289>70<<5;301>;5;>0:?85222;956352z?24=089~w4742909w0?>2;`2?875:3;:?6srs`f94?4|Vhn01<vP=319>57b=:::0q~<<2;296~X5;;16=?j52208yv44<3:1>vP=359>57b=::>0q~<<6;296~X5;?16=?j52248yv4403:1>vP=399>57b=::20q~<vP>c`9>57b=9jk0q~?lb;296~X6kk16=?j51b`8yv7dk3:1>vP>cb9>57b=9ji0q~?ld;296~X6km16=?j51bf8yv7dm3:1>vP>cd9>57b=9jo0qpl>5983>6<62:qG??h51zJ2`4=zD:9;6{#;821=k64ic394?=h:=<1<75fae83>>d029086=4?{%12i5C33d95~"6<;0?<95ri`294?=nj80;66a=4783>>{tim0;6?uQae9>3?g73ty9=k4?:3y>3?43>2T98;5rs303>5<5sWh:7095b09~yg7?:3:1?7?53zN06c<6sA;o=6sC32295~"38>0?7p*<1982`f=nj80;66a=4783>>ofl3:17o950;194?6|,:;36<>:;I11`>J4:o0:w)?;2;630>{ni90;66gm1;29?j43>3:17p}nd;296~Xfl27<6l>4}r02b?6=:r7<6?:9;_072>{t:;:1<77<2sE99j7?tH0f2?xJ4;90:w):?7;68y!5603;oh6gm1;29?j43>3:17dok:188mdc=831bm:4?::`4>5<2290;w)=>8;332>N4:m1bm84?::kb3?6=3`k;6=44ic394?=h:=<1<75rb20f>5<6290;w)=>8;307>N4:m1d=><50;9~wdb=838pRlj4=68b4>{til0;6?uQad9>3?g23ty9=k4?:3y>77c=9:801:4n7:p676=838p1:4=479]61052z\a5>;02k;0qpl=0g83>1<52=qG??h51zJ2`4=zD:9;65u+41590>"38?08:i5+3gg9f4=#<9:1>984$2d`>db4bb3`ko6=44ic394?=h:=<1<75f31;94?=e?3:1?7?53zN06c<6sA;o=6s+30:95ag5<7s-9:47??5:J06a=ni90;66gm1;29?j43>3:17p}nd;296~Xfl27<6l>4}r02b?6=:r7<6?:9;_072>{t:;:1<7:k:181>5<7s-9:47?;a:J06a=n9:>1<75`23094?=z{hn1<79850;0xZ72134=1>984}r13=?6=:rT8<45235f956252z?4>g7<5:>o6?<=;|aef<72=0:6>uC33d95~N6l81v@>=?:9y'051=<2.?<;4<6e9'7cc=j81/8=>52548 6`d2hn0b>h7:09'054=;930b>hn:19~ 67?28nm7dok:188mg7=831d>9850;9j75?=831i;7>53;397~J4:o0:wE?k1:'74>=9mk0eo?50;9l610=831bmi4?::`4>5<4290;w)=>8;331>N4:m1bm=4?::ka5?6=3f8?:7>5;|qb`?6=:rTjh638:`28yv46n3:1>v38:365?[43>2wx>?>50;0xZg7<5>0i=6srs`f94?4|Vhn01:4nd:p610=838pR?:9;<5961052z\04<=:?3h:7psm6083>0<52"38?08:i5+3gg9f4=#<9:1>984$2d`>db>oe93:17b<;6;29?l5693:17o950;796?3|D:8m63:17dok:188mdc=831bm:4?::`4>5<2290;w)=>8;332>N4:m1bm84?::kb3?6=3`k;6=44ic394?=h:=<1<75rb20f>5<6290;w)=>8;307>N4:m1d=><50;9~wdb=838pRlj4=68b4>{til0;6?uQad9>3?g23ty9=k4?:3y>77c=9:801:4n7:p676=838p1:4=479]61052z\a5>;02k;0qpl<6783>6<729q/?<652348L64c3`;897>5;h302?6=3f89>7>5;|qb`?6=:rTjh638:`f8yvgb2909wSoj;<152?74>2wx>9850;0xZ72134=1>984}r125?6=;rT8=<527;`2?851>3;896s|37794?4|5>0ji63<678167=zuk;3o7>55;091~J4:o0:wE?k1:O766=9r.?<:4;;|&05=<6lm1bn<4?::m103<722cjh7>5;hcf>5<079K77b>of83:17dl>:188k7212900qo==e;295?6=8r.8=54>329K77b5<5sWko7095a19~wdc=838pRlk4=68b1>{t:8l1<745534=1m:5rs303>5<5s4=1>984^365?xu5:80;6?uQb09>3?d63twi=n;50;796?3|D:8m68:59~ 67?28no7dl>:188k7212900elj50;9je`<722cj;7>5;c594?3=83:p(>?7:025?M55l2cj97>5;hc4>5<>i5?7:010?M55l2e:??4?::pea<72;qUmi527;c3?xufm3:1>vPne:?4>d352z?06`<6;;16;7o8;|q165<72;q6;7<;6:\103=z{;8:6=4={_`2?81=j81vqo?62;297?7=;rF8>k4>{I3g5>{K;::1=v*;0687?x"4910:ho5fb083>>i5t$23;>4623A99h6gn0;29?ld62900c?:9:188yvgc2909wSok;<59e5=z{;;m6=4={<59610=7p}=2183>7}Yj816;7l>;|a5<`=83?1>7;tL20e>4}O9m;0qA=<0;3x 1602=1v(>?7:0fg?ld62900c?:9:188mdb=831bmh4?::kb3?6=3k=1<7;50;2x 67?28:=7E==d:kb1?6=3`k<6=44i`294?=nj80;66a=4783>>{e;;o1<7?50;2x 67?28987E==d:m277<722wxmi4?:3y]ea=:?3k;7p}ne;296~Xfm27<6l;4}r02b?6=:r78>h4>339>3?g03ty9>=4?:3y>3?43>2T98;5rs302>5<5sWh:7095b09~yg3>29086<4<{M11b?7|@8n:7pB<3182!27?3>0q)=>8;3gf>oe93:17b<;6;29?lgc2900n:4?:283>5}#;821==;4H20g?lg72900eo?50;9l610=831vlj50;0xZdb<5>0j<6s|20d94?4|5>098;5Q2548yv4583:1>vPm1:?4>g70}K;;l1=vF>d09~H65728q/8=954:'74>=9mn0eo?50;9l610=831bmi4?::kba?6=3`k<6=44b683>0<729q/?<651148L64c3`k>6=44i`594?=ni90;66gm1;29?j43>3:17pl<2d83>4<729q/?<651218L64c3f;8>7>5;|qb`?6=:rTjh638:`28yvgb2909wSoj;<59e0=z{;;m6=4={<11a?74:27<6l94}r014?6=:r7<6?:9;_072>{t:;;1<77<2sE99j7?tH0f2?xJ4;90:w):?7;68y!5603;oh6gm1;29?j43>3:17dok:188mdc=831bm:4?::`4>5<2290;w)=>8;332>N4:m1bm84?::kb3?6=3`k;6=44ic394?=h:=<1<75rb20f>5<6290;w)=>8;307>N4:m1d=><50;9~wdb=838pRlj4=68b4>{til0;6?uQad9>3?g23ty9=k4?:3y>77c=9:801:4n7:p676=838p1:4=479]61052z\a5>;02k;0qpl<0c83>0<52"38?08:i5+3gg9f4=#<9:1>984$2d`>db>oe93:17b<;6;29?l5713:17o950;796?3|D:8m63:17dok:188mdc=831bm:4?::`4>5<2290;w)=>8;332>N4:m1G??h51z&207<38=1vel;50;9je2<722cj<7>5;h`2>5<=6=44}c11a?6=93:1<4?:3y]f4=:?3h:7psm37694?5=83:p(>?7:304?M55l2c:?84?::k273<722e9>?4?::pea<72;qUmi527;cg?xufm3:1>vPne:?021<6;?1v?:9:181[43>27<6?:9;|q04<<72:qU?=74=68a5>;4>=0:?85rs240>5<5s4=1mh52376967455;091~J4:o0:wE?k1:O766=ir.?<:4;;%632?51l2.8jh4m1:&745<5=92.8ji4ne:l0b<<63->;>7=?9:l0bd<73t.8=54>e39jea<722cji7>5;h`2>5<=6=44i22:>5<0;684=:4yO77`=9rB:h<5r$23;>4bf3`h:6=44o365>5<>of?3:17o950;794?6|,:;36<>9;I11`>J4:o0:w)?;2;630>{ni<0;66gn7;29?lg72900eo?50;9l610=831vn>5<7s-9:47?<3:J06a=h9:81<75rs`f94?4|Vhn01:4n0:pe`<72;qUmh527;c6?xu59o0;6?u233g9564<5>0j;6s|23294?4|5>098;5Q2548yv4593:1>vPm1:?4>g75}#;821>?94H20g?l74=3:17d?<6;29?j45:3:17p}nd;296~Xfl27<6lj4}rcf>5<5sWkn70=94;302>{t:=<1<7;<150?74=2wx?;=50;0x925;h13f?6=3`;2<7>5;c3a4?6==3:1N4:m1b=>;50;9j560=831b=>950;9j56>=831d>?<50;9~f4d629086=4?{%123483>>o6;?0;66a=2383>>{e9k81<7=50;2x 67?2?80D>5;n016?6=3ty:mk4?:3y]5d`<58h96?<=;|q2f1<72;qU=o:4=0`3>4513ty247>52z\:<>;6j90:?85rs040>5<5sW;=?63>b18272=z{?;1<77}Y;9h01:016?xu6190;6?uQ182894d728937p}>b183>7}:9k:1>?<4=0`1>4523ty:n<4?:3y>5g7=:;8013:17d8>:188m4?42900e>?<:188m6732900e<;7:188f4?d29086=4?{%123483>>o6;?0;66a=2383>>{e90=1<7=50;2x 67?2;8=7E==d:k270<722c:?;4?::m167<722wi=4650;194?6|,:;36;<4H20g?l74=3:17d?<6;29?j45:3:17pl>9`83>6<729q/?<65629K77b6=44i015>5<3:1>vP<179>5<>=9:?0q~8>:180[0634;2o7?<5:?2=2<6;<1v<7<:181[7>;27:5n4>379~w6742909wS=>3:?2=g<6;?1v>?;:181[56<27:5l4>379~w43?2909wS?:8:?2=d<6;<1v<79:18187>k389>63>968273=z{;;m6=4={<3:3?45:27:554>379~w7472909w0?68;016>;61k0:?85rs0;:>5<5s4;2m7<=2:?2=g<6;>1vqo?n7;290?5=>r.8=54>a69l5d4=831b:<4?::k2e`<722c8j;4?::`2e3<72:0;6=u+30:927=O;;n0e<=::188m4512900c?<=:188yg7f<3:1?7>50z&05=<1;2B8>i5f12794?=n9:<1<75`23094?=zj8k>6=4<:183!560389:6F<2e9j563=831b=>850;9l674=831v45234;j87?<5:p5dc=838pR2wx?k850;0xZ6`134;j87?<6:p5d5=838p12wvn<6m:187>6<1s-9:47?7b:m2<3<722c==7>5;h3;g?6=3`9jh7>5;c3;e?6=;3:1N4:m1b=>;50;9j560=831d>?<50;9~f4>?29086=4?{%123483>>o6;?0;66a=2383>>{e9131<7=50;2x 67?2;8=7E==d:k270<722c:?;4?::m167<722wx=5850;0xZ4>134;357<=2:p24<72:qU:<5219c9563<58236<=:;|q24513ty8mi4?:3y]7db<58236<=9;|q2<2<72;q6=5o5230894>>289>7p}>8983>7}:9121>?<4=0::>4513twi=n:50;697?0|,:;365<5<4290;w)=>8;41?M55l2c:?84?::k273<722e9>?4?::a5f7=8391<7>t$23;>32<@:8o7d?<5;29?l74>3:17b<=2;29?xd6k;0;6>4?:1y'74>=:;<0D>5;n016?6=3ty:nk4?:3y]5g`<58i96?<=;|q55?6=;rT==63>c28270=:9j;1=>;4}r3`1?6=:rT:o8521b1956052z\0`<=:9j;1=>84}r3`4?6=:r7:o>4=239>5f4=9:?0q~?l1;296~;6k809>?521b095605}#;821=9o4H20g?l74<3:17b<=2;29?xd6>90;694?:1y'74>=:;30D>5;h303?6=3f89>7>5;|`0e1<72;0;6=u+30:951g<@:8o7d?<4;29?j45:3:17pl<0e83>0<729q/?<656c9K77b6=44i015>5<5<5<1290;w)=>8;4e?M55l2c:?84?::k273<722c:?:4?::k27=<722c:?44?::m167<722wi?5750;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17pl>5e83>6<729q/?<65629K77b6=44i015>5<50z&05=<1:2B8>i5f12794?=n9:<1<75`23094?=zj:k86=4::183!5603o6;<0;66g>3783>>o6;>0;66a=2383>>{e90>1<7:50;2x 67?2?<0D>5;h303?6=3f89>7>5;|`2eg<72=0;6=u+30:967g<@:8o7d?<5;29?l74>3:17d?<7;29?j45:3:17pl>a083>6<729q/?<65629K77b6=44i015>5<50z&05=<5:?1C??j4i016>5<5<52;294~"4910:8l5G33f8m4532900c?<=:188yg4693:1>7>50z&05=<65<4`9K77b5<52;294~"4910:8l5G33f8m4532900c?<=:188yvg1290:=vPn6:?057db<582h6lj4=0a6>db<58396lj4=0;e>db<5<31mi521979ea=:9ko1mi5231`9ea=:k:0jh6s|18294?3|V83;70?m3;3:4>;d=3;8:63>5e8273=:;9l1=>84}r1be?6=:rT8ml52196956253z\20g=:9<21n<52170956352z\03d=:;h91=>;4}r;;>5<0sW3370=>2;3`f>;48k08<452c2804<=:9k9155521869561<58ki6<=:;|q2=7<72;qU=4<4=0;1>7213ty8mi4?:2y]7db<582i6>ok;<3;a?74<2wx=;=50;;xZ404349:>7?lc:?2f6<6>:16=;>51278966c289<70m::014?85f;3;8;63>958273=:9hh1=>84}r3:b?6=:rT:5k5218d961054z\0b3=:9ho1n<521`597c0<5:k86<=7;|q0`<<72:qU?i74=0a7>6b>34;h;7?<4:p5=3=838pR<6:;<3;1?43>2wx?l750;0xZ6g>349357?<5:p6g>=838pR?l7;<1b7?74>2wx=8l50;0xZ43e34;=<7<=2:p7d7=838pR>o>;<1b0?45:2wx=ok50;0xZ4db34;ii7<;6:p1<<72;qU9452588103=z{?;1<7mt^738967528ij708>:365?87e;3<:70?6d;42?87f?3<:70?7b;42?87d<3<:70=?d;301>;d=3;8963>5e8270=:9h;1=>;4}r1;3?6=:rT84:5239c967452z?057<5;=16=o;51258yv56>3:1?v3<138173=:90n1?<84=22e>4523ty8m?4?:3y>7d2=9:>01>o<:301?xu6>80;6?u21709674<58?m6<=9;|q`7?6=;r7h?7<;6:?0<<<6;?16=8h51278yv7en3:1>v3>bd8a5>;6k=0:nk5rs0ce>5<4s4;ji7oj;<3a7?7fn279=<4>359~w66e2902;3``>;48k098;521c1975d<5::o6<=9;45?34;287?<5:?2eg<6;>1v<6<:18187?:3h:70?74;016>{t9h:1<774534;jh7?<5:p75e=838p1>>m:`g8966c2;897p}>5983>1}:;881=nk4=07;>72134;2h7?:8:?`1?7412wx=4=50;0x94?c283870?64;016>{tk=0;6?u2c28ba>;d=389>6s|37094?4|5:;96?=n;<7:>g772134<:6lk4}r36g?6=:r7::=4>379>50b=:;80q~?:e;296~;6>90:?:5214d967452z?14c<48016>v3>8b8a5>;60l09>?5rs0c;>5<5s4;2j7oj;<3b=?45:2wx=o:50;0x94d428h?70?m5;016>{t9ho1<772134;j;7?ne:p65`=83>p1?>i:365?8062:;:70?7c;cf?87d=3kn7p}>8b83>7}:91i1>984=0:a>4>d3ty:4;4?:3y>5=3=j816=5l51948yv5?03:1>v3<8`8271=:;131>?<4}r3b6?6=:r7:5k4m1:?2e2<6i;1v<6=:18187?:38?:63>638273=z{:;<6=4={<126?44027:mi4>379~w4?22909w0?62;`2?87>l3;296s|1`c94?4|58ki6?<=;<3b5?74>2wx=lm50;0x94gc2;8970?n9;300>{t9j<1<7g7<58i<6?<=;|q2g0<72;q6=n;5254894e328i>7p}<0d83>7}:;9n1=>64=22e>7453ty8=>4?:7y>744=:::01lm531;894>22ho01{t;8>1<7=t=231>75534;2h7=>4:?2f0<6;?1vqo=80;2951<4<3>jwA==f;3xL4b63tF8?=4={o63;;784}%12{269yl5a83:17b=8f;29?l5>j3:17d?l9;29?j73j3:17dk::188m6ea2900e<7=:188md2=831bm<4?::m0ea<722c:4k4?::k;b?6=3f9o57>5;h3`5;n1:a?6=3f9j97>5;n7:>5<5<683;1==uC33d95~N6l81v@>=?:0y'051=;2w/?<651228mdb=831d>>>50;9l664=831d>>:50;9l660=831d>>650;9l66g=831d>>m50;9l66c=831b=no50;9j5fd=831b=nm50;9j5fb=831b=nk50;9j5f`=831b=i>50;9a57b=83;;6i4>5zN06c<6sA;o=6s+30:95g05<5<5<1<75`22494?=h::21<75`22c94?=h::i1<75`22g94?=e9921<7=51;1xH64a28qC=i?4}%125;n072?6=3`ko6=44b683>6<729q/?<651178L64c3E99j7?t$061>1633tcj<7>5;h`2>5<=6=44}rcg>5<5sWko7095a19~w77a2909w0952548Z7213ty9>=4?:3y]f4=:?3h:7psm10094?5=939p@>5;hcg>5<0;6>4?:1y'74>=99?0D>969>;;|kb4?6=3`h:6=44o365>5<5;n072?6=3`ko6=44b683>6<729q/?<651178L64c3E99j7?t$061>1633tcj<7>5;h`2>5<=6=44}rcg>5<5sWko7095a19~w77a2909w0952548Z7213ty9>=4?:3y]f4=:?3h:7psm10394?2=;3?7:032?l75;3:17d?>2;29?l7703:17b??9;29?g77m3:1?7>50z&05=<1;2B8>i5f12794?=n9:<1<75`23094?=zj8:i6=4<:183!5603<97E==d:k270<722c:?;4?::m167<722wi==j50;194?6|,:;36?<9;I11`>o6;<0;66g>3783>>i5:;0;66s|13194?5|V88870??e;301>;68k0:?85rs031>5<5sW;:>63>0d8273=z{8:36=4={_33<>;68k0:?;5rs02:>5<5sW;;563>0e8167=z{8:j6=4={<33a?45:27:349~w46e2909w0??b;016>;68m0:?;5r}c316?6=13?1hv*<198267=n9881<75f1bc94?=n9;91<75f11:94?=n9jn1<75f1bg94?=n9jl1<75f1e294?=h9891<75m10a94?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|`250<72:0;6=u+30:926=O;;n0e<=::188m4512900c?<=:188yg76?3:1?7>50z&05=<1;2B8>i5f12794?=n9:<1<75`23094?=zj8;26=49:183!5603=:7E==d:k270<722c:?;4?::k272<722c:?54?::k27<<722e9>?4?::a54d=83?1<7>t$23;>74e3A99h6g>3483>>o6;?0;66g>3683>>o6;10;66a=2383>>{t9881<7:t^031?876k3;8:63>168273=:9831=>74}r3`e?6=:rT:ol5210a956353z\266=:98?1=>84=034>4523ty:<54?:3y]55><58;>6<=:;|q2ga<72;qU=nj4=03:>4523ty:oh4?:3y]5fc<58;26<=9;|q2gc<72;qU=nh4=03:>4503ty:h=4?:3y]5a6<58;26<=7;|q256<72;qU=<=4=03a>7453ty:=94?:3y>54e=:;8011983>7}:9831>?<4=03a>45?3twi=?l50;590?g|,:;36<5;h317?6=3`;hm7>5;h3`f?6=3`;ho7>5;n310?6=3k;9m7>53;294~"4910=>6F<2e9j563=831b=>850;9l674=831vn<<9:187>5<7s-9:4788;I11`>o6;<0;66g>3783>>o6;>0;66a=2383>>{e9;21<7850;2x 67?2>:0D>5;h303?6=3`;847>5;h30=?6=3f89>7>5;|`26<<72=0;6=u+30:967><@:8o7d?<5;29?l74>3:17d?<7;29?j45:3:17p}>1383>1}Y98801<3;8:63>298270=z{8:36=4;{_33<>;6:h0:?;521349563<58836<=8;|q266<72;qU=?=4=005>4503ty:ol4?:3y]5fg<58836<=9;|q2gg<72;qU=nl4=00;>45?3ty:on4?:3y]5fe<58836<=6;|q261<72;qU=?:4=00:>7453ty:>84?:3y>57g=:;801<<6:016?xu6:?0;6?u21349674<58826<=9;|q262<72;q6=?652308944>289<7psm22394?2=83:p(>?7:7:8L64c3`;897>5;h302?6=3`;8;7>5;n016?6=3th9?>4?:583>5}#;821::5G33f8m4522900e<=9:188m4502900c?<=:188yg44=3:187>50z&05=<1>2B8>i5f12794?=n9:<1<75f12594?=h:;81<75rb314>5<3290;w)=>8;44?M55l2c:?84?::k273<722c:?:4?::m167<722wi>>750;694?6|,:;36;84H20g?l74=3:17d?<6;29?l74?3:17b<=2;29?xd5;k0;694?:1y'74>=><1C??j4i016>5<5<N4:m1b=>;50;9j560=831b=>950;9l674=831v<>7:18a877038?:63>10824==:9;81==64=00a>46?3488=7?<7:?176<6;<16>>;512789750289>70<<9;303>;5;k0:?:5222f95607>5bz?257<5;5;80:?;522219560<5;9>6<=9;<003?74?279?44>379>66d=9:<01?=k:016?xu6::0;6ou21319610<58;:6<<<;<316?75;27:>o4>229>667=9:?01?=<:014?844=3;8;63=368273=:::31=>;4=31a>4523488h7?<7:p5fg=839pRo4>c`9~w4ee2909wS?lb:?26g<6kk1vo4>cb9~w4ec2909wS?ld:?267<6km1v?4>cd9~w4ea2909wS?lf:?267<6ko1v?4>d19~wd`=83>pRlh4=02;>db<58;96lj4=000>db52z\175=:::;1>?<4}r006?6=:rT9??52221967452z\171=:::?1>?<4}r002?6=:rT9?;52225967452z\17==:::31>?<4}r00e?6=:rT9?l5222`967452z\17f=:::n1>?<4}r33=?6=:r7:<54m1:?254<6801v{t9;>1<7g7<588i6<<;;|pea<72;qUmi5213f9ec=z{;9;6=4={_004>;6:m09?=5rs311>5<5sW88>63>2e8177=z{;9?6=4={_000>;6:m09?95rs315>5<5sW88:63>2e8173=z{;936=4={_00<>;6:m09?55rs31b>5<5sW88m63>2e817d=z{;9h6=4={_00g>;6:m09?n5rs31f>5<5sW88i63>2e817`=z{8ij6=4={_3`e>;6:m0:ol5rs0aa>5<5sW;hn63>2e82gg=z{8ih6=4={_3`g>;6:m0:on5rs0ag>5<5sW;hh63>2e82ga=z{8in6=4={_3`a>;6:m0:oh5rs0ae>5<5sW;hj63>2e82gc=z{8n;6=4={_3g4>;6:m0:h=5r}c3:a?6=;3818vB<2g82M7c92wG?>>51z&742<43t.8=54>e29jf4<722e98;4?::kb`?6=3k=1<7=50;2x 67?28:>7E==d:N06c<6s-;?>7:?4:je5<722ci=7>5;n072?6=3thi<7>52;294~"4910:8l5G33f8m4532900c?<=:188yvgc2909wSok;<`3>4533ty9=k4?:3y>3?g734h;6?<=;|q165<72;q6;7<;6:\103=z{;8:6=4={_`2?81=j81vqom9:180>7<3sE99j7?tH0f2?xJ4;90:w):?7;18y!5603;m;6gm1;29?j43>3:17dok:188f2<72:0;6=u+30:9553<@:8o7do?:188mg7=831d>9850;9~fg6=8381<7>t$23;>42f3A99h6g>3583>>i5:;0;66s|ae83>7}Yim16n=4>359~w77a2909w095a19>f5<5:;1v?4=:5yO77`=9rB:h<5rL213>4}#<9=1?6s+30:95c15<7s-9:47??5:J06a=ni90;66gm1;29?j43>3:17plm0;296?6=8r.8=54>4`9K77b5<7}:?3k;70l?:301?xu5:90;6?u27;072>X5:181[d634=1n<5r}c0a1?6=;3818vB<2g82M7c92wG?>>51z&742<43t.8=54>f69jf4<722e98;4?::kb`?6=3k=1<7=50;2x 67?28:>7E==d:kb4?6=3`h:6=44o365>5<?<50;9~wdb=838pRlj4=c2956252z?4>d6<5k:1>?<4}r014?6=:r7<6?:9;_072>{t:;;1<7<7:180>7<3sE99j7?tH0f2?xJ4;90:w):?7;18y!5603;m;6gm1;29?j43>3:17dok:188f2<72:0;6=u+30:9553<@:8o7do?:188mg7=831d>9850;9~fg6=8381<7>t$23;>42f3A99h6g>3583>>i5:;0;66s|ae83>7}Yim16n=4>359~w77a2909w095a19>f5<5:;1v?4=:5yO77`=9rB:h<5rL213>4}#<9=1?6s+30:95c15<7s-9:47??5:J06a=ni90;66gm1;29?j43>3:17plm0;296?6=8r.8=54>4`9K77b5<7}:?3k;70l?:301?xu5:90;6?u27;072>X5:181[d634=1n<5r}c334?6=;3;1?vB<2g82M7c92wG?>>51z&742<43t.8=54>dc9jf4<722e98;4?::kb`?6=3k=1<7=50;2x 67?28:>7E==d:kb4?6=3`h:6=44o365>5<=}#<9=1?6*;07802g=#;?o18=74$523>7213-9mo7ok;o1e>6;o1ee?70vV>>=:3y0=?5e2tc85;n066?6=,;>>6?;>;o070?6<3f8><7>5$366>7363g8?87?4;n07a?6=,;>>6?;>;o070?4<3f8?h7>5$366>7363g8?87=4;n073?6=,;>>6?;>;o070?2<3k=1<7j56;gxH64a28qC=i?4}M104?1|,=:=6>8k;%1ea?d63->;<7<;6:&0bf;%636?5712d8jl4>;|&05=<6m=1Q5k4={25970xo48h0;66gnd;29?l5713:17b<:2;29 7222;?:7c<;4;28?j4283:1(?:::372?k43<3;07b<;e;29 7222;?:7c<;4;08?j43l3:1(?:::372?k43<3907b<;7;29 7222;?:7c<;4;68?ldc290/>9;5bb9m612=821bno4?:%071?dd3g8?87?4;h`:>5<#:=?1nn5a25696>=nj10;6)<;5;``?k43<3907dl=:18'613=jj1e>9:54:9a3?6==391;vB<2g82M7c92wG?>>59z&743<4>m1/?kk5b09'056=:=<0(>hl:`f8j6`?281/8=<531;8j6`f281/8==53038j6`e281v(>?7:0g6?lgc2900eo?50;9l610=831b?53;397~J4:o0:wE?k1:'74>=9mk0eo?50;9l610=831bmi4?::`4>5<4290;w)=>8;331>N4:m1bm=4?::ka5?6=3f8?:7>5;|qb`?6=:rTjh638:`28yv46n3:1>v38:365?[43>2wx>?>50;0xZg7<5>0i=6srb26g>5<4290;w)=>8;40?M55l2c:?84?::k273<722e9>?4?::a73?=8391<7>t$23;>7413A99h6g>3483>>o6;?0;66a=2383>>{tim0;6?uQae9>3?gc3tyi=7>52z\a5>;4>00:?;5rs365>5<5sW8?:638:365?xu4980;6?uQ3038960>289>7p}<0883>7}Y;9301>:k:016?xu4>10;6?u235f9560<5:<26?<=;|q00f<72;q6;7l>;<17`?45:2wvn54?:581>0}K;;l1=vF>d09~H6572>q/8=8537f8 6`b2k;0(9>?:365?!5ak3ko7c=i8;38 1652::27c=ia;38y!5603;oi6gnd;29?ld62900c?:9:188m66>2900n:4?:282>6}K;;l1=vF>d09~ 67?28nj7dl>:188k7212900elj50;9a3?6=;3:150;9jf4<722e98;4?::pea<72;qUmi527;c3?xu59o0;6?u27;072>X5N4:m1b=>;50;9j560=831d>?<50;9~wdb=838pRlj4=68b`>{tj80;6?uQb09>71b=9:<0q~<;6;296~X5>6;<17`?74=2wx?9m50;0x9239=h6*"389098;5+3ga9ea=i;o21=6*;03804<=i;ok1=6s+30:95ac>i5>d029086<4<{M11b?7|@8n:7p*<1982`d=nj80;66a=4783>>ofl3:17o950;194?6|,:;36<>:;I11`>of83:17dl>:188k7212900q~ok:181[gc34=1m=5rs33e>5<5s4=1>984^365?xu5:90;6?uQb09>3?d63twi?9j50;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17p}nd;296~Xfl27<6lj4}r`2>5<5sWh:70=;d;302>{t:=<1<7v38:c38962c2;897psm2583>1<527213-9mo7ok;o1e>6;o1ee?75<6<62:qG??h51zJ2`4=z,:;365<=6=44i`f94?=e?3:1?7>50z&05=<68<1C??j4i`294?=nj80;66a=4783>>{tim0;6?uQae9>3?g73ty9=k4?:3y>3?43>2T98;5rs303>5<5sWh:7095b09~yg53l3:1?7>50z&05=<1;2B8>i5f12794?=n9:<1<75`23094?=z{hn1<784}r072?6=:rT98;527;072>{t;931<70i=63<4e8167=zuk8=6=4;:386I55n3;pD;|N075<0s->;:7=9d:&0b`=9mo0elj50;9jf4<722e98;4?::k04<<722h<6=4<:080I55n3;pD;|&05=<6lh1bn<4?::m103<722cjh7>5;c594?5=83:p(>?7:026?M55l2cj<7>5;h`2>5<=6=44}rcg>5<5sWko7095a19~w77a2909w0952548Z7213ty9>=4?:3y]f4=:?3h:7psm35f94?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|qb`?6=:rTjh638:`f8yvd62909wSl>;<17`?74>2wx>9850;0xZ72134=1>984}r13=?6=:rT8<45235f956352z?4>g7<5:>o6?<=;|a60?=8391<7>t$23;>7413A99h6g>3483>>o6;?0;66a=2383>>{t;9k1<7=t^22b?81=;8;01?;6:015?xufl3:1:vPnd:?4>db<510jh63>a;cg?8432hn01?85ae9~w66>2908wS=?9:?4>66>348>57?<5:p60>=83?p154<089>5d<48016>94<089>63<48016>8752308yv42:3:1>vP=539>63<57213-9;87<:1:l046<53ty98i4?:3y]61b<51098;5+31696075rs364>5<5sW8?;638:365?!57<38>=6`<0287?xuel3:1>vPmd:?12?d63-9;87ll;o137?6>;:ca8j664281vo750;0xZg?<58k1n<5+3169ff=i;991>6s|b983>7}Yj11647l>;%130?dd3g9;?7=4}r`1>5<5sWh97095b09'752=jj1e?==54:~f775290j654k{M11b?7|@8n:7pB<3186!27>39=;6*"38k037):?1;0e4>"38h0<7p*<1982`6=]1o09w>65378~^6652;q8m7=<:|m1bg<72-8?97;:m1b2<72-8?975$366>a3?6=54ie694?"5<<0o96`=4582?>oc:3:1(?:::e78j7232;10ei?50;&100>6i;4n367>1=;|&05=<6n01bo44?::k`e?6=3f8m<7>5;c10=?6=;3919v*<19807<=nk00;66gla;29?j4a83:17o=<6;297?6=8r.8=5493:J06a=n9:?1<75f12494?=h:;81<75rb214>5<4290;w)=>8;40?M55l2c:?84?::k273<722e9>?4?::a76>=8391<7>t$23;>7413A99h6g>3483>>o6;?0;66a=2383>>{tk00;6>uQc89>760=9:<01>=8:016?xudi3:1?vPla:?073<6;<16?>951248yv4a83:1>vP=f19>76>=:;80q~=<5;296~;4;?09>?5232:956352z?072<5:;16?>651248yxud13:1>vPl9:?07<5<5sW8m<63<3881b5=zuk8:;7>53;397~J4:o0:wE?k1:'74>=9o30en750;9jgd<722e9j=4?::`07<<72:0868u+30:976?>i5n90;66l<3783>6<729q/?<65629K77b6=44i015>5<N4:m1b=>;50;9j560=831d>?<50;9~f65?29086=4?{%122B8>i5f12794?=n9:<1<75`23094?=z{j31<7=t^b;89651289=70=<7;301>{tkh0;6>uQc`9>760=9:?01>=8:015?xu5n90;6?uQ2g28965?2;897p}<3483>7}:;:<1>?<4=21;>4523ty8?;4?:3y>761=:;801>=7:015?x{tk00;6?uQc89>76?=k01vno50;0xZfg<5:926no4}r0e4?6=:rT9j=5232;96c66}K;;l1=vF>d09~ 67?28l27dm6:188mfg=831d>k>50;9a76?=8391?7;t$23;>65>3`i26=44ibc94?=h:o:1<75m32494?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|`072<72:0;6=u+30:926=O;;n0e<=::188m4512900c?<=:188yg5403:1?7>50z&05=<5:?1C??j4i016>5<5<3;8:63<368270=z{jk1<7=t^bc89651289>70=<7;302>{t:o:1<76s|32794?4|5:9=6?<=;<10850;0x96502;8970=<8;302>{z{j31<72j30q~mn:181[ef349857mn;|q1b5<72;qU>k>4=21:>7`73twi><750;195?5|D:8m629086>4:{%125;hab>5<5<4290;w)=>8;40?M55l2c:?84?::k273<722e9>?4?::a761=8391<7>t$23;>35<@:8o7d?<5;29?l74>3:17b<=2;29?xd4;10;6>4?:1y'74>=:;<0D>5;n016?6=3tyh57>53z\`=>;4;?0:?;5232595633;8963<368273=z{;l;6=4={_0e4>;4;109>?5rs216>5<5s498:7<=2:?07=<6;<1v>=9:181854?389>63<398273=zuzi26=4={_a:?85413i27p}la;296~Xdi278?44la:p6c6=838pR?h?;<10=?4a82wvn>:k:180>5<7s-9:478=;I11`>o6;<0;66g>3783>>i5:;0;66sm35d94?5=83:p(>?7:708L64c3`;897>5;h302?6=3f89>7>5;|`014<72:0;6=u+30:927=O;;n0e<=::188m4512900c?<=:188yg5793:1>7>50z&05=<65<;4?523439560<7>52z?15<><:09~w7`02909wS279=;4=f19'752=:ok0b>><:29~w7`62909wSa301??7:bc89636289>7)=?4;f6?k57;3;0q~j=:180[b5348:;7mn;<17b?74=2.8<94k5:l046<53tyo=7>53z\g5>;59?0hm63<4e8270=#;9>1h85a31197>{tkj0;69uQcb9>640=k016?9j512489666289?7)=?4;f6?k57;3>0qp}<0`83>7}Y;9k01:4<0`9~wdb=838pRlj4=68b`>{t;931<72.8<94=509m755=82wx>8>50;1xZ73734=1>8>4=331>a2<,::?6?;>;o137?753z\10`=:?38?i63=138g6>"48=099<5a31196>{t:=n1<7=t^36g?81=:=n01??=:e38 6632;?:7c=?3;18yv43?3:1?vP=469>3?43?279=?4lc:&041<5=81e?==54:p710=838p1:4md:?157<5nk1/?=:53578j664291v>:;:18181=jk16><<52g;8 6632:>>7c=?3;38yv53:3:1>v38:c;897752;l<7)=?4;171>h48:097p}<4083>7}:?3h370<>2;0e2>"48=08885a31197>{t;:i1<7;59;09j<5+31697135<42808wA==f;3xL4b63tF8?=4>{%633?55;hcg>5<0;6>4?:1y'74>=99?0D>969>;;|kb4?6=3`h:6=44o365>5<5+414973b<,:ln6o?4$523>7213-9mo7ok;o1e5=#<981?=74n2db>4=z,:;365<>i5>d0290>6?4:{M11b?7|@8n:7p*<1982`d=nj80;66a=4783>>ofl3:17doj:188md1=831i;7>55;294~"4910:<;5G33f8md3=831bm:4?::kb4?6=3`h:6=44o365>5<51;294~"4910:?>5G33f8k4552900q~ok:181[gc34=1m=5rs`g94?4|Vho01:4n5:p64`=838p1>1v?j7E==d:k271<722e9>?4?::a732=8391<7>t$23;>7403A99h6g>3483>>o6;?0;66a=2383>>{tim0;6?uQae9>3?gc3tyji7>52z\ba>;4>=0:?85rs365>5<5sW8?:638:365?xu4800;6>uQ31;8962c289?70=94;302>{t;=i1<7;4?5rs240>5<5s4=1mh5237696746}K;;l1=vF>d09~H65728q/8=953:'74>=9mh0eo?50;9l610=831bmi4?::`4>5<4290;w)=>8;331>N4:m1bm=4?::ka5?6=3f8?:7>5;|qb`?6=:rTjh638:`28yv46n3:1>v38:365?[43>2wx>?>50;0xZg7<5>0i=6srb4;94?5=939p@>6=z,:;365<=6=44i`f94?=e?3:1?7>50z&05=<68<1C??j4i`294?=nj80;66a=4783>>{tim0;6?uQae9>3?g73ty9=k4?:3y>3?43>2T98;5rs303>5<5sWh:7095b09~yg5>m3:1?7<54zN06c<6sA;o=6sC32295~"38>087p*<1982b2=nj80;66a=4783>>ofl3:17o950;194?6|,:;36<>:;I11`>of83:17dl>:188k7212900qol?:181>5<7s-9:47?;a:J06a=n9:>1<75`23094?=z{hn1<70q~<>f;296~;02h:01o>52308yv4583:1>v38:365?[43>2wx>??50;0xZg7<5>0i=6srbea94?5=939p@>6=z,:;365<=6=44i`f94?=e?3:1?7>50z&05=<68<1C??j4L20e>4}#9=818=:4}hc3>5<984}r014?6=:rTi=638:c38yxd4?o0;6>4=:5yO77`=9rB:h<5rL213>4}#<9=1?6s+30:95c15<7s-9:47??5:J06a=ni90;66gm1;29?j43>3:17plm0;296?6=8r.8=54>4`9K77b5<7}:?3k;70l?:301?xu5:90;6?u27;072>X5:181[d634=1n<5r}c1b`?6=;3818vB<2g82M7c92wG?>>51z&742<43t.8=54>f69jf4<722e98;4?::kb`?6=3k=1<7=50;2x 67?28:>7E==d:kb4?6=3`h:6=44o365>5<?<50;9~wdb=838pRlj4=c2956252z?4>d6<5k:1>?<4}r014?6=:r7<6?:9;_072>{t:;;1<7j6:180>7<3sE99j7?tH0f2?xJ4;90:w):?7;18y!5603;m;6gm1;29?j43>3:17dok:188f2<72:0;6=u+30:9553<@:8o7do?:188mg7=831d>9850;9~fg6=8381<7>t$23;>42f3A99h6g>3583>>i5:;0;66s|ae83>7}Yim16n=4>359~w77a2909w095a19>f5<5:;1v?7b?;e;29?l7e?3:17d?6e;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?le12900e5<7s-9:478=;I11`>o6;<0;66g>3783>>i5:;0;66sm14294?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|`217<72:0;6=u+30:926=O;;n0e<=::188m4512900c?<=:188yg72;3:1;7>50z&05=<5:m1C??j4i016>5<5<5<5<52z\20`=:9<91>?<4}r3a3?6=:rT:n:52146956352z\2=`=:9<>1=>84}r3a52z\2f<=:9<91=>94}r3ae?6=:rT:nl52141956>52z\2fg=:9<:1=>84}ra5>5<5sWi=70?:0;301>{t9ki1<7h70?:2;301>{t9=l1<774534;>?7?<5:p506=838p1<;?:301?872;3;856s|14394?4|58?96?<=;<367?74i2wvn;>50;:96??|,:;36;>4o4a94?=n9k=1<75f18g94?=n9k21<75f1c;94?=n9kk1<75f1c`94?=n9ki1<75m5g83>6<729q/?<65639K77b6=44i015>5<5<0290;w)=>8;01`>N4:m1b=>;50;9j560=831b=>950;9j56>=831b=>750;9j56g=831d>?<50;9~w0e=838pR8m4=4g967452z\2f2=:=o0:?85rs0;f>5<5sW;2i63:f;302>{t9k21<7b883>7}Y9k3018k51258yv7ei3:1>vP>b`9>1`<6;11vi7?<9:p5ge=838pR45f3ty>h7>52z?6b?45:27>i7?<5:~f6g?29096=4?{%12i5f12694?=h:;81<75rbg294?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|`e6?6=;3:1N4:m1b=>;50;9j560=831d>?<50;9~fcd=8391<7>t$23;>35<@:8o7d?<5;29?l74>3:17b<=2;29?xd4mk0;694?:1y'74>=><1C??j4i016>5<5<2B8>i5f12794?=n9:<1<75`23094?=zjo>1<7850;2x 67?2>80D>5;h303?6=3`;847>5;h30=?6=3f89>7>5;|`e2?6=>3:1N4:m1b=>;50;9j560=831b=>950;9j56>=831b=>750;9l674=831vnkj50;494?6|,:;36:?4H20g?l74=3:17d?<6;29?l74?3:17d?<8;29?l7413:17b<=2;29?xd4mm0;6;4?:1y'74>=>o1C??j4i016>5<5<5<N4:m1b=>;50;9j560=831d>?<50;9~fc>=8391<7>t$23;>7413A99h6g>3483>>o6;?0;66a=2383>>{e9<=1<7;50;2x 67?2;8i7E==d:k270<722c:?;4?::k272<722c:?54?::m167<722wi=9j50;194?6|,:;36;<4H20g?l74=3:17d?<6;29?j45:3:17plj4;293?6=8r.8=54=2e9K77b6=44i015>5<5<5<5<4290;w)=>8;41?M55l2c:?84?::k273<722e9>?4?::aa5<72=0;6=u+30:923=O;;n0e<=::188m4512900e<=8:188k7452900qo=73;292?6=8r.8=54=2b9K77b6=44i015>5<5<5<53;294~"49109>;5G33f8m4522900e<=9:188k7452900qo=m3;297?6=8r.8=5493:J06a=n9:?1<75f12494?=h:;81<75rb2`a>5<2290;w)=>8;01f>N4:m1b=>;50;9j560=831b=>950;9j56>=831d>?<50;9~f6d229086=4?{%123483>>o6;?0;66a=2383>>{e;ml1<7=50;2x 67?2?80D>5;n016?6=3thh47>52;294~"4910:8l5G33f8m4532900c?<=:188yg?c29096=4?{%12i5f12694?=h:;81<75rb3`4>5<5290;w)=>8;37e>N4:m1b=>:50;9l674=831vn>5<7s-9:47?;a:J06a=n9:>1<75`23094?=zj:om6=4=:183!5603;?m6F<2e9j562=831d>?<50;9~f6>629096=4?{%12i5f12694?=h:;81<75rb2`4>5<5290;w)=>8;37e>N4:m1b=>:50;9l674=831vn>k>:181>5<7s-9:47?;a:J06a=n9:>1<75`23094?=z{:l;6=4={_1e4>;4:h0:?95rs25e>5<5sW9;d03;886s|1b;94?5|V8i270jj:016?85e;3;896s|15`94?5|V8>i70?;b;072>;4mk0:?;5rsd794?4|Vl?01kh5b09~w6ea2909wS=lf:?1f2<6;=1v<7=:181[7>:27:5h4m1:pe1<72>qUm9521c495fg<5j<1mi529c8b`>;5j<0jh63if;cg?873l3;896s|a083>c}Yi816=o85ae9>5556=im16i54nd:?e=?gc349n:7ok;<37f?gc34?26lj4=2;f>db<5mi1mi5236d9ea=:;hn1mi523e;9ea=z{:ko6=4={_1b`>;4im098;5rs0:e>5<5sW;3j63;b83;8;6s|3e;94?4|V:n270=k9;072>{t9j21<7=7p}7}Y;h?01>o7:301?xu213:1>vP:9:?6=?43>2wx?h850;1xZ6c1349n:7<;6:?ef?74=2wxjn4?:3y>bg<6;?16ji4=239~w`7=838p1im5b09>a7<5:;1vh=50;0x9`2=:;801ik51248yv5503:1>v3<298103=:;lh1=>94}r0a2?6=:r79n84m1:?1f2<5:;1v4m50;0x97}:;ml1>?<4=2g2>4533tymj7>53z?eb?43>27:<=4m1:?e6?74=2wx=8850;0x94302;8970?;d;302>{tnh0;6?u2f88a5>;aj389>6s|eg83>7}:m108<452f18167=z{o;1<7=t=d:975g<5o:1=>;4=g0967445134l36?<=;|q0<7<72;q6?5=5230896>6289?7p}6b;297~;6j?0:ok529c8103=:9<=1=>94}r1fe?6=:r78i;4<089>7`d=:;80q~??0;296~;689098;52f38273=z{:h96=4={<1a7?45:278no4>349~w6d32909w0=m5;016>;4j>0:?95rsb494?2|58h=672134;>97m9;<363?74=2wx?4h50;0x96?b2k;01>o?:301?xua13:1?v3>b782g`=:n0098;52145956055z?2f3<6kk16=4k525489432283n708?:0;f?8c7289>7p}kd;296~;b:3;8963ke;016>{tlo0;6?u2e38273=:m909>?5rs3`6>5<4s4;i:7?k0:?1f0<53:1>v3?<4}r11=?6=:r78>54m1:?06d<5:;1v<:j:181873j3h:70?:5;37a>{t=j0;6?u2588a5>;183?h7p}i3;297~;a<389>63i8;301>;4mo0:?95rsg794?4|5o<1>?<4=g:956054z?2f3<5;916=8;51c58936=9k=01h>51248yv7e03:1:v3>b78177=:9<5l>1=>;4=2:0>452349i57?<5:p5g?=83;b<3;8:63<828273=:;k31=>84}r3ae?6=>r7:n;4=379>503=9kk01;>51cc89`2=9:=01>6<:014?85ej3;8:6s|1c`94?1|58h=6?=7;<361?7ej27=<7?mb:?0e5<6;<16i94>399>7=5=9:201>lm:014?xu6jj0;6:u21c4966g<58?>64dd349j<7?<6:?f0?7412784>4>389>7gd=9:20q~?md;296~;6j?09?n52e5827d=z{:2;6=4={<14b?d63493=7<=2:p7`6=838p1>j6:c3896c62;897p}l7;296~;d>3h:70m7:301?xu4ml0;6?u23d49e`=:;ll1>?<4}r1a7g5=9:<0q~=ma;297~;4jk09>?523c79560<5:nm6<=9;|q0f3<72;q6?lj5b09>7g1=:;80q~?;c;296~;6=<0:8n5215f967452z?0ag<6;<16?hj52308yvcb290>w0k7:371?8`3289270h9:01:?8`c289270=jd;301>"48=0nh6`<0283?xubk3:19v3j8;064>;a<3;8463i6;301>;al3;84631ii5a31195>{tmk0;68u2e9810`=:n=0:?:52f78273=:nm0:?:523df956?<,::?6hj4n220>7=z{lk1<7;t=d:961b<5o>1=>84=g49561<5on1=>84=2gg>4503-9;87kk;o137?572034l?6<=:;45?34lo6<=:;<1f`?7402.8<94jd:l046<33twi?;o50;:95<<5nrF8>k4>{I3g5>{K;::1>v`;0984`>"38>0=7p*<198b<>\48;08w?h521826?{h:lh1<75fa783>>i5ll0;66a<8e83>>ob=3:17d=nb;29?j5c:3:17b6i:188f424290<6<48{M11b?7|@8n:7pB<3182!27?3:0q)=>8;305>ofl3:17b<<0;29?j44:3:17b<<4;29?j44>3:17d?la;29?l7dj3:17o?=d;293?>=jrF8>k4>{I3g5>{#;821=9=4i0ab>5<1<75`22494?=e9921<7=51;1xH64a28qC=i?4}%125;n072?6=3`ko6=44b683>6<729q/?<651178L64c3E99j7?t$061>1633tcj<7>5;h`2>5<=6=44}rcg>5<5sWko7095a19~w77a2909w0952548Z7213ty9>=4?:3y]f4=:?3h:7psm10094?5=939p@>5;hcg>5<0;6>4?:1y'74>=99?0D>969>;;|kb4?6=3`h:6=44o365>5<50z&05=<1=2B8>i5f12794?=n9:<1<75f12594?=h:;81<75rb02a>5<4290;w)=>8;012>N4:m1b=>;50;9j560=831d>?<50;9~w46?2909wS??8:?24g<6;<1v349~w4ee2909wS?lb:?24f<6;?1v369~w46>2909wS??9:?24g<5:;1v<>n:181877k389>63>0c8273=zuk;9>7>54;192~"4910:>?5f1bc94?=n9881<75f11:94?=h9891<75m10:94?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|`250<72:0;6=u+30:926=O;;n0e<=::188m4512900c?<=:188yg76?3:1?7>50z&05=<5:?1C??j4i016>5<5<53z\2gd=:9821=>;4=036>4513ty:=?4?:3y]544<58;36<=9;|q24=<72;qU==64=036>4523ty:=>4?:3y]545<58;<6?<=;|q251<72;q6=<6523089470289>7p}>1483>7}:98?1>?<4=034>4513twi>>?50;194?6|,:;36;:4H20g?l74=3:17d?<6;29?j45:3:17pl=3283>6<729q/?<65629K77b6=44i015>5<N4:m1b=>;50;9j560=831d>?<50;9~f75029086=4?{%123483>>o6;?0;66a=2383>>{t9921<79t=02;>72134;:=7??8:?267<68116>>?512489754289>70<<5;302>;5;>0:?;5rs031>5<0s4;:>7<;6:?254<69;16=?<510089756289>70<<3;302>;5;<0:?852225956353z\2gd=:98;1=no4=001>4ef3ty:oo4?:3y]5fd<58;:6098b`>;69;0jh6s|22294?4|V;9;70<<1;016>{t::81<76s|22694?4|V;9?70<<5;016>{t::<1<76s|11;94?4|58:36o?4=032>46>3ty:=>4?:3y>544=j816=?<51018yxufl3:1>vPnd:?26a>>50;0xZ75734;9h7<<0:p664=838pR?==;<31`?44:2wx>>:50;0xZ75334;9h7<<4:p660=838pR?=9;<31`?44>2wx=no50;0xZ4ef34;9h7?la:p5fd=838pR8:19'050=;?n0(>hj:c38 1672;>=7)=ic;cg?k5a03;0q)=>8;3fe>\>n38p>?4=1;Y754=:r8865<o6=4+257961e?6=54o364>5<#:=?1>9m4n367>4=h5<=0;76gm2;29 7222k=0b?:;:098f2<72:0:6>uC33d95~N6l81v(>?7:0fa?ld62900c?:9:188mdb=831i;7>53;294~"4910:<85G33f8md6=831bn<4?::m103<722wxmi4?:3y]ea=:?3k;7p}=1g83>7}:?38?:6P=479~w7472909wSl>;<59f4=zuk21<7=51;1xH64a28qC=i?4}%125;n072?6=3`ko6=44b683>6<729q/?<651178L64c3`k;6=44ic394?=h:=<1<75rs`f94?4|Vhn01:4n0:p64`=838p1:4=479]61052z\a5>;02k;0qp}nd;297~Xfl27<6lj4=98b`>{t:=n1<7=:=<0(>>;:36`?k57;3:0q~<;7;296~X5<>16;7<;6:&041<51n:5a31195>{zj:;26=48:38aI55n3;pD;|N075;;7>4$525>60e3-9=i7:?9:&745<5=92.8ji4ne:l0b<<63->;>7=?9:l0bd<63t.8=54>d99Y=c<6s;h1qW=?2;0x6a<483wbmi4?::kba?6=3`9;57>5;n064?6=,;>>6?:i;o070?6<3f8?i7>5$366>72a3g8?87?4;n07`?6=,;>>6?:i;o070?4<3f8?;7>5$366>72a3g8?87=4;c594?d=<3hp@>60c3-9mi7l>;%634?43>2.8jn4nd:l0b=<63-9mh7oj;o1e=?7<,=:96>>6;o1ee?79k50;&100<59:51:9l61b=83.9884=4g9m612=:21d>9950;&100<59:53:9jfg<72-8?97ln;o070?6<3`h26=4+2579fd=i:=>1=65fb983>!43=3hj7c<;4;08?ld5290/>9;5b`9m612=;21i;7>55;193~J4:o0:wE?k1:O766=1r.?<;4<6e9'7cc=j81/8=>52548 6`d2hn0b>h7:09'7cb=il1e?k751:&747<4801e?ko51:'74>=9li0elj50;9je`<722ci=7>5;n072?6=3`9;57>5;c594?3=:3?p@>5;hcg>5<>d0290>6=4?{%122B8>i5C33d95~"6<;0?<95ri`794?=ni>0;66gn0;29?ld62900c?:9:188yg55m3:1=7>50z&05=<6;:1C??j4o011>5<0j96s|20d94?4|5:8n6<==;<59e2=z{;8;6=4={<59610=7p}=2083>7}Yj816;7l>;|a71b=8391<7>t$23;>35<@:8o7d?<5;29?l74>3:17b<=2;29?xd4>=0;6>4?:1y'74>=:;<0D>5;n016?6=3tyjh7>52z\b`>;02hn0q~oj:181[gb349=87?<6:pf4<72;qUn<5235f956052z\103=:?38?:6s|31;94?5|V::270=;d;301>;4>=0:?85rs26`>5<5s4=1n<5235f967452z?4>dc<5:>59z&743<4>m1/?kk5b09'056=:=<0(>hl:`f8j6`?281/?kj5ad9m7c?=92.?5;h13=?6=3k=1<7;52;7xH64a28qC=i?4}%125;n072?6=3`ko6=44i`g94?=ni>0;66l8:186>5<7s-9:47??6:J06a=K;;l1=v*>438741=zah?1<75fa683>>of83:17dl>:188k7212900qo==e;295?6=8r.8=54>329K77b5<5sWko7095a19~wdc=838pRlk4=68b1>{t:8l1<745534=1m:5rs303>5<5s4=1>984^365?xu5:80;6?uQb09>3?d63twi?9j50;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17pl<6583>6<729q/?<652348L64c3`;897>5;h302?6=3f89>7>5;|qb`?6=:rTjh638:`f8yvgb2909wSoj;<150?74>2wxn<4?:3y]f4=:;=n1=>84}r072?6=:rT98;527;072>{t;931<7=t^22:?853l3;8963<658270=z{:>h6=4={<59f4=:;=n1>?<4}r157?6=:r7<6lk4=247>7453twi=l4?:480>2}K;;l1=vF>d09~H65720q/8=8537f8 6`b2k;0(9>?:365?!5ak3ko7c=i8;38 6`c2ho0b>h6:09'054=;930b>hn:09~ 67?28on7dok:188mdc=831bn<4?::m103<722c8<44?::`4>5<22;0>wA==f;3xL4b63t.8=54>d`9jf4<722e98;4?::kb`?6=3`kn6=44i`594?=e?3:197>50z&05=<68?1C??j4L20e>4}#9=818=:4}hc6>5<>oe93:17b<;6;29?xd4:l0;6<4?:1y'74>=9:90D>52z\b`>;02h:0q~oj:181[gb34=1m85rs33e>5<5s499i7?<2:?4>d152z?4>7213W8?:6s|23394?4|Vk;01:4m1:~f62c29086=4?{%123483>>o6;?0;66a=2383>>{e;?>1<7=50;2x 67?2;8=7E==d:k270<722c:?;4?::m167<722wxmi4?:3y]ea=:?3ko7p}ne;296~Xfm278:94>379~wg7=838pRo?4=26g>4513ty98;4?:3y]610<5>098;5rs22:>5<4sW9;563<4e8270=:;?>1=>;4}r17g?6=:r7<6o?4=26g>7453ty8:>4?:3y>3?gb349=87<=2:~f72=83?1?79tL20e>4}O9m;0qA=<0;;x 1612:h4n10:7)=id;cf?k5a13;0(9>=:22:?k5ai3;0q)=>8;3fb>ofl3:17doj:188mg7=831d>9850;9j75?=831i;7>55;091~J4:o0:wE?k1:'74>=9mk0eo?50;9l610=831bmi4?::kba?6=3`k<6=44b683>0<729q/?<651148L64c3E99j7?t$061>1633tcj97>5;hc4>5<>i5?7:010?M55l2e:??4?::pea<72;qUmi527;c3?xufm3:1>vPne:?4>d352z?06`<6;;16;7o8;|q165<72;q6;7<;6:\103=z{;8:6=4={_`2?81=j81vqo=;d;297?6=8r.8=5493:J06a=n9:?1<75f12494?=h:;81<75rb247>5<4290;w)=>8;012>N4:m1b=>;50;9j560=831d>?<50;9~wdb=838pRlj4=68b`>{til0;6?uQad9>732=9:<0q~l>:181[d6349?h7?<6:p610=838pR?:9;<5961053z\04<=:;=n1=>;4=247>4523ty88n4?:3y>3?d6349?h7<=2:p735=838p1:4ne:?021<5:;1vq~ok:186[gc34=1mi528;cg?87f2hn01?:5ae9~wdc=83?pRlk4=68ba>;?2ho016134=1?=74=9804<=:9h08<45225804<=z{;?;6=4={_064>;5<38?:6*<05810c=i;991<6s|25g94?4|V;>n70?n:365?!57<38?j6`<0282?xu54<;|qaf?6=:rTin63=4;`2?!57<3hj7c=?3;28yvd>2909wSl6;<3b>g7<,::?6oo4n220>4=z{k21<77>52z\a6>;02k;0(>>;:cc8j6642:1vqo<>2;29k4>{I3g5>{K;::19v*;078022=#;ol1oo5+41`9<>"38809j=5+41c93>{#;821=i:4Z8d96~4d2;k1qW=?2;0x6`<503wd>k750;&100<5n11e>9:50:9l6c1=83.9884=f99m612=921d>k850;&100<5n11e>9:52:9l6c7=83.9884=f99m612=;21bh94?:%071?b43g8?87>4;hf1>5<#:=?1h>5a25695>=nl80;6)<;5;f0?k43<3807dml:18'613=l:1e>9:53:9a640=8391=7=tL20e>4}O9m;0q)=>8;3e=>od13:17dmn:188k7`72900n>=6:180>6<2s-9:47=<9:k`=?6=3`ij6=44o3d3>5<950;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17pl<3983>6<729q/?<652348L64c3`;897>5;h302?6=3f89>7>5;|q`=?6=;rTh563<378273=:;:=1=>;4}rab>5<4sWij70=<6;301>;4;>0:?;5rs3d3>5<5sW8m<63<398167=z{:9>6=4={<102?45:278?54>349~w6512909w0=<7;016>;4;10:?;5r}ra:>5<5sWi270=<9;a:?xudi3:1>vPla:?07<k>50;0xZ7`73498575;n0e4?6=3k98:7>53;294~"4910=?6F<2e9j563=831b=>850;9l674=831vn>=8:180>5<7s-9:478<;I11`>o6;<0;66g>3783>>i5:;0;66sm32:94?5=83:p(>?7:305?M55l2c:?84?::k273<722e9>?4?::pg<<72:qUo4523249560<5:9<6<=:;|q`e?6=;rThm63<378270=:;:=1=>84}r0e4?6=:rT9j=5232:967452z?073<5:;16?>651278yv54>3:1>v3<368167=:;:21=>84}|q`=?6=:rTh563<388`=>{tkh0;6?uQc`9>76?=kh1v?h?:181[4a8278?44=f19~yg4603:1?7?53zN06c<6sA;o=6s+30:95c?>i5n90;66l<3883>6<425}#;821:>5G33f8m4522900e<=9:188k7452900qo=<7;297?6=8r.8=5493:J06a=n9:?1<75f12494?=h:;81<75rb21;>5<4290;w)=>8;012>N4:m1b=>;50;9j560=831d>?<50;9~wf?=839pRn74=215>4513498;7?<5:pgd<72:qUol523249563<5:9<6<=9;|q1b5<72;qU>k>4=21;>7453ty8?84?:3y>760=:;801>=7:016?xu4;?0;6?u23259674<5:936<=9;|pg<<72;qUo45232;9g<=z{jk1<72jk0q~752g28yxd44?:1y'74>=>;1C??j4i016>5<5<53;294~"4910=>6F<2e9j563=831b=>850;9l674=831vn>>>:181>5<7s-9:47?;a:J06a=n9:>1<75`23094?=z{:>h6=4<{<023?e>349?h7<=2:?00c<6;?1v>:j:18184603i270=;f;016>{t:o31<77;0e4>"48=09j55a31195>{t:o<1<738m<6*<0581b==i;991>6s|2g394?4|V;l:70=?1;016>"48=09j55a31197>{tl=0;6?uQd59>64>=kh1/?=:5d29m755=82wxh?4?:2y]`7=::8=1ol5235d9563<,::?6i=4n220>4=z{m;1<7=t^e3897712jk01>:k:016?!57<3n87c=?3;08yved290?wSml;<022?e>349?h7?<6:?044<6;=1/?=:5d29m755=;2wvlj50;0xZdb<5>0jh6s|ad83>7}Yil16;7oj;|q04<<72;qU?=74=6804<=z{;?;6=4<{_064>;02;?;70<>2;f7?!57<38?j6`<0283?xu5uQ25g892<5<<5d39'752=:=l0b>><:09~w72c2908wS<;d:?4>72c348:>7j>;%130?43n2d8<>4=;|q102<72:qU>994=68102=::881on5+316961`5rs267>5<5s4=1no5220096c?<,::?6>:<;o137?67>52z?4>g?<5;;96?h8;%130?53;2d8<>4>;|q004<72;q6;7l7;<026?4a>2.8<94<429m755=:2wx?>m50;0x92><:29~yg4cm3:197<55zN06c<6sA;o=6sC32295~"38>0;7p*<1982`a=nj80;66a=4783>>ofl3:17doj:188md1=831i;7>55;294~"4910:<;5G33f8md3=831bm:4?::kb4?6=3`h:6=44o365>5<51;294~"4910:?>5G33f8k4552900q~ok:181[gc34=1m=5rs`g94?4|Vho01:4n5:p64`=838p1>1v?4bc3`h:6=44o365>5<>of?3:17o950;794?6|,:;36<>9;I11`>of=3:17do8:188md6=831bn<4?::m103<722wi??k50;394?6|,:;36<=<;I11`>i6;;0;66s|ae83>7}Yim16;7o?;|qba?6=:rTji638:`78yv46n3:1>v3<2d8277=:?3k<7p}=2183>7}:?38?:6P=479~w7462909wSl>;<59f4=zuk;mm7>5f;095f}K;;l1=vF>d09~H65721q/8=950:&743<4>k1/?;k541;8 1672;>=7)=ic;cg?k5a03;0(>hk:`g8j6`>281v(>?7:0f:?_?a28q:?7sU31096~7228=1qdok:188mdc=831d>9l50;&100<59:50:9l61?=83.9884=4`9m612=921d>9650;&100<59:52:9l601=83.9884=4`9m612=;21d>8850;&100<59:54:9l603=83.9884=4`9m612==21d>8:50;&100<59:56:9l605=83.9884=4`9m612=?21d>8<50;&100<59:58:9l606=83.9884=4`9m612=121d>9k50;&100<59:5a:9l61b=83.9884=4`9m612=j21d>9950;&100<59:5c:9a3?6=9j0o64}O9m;0qA=<0;5x 1612:h4n10:7)=id;cf?k5a13;0q)=>8;3e4>\>n38p=>4>0;Y754=:r;>6h4ri`f94?=nil0;66a=4c83>!43=38?m6`=4583?>i5<00;6)<;5;07e>h5<=0:76a=4983>!43=38?m6`=4581?>i5=>0;6)<;5;07e>h5<=0876a=5783>!43=38?m6`=4587?>i5=<0;6)<;5;07e>h5<=0>76a=5583>!43=38?m6`=4585?>i5=:0;6)<;5;07e>h5<=0<76a=5383>!43=38?m6`=458;?>i5=90;6)<;5;07e>h5<=0276a=4d83>!43=38?m6`=458b?>i5h5<=0i76a=4683>!43=38?m6`=458`?>oe>3:1(?:::c78j7232910eo:50;&100;:ka7?6=,;>>6o;4n367>7=h5<=0876gl1;29 7222k?0b?:;:598mf6=83.9884m5:l101<232cij7>5$366>g3?6;54icg94?"5<<0i96`=4584?>oel3:1(?:::c78j7232110eol50;&100>6o;4n367>d=h5<=0i76gm2;29 7222k?0b?:;:b98f2<72<0968uC33d95~N6l81v(>?7:0fg?ld62900c?:9:188mdb=831bmh4?::kb3?6=3k=1<7;50;2x 67?28:=7E==d:kb1?6=3`k<6=44i`294?=nj80;66a=4783>>{e;;o1<7?50;2x 67?28987E==d:m277<722wxmi4?:3y]ea=:?3k;7p}ne;296~Xfm27<6l;4}r02b?6=:r78>h4>339>3?g03ty9>=4?:3y>3?43>2T98;5rs302>5<5sWh:7095b09~yg>=83?1>7;tL20e>4}O9m;0q)=>8;3g`>oe93:17b<;6;29?lgc2900elk50;9je2<722h<6=4::183!5603;;:6F<2e9je0<722cj;7>5;hc3>5<:183!5603;8?6F<2e9l564=831vlj50;0xZdb<5>0j<6s|ad83>7}Yil16;7o:;|q15c<72;q6??k5120892?>50;0x92<5984}r015?6=:rTi=638:c38yxd6i3:197<55zN06c<6sA;o=6s+30:95ab5}#;821==84H20g?lg22900el950;9je5<722ci=7>5;n072?6=3th8>h4?:083>5}#;821=>=4H20g?j74:3:17p}nd;296~Xfl27<6l>4}rcf>5<5sWkn7095a49~w77a2909w0==e;306>;02h=0q~<=0;296~;02;>=7S<;6:p677=838pRo?4=68a5>{zj;>1<7;52;7xH64a28qC=i?4}%125;n072?6=3`ko6=44i`g94?=ni>0;66l8:186>5<7s-9:47??6:J06a=ni<0;66gn7;29?lg72900eo?50;9l610=831vn>5<7s-9:47?<3:J06a=h9:81<75rs`f94?4|Vhn01:4n0:pe`<72;qUmh527;c6?xu59o0;6?u233g9564<5>0j;6s|23294?4|5>098;5Q2548yv4593:1>vPm1:?4>g755;091~J4:o0:wE?k1:'74>=9mn0eo?50;9l610=831bmi4?::kba?6=3`k<6=44b683>0<729q/?<651148L64c3`k>6=44i`594?=ni90;66gm1;29?j43>3:17pl<2d83>4<729q/?<651218L64c3f;8>7>5;|qb`?6=:rTjh638:`28yvgb2909wSoj;<59e0=z{;;m6=4={<11a?74:27<6l94}r014?6=:r7<6?:9;_072>{t:;;1<7?50;796?3|D:8m63:17dok:188mdc=831bm:4?::`4>5<2290;w)=>8;332>N4:m1bm84?::kb3?6=3`k;6=44ic394?=h:=<1<75rb20f>5<6290;w)=>8;307>N4:m1d=><50;9~wdb=838pRlj4=68b4>{til0;6?uQad9>3?g23ty9=k4?:3y>77c=9:801:4n7:p676=838p1:4=479]61052z\a5>;02k;0qplk4>{I3g5>{#;821=ij4ic394?=h:=<1<75fae83>>ofm3:17do8:188f2<72<0;6=u+30:9550<@:8o7do::188md1=831bm=4?::ka5?6=3f8?:7>5;|`06`<7280;6=u+30:9565<@:8o7b?<2;29?xufl3:1>vPnd:?4>d6638:`58yv4583:1>v38:365?[43>2wx>??50;0xZg7<5>0i=6srb2f94?3=:3?p@>5;hcg>5<>d0290>6=4?{%122B8>i5fa483>>of?3:17do?:188mg7=831d>9850;9~f64b290:6=4?{%12i5`12094?=z{hn1<77p}=1g83>7}:;;o1=><4=68b3>{t:;:1<79850;9jea<722cji7>5;hc4>5<0;684?:1y'74>=99<0D>5<>oe93:17b<;6;29?xd4:l0;6<4?:1y'74>=9:90D>52z\b`>;02h:0q~oj:181[gb34=1m85rs33e>5<5s499i7?<2:?4>d152z?4>7213W8?:6s|23394?4|Vk;01:4m1:~f6`=83?1>7;tL20e>4}O9m;0q)=>8;3g`>oe93:17b<;6;29?lgc2900elk50;9je2<722h<6=4::183!5603;;:6F<2e9je0<722cj;7>5;hc3>5<:183!5603;8?6F<2e9l564=831vlj50;0xZdb<5>0j<6s|ad83>7}Yil16;7o:;|q15c<72;q6??k5120892?>50;0x92<5984}r015?6=:rTi=638:c38yxd>290>6?4:{M11b?7|@8n:7p*<1982`a=nj80;66a=4783>>ofl3:17doj:188md1=831i;7>55;294~"4910:<;5G33f8md3=831bm:4?::kb4?6=3`h:6=44o365>5<51;294~"4910:?>5G33f8k4552900q~ok:181[gc34=1m=5rs`g94?4|Vho01:4n5:p64`=838p1>1v?5}#;821==84H20g?lg22900el950;9je5<722ci=7>5;n072?6=3th8>h4?:083>5}#;821=>=4H20g?j74:3:17p}nd;296~Xfl27<6l>4}rcf>5<5sWkn7095a49~w77a2909w0==e;306>;02h=0q~<=0;296~;02;>=7S<;6:p677=838pRo?4=68a5>{zjk0;684=:4yO77`=9rB:h<5r$23;>4bc3`h:6=44o365>5<>of?3:17o950;794?6|,:;36<>9;I11`>of=3:17do8:188md6=831bn<4?::m103<722wi??k50;394?6|,:;36<=<;I11`>i6;;0;66s|ae83>7}Yim16;7o?;|qba?6=:rTji638:`78yv46n3:1>v3<2d8277=:?3k<7p}=2183>7}:?38?:6P=479~w7462909wSl>;<59f4=zuzko6=4j{_cg?81=im1647ok;<3b>db<5;>1mi52278b`>;493ko70=l:`f896b=im16?h4nd:?0b?gc3431mi52a;cg?8d=im1vlk50;gxZdc<5>0ji637:`g894g=il16>94ne:?12?gb349:6lk4=2a9e`=:;m0ji63dc<5k0ji6s|25`94?4|V;>i70l52548 6632;>j7c=?3;28yv4313:1>vP=489>e?43>2.8<94=4`9m755=92wx>9650;0xZ72?3431>984$227>72f3g9;?7<4}r063?6=:rT99:523g8103=#;9>1>9o4n220>6=z{;?=6=4={_062>;4m38?:6*<05810d=i;99186s|24794?4|V;?>70=k:365?!57<38?m6`<0286?xu5==0;6?uQ246896e=:=<0(>>;:36b?k57;3<0q~<:3;296~X5=:16?<4=479'752=:=k0b>><:69~w7352909wS<:2:?12?43>2.8<94=4`9m755=02wx>8>50;0xZ737348?6?:9;%130?43i2d8<>46;|q10`<72;qU>9k4=0c9610<,::?6?:n;o137?g52z\10a=:038?:6*<05810d=i;991n6s|25594?4|V;><70952548 6632;>j7c=?3;a8yvd12909wSl9;<`9f4=#;9>1n85a31194>{tj=0;6?uQb59>e?d63-9;87l:;o137?7h48:087p}l1;296~Xd9278i7l>;%130?d23g9;?7:4}ra3>5<5sWi;70=k:c38 6632k?0b>><:49~wg`=838pRoh4=2a9f4=#;9>1n85a31192>{tjl0;6?uQbd9>7452z\a`>;5>3h:7)=?4;`6?k57;320q~lm:181[de348?6o?4$227>g37c=?3;`8yvd52909wSl=;<59f4=#;9>1n85a3119g>{zj;;96=4>a;3;>73|D:8m69:244?!5an3ii7):?b;:8 1662;l;7):?a;58y!5603;o96T6f;0x51<693wQ?=<52z35>c6=4+25796c2?6=54o3d0>5<#:=?1>k:4n367>4=?6?54o223>5<#:=?1>k:4n367>6=?6954o3df>5<#:=?1>k:4n367>0=?6;54o3d`>5<#:=?1>k:4n367>2=?6554o3d:>5<#:=?1>k:4n367><=?6l54o3d5>5<#:=?1>k:4n367>g=?6n54ie294?"5<<0hj6`=4583?>odm3:1(?:::bd8j7232810enj50;&100>6nh4n367>6=h5<=0?76gk9;29 7222jl0b?:;:498ma>=83.9884lf:l101<132co;7>5$366>f`?6:54ie494?"5<<0hj6`=458;?>oc<3:1(?:::bd8j7232010ei<50;&100>6nh4n367>g=h5<=0h76l=1783>6<62:qG??h51zJ2`4=z,:;365<k>50;9a760=8391<7>t$23;>35<@:8o7d?<5;29?l74>3:17b<=2;29?xd4;>0;6>4?:1y'74>=>:1C??j4i016>5<5<53;294~"49109>;5G33f8m4522900e<=9:188k7452900q~m6:180[e>3498:7?<6:?072<6;<1vno50;1xZfg<5:9=6<=:;<103?74>2wx>k>50;0xZ7`7349847<=2:p763=838p1>=9:301?85403;896s|32494?4|5:9<6?<=;<102wvn750;0xZf?<5:926n74}rab>5<5sWij70=<9;ab?xu5n90;6?uQ2g28965>2;l;7psm20594?5=939p@>5<3483>>o6;?0;66a=2383>>{e;:=1<7=50;2x 67?2?90D>5;n016?6=3th8?54?:283>5}#;821>?84H20g?l74=3:17d?<6;29?j45:3:17p}l9;297~Xd1278?;4>379>761=9:?0q~mn:180[ef3498:7?<5:?072<6;?1v?h?:181[4a8278?54=239~w6522909w0=<6;016>;4;10:?85rs215>5<5s498;7<=2:?07=<6;?1vq~m6:181[e>349857m6;|q`e?6=:rThm63<388`e>{t:o:1<75<42808wA==f;3xL4b63t.8=54>f89jg<<722chm7>5;n0e4?6=3k9857>53;191~"49108?45fc883>>odi3:17b3:1?7>50z&05=<1;2B8>i5f12794?=n9:<1<75`23094?=zj:9<6=4<:183!5603<87E==d:k270<722c:?;4?::m167<722wi?>650;194?6|,:;36?<9;I11`>o6;<0;66g>3783>>i5:;0;66s|c883>6}Yk016?>8512489650289>7p}la;297~Xdi278?;4>349>761=9:<0q~652308yv54=3:1>v3<378167=:;:21=>;4}r102?6=:r78?:4=239>76>=9:<0qp}l9;296~Xd1278?44l9:pgd<72;qUol5232;9gd=z{;l;6=4={_0e4>;4;009j=5r}c02=?6=;3;1?vB<2g82M7c92w/?<651g;8mf?=831bol4?::m1b5<722h8?44?:280>0}#;821?>74ib;94?=nkh0;66a=f183>>d4;?0;6>4?:1y'74>=>:1C??j4i016>5<5<53;294~"4910=?6F<2e9j563=831b=>850;9l674=831vn>=7:180>5<7s-9:47<=6:J06a=n9:?1<75f12494?=h:;81<75rsb;94?5|Vj301>=9:015?854?3;896s|c`83>6}Ykh16?>8512789650289=7p}=f183>7}Y:o:01>=7:301?xu4;<0;6?u23249674<5:936<=:;|q073<72;q6?>952308965?289=7ps|c883>7}Yk016?>75c89~wfg=838pRno4=21:>fg52z\1b5=:;:31>k>4}|`15d<72:0:6>uC33d95~N6l81v(>?7:0d:?le>2900eno50;9l6c6=831i?>750;197?3|,:;36>=6;ha:>5<5;n016?6=3th8?:4?:283>5}#;821:>5G33f8m4522900e<=9:188k7452900qo=<8;297?6=8r.8=54=279K77b6=44i015>5<5<4sWi270=<6;302>;4;>0:?85rsbc94?5|Vjk01>=9:016?854?3;8:6s|2g294?4|V;l;70=<8;016>{t;:?1<7745349847?<5:p760=838p1>=8:301?85403;8:6srsb;94?4|Vj301>=6:b;8yvef2909wSmn;<10=?ef3ty9j=4?:3y]6c6<5:926?h?;|a64d=8391=7=tL20e>4}O9m;0q)=>8;3e=>od13:17dmn:188k7`72900n>=6:180>6<2s-9:47=<9:k`=?6=3`ij6=44o3d3>5<950;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17pl<3983>6<729q/?<652348L64c3`;897>5;h302?6=3f89>7>5;|q`=?6=;rTh563<378273=:;:=1=>;4}rab>5<4sWij70=<6;301>;4;>0:?;5rs3d3>5<5sW8m<63<398167=z{:9>6=4={<102?45:278?54>349~w6512909w0=<7;016>;4;10:?;5r}ra:>5<5sWi270=<9;a:?xudi3:1>vPla:?07<k>50;0xZ7`73498575;n0e4?6=3k98:7>53;294~"4910=?6F<2e9j563=831b=>850;9l674=831vn>=8:180>5<7s-9:478<;I11`>o6;<0;66g>3783>>i5:;0;66sm32:94?5=83:p(>?7:305?M55l2c:?84?::k273<722e9>?4?::pg<<72:qUo4523249560<5:9<6<=:;|q`e?6=;rThm63<378270=:;:=1=>84}r0e4?6=:rT9j=5232:967452z?073<5:;16?>651278yv54>3:1>v3<368167=:;:21=>84}|q`=?6=:rTh563<388`=>{tkh0;6?uQc`9>76?=kh1v?h?:181[4a8278?44=f19~yg46l3:1?7?53zN06c<6sA;o=6s+30:95c?>i5n90;66l<3883>6<425}#;821:>5G33f8m4522900e<=9:188k7452900qo=<7;297?6=8r.8=5493:J06a=n9:?1<75f12494?=h:;81<75rb21;>5<4290;w)=>8;012>N4:m1b=>;50;9j560=831d>?<50;9~wf?=839pRn74=215>4513498;7?<5:pgd<72:qUol523249563<5:9<6<=9;|q1b5<72;qU>k>4=21;>7453ty8?84?:3y>760=:;801>=7:016?xu4;?0;6?u23259674<5:936<=9;|pg<<72;qUo45232;9g<=z{jk1<72jk0q~752g28yxd59l0;6>4>:2yO77`=9rB:h<5r$23;>4`>3`i26=44ibc94?=h:o:1<75m32;94?5=;3?p(>?7:21:?le>2900eno50;9l6c6=831i?>850;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17pl<3683>6<729q/?<65629K77b6=44i015>5<2908wSm6;<102?74>278?:4>349~wfg=839pRno4=215>4523498;7?<6:p6c6=838pR?h?;<10;50;0x96512;8970=<8;301>{t;:<1<7745349847?<6:~wf?=838pRn74=21:>f?7}Y:o:01>=6:3d3?x{e:891<7=51;1xH64a28qC=i?4}%125;hab>5<5<42:0>w)=>8;10=>od13:17dmn:188k7`72900n>=9:180>5<7s-9:478<;I11`>o6;<0;66g>3783>>i5:;0;66sm32594?5=83:p(>?7:718L64c3`;897>5;h302?6=3f89>7>5;|`07=<72:0;6=u+30:9670<@:8o7d?<5;29?l74>3:17b<=2;29?xud13:1?vPl9:?073<6;?16?>951278yvef2908wSmn;<102?74=278?:4>379~w7`72909wS=::181854>389>63<398270=z{:9=6=4={<103?45:278?54>379~yve>2909wSm6;<10=?e>3tyhm7>52z\`e>;4;00hm6s|2g294?4|V;l;70=<9;0e4>{zj;;?6=4<:080I55n3;pD;|&05=<6n01bo44?::k`e?6=3f8m<7>5;c10=?6=;3919v*<19807<=nk00;66gla;29?j4a83:17o=<6;297?6=8r.8=5493:J06a=n9:?1<75f12494?=h:;81<75rb214>5<4290;w)=>8;40?M55l2c:?84?::k273<722e9>?4?::a76>=8391<7>t$23;>7413A99h6g>3483>>o6;?0;66a=2383>>{tk00;6>uQc89>760=9:<01>=8:016?xudi3:1?vPla:?073<6;<16?>951248yv4a83:1>vP=f19>76>=:;80q~=<5;296~;4;?09>?5232:956352z?072<5:;16?>651248yxud13:1>vPl9:?07<5<5sW8m<63<3881b5=zuk8:97>53;397~J4:o0:wE?k1:'74>=9o30en750;9jgd<722e9j=4?::`07<<72:0868u+30:976?>i5n90;66l<3783>6<729q/?<65629K77b6=44i015>5<N4:m1b=>;50;9j560=831d>?<50;9~f65?29086=4?{%122B8>i5f12794?=n9:<1<75`23094?=z{j31<7=t^b;89651289=70=<7;301>{tkh0;6>uQc`9>760=9:?01>=8:015?xu5n90;6?uQ2g28965?2;897p}<3483>7}:;:<1>?<4=21;>4523ty8?;4?:3y>761=:;801>=7:015?x{tk00;6?uQc89>76?=k01vno50;0xZfg<5:926no4}r0e4?6=:rT9j=5232;96c65}#;821:?5G33f8m4522900e<=9:188k7452900qo=;f;297?6=8r.8=5492:J06a=n9:?1<75f12494?=h:;81<75rb272>5<4290;w)=>8;41?M55l2c:?84?::k273<722e9>?4?::a705=8391<7>t$23;>34<@:8o7d?<5;29?l74>3:17b<=2;29?xd4=<0;6>4?:1y'74>=>;1C??j4i016>5<5<;7>53;294~"4910=>6F<2e9j563=831b=>850;9l674=831vn>;6:180>5<7s-9:478=;I11`>o6;<0;66g>3783>>i5:;0;66sm34`94?5=83:p(>?7:708L64c3`;897>5;h302?6=3f89>7>5;|`01a<72:0;6=u+30:927=O;;n0e<=::188m4512900c?<=:188yg52n3:1?7>50z&05=<1:2B8>i5f12794?=n9:<1<75`23094?=zj:<:6=4<:183!5603<97E==d:k270<722c:?;4?::m167<722wi?=?50;094?6|,:;36<:n;I11`>o6;=0;66a=2383>>{t;=i1<7=t=334>f?<5:>o6?<=;<17b?74>2wx?9k50;1x977?2j301>:i:301?85293;8:6s|34294?5|5;;26n74=272>745349>?7?<6:p704=839p1??n:b;896342;8970=:5;302>{t;<>1<7=t=33a>f?<5:?>6?<=;<163?74>2wx?8850;1x977d2j301>;8:301?85213;8:6s|37294?4|5;;>6n74=242>7453ty8954?:2y>64b=k016?8752308963e289=7p}<5`83>6}::8o1o45234`9674<5:?o6<=9;|q01f<72:q6><=5c89>70b=:;801>;i:015?xu4=l0;6>u22069g<=:;?<4=242>4513ty9j84?:3y]6c3<5;;>6?h?;%130?4a<2d8<>4?;|q1b6<72;qU>k=4=337>7`73-9;874=;|q045<72;qU?=>4=33f>7`73-9;874;;|q1b`<72;qU>kk4=33`>7`73-9;8749;|q1bf<72;qU>km4=33b>7`73-9;8747;|q1b<<72;qU>k74=33;>7`73-9;873ty9j:4?:3y]6c1<5;;<6?h?;%130?4a<2d8<>4n;|q1b3<72;qU>k84=335>7`73-9;874l;|qg4?6=:rTo<63=148`e>"48=0hj6`<0283?xudm3:1?vPle:?151349'752=ko1e?==51:pga<72:qUoi522019gd=:;;4$227>f`7)=?4;ae?k57;390q~jn:180[bf348:h7mn;<16f?74=2.8<94lf:l046<33tyo57>53z\g=>;59j0hm63<588270=#;9>1ok5a31191>{tl10;6>uQd99>64d=kh16?8951278 6632jl0b>><:79~wa1=839pRi94=33b>fg<5:?>6<=:;%130?ea3g9;?794}rf5>5<4sWn=70<>9;ab?852;3;896*<058`b>h48:037p}k4;297~Xc<279=54la:?014<6;<1/?=:5cg9m755=12wxh?4?:2y]`7=::8=1ol5235d9563<,::?6nh4n220>d=z{m;1<7=t^e3897712jk01>:k:016?!57<3im7c=?3;`8yved290?wSml;<022?e>349?h7?<6:?044<6;=1/?=:5cg9m755=k2wvlj50;0xZdb<5>0jh6s|ad83>7}Yil16;7oj;|q10g<72:qU>9l4=6810g=::881h=5+316961g5<4sW8?5638:36:?846:3in7)=?4;07e>h48:0:7p}=4983>6}Y:=201:4=499>644=km1/?=:525c8j6642;1v?;8:180[42?27<6?;8;<026?be3-9;87<;a:l046<43ty99;4?:2y]600<5>099;522009`d=#;9>1>9o4n220>1=z{;?>6=4<{_061>;02;?>70<>2;f:?!57<38?m6`<0286?xu5==0;6>uQ246892<5==16><<5d99'752=:=k0b>><:79~w7342908wS<:3:?4>734348:>7j8;%130?43i2d8<>48;|q117<72:qU>8<4=68117=::881h;5+316961g5<4sW8><638:373?846:3n?7)=?4;07e>h48:027p}=4d83>6}Y:=o01:4=4d9>644=l;1/?=:525c8j6642h1v?:k:180[43l27<6?:k;<026?b63-9;87<;a:l046098:522009gf=#;9>1>9o4n220>f=z{:>;6=4={<59f3=::881>k;4$227>65a3g9;?7>4}r10a?6=:r7<6o:4=331>7`43-9;87=3?d4348:>7:n:18181=k816><<52gd8 6632:9m7c=?3;68yv5313:1>v38:b2897752;ln7)=?4;10b>h48:0>7p}<4983>7}:?3hm70<>2;0e`>"48=08?k5a31192>{t;==1<7;59;09jn5+316976`5<5s4=1ni5220096cd<,::?6>=i;o137?>52z?4>gd<5;;96?h6;%130?54n2d8<>46;|q007<72;q6;7l6;<026?4a?2.8<94<3g9m755=i2wx?9?50;0x92><:c9~w65d2909w095b39>644=:o;0(>>;:21e?k57;3i0qpl=0883>0<52{#;821=ij4ic394?=h:=<1<75fae83>>ofm3:17do8:188f2<72<0;6=u+30:9550<@:8o7do::188md1=831bm=4?::ka5?6=3f8?:7>5;|`06`<7280;6=u+30:9565<@:8o7b?<2;29?xufl3:1>vPnd:?4>d6638:`58yv4583:1>v38:365?[43>2wx>??50;0xZg7<5>0i=6srb2c`>5<42808wA==f;3xL4b63tF8?=4>{%633?65;hcg>5<0;6>4?:1y'74>=99?0D>5<984}r014?6=:rTi=638:c38yxd?n3:1?7?53zN06c<6sA;o=6sC32295~"38>0;7p*<1982`g=nj80;66a=4783>>ofl3:17o950;194?6|,:;36<>:;I11`>of83:17dl>:188k7212900q~ok:181[gc34=1m=5rs33e>5<5s4=1>984^365?xu5:90;6?uQb09>3?d63twi?i<50;195?5|D:8m68:19~ 67?28ni7dl>:188k7212900elj50;9a3?6=;3:150;9jf4<722e98;4?::pea<72;qUmi527;c3?xu59o0;6?u27;072>X5>51z&742<73t.8=54>dc9jf4<722e98;4?::kb`?6=3k=1<7=50;2x 67?28:>7E==d:kb4?6=3`h:6=44o365>5<>o6nk0;66g=0183>>o5880;66g=0383>>o58:0;66g=0583>>o58<0;66g=0783>>o58>0;66g=0983>>o6nj0;66g>fe83>>o6no0;66l=0c83><<729q/?<65729K77b6=44i015>5<5<5<5<3:1N4:m1b=>;50;9j560=831b=>950;9j56>=831b=>750;9l674=831vn?>k:180>5<7s-9:478=;I11`>o6;<0;66g>3783>>i5:;0;66s|21c94?4|V;:j70{t9oh1<7{t:9;1<7{t:991<7{t:9?1<7{t:9=1<7{t9oi1<7{t9ol1<7=m50;0x976d2;8970{zj0?1<7;53;5x 67?20?0c4>50;9j51>=831b?5j50;9j=3<722c3j7>5;c;7>5<4290;w)=>8;40?M55l2c:?84?::k273<722e9>?4?::a=7<72:0;6=u+30:926=O;;n0e<=::188m4512900c?<=:188yg?429086=4?{%122B8>i5f12794?=n9:<1<75`23094?=z{0:1<7379~w6>c2909wS=7d:?:0?74=2wx5;4?:3y]=3=:1;0:?85rs9d94?4|V1l014<51248yv?62909w07;:301?8?4289>7p}62;296~;>:389>6363;302>{zj:3>6=4::284!56039296a<9183>>o6<>0;66g<8d83>>o41?0;66g<8e83>>d41=0;6>4?:1y'74>=>:1C??j4i016>5<5<7>53;294~"4910=?6F<2e9j563=831b=>850;9l674=831vn>7<:180>5<7s-9:47<=6:J06a=n9:?1<75f12494?=h:;81<75rs2;3>5<5sW92<63<928167=z{8><6=4={_373>;41=0:?;5rs2:f>5<5sW93i63<958270=z{:3=6=4={_1:2>;41;0:?85rs2:g>5<5sW93h63<938273=z{:3:6=4={<1:0?45:2785>4>349~w6?52909w0=62;016>;41:0:?;5r}c371?6=<3:1N4:m1b=>;50;9j560=831b=>950;9l674=831vnh950;194?6|,:;36;=4H20g?l74=3:17d?<6;29?j45:3:17pl<2283>6<729q/?<65639K77b6=44i015>5<N4:m1b=>;50;9j560=831b=>950;9j56>=831d>?<50;9~f7c3290>6=4?{%123483>>o6;?0;66g>3683>>o6;10;66a=2383>>{e:l<1<7;50;2x 67?2?i0D>5;h303?6=3`;847>5;n016?6=3th9il4?:283>5}#;821>?84H20g?l74=3:17d?<6;29?j45:3:17pl67;290?6=8r.8=54=299K77b6=44i015>5<5<53;294~"4910=?6F<2e9j563=831b=>850;9l674=831vn>78:180>5<7s-9:47<=6:J06a=n9:?1<75f12494?=h:;81<75rb3g;>5<5290;w)=>8;37e>N4:m1b=>:50;9l674=831vl850;axZd0<58>86lj4=gd9ea=:;831mi522eg9ea=:;8l1mi521gc9ea=::931mi523`a9ea=:0o0jh63;40m0jh6s|2eg94?4|V;nn70{t;1n1<7;t^2:g?85?l38?:6365;1;`>;41<084i5239d95636=4={_g6?8`a2k80q~=nb;296~X4ik16?lm5b09~w6b52909wS=k2:?0`7<5984=879?6=4={<377?7di27:884=239~w7c42909w0;5mh0:?85rs3g6>5<5s48n:7<=2:?1ad<6;?1v<:9:180873;388<6367;301>;41>0:?85rs064>5<3s4;??7<<2:?0=0<6<>165:4>379>7<1=9:<0q~?;8;297~;6<:09?95294820==:1>0:?:5rs3g4>5<5s48oi7l>;<0f7<3=;0:0q~k9:18585613kn70;b?389>63<228273=z{:896=4;{<12=?57127:jl4ne:?14<>4=239~w<6=838p15h5b09>=0<>82wx5;4?:3y>=0<>>272;7<=2:p65g=838p1?>6:c38976b2;:j7p}<1g83>6}:;8l1>984=066>4513499?7?<5:p7de=839p1<:<:0aa?85fk38?:63<8g8273=z{:8;6=4={<12b?d63499=7<=2:p7=c=838p1>7::2:f?85?n389>6s|2d;94?4|5;oj6?<=;<0f=750;0x976>2;>=70?;5;303>{t;8o1<7;t^3ga?856138><63<20827==::l>1=>64=3g5>4503-9;87=>d:l046<73ty8=n4?:5y>74?=:=o01><>:015?84b<3;8;63=e78273=#;9>1?4=z{:;i6=4;{<12=?43l278><4>349>6`2=9:<01?k9:016?!57<39:h6`<0281?xu49h0;69u230;9611<5:8:6<=8;<0f0?74=279i;4>399'752=;8n0b>><:29~w4642909w0hi:36g?8c0289=7)=?4;336>h48:0;7p}>0083>6}:no0i463if;073>;b?3;896*<058247=i;991=6s|1gd94?4|58lj6?:m;<03a?7an2.8<94>fd9m755=82wx=kj50;0x94`f2;>270"48=0:jh5a31195>{t9oi1<772?348;i7?ic:&041<6nl1e?==52:p65>=838p1fd9m755=<2wx>=850;0x94`f2;?>70"48=0:jh5a31191>{t:9?1<7733348;i7fd9m755=02wx>=<50;0x94`f2;?;70"48=0:jh5a3119=>{t:9;1<772b348;i7fd9m755=k2wvn>8i:18:><8;31g>i4n90;66a<9c83>>o4?h0;66a68;29?l77<3:17d7<3sE99j7?tH0f2?xJ4;90:w):?7;08y!5603;m>6gm1;29?j43>3:17dok:188f2<72:0;6=u+30:9553<@:8o7A==f;3x 4252=:?7pgn0;29?ld62900c?:9:188ygd729096=4?{%12i5f12694?=h:;81<75rs`f94?4|Vhn01o>51268yv46n3:1>v38:`289g6=:;80q~<=0;296~;02;>=7S<;6:p677=838pRo?4=68a5>{zj;ii6=4::386I55n3;pD;|N075;;7<4$525>60c3-9mi7l>;%634?43>2.8jn4nd:l0b=<63-9mh7oj;o1e=?7<,=:96>>6;o1ee?65}#;821==84H20g?lg22900el950;9je5<722ci=7>5;n072?6=3th8>h4?:083>5}#;821=>=4H20g?j74:3:17p}nd;296~Xfl27<6l>4}rcf>5<5sWkn7095a49~w77a2909w0==e;306>;02h=0q~<=0;296~;02;>=7S<;6:p677=838pRo?4=68a5>{zj:850;9l674=831vlj50;0xZdb<5>0jh6s|ad83>7}Yil16?;:51248yv43>3:1>vP=479>3?43>2wx?=750;1xZ66>34=1n<52376956352z?4>dc<5:4}O9m;0qA=<0;cx 1602;1/8=8537f8 6`b2k;0(9>?:365?!5ak3ko7c=i8;38 6`c2ho0b>h6:09'055=;8;0b>hm:19~ 67?28l87dok:188mdc=831bn<4?::m103<722c8=<4?::`4>5<22;0>wA==f;3xL4b63t.8=54>d`9jf4<722e98;4?::kb`?6=3`kn6=44i`594?=e?3:197>50z&05=<68?1C??j4i`794?=ni>0;66gn0;29?ld62900c?:9:188yg55m3:1=7>50z&05=<6;:1C??j4o011>5<0j96s|20d94?4|5:8n6<==;<59e2=z{;8;6=4={<59610=7p}=2083>7}Yj816;7l>;|a732=8391<7>t$23;>7403A99h6g>3483>>o6;?0;66a=2383>>{e;?<1<7=50;2x 67?2;8<7E==d:k270<722c:?;4?::m167<722wxmi4?:3y]ea=:?3ko7p}ne;296~Xfm278:;4>379~wg7=838pRo?4=247>4513ty98;4?:3y]610<5>098;5rs232>5<4sW9:=63<658270=:;?<1=>;4}r151?6=:r7<6lk4=245>7453ty8:>4?:3y>3?d6349=87<=2:~f640290>6>48{M11b?7|@8n:7pB<318b!27?380(9>9:24g?!5am3h:7):?0;072>"4nj0jh6`9850;9jea<722cji7>5;hc4>5<0;684?:1y'74>=99<0D>5<>oe93:17b<;6;29?xd4:l0;6<4?:1y'74>=9:90D>52z\b`>;02h:0q~oj:181[gb34=1m85rs33e>5<5s499i7?<2:?4>d152z?4>7213W8?:6s|23394?4|Vk;01:4m1:~f62c29086=4?{%123483>>o6;?0;66a=2383>>{e;?>1<7=50;2x 67?2;8<7E==d:k270<722c:?;4?::m167<722wxmi4?:3y]ea=:?3ko7p}ne;296~Xfm278:94>379~wg7=838pRo?4=26g>4513ty98;4?:3y]610<5>098;5rs22:>5<4sW9;563<4e8270=:;?>1=>;4}r17g?6=:r7<6o?4=26g>7453ty8:>4?:3y>3?gb349=87<=2:~ft$23;>7403A99h6g>3483>>o6;?0;66a=2383>>{e;o?1<7<50;2x 67?28>j7E==d:k271<722e9>?4?::a6fb=8391<7>t$23;>7413A99h6g>3483>>o6;?0;66a=2383>>{e;o>1<7=50;2x 67?2?90D>5;n016?6=3th<:7>52;294~"4910:8l5G33f8m4532900c?<=:188yv5a83:1>vP7c3=:;80q~=6b;296~X41k16?4l52548yv50i3:18vP<7`9>=<<6;<16?k:51278920=9:>0q~77:186[??348hn7=?9:?0=g<49816??9531;89no50;1xZ7ef348hn7oj;<0``?74=2wx=>j50;1xZ45c3492n7l>;<113?d63ty<87>52z?40?43>27257?<6:p771=838p1><8:365?85a<3;8:6s|2b`94?4|5;ii6?:9;<0``?74>2wx?k=50;0x96`2289?70=i4;016>{t:j21<7=t=2;a>dc<5:8<6lk4=3ag>7453ty<97>52z?40?d634==6?<=;|a7f`=8391=7=tL20e>4}O9m;0qA=<0;3x 1602?1v(>?7:0f5?l`b2900e><;:188k7`72900n:4?:283>5}#;8215h5G33f8m4532900eh;50;9l674=831vkk50;0xZcc<5>0n96s|20d94?4|5>09>?5Q2g28yv4583:1>vP<259>3?74<2wvn>9k:180>4<4sE99j7?tH0f2?xJ4;90:w):?7;48y!5603;o:6gie;29?l55<3:17bt$23;>7}Y;;>01:4>359~yg5e83:1?7?53zN06c<6sA;o=6sC32295~"38>0=7p*<1982`3=nnl0;66g<2583>>i5n90;66l8:180>5<7s-9:477j;I11`>o6;=0;66gj5;29?j45:3:17p}ie;296~Xam27<6h;4}r02b?6=:r7<6?<=;_0e4>{t:;:1<70qpl6<62:qG??h51zJ2`4=zD:9;6{#;821=i84igg94?=n;;>1<75`2g294?=e?3:1?7>50z&05=<>m2B8>i5f12694?=nm<0;66a=2383>>{tnl0;6?uQfd9>3?c23ty9=k4?:3y>3?45:2T9j=5rs303>5<5sW998638:017?x{e;l21<7=51;1xH64a28qC=i?4}M104?7|,=:<6;5r$23;>4b13`ln6=44i207>5<6<729q/?<659d9K77b52z\061=:?3;886srb256>5<5290;w)=>8;37e>N4:m1b=>:50;9l674=831vn>96:181>5<7s-9:47?;a:J06a=n9:>1<75`23094?=zj:2>6=4=:183!5603;?m6F<2e9j562=831d>?<50;9~f61029086=4?{%122B8>i5f12794?=n9:<1<75`23094?=zj:=96=4=:183!5603;?m6F<2e9j562=831d>?<50;9~f6b629096=4?{%12i5f12694?=h:;81<75rs2ae>5<3sW9hj63<6b80gc=:;>:1?nh4=2ae>7`73ty85n4?:3y]77m;|q03g<72=qU?:l4=526>61f349h>:181[5a9278:k4vP053=;m?0q~o<:187[g43Wk970=<1;075>;4>o09on5rs2ag>5<5sW9hh63;0480ga=z{:2i6=4<{_1;f>;4?:08m45236297=052z\0gg=:<9?1?om4}r1:52z\05<4sWo>70=80;g6?851i3o>7p}>0583>3}Y99>01>8l:`4891622h<01>9<:`48960f2h<01>8i:027?xu41m0;6>uQ38f896142:kj70=80;1:f>{t;>i1<7{t;hh1<7{t;o81<7{t;j:1<77}Yi=16?:>5a59~wd7=838pRl?4=253>d752z\031=:;>?1>?<4}r1453z\0e`=:<9?1?lj4=250>6gc3ty8h>4?:3y]7a5<5=:>6>j<;|q0=`<72;qU?4k4=253>6?b3ty8mk4?:3y]7d`<5:h;6?h?;|q0a2<72;qU?h94=2g;>7`73ty8m<4?:3y]7d7<5:=86>o>;|q0e0<72;qU?l;4=253>6g23ty8j:4?:5y]7c1<5:
h9;<631?5a>278;>402909wS=77:?036<40>1v?km:181827=38no63<6`81ag=z{83;6=4={<15g?7>8278;>4>919~w42e2909w0=83;37f>;4?90:8o5rs2f3>5<5s49hj7hj;<1g5?45:2wx9l4?:3y>726==016?:951248yv3e2909w0=83;7:?850?3;896s|3c394?4|5:=;6>ok;<1a4?55<2wx>ik50;0x91622;nm70=9a;0ga>{t110;69u237a9===:<9?155523619===:;?l1555rs252>5<5s49<<7?l9:?037<5:;1v<7=:181850;3;2>63<7182=7=z{;=<6=4={<631?40?278:k4=c89~w6b52909w0=9a;1g6>;4l80:?95rs9d94?1|5:=;65h4=24b>=`<5:=o6kk4=2`3>cc<5:nh6kk4=2g;>cc<5:2>6<=;;|q226<72;q6?;m51718961428<87p}>9g83>7}:;>91=4h4=251>4533ty8hi4?:3y>726=;m301>jl:207?xu4??0;6?u23679562<5:=<6?<=;|q2<0<72;q6?:=519789617282m7p}=6983>7}:;?i1>;64=526>70?3ty8;h4?:3y>726=;>l01>9k:207?xu5j10;6>u237a96g><5=:>6?l7;<147?4e02wx=8l50;0x961428?i70=9f;0`e>{t9:n1<745c349=j7?9?:2g5?85b039986s|1cg94?4|5:=86725=>816?:751268yv46n3:1>v3<2d8277=:;jl1??:4}r06`?6=:rT99i52417960b<,::?6?;l;o137?6n7>52z\11g=:<9?1>8l4$227>73d3g9;?7?4}r052?6=:rT9:;524179630<,::?6?;l;o137?452z\120=:<9?1>;;4$227>73d3g9;?7=4}r050?6=:rT9:9524179632<,::?6?;l;o137?252z\126=:<9?1>;=4$227>73d3g9;?7;4}r056?6=:rT9:?524179634<,::?6?;l;o137?052z\124=:<9?1>;?4$227>73d3g9;?794}r054?6=:rT9:=524179636<,::?6?;l;o137?>j7>52z\11c=:<9?1>8h4$227>73d3g9;?774}r06a?6=:rT99h52417960c<,::?6?;l;o137?gm7>52z\11d=:<9?1>8o4$227>73d3g9;?7l4}r:5>5<5sW2=70=9c;73?!57<32>7c=?3;28yv>32909wS6;;<15g?2b3-9;876:;o137?7o70:?5;6g?!57<32>7c=?3;08yv>52908wS6=;<15g?2e34>;97:m;%130?>23g9;?7=4}r:3>5<4sW2;70=9c;6:?827=3>27)=?4;:6?k57;3>0q~9i:180[1a349=o7:7;<631?2?3-9;876:;o137?3<70:?5;64?!57<32>7c=?3;48yv1c2908wS9k;<15g?2134>;97:9;%130?>23g9;?794}r5`>5<4sW=h70=9c;66?827=3>>7)=?4;:6?k57;320q~9m:180[1e349=o7:;;<631?233-9;876:;o137??870:?5;60?!57<32>7c=?3;c8yv1>2908wS96;<15g?2534>;97:=;%130?>23g9;?7l4}r5;>5<4sW=370=9c;62?827=3>:7)=?4;:6?k57;3i0q~98:180[10349=o7:?;<631?273-9;876:;o137?b7c=?3;g8yv>c2908wS6k;<15g?3034>;97;8;%130?>23g9;?7h4}r:`>5<5sW2h70:?5;75?!57<32>7c=?3;33?xu?j3:1>vP7b:?740<2=2.8<9475:l046<692wx4l4?:3y]::418 66321?0b>><:018yv>?2909wS67;<631?353-9;876:;o137?733ty3;7>52z\;3>;38<0>=6*<058;1>h48:0:96s|8083>7}Y08168=;54`9'752=0<1e?==5179~yx{zHIIp=8<7:e322fd4r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad index 325feac..8d21ffa 100644 --- a/cpld/XC95144XL/WarpSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-27-2023 9:56AM + 4- 1-2023 4:45AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index f4f1190..526dddd 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index 0bb80de..8c584e8 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-27-2023, 9:56AM +Design Name: WarpSE Date: 4- 1-2023, 4:45AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -119/144 ( 83%) 380 /720 ( 53%) 214/432 ( 50%) 94 /144 ( 65%) 71 /81 ( 88%) +114/144 ( 79%) 288 /720 ( 40%) 194/432 ( 45%) 88 /144 ( 61%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 22/54 24/90 11/11* -FB2 5/18 3/54 5/90 8/10 -FB3 9/18 34/54 82/90 10/10* -FB4 18/18* 25/54 39/90 10/10* -FB5 17/18 30/54 60/90 8/10 -FB6 18/18* 34/54 63/90 10/10* -FB7 18/18* 31/54 34/90 8/10 -FB8 16/18 35/54 73/90 6/10 +FB1 17/18 20/54 24/90 11/11* +FB2 0/18 0/54 0/90 8/10 +FB3 10/18 30/54 49/90 10/10* +FB4 18/18* 30/54 34/90 10/10* +FB5 18/18* 30/54 59/90 8/10 +FB6 18/18* 28/54 55/90 10/10* +FB7 15/18 30/54 27/90 8/10 +FB8 18/18* 26/54 40/90 6/10 ----- ----- ----- ----- - 119/144 214/432 380/720 71/81 + 114/144 194/432 288/720 71/81 * - Resource is exhausted @@ -51,7 +51,7 @@ GSR : 0 0 | ** Power Data ** -There are 119 macrocells in high performance mode (MCHP). +There are 114 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -85,178 +85,170 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused ** 36 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 9 26 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 1 2 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 10 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 5 6 FB7_8 54 I/O O STD FAST -RA<10> 1 1 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -C20MEN 0 0 FB7_14 59 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 2 6 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST -nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 16 26 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 1 2 FB4_5 89 I/O O STD FAST +nDinOE 4 7 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 9 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 1 1 FB7_8 54 I/O O STD FAST +RA<10> 1 1 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +C20MEN 0 0 FB7_14 59 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +nRAS 1 2 FB8_5 64 I/O O STD FAST SET +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 1 2 FB8_12 70 I/O O STD FAST +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 83 Buried Nodes ** +** 78 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RegUrgSync 1 1 FB1_1 STD RESET -ram/RefUrg 1 2 FB1_2 STD RESET -ram/RefReqSync 1 1 FB1_3 STD RESET -iobs/IOACTr 1 1 FB1_4 STD RESET -iobm/Er2 1 1 FB1_5 STD RESET -iobm/Er 1 1 FB1_6 STD RESET -iobm/DTACKrr 1 1 FB1_7 STD RESET -iobm/DTACKrf 1 1 FB1_8 STD RESET -iobm/BERRrr 1 1 FB1_9 STD RESET -iobm/BERRrf 1 1 FB1_10 STD RESET -fsb/ASrf 1 1 FB1_11 STD RESET -cnt/nIPL2r 1 1 FB1_12 STD RESET -cnt/Er<0> 1 1 FB1_13 STD RESET -iobs/IOU1 2 2 FB1_14 STD RESET -iobs/IOL1 2 2 FB1_15 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET -ALE0M 2 4 FB1_17 STD RESET -IOU0 3 5 FB1_18 STD RESET -iobm/VPArr 1 1 FB2_14 STD RESET -iobm/VPArf 1 1 FB2_15 STD RESET -iobm/RESrr 1 1 FB2_16 STD RESET -iobm/RESrf 1 1 FB2_17 STD RESET -iobm/IOREQr 1 1 FB2_18 STD RESET -IORW0 17 20 FB3_1 STD RESET -fsb/VPA 16 26 FB3_6 STD RESET -iobs/IORW1 16 19 FB3_11 STD RESET -fsb/Ready1r 6 17 FB3_13 STD RESET -cs/nOverlay 3 8 FB3_14 STD RESET -IOREQ 13 19 FB3_16 STD RESET -iobs/Clear1 1 3 FB3_18 STD RESET -cnt/LTimer<0> 1 3 FB4_1 STD RESET -cnt/INITS_FSM_FFd1 1 7 FB4_3 STD RESET -cnt/Er<1> 1 1 FB4_4 STD RESET -cnt/TimerTC 2 6 FB4_7 STD RESET -cnt/Timer<0> 2 4 FB4_9 STD RESET -cnt/LTimer<3> 2 6 FB4_10 STD RESET -cnt/LTimer<2> 2 5 FB4_12 STD RESET -cnt/LTimer<1> 2 4 FB4_13 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB4_14 STD RESET -RefReq 2 5 FB4_15 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RegUrgSync 1 1 FB1_2 STD RESET +ram/RefReqSync 1 1 FB1_3 STD RESET +iobs/IODTACKr 1 1 FB1_4 STD RESET +iobm/VPAr 1 1 FB1_5 STD RESET +iobm/RESrf 1 1 FB1_6 STD RESET +iobm/IOREQr 1 1 FB1_7 STD RESET +iobm/Er2 1 1 FB1_8 STD RESET +iobm/Er 1 1 FB1_9 STD RESET +iobm/DTACKrf 1 1 FB1_10 STD RESET +iobm/BERRrf 1 1 FB1_11 STD RESET +cnt/nIPL2r 1 1 FB1_12 STD RESET +cnt/Er<0> 1 1 FB1_13 STD RESET +ALE0S 1 1 FB1_14 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB1_15 STD RESET +cnt/TimerTC 2 6 FB1_16 STD RESET +RefReq 2 5 FB1_17 STD RESET +RefUrg 5 7 FB1_18 STD RESET +fsb/VPA 10 24 FB3_1 STD RESET +ram/BACTr 1 2 FB3_10 STD RESET +iobs/IOACTr 1 1 FB3_11 STD RESET +fsb/ASrf 1 1 FB3_12 STD RESET +cs/ODCSr 2 6 FB3_13 STD RESET +$OpTx$$OpTx$FX_DC$48_INV$124 2 7 FB3_14 STD +iobs/DTACKEN 6 12 FB3_15 STD RESET +fsb/Ready1r 9 13 FB3_16 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB4_1 STD RESET +cnt/LTimer<9> 2 12 FB4_3 STD RESET +cnt/LTimer<8> 2 11 FB4_4 STD RESET +cnt/LTimer<7> 2 10 FB4_7 STD RESET +cnt/LTimer<6> 2 9 FB4_9 STD RESET +cnt/LTimer<5> 2 8 FB4_10 STD RESET +cnt/LTimer<4> 2 7 FB4_12 STD RESET +cnt/LTimer<3> 2 6 FB4_13 STD RESET +cnt/LTimer<2> 2 5 FB4_14 STD RESET +cnt/LTimer<1> 2 4 FB4_15 STD RESET +cnt/LTimer<11> 2 14 FB4_16 STD RESET +cnt/LTimer<10> 2 13 FB4_17 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB4_18 STD RESET +iobs/Clear1 1 2 FB5_1 STD RESET +iobs/TS_FSM_FFd1 2 3 FB5_3 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/Timer<1> 4 5 FB4_16 STD RESET -cnt/Timer<2> 5 6 FB4_17 STD RESET -RefUrg 5 7 FB4_18 STD RESET -ram/RAMEN 9 12 FB5_3 STD RESET -ram/RAMReady 9 12 FB5_4 STD RESET -ram/RS_FSM_FFd2 7 11 FB5_7 STD RESET -fsb/Ready0r 2 7 FB5_8 STD RESET -ram/RefDone 2 4 FB5_10 STD RESET -ram/BACTr 1 2 FB5_13 STD RESET -ram/RefRAS 1 2 FB5_16 STD RESET -ram/RefReq 1 2 FB5_17 STD RESET -ram/RASEL 14 12 FB5_18 STD RESET -iobm/ETACK 1 6 FB6_1 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET -iobm/ES<3> 3 6 FB6_4 STD RESET -iobm/ES<1> 3 4 FB6_5 STD RESET -iobm/ES<0> 3 7 FB6_6 STD RESET -iobm/DoutOE 3 6 FB6_7 STD RESET -iobm/ES<4> 4 7 FB6_8 STD RESET -iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET -iobm/ES<2> 5 7 FB6_13 STD RESET -IOACT 6 12 FB6_16 STD RESET -IOBERR 9 13 FB6_18 STD RESET -cnt/LTimerTC 2 16 FB7_1 STD RESET -cnt/LTimer<9> 2 12 FB7_3 STD RESET -cnt/LTimer<8> 2 11 FB7_4 STD RESET -cnt/LTimer<7> 2 10 FB7_7 STD RESET -cnt/LTimer<6> 2 9 FB7_10 STD RESET -cnt/LTimer<5> 2 8 FB7_13 STD RESET -cnt/LTimer<4> 2 7 FB7_15 STD RESET -cnt/LTimer<12> 2 15 FB7_16 STD RESET -cnt/LTimer<11> 2 14 FB7_17 STD RESET -cnt/LTimer<10> 2 13 FB7_18 STD RESET -iobs/Load1 14 18 FB8_3 STD RESET -iobs/IOReady 4 8 FB8_4 STD RESET -iobs/PS_FSM_FFd2 12 19 FB8_7 STD RESET -ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET -IOL0 3 5 FB8_10 STD RESET -ram/RS_FSM_FFd1 3 9 FB8_11 STD RESET -iobs/PS_FSM_FFd1 2 3 FB8_13 STD RESET - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ALE0S 1 2 FB8_14 STD RESET -nRESout 1 2 FB8_16 STD RESET -iobs/Once 15 18 FB8_17 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +IOU0 3 5 FB5_4 STD RESET +IOL0 3 5 FB5_7 STD RESET +iobs/Load1 4 9 FB5_8 STD RESET +iobs/IORW1 4 10 FB5_10 STD RESET +iobs/TS_FSM_FFd2 5 12 FB5_13 STD RESET +IOREQ 5 12 FB5_16 STD RESET +iobs/Sent 9 12 FB5_17 STD RESET +IORW0 9 14 FB5_18 STD RESET +iobm/ETACK 1 6 FB6_1 STD RESET +ALE0M 2 4 FB6_3 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB6_4 STD RESET +iobm/ES<3> 3 6 FB6_5 STD RESET +iobm/ES<1> 3 4 FB6_6 STD RESET +iobm/ES<0> 3 7 FB6_7 STD RESET +iobm/DoutOE 3 6 FB6_8 STD RESET +iobm/IOS_FSM_FFd2 4 8 FB6_10 STD RESET +iobm/ES<4> 4 7 FB6_13 STD RESET +iobm/ES<2> 5 7 FB6_16 STD RESET +IOACT 6 9 FB6_18 STD RESET +cnt/LTimer<0> 1 3 FB7_7 STD RESET +cnt/Er<1> 1 1 FB7_10 STD RESET +cnt/Timer<0> 2 4 FB7_13 STD RESET +cnt/LTimerTC 2 16 FB7_15 STD RESET +cnt/LTimer<12> 2 15 FB7_16 STD RESET +cnt/Timer<1> 4 5 FB7_17 STD RESET +cnt/Timer<2> 5 6 FB7_18 STD RESET +ram/RefUrg 1 2 FB8_1 STD RESET +ram/RefReq 1 2 FB8_3 STD RESET +ram/RS_FSM_FFd1 1 2 FB8_4 STD RESET +nRESout 1 2 FB8_7 STD RESET +ram/RefDone 2 4 FB8_9 STD RESET +iobs/IOU1 2 2 FB8_10 STD RESET +iobs/IOL1 2 2 FB8_11 STD RESET +cs/nOverlay 2 5 FB8_13 STD RESET +ram/RS_FSM_FFd3 3 6 FB8_14 STD RESET +ram/RS_FSM_FFd2 5 8 FB8_16 STD RESET +ram/RASEL 6 8 FB8_17 STD RESET +ram/RAMEN 7 9 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -274,64 +266,62 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 22/32 -Number of signals used by logic mapping into function block: 22 +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RegUrgSync 1 0 0 4 FB1_1 (b) (b) -ram/RefUrg 1 0 0 4 FB1_2 11 I/O I +(unused) 0 0 0 5 FB1_1 (b) +ram/RegUrgSync 1 0 0 4 FB1_2 11 I/O I ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I -iobs/IOACTr 1 0 0 4 FB1_4 (b) (b) -iobm/Er2 1 0 0 4 FB1_5 13 I/O I -iobm/Er 1 0 0 4 FB1_6 14 I/O I -iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b) -iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I -iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I -iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) -fsb/ASrf 1 0 0 4 FB1_11 17 I/O I +iobs/IODTACKr 1 0 0 4 FB1_4 (b) (b) +iobm/VPAr 1 0 0 4 FB1_5 13 I/O I +iobm/RESrf 1 0 0 4 FB1_6 14 I/O I +iobm/IOREQr 1 0 0 4 FB1_7 (b) (b) +iobm/Er2 1 0 0 4 FB1_8 15 I/O I +iobm/Er 1 0 0 4 FB1_9 16 I/O I +iobm/DTACKrf 1 0 0 4 FB1_10 (b) (b) +iobm/BERRrf 1 0 0 4 FB1_11 17 I/O I cnt/nIPL2r 1 0 0 4 FB1_12 18 I/O I cnt/Er<0> 1 0 0 4 FB1_13 (b) (b) -iobs/IOU1 2 0 0 3 FB1_14 19 I/O I -iobs/IOL1 2 0 0 3 FB1_15 20 I/O I -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) -ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK -IOU0 3 0 0 2 FB1_18 (b) (b) +ALE0S 1 0 0 4 FB1_14 19 I/O I +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_15 20 I/O I +cnt/TimerTC 2 0 0 3 FB1_16 (b) (b) +RefReq 2 0 0 3 FB1_17 22 GCK/I/O GCK +RefUrg 5 0 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 9: iobm/IOS_FSM_FFd3 16: nBERR_IOB - 2: IOACT 10: iobs/IOU1 17: nDTACK_IOB - 3: RefReq 11: iobs/Load1 18: nIPL2 - 4: RefUrg 12: iobs/PS_FSM_FFd1 19: nLDS_FSB - 5: iobm/Er 13: iobs/PS_FSM_FFd2 20: nUDS_FSB - 6: iobm/IOREQr 14: nADoutLE1 21: ram/RefDone - 7: iobm/IOS_FSM_FFd1 15: nAS_FSB 22: ram/RegUrgSync - 8: iobm/IOS_FSM_FFd2 + 1: E 8: cnt/Timer<0> 15: iobm/IOS_FSM_FFd3 + 2: IOREQ 9: cnt/Timer<1> 16: iobs/TS_FSM_FFd2 + 3: nRES.PIN 10: cnt/Timer<2> 17: nBERR_IOB + 4: RefReq 11: cnt/TimerTC 18: nDTACK_IOB + 5: RefUrg 12: iobm/Er 19: nIPL2 + 6: cnt/Er<0> 13: iobm/IOS_FSM_FFd1 20: nVPA_IOB + 7: cnt/Er<1> 14: iobm/IOS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgSync ...X.................................... 1 -ram/RefUrg ....................XX.................. 2 -ram/RefReqSync ..X..................................... 1 -iobs/IOACTr .X...................................... 1 -iobm/Er2 ....X................................... 1 +ram/RegUrgSync ....X................................... 1 +ram/RefReqSync ...X.................................... 1 +iobs/IODTACKr .................X...................... 1 +iobm/VPAr ...................X.................... 1 +iobm/RESrf ..X..................................... 1 +iobm/IOREQr .X...................................... 1 +iobm/Er2 ...........X............................ 1 iobm/Er X....................................... 1 -iobm/DTACKrr ................X....................... 1 -iobm/DTACKrf ................X....................... 1 -iobm/BERRrr ...............X........................ 1 -iobm/BERRrf ...............X........................ 1 -fsb/ASrf ..............X......................... 1 -cnt/nIPL2r .................X...................... 1 +iobm/DTACKrf .................X...................... 1 +iobm/BERRrf ................X....................... 1 +cnt/nIPL2r ..................X..................... 1 cnt/Er<0> X....................................... 1 -iobs/IOU1 ..........X........X.................... 2 -iobs/IOL1 ..........X.......X..................... 2 -iobm/IOS_FSM_FFd1 ......XXX............................... 3 -ALE0M .....XXXX............................... 4 -IOU0 .........X.XXX.....X.................... 5 +ALE0S ...............X........................ 1 +iobm/IOS_FSM_FFd1 ............XXX......................... 3 +cnt/TimerTC ....XXXXXX.............................. 6 +RefReq ....XXX.XX.............................. 5 +RefUrg ....XXXXXXX............................. 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 3/51 -Number of signals used by logic mapping into function block: 3 +Number of function block inputs used/remaining: 0/54 +Number of signals used by logic mapping into function block: 0 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -347,130 +337,119 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_11 6 I/O I (unused) 0 0 0 5 FB2_12 7 I/O I (unused) 0 0 0 5 FB2_13 (b) -iobm/VPArr 1 0 0 4 FB2_14 8 I/O I -iobm/VPArf 1 0 0 4 FB2_15 9 I/O I -iobm/RESrr 1 0 0 4 FB2_16 (b) (b) -iobm/RESrf 1 0 0 4 FB2_17 10 I/O I -iobm/IOREQr 1 0 0 4 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: IOREQ 2: nRES.PIN 3: nVPA_IOB - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..X..................................... 1 -iobm/VPArf ..X..................................... 1 -iobm/RESrr .X...................................... 1 -iobm/RESrf .X...................................... 1 -iobm/IOREQr X....................................... 1 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +(unused) 0 0 0 5 FB2_14 8 I/O I +(unused) 0 0 0 5 FB2_15 9 I/O I +(unused) 0 0 0 5 FB2_16 (b) +(unused) 0 0 0 5 FB2_17 10 I/O I +(unused) 0 0 0 5 FB2_18 (b) *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IORW0 17 12<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 /\3 2 FB3_3 (b) (b) -(unused) 0 0 \/1 4 FB3_4 (b) (b) -(unused) 0 0 \/5 0 FB3_5 24 I/O I -fsb/VPA 16 11<- 0 0 FB3_6 25 I/O I -(unused) 0 0 /\5 0 FB3_7 (b) (b) +fsb/VPA 10 5<- 0 0 FB3_1 (b) (b) +(unused) 0 0 0 5 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 0 5 FB3_3 (b) +(unused) 0 0 0 5 FB3_4 (b) +(unused) 0 0 0 5 FB3_5 24 I/O I +(unused) 0 0 0 5 FB3_6 25 I/O I +(unused) 0 0 \/1 4 FB3_7 (b) (b) (unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 9 5<- \/1 0 FB3_9 28 I/O O -(unused) 0 0 \/5 0 FB3_10 (b) (b) -iobs/IORW1 16 11<- 0 0 FB3_11 29 I/O I -(unused) 0 0 /\5 0 FB3_12 30 I/O I -fsb/Ready1r 6 1<- 0 0 FB3_13 (b) (b) -cs/nOverlay 3 0 /\1 1 FB3_14 32 I/O I -(unused) 0 0 \/5 0 FB3_15 33 I/O I -IOREQ 13 8<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\3 1 FB3_17 34 I/O O -iobs/Clear1 1 0 \/4 0 FB3_18 (b) (b) +nDTACK_FSB 16 11<- 0 0 FB3_9 28 I/O O +ram/BACTr 1 1<- /\5 0 FB3_10 (b) (b) +iobs/IOACTr 1 0 /\1 3 FB3_11 29 I/O I +fsb/ASrf 1 0 0 4 FB3_12 30 I/O I +cs/ODCSr 2 0 0 3 FB3_13 (b) (b) +$OpTx$$OpTx$FX_DC$48_INV$124 + 2 0 \/1 2 FB3_14 32 I/O I +iobs/DTACKEN 6 1<- 0 0 FB3_15 33 I/O I +fsb/Ready1r 9 4<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IOACTr - 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 - 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady - 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once - 5: A_FSB<14> 17: IORW0 28: iobs/PS_FSM_FFd1 - 6: A_FSB<15> 18: nRES.PIN 29: iobs/PS_FSM_FFd2 - 7: A_FSB<16> 19: cs/nOverlay 30: nADoutLE1 - 8: A_FSB<17> 20: fsb/ASrf 31: nAS_FSB - 9: A_FSB<18> 21: fsb/Ready0r 32: nDTACK_FSB - 10: A_FSB<19> 22: fsb/Ready1r 33: nWE_FSB - 11: A_FSB<20> 23: fsb/VPA 34: ram/RAMReady - 12: A_FSB<21> + 1: $OpTx$$OpTx$FX_DC$48_INV$124 11: A_FSB<19> 21: fsb/Ready1r + 2: A_FSB<10> 12: A_FSB<20> 22: fsb/VPA + 3: A_FSB<11> 13: A_FSB<21> 23: iobs/DTACKEN + 4: A_FSB<12> 14: A_FSB<22> 24: iobs/IOACTr + 5: A_FSB<13> 15: A_FSB<23> 25: iobs/IODTACKr + 6: A_FSB<14> 16: A_FSB<8> 26: iobs/Sent + 7: A_FSB<15> 17: A_FSB<9> 27: nADoutLE1 + 8: A_FSB<16> 18: IOACT 28: nAS_FSB + 9: A_FSB<17> 19: cs/nOverlay 29: nDTACK_FSB + 10: A_FSB<18> 20: fsb/ASrf 30: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 ...XX.XXXXXXXX..X.XX....X.XXXXX.X....... 20 -fsb/VPA XXXXXXXXXXXXXXXX..XXXXX..X...XX.XX...... 26 -nDTACK_FSB XXXXXXXXXXXXXXXX..XXXX...X...XXXXX...... 26 -iobs/IORW1 ...XX.XXXXXXXX....XX....X.XXXXX.X....... 19 -fsb/Ready1r ...XX.XXXXXXXX....XX.X...X...XX.X....... 17 -cs/nOverlay ..........XXXX...XXX..........X......... 8 -IOREQ ...XX.XXXXXXXX....XX...X..XXXXX.X....... 19 -nROMWE ..............................X.X....... 2 -iobs/Clear1 ...........................XXX.......... 3 +fsb/VPA XXXXXXXXXXXXXXXXXX.XXXX.X..X............ 24 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXXX.X.X.XXXX.......... 26 +ram/BACTr ...................X.......X............ 2 +iobs/IOACTr .................X...................... 1 +fsb/ASrf ...........................X............ 1 +cs/ODCSr ...........XXXX....X.......X............ 6 +$OpTx$$OpTx$FX_DC$48_INV$124 + ...........XXXX...X.......X..X.......... 7 +iobs/DTACKEN ...........XXXX...XX..XX.XXX.X.......... 12 +fsb/Ready1r ...........XXXX..XXXX.X.X.XX.X.......... 13 +nROMWE ...........................X.X.......... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<0> 1 0 0 4 FB4_1 (b) (b) +cnt/INITS_FSM_FFd1 1 0 0 4 FB4_1 (b) (b) nAoutOE 2 0 0 3 FB4_2 87 I/O O -cnt/INITS_FSM_FFd1 1 0 0 4 FB4_3 (b) (b) -cnt/Er<1> 1 0 0 4 FB4_4 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB4_3 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB4_4 (b) (b) nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/TimerTC 2 0 0 3 FB4_7 (b) (b) +nDinOE 4 0 0 1 FB4_6 90 I/O O +cnt/LTimer<7> 2 0 0 3 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/Timer<0> 2 0 0 3 FB4_9 92 I/O I -cnt/LTimer<3> 2 0 0 3 FB4_10 (b) (b) +cnt/LTimer<6> 2 0 0 3 FB4_9 92 I/O I +cnt/LTimer<5> 2 0 0 3 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/LTimer<2> 2 0 0 3 FB4_12 94 I/O I -cnt/LTimer<1> 2 0 0 3 FB4_13 (b) (b) -cnt/INITS_FSM_FFd2 2 0 0 3 FB4_14 95 I/O I -RefReq 2 0 0 3 FB4_15 96 I/O I -cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I -RefUrg 5 0 0 0 FB4_18 (b) (b) +cnt/LTimer<4> 2 0 0 3 FB4_12 94 I/O I +cnt/LTimer<3> 2 0 0 3 FB4_13 (b) (b) +cnt/LTimer<2> 2 0 0 3 FB4_14 95 I/O I +cnt/LTimer<1> 2 0 0 3 FB4_15 96 I/O I +cnt/LTimer<11> 2 0 0 3 FB4_16 (b) (b) +cnt/LTimer<10> 2 0 0 3 FB4_17 97 I/O I +cnt/INITS_FSM_FFd2 2 0 0 3 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 10: cnt/LTimer<0> 18: cnt/nIPL2r - 2: A_FSB<21> 11: cnt/LTimer<1> 19: fsb/VPA - 3: A_FSB<22> 12: cnt/LTimer<2> 20: iobm/DoutOE - 4: A_FSB<23> 13: cnt/LTimerTC 21: nAS_FSB - 5: RefUrg 14: cnt/Timer<0> 22: nAoutOE - 6: cnt/Er<0> 15: cnt/Timer<1> 23: nBR_IOB - 7: cnt/Er<1> 16: cnt/Timer<2> 24: nRESout - 8: cnt/INITS_FSM_FFd1 17: cnt/TimerTC 25: nWE_FSB - 9: cnt/INITS_FSM_FFd2 + 1: A_FSB<20> 11: cnt/LTimer<1> 21: cnt/TimerTC + 2: A_FSB<21> 12: cnt/LTimer<2> 22: cnt/nIPL2r + 3: A_FSB<22> 13: cnt/LTimer<3> 23: cs/nOverlay + 4: A_FSB<23> 14: cnt/LTimer<4> 24: fsb/VPA + 5: cnt/Er<0> 15: cnt/LTimer<5> 25: iobm/DoutOE + 6: cnt/Er<1> 16: cnt/LTimer<6> 26: nAS_FSB + 7: cnt/INITS_FSM_FFd1 17: cnt/LTimer<7> 27: nAoutOE + 8: cnt/INITS_FSM_FFd2 18: cnt/LTimer<8> 28: nBR_IOB + 9: cnt/LTimer<0> 19: cnt/LTimer<9> 29: nRESout + 10: cnt/LTimer<10> 20: cnt/LTimerTC 30: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<0> .....XX.........X....................... 3 -nAoutOE .......XX............XX................. 4 -cnt/INITS_FSM_FFd1 .....XXXX...X...XX...................... 7 -cnt/Er<1> .....X.................................. 1 -nDoutOE ...................X.X.................. 2 -nDinOE XXXX................X...X............... 6 -cnt/TimerTC ....XXX......XXX........................ 6 -nRES .......................X................ 1 -cnt/Timer<0> .....XX......X..X....................... 4 -cnt/LTimer<3> .....XX..XXX....X....................... 6 -nVPA_FSB ..................X.X................... 2 -cnt/LTimer<2> .....XX..XX.....X....................... 5 -cnt/LTimer<1> .....XX..X......X....................... 4 -cnt/INITS_FSM_FFd2 .....XXXX...X...X....................... 6 -RefReq ....XXX.......XX........................ 5 -cnt/Timer<1> .....XX......XX.X....................... 5 -cnt/Timer<2> .....XX......XXXX....................... 6 -RefUrg ....XXX......XXXX....................... 7 +cnt/INITS_FSM_FFd1 ....XXXX...........XXX.................. 7 +nAoutOE ......XX..................XX............ 4 +cnt/LTimer<9> ....XX..X.XXXXXXXX..X................... 12 +cnt/LTimer<8> ....XX..X.XXXXXXX...X................... 11 +nDoutOE ........................X.X............. 2 +nDinOE XXXX..................X..X...X.......... 7 +cnt/LTimer<7> ....XX..X.XXXXXX....X................... 10 +nRES ............................X........... 1 +cnt/LTimer<6> ....XX..X.XXXXX.....X................... 9 +cnt/LTimer<5> ....XX..X.XXXX......X................... 8 +nVPA_FSB .......................X.X.............. 2 +cnt/LTimer<4> ....XX..X.XXX.......X................... 7 +cnt/LTimer<3> ....XX..X.XX........X................... 6 +cnt/LTimer<2> ....XX..X.X.........X................... 5 +cnt/LTimer<1> ....XX..X...........X................... 4 +cnt/LTimer<11> ....XX..XXXXXXXXXXX.X................... 14 +cnt/LTimer<10> ....XX..X.XXXXXXXXX.X................... 13 +cnt/INITS_FSM_FFd2 ....XXXX...........XX................... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** @@ -478,246 +457,244 @@ Number of function block inputs used/remaining: 30/24 Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 \/3 0 FB5_2 35 I/O O -ram/RAMEN 9 4<- 0 0 FB5_3 (b) (b) -ram/RAMReady 9 5<- /\1 0 FB5_4 (b) (b) -nCAS 1 1<- /\5 0 FB5_5 36 I/O O -nOE 1 0 /\1 3 FB5_6 37 I/O O -ram/RS_FSM_FFd2 7 2<- 0 0 FB5_7 (b) (b) -fsb/Ready0r 2 0 /\2 1 FB5_8 39 I/O (b) +iobs/Clear1 1 1<- /\5 0 FB5_1 (b) (b) +nROMCS 2 0 /\1 2 FB5_2 35 I/O O +iobs/TS_FSM_FFd1 2 0 0 3 FB5_3 (b) (b) +IOU0 3 0 0 2 FB5_4 (b) (b) +nCAS 1 0 0 4 FB5_5 36 I/O O +nOE 1 0 0 4 FB5_6 37 I/O O +IOL0 3 0 0 2 FB5_7 (b) (b) +iobs/Load1 4 0 0 1 FB5_8 39 I/O (b) RA<4> 2 0 0 3 FB5_9 40 I/O O -ram/RefDone 2 0 0 3 FB5_10 (b) (b) +iobs/IORW1 4 0 0 1 FB5_10 (b) (b) RA<3> 2 0 0 3 FB5_11 41 I/O O RA<5> 2 0 0 3 FB5_12 42 I/O O -ram/BACTr 1 0 0 4 FB5_13 (b) (b) +iobs/TS_FSM_FFd2 5 0 0 0 FB5_13 (b) (b) RA<2> 2 0 0 3 FB5_14 43 I/O O -RA<6> 2 0 0 3 FB5_15 46 I/O O -ram/RefRAS 1 0 0 4 FB5_16 (b) (b) -ram/RefReq 1 0 \/4 0 FB5_17 49 I/O (b) -ram/RASEL 14 9<- 0 0 FB5_18 (b) (b) +RA<6> 2 0 \/3 0 FB5_15 46 I/O O +IOREQ 5 3<- \/3 0 FB5_16 (b) (b) +iobs/Sent 9 4<- 0 0 FB5_17 49 I/O (b) +IORW0 9 5<- /\1 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 11: A_FSB<4> 21: ram/RAMEN - 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMReady - 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL - 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 - 5: A_FSB<16> 15: cs/nOverlay 25: ram/RS_FSM_FFd2 - 6: A_FSB<20> 16: fsb/ASrf 26: ram/RS_FSM_FFd3 - 7: A_FSB<21> 17: fsb/Ready0r 27: ram/RefDone - 8: A_FSB<22> 18: nAS_FSB 28: ram/RefReq - 9: A_FSB<23> 19: nWE_FSB 29: ram/RefReqSync - 10: A_FSB<3> 20: ram/BACTr 30: ram/RefUrg + 1: A_FSB<12> 11: A_FSB<4> 21: iobs/IOU1 + 2: A_FSB<13> 12: A_FSB<5> 22: iobs/Sent + 3: A_FSB<14> 13: A_FSB<6> 23: iobs/TS_FSM_FFd1 + 4: A_FSB<15> 14: A_FSB<7> 24: iobs/TS_FSM_FFd2 + 5: A_FSB<16> 15: IORW0 25: nADoutLE1 + 6: A_FSB<20> 16: cs/nOverlay 26: nAS_FSB + 7: A_FSB<21> 17: fsb/ASrf 27: nLDS_FSB + 8: A_FSB<22> 18: iobs/IOACTr 28: nUDS_FSB + 9: A_FSB<23> 19: iobs/IOL1 29: nWE_FSB + 10: A_FSB<3> 20: iobs/IORW1 30: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS .....XXXX.....X......................... 5 -ram/RAMEN .......XX.....XX.X.XX..XXX.X.X.......... 12 -ram/RAMReady .......XX.....XX.X.XX..XXX.X.X.......... 12 -nCAS ......................X................. 1 -nOE .................XX..................... 2 -ram/RS_FSM_FFd2 .......XX.....XX.X.X...XXX.X.X.......... 11 -fsb/Ready0r .......XX.....XXXX...X.................. 7 -RA<4> ..X........X..........X................. 3 -ram/RefDone .......................XX.X.X........... 4 -RA<3> .X........X...........X................. 3 -RA<5> ...X........X.........X................. 3 -ram/BACTr ...............X.X...................... 2 -RA<2> X........X............X................. 3 -RA<6> ....X........X........X................. 3 -ram/RefRAS .......................XX............... 2 -ram/RefReq ..........................X.X........... 2 -ram/RASEL .......XX.....XX.X.XX..XXX.X.X.......... 12 +iobs/Clear1 ......................XX................ 2 +nROMCS .....XXXX......X........................ 5 +iobs/TS_FSM_FFd1 .................X....XX................ 3 +IOU0 ....................X.XXX..X............ 5 +nCAS .............................X.......... 1 +nOE .........................X..X........... 2 +IOL0 ..................X...XXX.X............. 5 +iobs/Load1 .......XX.......X....XXXXX..X........... 9 +RA<4> ..X........X.................X.......... 3 +iobs/IORW1 .......XX.......X..X.XXXXX..X........... 10 +RA<3> .X........X..................X.......... 3 +RA<5> ...X........X................X.......... 3 +iobs/TS_FSM_FFd2 .....XXXX......XXX...XXXXX.............. 12 +RA<2> X........X...................X.......... 3 +RA<6> ....X........X...............X.......... 3 +IOREQ .....XXXX......XXX...XXXXX.............. 12 +iobs/Sent .....XXXX......XX....XXXXX..X........... 12 +IORW0 .....XXXX.....XXX..X.XXXXX..X........... 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I -iobm/DoutOE 3 0 0 2 FB6_7 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +ALE0M 2 0 0 3 FB6_3 (b) (b) +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_4 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I +iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) +iobm/DoutOE 3 0 0 2 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) +iobm/IOS_FSM_FFd2 4 0 0 1 FB6_10 (b) (b) nUDS_IOB 4 0 0 1 FB6_11 80 I/O O nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -IOACT 6 1<- 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/4 0 FB6_17 86 I/O O -IOBERR 9 4<- 0 0 FB6_18 (b) (b) +nADoutLE0 1 0 0 4 FB6_15 85 I/O O +iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/1 3 FB6_17 86 I/O O +IOACT 6 1<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 - 2: ALE0S 14: iobm/ES<1> 25: iobm/RESrf - 3: C8M 15: iobm/ES<2> 26: iobm/RESrr - 4: IOACT 16: iobm/ES<3> 27: iobm/VPArf - 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArr - 6: IOL0 18: iobm/ETACK 29: iobs/Clear1 - 7: IORW0 19: iobm/Er 30: iobs/Load1 - 8: IOU0 20: iobm/Er2 31: nADoutLE1 - 9: iobm/BERRrf 21: iobm/IOREQr 32: nAoutOE - 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB - 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB - 12: iobm/DTACKrr + 1: ALE0M 11: iobm/ES<1> 20: iobm/IOS_FSM_FFd2 + 2: ALE0S 12: iobm/ES<2> 21: iobm/IOS_FSM_FFd3 + 3: C8M 13: iobm/ES<3> 22: iobm/RESrf + 4: IOACT 14: iobm/ES<4> 23: iobm/VPAr + 5: IOL0 15: iobm/ETACK 24: iobs/Clear1 + 6: IORW0 16: iobm/Er 25: iobs/Load1 + 7: IOU0 17: iobm/Er2 26: nADoutLE1 + 8: iobm/BERRrf 18: iobm/IOREQr 27: nAoutOE + 9: iobm/DTACKrf 19: iobm/IOS_FSM_FFd1 28: nVMA_IOB + 10: iobm/ES<0> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ............XXXXX................X...... 6 -nVMA_IOB ...X........XXXXX.........XX...X.X...... 10 -iobm/IOS_FSM_FFd3 ..X.................XXXX.......X........ 6 -iobm/ES<3> ............XXXX..XX.................... 6 -iobm/ES<1> ............XX....XX.................... 4 -iobm/ES<0> ............XXXXX.XX.................... 7 -iobm/DoutOE ......X.............XXXX.......X........ 6 -iobm/ES<4> ............XXXXX.XX.................... 7 -nLDS_IOB .....XX..............XXX.......X........ 6 -iobm/IOS_FSM_FFd2 ..X.....XXXX.....X...XXXXX.............. 11 -nUDS_IOB ......XX.............XXX.......X........ 6 -nAS_IOB .....................XXX.......X........ 4 -iobm/ES<2> ............XXXXX.XX.................... 7 -nADoutLE1 ............................XXX......... 3 +iobm/ETACK .........XXXXX.............X............ 6 +nVMA_IOB ...X.....XXXXX........X...XX............ 9 +ALE0M .................XXXX................... 4 +iobm/IOS_FSM_FFd3 ..X..............XXXX.....X............. 6 +iobm/ES<3> .........XXXX..XX....................... 6 +iobm/ES<1> .........XX....XX....................... 4 +iobm/ES<0> .........XXXXX.XX....................... 7 +iobm/DoutOE .....X...........XXXX.....X............. 6 +nLDS_IOB ....XX............XXX.....X............. 6 +iobm/IOS_FSM_FFd2 ..X....XX.....X...XXXX.................. 8 +nUDS_IOB .....XX...........XXX.....X............. 6 +nAS_IOB ..................XXX.....X............. 4 +iobm/ES<4> .........XXXXX.XX....................... 7 +nADoutLE1 .......................XXX.............. 3 nADoutLE0 XX...................................... 2 -IOACT ..X.....XXXX.....X..XXXXXX.............. 12 -nDinLE .....................XX................. 2 -IOBERR ..X.X...XXXX.....X...XXXXX......X....... 13 +iobm/ES<2> .........XXXXX.XX....................... 7 +nDinLE ..................XX.................... 2 +IOACT ..X....XX.....X..XXXXX.................. 9 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 31/23 -Number of signals used by logic mapping into function block: 31 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimerTC 2 0 0 3 FB7_1 (b) (b) +(unused) 0 0 0 5 FB7_1 (b) RA<1> 2 0 0 3 FB7_2 50 I/O O -cnt/LTimer<9> 2 0 0 3 FB7_3 (b) (b) -cnt/LTimer<8> 2 0 0 3 FB7_4 (b) (b) +(unused) 0 0 0 5 FB7_3 (b) +(unused) 0 0 0 5 FB7_4 (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<7> 2 0 0 3 FB7_7 (b) (b) -RA<8> 5 0 0 0 FB7_8 54 I/O O +cnt/LTimer<0> 1 0 0 4 FB7_7 (b) (b) +RA<8> 1 0 0 4 FB7_8 54 I/O O RA<10> 1 0 0 4 FB7_9 55 I/O O -cnt/LTimer<6> 2 0 0 3 FB7_10 (b) (b) +cnt/Er<1> 1 0 0 4 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<5> 2 0 0 3 FB7_13 (b) (b) +cnt/Timer<0> 2 0 0 3 FB7_13 (b) (b) C20MEN 0 0 0 5 FB7_14 59 I/O O -cnt/LTimer<4> 2 0 0 3 FB7_15 60 I/O (b) +cnt/LTimerTC 2 0 0 3 FB7_15 60 I/O (b) cnt/LTimer<12> 2 0 0 3 FB7_16 (b) (b) -cnt/LTimer<11> 2 0 0 3 FB7_17 61 I/O (b) -cnt/LTimer<10> 2 0 0 3 FB7_18 (b) (b) +cnt/Timer<1> 4 0 0 1 FB7_17 61 I/O (b) +cnt/Timer<2> 5 0 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 12: A_FSB<8> 22: cnt/LTimer<3> - 2: A_FSB<11> 13: A_FSB<9> 23: cnt/LTimer<4> - 3: A_FSB<17> 14: cnt/Er<0> 24: cnt/LTimer<5> - 4: A_FSB<18> 15: cnt/Er<1> 25: cnt/LTimer<6> - 5: A_FSB<19> 16: cnt/LTimer<0> 26: cnt/LTimer<7> - 6: A_FSB<1> 17: cnt/LTimer<10> 27: cnt/LTimer<8> - 7: A_FSB<20> 18: cnt/LTimer<11> 28: cnt/LTimer<9> - 8: A_FSB<21> 19: cnt/LTimer<12> 29: cnt/TimerTC - 9: A_FSB<22> 20: cnt/LTimer<1> 30: cs/nOverlay - 10: A_FSB<23> 21: cnt/LTimer<2> 31: ram/RASEL - 11: A_FSB<2> + 1: A_FSB<10> 11: cnt/Er<0> 21: cnt/LTimer<5> + 2: A_FSB<11> 12: cnt/Er<1> 22: cnt/LTimer<6> + 3: A_FSB<17> 13: cnt/LTimer<0> 23: cnt/LTimer<7> + 4: A_FSB<18> 14: cnt/LTimer<10> 24: cnt/LTimer<8> + 5: A_FSB<19> 15: cnt/LTimer<11> 25: cnt/LTimer<9> + 6: A_FSB<1> 16: cnt/LTimer<12> 26: cnt/Timer<0> + 7: A_FSB<20> 17: cnt/LTimer<1> 27: cnt/Timer<1> + 8: A_FSB<21> 18: cnt/LTimer<2> 28: cnt/Timer<2> + 9: A_FSB<2> 19: cnt/LTimer<3> 29: cnt/TimerTC + 10: A_FSB<8> 20: cnt/LTimer<4> 30: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 -RA<1> .X........X...................X......... 3 -cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 -cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 -RA<7> ..X........X..................X......... 3 -RA<0> X....X........................X......... 3 -cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 -RA<8> ...X....XX..X................XX......... 6 +RA<1> .X......X....................X.......... 3 +RA<7> ..X......X...................X.......... 3 +RA<0> X....X.......................X.......... 3 +cnt/LTimer<0> ..........XX................X........... 3 +RA<8> ...X.................................... 1 RA<10> .......X................................ 1 -cnt/LTimer<6> .............XXX...XXXXX....X........... 9 -RA<9> ....X.X.......................X......... 3 +cnt/Er<1> ..........X............................. 1 +RA<9> ....X.X......................X.......... 3 C25MEN ........................................ 0 -cnt/LTimer<5> .............XXX...XXXX.....X........... 8 +cnt/Timer<0> ..........XX.............X..X........... 4 C20MEN ........................................ 0 -cnt/LTimer<4> .............XXX...XXX......X........... 7 -cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 -cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 -cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 +cnt/LTimerTC ..........XXXXXXXXXXXXXXX...X........... 16 +cnt/LTimer<12> ..........XXXXX.XXXXXXXXX...X........... 15 +cnt/Timer<1> ..........XX.............XX.X........... 5 +cnt/Timer<2> ..........XX.............XXXX........... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 26/28 +Number of signals used by logic mapping into function block: 26 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/1 4 FB8_1 (b) (b) -RA<11> 1 1<- \/5 0 FB8_2 63 I/O O -iobs/Load1 14 9<- 0 0 FB8_3 (b) (b) -iobs/IOReady 4 3<- /\4 0 FB8_4 (b) (b) -nRAS 2 0 /\3 0 FB8_5 64 I/O O -nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O -iobs/PS_FSM_FFd2 12 7<- 0 0 FB8_7 (b) (b) -nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O -ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b) -IOL0 3 0 /\2 0 FB8_10 (b) (b) -ram/RS_FSM_FFd1 3 0 0 2 FB8_11 68 I/O (b) -nBERR_FSB 4 0 0 1 FB8_12 70 I/O O -iobs/PS_FSM_FFd1 2 0 0 3 FB8_13 (b) (b) -ALE0S 1 0 0 4 FB8_14 71 I/O (b) +ram/RefUrg 1 0 /\2 2 FB8_1 (b) (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +ram/RefReq 1 0 0 4 FB8_3 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB8_4 (b) (b) +nRAS 1 0 0 4 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +nRESout 1 0 0 4 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +ram/RefDone 2 0 0 3 FB8_9 67 I/O (b) +iobs/IOU1 2 0 0 3 FB8_10 (b) (b) +iobs/IOL1 2 0 0 3 FB8_11 68 I/O (b) +nBERR_FSB 1 0 0 4 FB8_12 70 I/O O +cs/nOverlay 2 0 0 3 FB8_13 (b) (b) +ram/RS_FSM_FFd3 3 0 0 2 FB8_14 71 I/O (b) nBR_IOB 2 0 \/1 2 FB8_15 72 I/O O -nRESout 1 1<- \/5 0 FB8_16 (b) (b) -iobs/Once 15 10<- 0 0 FB8_17 73 I/O (b) -(unused) 0 0 /\5 0 FB8_18 (b) (b) +ram/RS_FSM_FFd2 5 1<- \/1 0 FB8_16 (b) (b) +ram/RASEL 6 1<- 0 0 FB8_17 73 I/O (b) +ram/RAMEN 7 2<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 13: cnt/INITS_FSM_FFd2 25: nBERR_FSB - 2: A_FSB<14> 14: cnt/nIPL2r 26: nBR_IOB - 3: A_FSB<16> 15: cs/nOverlay 27: nLDS_FSB - 4: A_FSB<17> 16: fsb/ASrf 28: nUDS_FSB - 5: A_FSB<18> 17: iobs/IOACTr 29: nWE_FSB - 6: A_FSB<19> 18: iobs/IOL1 30: ram/RAMEN - 7: A_FSB<20> 19: iobs/IOReady 31: ram/RS_FSM_FFd1 - 8: A_FSB<21> 20: iobs/Once 32: ram/RS_FSM_FFd2 - 9: A_FSB<22> 21: iobs/PS_FSM_FFd1 33: ram/RS_FSM_FFd3 - 10: A_FSB<23> 22: iobs/PS_FSM_FFd2 34: ram/RefRAS - 11: IOBERR 23: nADoutLE1 35: ram/RefUrg - 12: cnt/INITS_FSM_FFd1 24: nAS_FSB + 1: A_FSB<19> 10: iobs/Load1 19: ram/RS_FSM_FFd1 + 2: nRES.PIN 11: nAS_FSB 20: ram/RS_FSM_FFd2 + 3: cnt/INITS_FSM_FFd1 12: nBERR_IOB 21: ram/RS_FSM_FFd3 + 4: cnt/INITS_FSM_FFd2 13: nBR_IOB 22: ram/RefDone + 5: cnt/nIPL2r 14: nLDS_FSB 23: ram/RefReq + 6: cs/ODCSr 15: nUDS_FSB 24: ram/RefReqSync + 7: cs/nOverlay 16: nWE_FSB 25: ram/RefUrg + 8: fsb/ASrf 17: ram/BACTr 26: ram/RegUrgSync + 9: iobs/DTACKEN 18: ram/RAMEN Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> .....X.................................. 1 -iobs/Load1 XXXXXXXXXX....XX...XXXXX....X........... 18 -iobs/IOReady ..........X....XX.XX.XXX................ 8 -nRAS ........XX....X........X.....X...X...... 6 -nRAMLWE .......................X..X.XX.......... 4 -iobs/PS_FSM_FFd2 XXXXXXXXXX....XXX..XXXXX....X........... 19 -nRAMUWE .......................X...XXX.......... 4 -ram/RS_FSM_FFd3 ........XX....XX.......X.....XXXX.X..... 10 -IOL0 .................X..XXX...X............. 5 -ram/RS_FSM_FFd1 ........XX....XX.......X.....XXXX....... 9 -nBERR_FSB ..........X....XX..X.XXXX............... 8 -iobs/PS_FSM_FFd1 ................X...XX.................. 3 -ALE0S ....................XX.................. 2 -nBR_IOB ...........XXX...........X.............. 4 -nRESout ...........XX........................... 2 -iobs/Once XXXXXXXXXX....XX...XXXXX....X........... 18 +ram/RefUrg .....................X...X.............. 2 +RA<11> X....................................... 1 +ram/RefReq .....................X.X................ 2 +ram/RS_FSM_FFd1 ...................XX................... 2 +nRAS ..................XX.................... 2 +nRAMLWE ..........X..X.X.X...................... 4 +nRESout ..XX.................................... 2 +nRAMUWE ..........X...XX.X...................... 4 +ram/RefDone ..................XX.X.X................ 4 +iobs/IOU1 .........X....X......................... 2 +iobs/IOL1 .........X...X.......................... 2 +nBERR_FSB ........X..X............................ 2 +cs/nOverlay .X...XXX..X............................. 5 +ram/RS_FSM_FFd3 .......X..X.......XXX...X............... 6 +nBR_IOB ..XXX.......X........................... 4 +ram/RS_FSM_FFd2 .......X..X.....X.XXX.X.X............... 8 +ram/RASEL .......X..X.....X.XXX.X.X............... 8 +ram/RAMEN .......X..X.....XXXXX.X.X............... 9 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** + +$OpTx$$OpTx$FX_DC$48_INV$124 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay)); + FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); -FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,FCLK,'0','0'); -ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); C20MEN <= '1'; @@ -728,132 +705,49 @@ C25MEN <= '1'; - - - - - - - - - - - - - - - - - - FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); -IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/RESrf AND iobm/RESrr) - OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND - NOT iobm/IOREQr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/ETACK) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/BERRrf AND iobm/BERRrr)); - -FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,C16M,'0','0'); -IOBERR_T <= ((C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND - iobm/RESrr) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND - iobm/BERRrr) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND - iobm/RESrr) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2 AND IOBERR) - OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) - OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND - iobm/BERRrr)); +IOACT_D <= ((iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND + NOT iobm/ETACK AND NOT iobm/RESrf) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr) + OR (NOT C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND + NOT iobm/DTACKrf)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +IOL0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); -IOREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf)); +IOREQ_D <= ((iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); -FDCPE_IORW0: FDCPE port map (IORW0,IORW0_D,FCLK,'0','0'); -IORW0_D <= ((EXP11_.EXP) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT IORW0 AND - nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (NOT IORW0 AND iobs/PS_FSM_FFd2) - OR (NOT IORW0 AND iobs/PS_FSM_FFd1) - OR (iobs/Once AND NOT IORW0 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) - OR (NOT IORW0 AND nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,FCLK,'0','0'); +IORW0_T <= ((iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1) + OR (nWE_FSB AND IORW0 AND nADoutLE1) + OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1) + OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) + OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND nADoutLE1) + OR (iobs/Sent AND nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +IOU0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) @@ -888,12 +782,7 @@ RA(7) <= ((A_FSB(8) AND ram/RASEL) OR (A_FSB(17) AND NOT ram/RASEL)); -RA(8) <= ((A_FSB(23) AND A_FSB(18)) - OR (A_FSB(22) AND A_FSB(18)) - OR (A_FSB(18) AND NOT cs/nOverlay) - OR (A_FSB(18) AND NOT ram/RASEL) - OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RASEL)); +RA(8) <= A_FSB(18); RA(9) <= ((A_FSB(20) AND ram/RASEL) @@ -1030,87 +919,75 @@ cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,NOT nRES.PIN,'0'); -cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND NOT nAS_FSB) +FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); +cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT nAS_FSB) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND fsb/ASrf)); + fsb/ASrf)); + +FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); +cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) + OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND + NOT fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND NOT ram/RAMReady)); - -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); -fsb/Ready1r_D <= ((A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1)); +FTCPE_fsb/Ready1r: FTCPE port map (fsb/Ready1r,fsb/Ready1r_T,FCLK,'0','0'); +fsb/Ready1r_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND + NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT fsb/Ready1r AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT fsb/Ready1r AND fsb/ASrf) + OR (fsb/Ready1r AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND NOT IOACT) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND + iobs/IODTACKr) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT IOACT AND fsb/ASrf) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/ASrf AND + iobs/IODTACKr)); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); -fsb/VPA_D <= ((EXP12_.EXP) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND - NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND - NOT nADoutLE1) +fsb/VPA_D <= ((A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND fsb/ASrf) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB) + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND NOT IOACT) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND NOT nAS_FSB) + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND + iobs/IODTACKr) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT nAS_FSB) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT nAS_FSB)); + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT AND fsb/ASrf) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND fsb/ASrf AND + iobs/IODTACKr) + OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND + NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND fsb/ASrf AND + NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND IOACT AND + NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (NOT fsb/Ready1r AND fsb/VPA AND IOACT AND fsb/ASrf AND + NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB)); -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C16M,'0','0'); +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C8M,'0','0'); -FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,C16M,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C16M,'0','0'); - -FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,C16M,'0','0'); +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C8M,'0','0'); FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) @@ -1165,17 +1042,12 @@ FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); -FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,'0','0'); -iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/ETACK) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((NOT C8M AND iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND + NOT iobm/ETACK AND NOT iobm/RESrf)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) @@ -1184,136 +1056,74 @@ iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND iobm/IOREQr AND NOT nAoutOE)); -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C16M,'0','0'); +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C8M,'0','0'); -FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES.PIN,C16M,'0','0'); - -FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT C16M,'0','0'); - -FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,C16M,'0','0'); +FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C16M,'0','0'); FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); -iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); +iobs/Clear1_D <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); + +FDCPE_iobs/DTACKEN: FDCPE port map (iobs/DTACKEN,iobs/DTACKEN_D,FCLK,'0','0'); +iobs/DTACKEN_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/DTACKEN) + OR (NOT iobs/Sent AND NOT iobs/DTACKEN) + OR (NOT iobs/DTACKEN AND NOT iobs/IOACTr) + OR (NOT iobs/DTACKEN AND NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT iobs/DTACKEN)); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); +FDCPE_iobs/IODTACKr: FDCPE port map (iobs/IODTACKr,NOT nDTACK_IOB,FCLK,'0','0'); + FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (nDTACK_FSB_OBUF.EXP) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (nWE_FSB AND iobs/IORW1) - OR (NOT nWE_FSB AND NOT iobs/IORW1) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); - -FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); -iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1) - OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)); +iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -iobs/Load1_D <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) +iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/Sent: FDCPE port map (iobs/Sent,iobs/Sent_D,FCLK,'0','0'); +iobs/Sent_D <= ((A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) + OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) + OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT iobs/Sent AND cs/nOverlay) + OR (NOT iobs/Sent AND NOT nADoutLE1) OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay)); + OR (A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) + OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd2)); -FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,FCLK,'0','0'); -iobs/Once_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); +iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); -FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,'0','0'); -iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); - -FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,'0','0'); -iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); +iobs/TS_FSM_FFd2_D <= ((NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1334,14 +1144,8 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND NOT nAoutOE)); -FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); -nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + +nBERR_FSB <= NOT ((iobs/DTACKEN AND NOT nBERR_IOB)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND @@ -1352,11 +1156,22 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +nDTACK_FSB_D <= ((EXP10_.EXP) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) + OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) + OR (NOT A_FSB(22) AND nWE_FSB AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) + OR (NOT A_FSB(22) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND + nDTACK_FSB AND NOT nADoutLE1) + OR (NOT A_FSB(22) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND + NOT iobs/IODTACKr AND NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(23) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND + NOT iobs/IODTACKr) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND @@ -1364,25 +1179,26 @@ nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND iobs/IOReady) + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1)); + nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND + nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) + OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND + nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) + OR (NOT A_FSB(22) AND nWE_FSB AND NOT fsb/Ready1r AND nDTACK_FSB AND + IOACT AND NOT iobs/IODTACKr)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); + OR (A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(20) AND nWE_FSB AND NOT nAS_FSB) + OR (NOT A_FSB(22) AND nWE_FSB AND cs/nOverlay AND NOT nAS_FSB))); nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); @@ -1399,15 +1215,13 @@ nLDS_IOB_OE <= NOT nAoutOE; nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); - -nRAS <= NOT (((ram/RefRAS) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RAMEN))); +FDCPE_nRAS: FDCPE port map (nRAS,nRAS_D,FCLK,'0','0'); +nRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); nRES_I <= '0'; @@ -1436,7 +1250,7 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND NOT iobm/ES(3) AND NOT iobm/ES(4)) OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPAr)); nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; nVMA_IOB_OE <= NOT nAoutOE; @@ -1447,102 +1261,46 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +ram/RAMEN_D <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/BACTr AND + ram/RAMEN) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND - ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND - NOT ram/RefReq) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); - -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - NOT ram/RAMEN)); + NOT ram/RefReq)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +ram/RASEL_D <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND + ram/RefReq AND fsb/ASrf) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); + NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND ram/RefUrg) + OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + ram/RefUrg AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND ram/RefReq)); FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); -ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND - fsb/ASrf)); +ram/RS_FSM_FFd1_T <= (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); -ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +ram/RS_FSM_FFd2_T <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RefUrg AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + NOT ram/RefUrg AND NOT fsb/ASrf)); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +ram/RS_FSM_FFd3_T <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RefUrg) @@ -1554,9 +1312,6 @@ ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND ram/RefReqSync)); -FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); -ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index 0641438..67526f2 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -145,8 +145,9 @@ Performing bidirectional port resolution... Synthesizing Unit . Related source file is "../CS.v". Found 1-bit register for signal . + Found 1-bit register for signal . Summary: - inferred 1 D-type flip-flop(s). + inferred 2 D-type flip-flop(s). Unit synthesized. @@ -182,12 +183,12 @@ Unit synthesized. Synthesizing Unit . Related source file is "../IOBS.v". - Found finite state machine for signal . + Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 10 | | Inputs | 5 | - | Outputs | 5 | + | Outputs | 6 | | Clock | CLK (rising_edge) | | Power Up State | 00 | | Encoding | automatic | @@ -199,18 +200,18 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 9 D-type flip-flop(s). + inferred 10 D-type flip-flop(s). Unit synthesized. @@ -227,7 +228,6 @@ Synthesizing Unit . | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -236,23 +236,19 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit up counter for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). inferred 1 Counter(s). - inferred 20 D-type flip-flop(s). + inferred 15 D-type flip-flop(s). Unit synthesized. @@ -322,8 +318,8 @@ Macro Statistics 13-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 61 - 1-bit register : 60 +# Registers : 57 + 1-bit register : 56 2-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -359,7 +355,7 @@ Optimizing FSM on signal with gray encoding. 111 | 100 ------------------- Analyzing FSM for best encoding. -Optimizing FSM on signal with johnson encoding. +Optimizing FSM on signal with johnson encoding. ------------------- State | Encoding ------------------- @@ -382,6 +378,8 @@ Optimizing FSM on signal with user encoding. 111 | 111 110 | 110 ------------------- +WARNING:Xst:1898 - Due to constant pushing, FF/Latch <0> is unconnected in block . +WARNING:Xst:1898 - Due to constant pushing, FF/Latch <0> is unconnected in block <0>. ========================================================================= Advanced HDL Synthesis Report @@ -392,8 +390,8 @@ Macro Statistics 13-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 48 - Flip-Flops : 48 +# Registers : 45 + Flip-Flops : 45 ========================================================================= @@ -417,9 +415,11 @@ Optimizing unit ... Optimizing unit ... implementation constraint: INIT=r : IOACTr - implementation constraint: INIT=r : PS_FSM_FFd2 - implementation constraint: INIT=r : Once - implementation constraint: INIT=r : PS_FSM_FFd1 + implementation constraint: INIT=r : IODTACKr + implementation constraint: INIT=r : TS_FSM_FFd2 + implementation constraint: INIT=r : DTACKEN + implementation constraint: INIT=r : Sent + implementation constraint: INIT=r : TS_FSM_FFd1 Optimizing unit ... implementation constraint: INIT=r : ASrf @@ -439,6 +439,8 @@ Optimizing unit ... implementation constraint: INIT=r : INITS_FSM_FFd2 implementation constraint: INIT=r : Timer_2 implementation constraint: INIT=r : INITS_FSM_FFd1 +WARNING:Xst:1898 - Due to constant pushing, FF/Latch is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . ========================================================================= * Partition Report * @@ -470,23 +472,22 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 590 -# AND2 : 184 -# AND3 : 25 +# BELS : 503 +# AND2 : 151 +# AND3 : 20 # AND4 : 7 # AND5 : 2 -# AND6 : 3 +# AND6 : 1 # AND8 : 3 -# GND : 6 -# INV : 234 -# OR2 : 97 -# OR3 : 7 -# OR4 : 1 -# VCC : 2 +# GND : 7 +# INV : 204 +# OR2 : 83 +# OR3 : 5 +# VCC : 1 # XOR2 : 19 -# FlipFlops/Latches : 94 -# FD : 57 -# FDCE : 37 +# FlipFlops/Latches : 88 +# FD : 53 +# FDCE : 35 # IO Buffers : 71 # IBUF : 35 # IOBUFE : 1 @@ -496,13 +497,13 @@ Cell Usage : Total REAL time to Xst completion: 5.00 secs -Total CPU time to Xst completion: 5.10 secs +Total CPU time to Xst completion: 4.98 secs --> -Total memory usage is 263684 kilobytes +Total memory usage is 263748 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 4 ( 0 filtered) +Number of warnings : 8 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 56b2ee5..c6ce794 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,3447 +8,3041 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9653 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 8491 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9654 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 8492 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9655 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 8493 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9656 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 8494 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9657 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 8495 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9658 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 8496 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9659 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 8497 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9660 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 8498 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9661 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 8499 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9662 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 8500 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9663 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 8501 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9664 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 8502 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9665 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 8503 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9666 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 8504 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9667 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 8505 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9668 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 8506 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 9669 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 8507 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 8311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 8402 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 9466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 8310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 8311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 9468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 8312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE -SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 10 | 3 +SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 8311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 8402 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 9689 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 8527 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 9690 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 8528 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr +SPPTERM | 8 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 9692 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 8530 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 9688 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 8526 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 9693 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 8531 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 9692 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 8530 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 9691 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 8529 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout | 9469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout | 8313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 9470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 8314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 9695 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 8533 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 9696 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 8534 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 9698 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 8536 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 9694 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 8532 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 9699 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 8537 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 9698 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 8536 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 9697 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 8535 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 8346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout | 9471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout | 8315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 9472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 8316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 8346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 9701 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 8539 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 9702 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 8540 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 9704 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 8542 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 9700 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 8538 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 9705 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 8543 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 9704 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 8542 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 9703 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 8541 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 8347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout | 9473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout | 8317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 9474 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 8318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 8347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 9707 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 8545 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 9708 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 8546 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 9710 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 8548 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 9706 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 8544 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 9711 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 8549 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 9710 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 8548 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 9709 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 8547 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 9670 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 8508 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9675 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 8513 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9672 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 8509 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | IOREQ.EXP | 9038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout.EXP | 10261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | IORW0.EXP | 9039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.EXP | IORW0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 11 | 2 +SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | IOREQ.EXP | 9038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout.EXP | 10261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | IORW0.EXP | 9039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.EXP | IORW0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9713 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 8551 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9714 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRESout.EXP -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | iobs/Sent.D2 | 8552 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ.EXP +SPPTERM | 1 | IV_TRUE | IORW0.EXP +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | ALE1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobs/Sent | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9712 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +NODE | iobs/Sent.D | 8550 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9715 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 8553 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 9717 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 8555 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 9718 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 8556 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 9719 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 8557 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 9716 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 8554 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 9719 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 8557 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 9720 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 8558 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 9722 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 8560 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 9723 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<0>.D2 | 8561 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9724 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 8562 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 9721 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 8559 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9724 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 8562 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 9725 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 8563 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 9727 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 8565 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 9728 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 8566 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9729 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 8567 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 9726 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 8564 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9729 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 8567 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 9730 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 8568 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 9732 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 8570 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 9733 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 8571 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9734 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 8572 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 9731 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 8569 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9734 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 8572 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 9735 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 8573 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 9737 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 8575 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 9738 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 8576 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9739 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 8577 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 9736 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 8574 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9739 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 8577 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 9740 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 8578 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 9742 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 8580 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 9743 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 8581 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9744 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 8582 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 9741 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 8579 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9744 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 8582 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 9745 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 8583 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 9747 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 8585 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 9748 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 8586 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9749 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 8587 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 9746 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 8584 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9749 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 8587 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 9750 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 8588 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 9752 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 8590 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 9753 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 8591 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9754 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 8592 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 9751 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 8589 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9754 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 8592 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 9755 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 8593 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 9757 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 8595 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 9758 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 8596 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9759 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 8597 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 9756 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<2>.D | 8594 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9759 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 8597 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 9760 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 8598 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 9762 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 8600 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 9763 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 8601 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9764 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 8602 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 9761 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<3>.D | 8599 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9764 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 8602 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 9765 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 8603 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 9767 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 8605 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 9768 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 8606 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9769 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 8607 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 9766 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<4>.D | 8604 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9769 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 8607 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 9770 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 8608 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 9772 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 8610 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 9773 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 8611 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9774 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 8612 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 9771 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<5>.D | 8609 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9774 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 8612 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 9775 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 8613 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 9777 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 8615 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 9778 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 8616 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9779 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 8617 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 9776 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<6>.D | 8614 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9779 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 8617 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 9780 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 8618 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 9782 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 8620 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 9783 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 8621 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9784 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 8622 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 9781 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<7>.D | 8619 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9784 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 8622 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 9785 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 8623 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 9787 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 8625 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 9788 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 8626 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9789 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 8627 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 9786 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 8624 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9789 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 8627 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 9790 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 8628 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 9792 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 8630 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 9793 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 8631 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9794 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 8632 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 9791 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 8629 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9794 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 8632 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 9795 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 8633 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q -INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9642 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IORW0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Clear1.EXP | 9035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay.EXP | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | IORW0.EXP | 9039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.EXP | IORW0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 17 | 4 +SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/Clear1.EXP | 9035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 9797 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 8635 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 9798 | ? | 0 | 6144 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cs/nOverlay.RSTF | 9799 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_FALSE | N01 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay.EXP | 10208 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 - -SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 9796 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cs/nOverlay.RSTF | 9799 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_FALSE | N01 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 9800 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORW0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9802 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9803 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SIGNAL | NODE | IORW0.D2 | 8636 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | IORW0 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/Sent | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IORW0.EXP | 9034 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9801 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +NODE | IORW0.D | 8634 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9804 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 8637 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<12> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 8340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<12>.D1 | 9806 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<12>.D1 | 8639 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<12>.D2 | 9807 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<12>.D2 | 8640 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9808 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 8641 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<12>.D | 9805 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<12>.D | 8638 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9808 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 8641 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<12>.Q | 9809 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<12>.Q | 8642 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 8324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 9811 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 8644 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 9812 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 8645 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9813 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 8646 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 9810 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/TimerTC.D | 8643 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9813 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 8646 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 9814 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 8647 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 8477 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N01 | 8342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 8342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/ODCSr | 8407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 8342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/ODCSr | 8407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 8649 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 8650 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | N01 | IV_FALSE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cs/ODCSr | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay.D | 8648 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 8651 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/DTACKEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.EXP | 9027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.EXP | $OpTx$$OpTx$FX_DC$48_INV$124 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/DTACKEN.SI | iobs/DTACKEN | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.EXP | 9027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.EXP | $OpTx$$OpTx$FX_DC$48_INV$124 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/DTACKEN.D1 | 8653 | ? | 0 | 4096 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/DTACKEN.D2 | 8654 | ? | 0 | 4096 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | $OpTx$$OpTx$FX_DC$48_INV$124.EXP +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | iobs/DTACKEN +SPPTERM | 2 | IV_FALSE | iobs/DTACKEN | IV_FALSE | iobs/IOACTr +SPPTERM | 2 | IV_FALSE | iobs/DTACKEN | IV_FALSE | ALE1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/DTACKEN + +SRFF_INSTANCE | iobs/DTACKEN.REG | iobs/DTACKEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/DTACKEN.D | 8652 | ? | 0 | 0 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/DTACKEN.Q | 8655 | ? | 0 | 0 | iobs/DTACKEN | NULL | NULL | iobs/DTACKEN.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 8345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 8391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 8345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 8345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 8391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 9816 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 8657 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 9817 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 8658 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RefReqSync SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefReqSync SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 9815 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 8656 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 9818 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 8659 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9671 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 8510 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 8353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IOL0.EXP | 10259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT +NODE | IOL0 | 8346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 12 | 4 +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 8353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9820 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 8661 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9821 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 8662 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IOL0.EXP | 10249 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9822 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | IOL0.CE | 8663 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9819 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 8660 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9822 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | IOL0.CE | 8663 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9823 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 8664 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9673 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 8511 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 8356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 8347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 8356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9825 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 8666 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9826 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 8667 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9827 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | IOU0.CE | 8668 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9824 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 8665 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9827 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | IOU0.CE | 8668 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9828 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 8669 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 9502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 8348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 8325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 8326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 9830 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 8671 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 9831 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 8672 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 9832 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 8673 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 9829 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 8670 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 9832 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 8673 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 9833 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 8674 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 8340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 8349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 8323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 8328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 8329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 8330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 8331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 8332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 8333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 8334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 8335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 8336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 8337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 8338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 8340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 9835 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 8676 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 9836 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 8677 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 13 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<12> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9837 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 8678 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 9834 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimerTC.D | 8675 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9837 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 8678 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 9838 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 8679 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nROMWE_OBUF.EXP | 9028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready0r.EXP | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 11 | 3 +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | nROMWE_OBUF.EXP | 9028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9840 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 8681 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9841 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready0r.EXP | 10230 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrg | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9839 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9842 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9844 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9845 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SIGNAL | NODE | fsb/Ready1r.D2 | 8682 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 3 | IV_TRUE | fsb/Ready1r | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_TRUE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | IOACT +SPPTERM | 4 | IV_TRUE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/IODTACKr +SPPTERM | 4 | IV_TRUE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_FALSE | IOACT | IV_TRUE | fsb/ASrf +SPPTERM | 4 | IV_TRUE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/IODTACKr SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9843 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready1r.D | 8680 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9846 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 8683 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 26 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 8351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.UIM | 8490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.Q | $OpTx$$OpTx$FX_DC$48_INV$124 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 9029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 8351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 11 | 2 +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 25 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 8351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.UIM | 8490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.Q | $OpTx$$OpTx$FX_DC$48_INV$124 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 9029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9848 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 8685 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9849 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF +SIGNAL | NODE | fsb/VPA.D2 | 8686 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 5 | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$48_INV$124.UIM +SPPTERM | 5 | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$$OpTx$FX_DC$48_INV$124.UIM +SPPTERM | 6 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | $OpTx$$OpTx$FX_DC$48_INV$124.UIM +SPPTERM | 6 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_TRUE | IOACT | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/IODTACKr | IV_FALSE | $OpTx$$OpTx$FX_DC$48_INV$124.UIM +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9847 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +NODE | fsb/VPA.D | 8684 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9850 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 8687 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 8353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9852 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 8689 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9853 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 8690 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9854 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 8691 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9851 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 8688 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9854 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 8691 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9855 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 8692 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 8354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 8354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 8 | 2 +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 8354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9857 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 8694 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9858 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | iobs/IORW1.D2 | 8695 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9856 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 8693 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9859 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOReady.EXP | 10255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9861 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9862 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP -SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOReady.EXP | 10245 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF - -SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9860 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9863 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 8696 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 8356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9865 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 8698 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9866 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 8699 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9867 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 8700 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9864 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 8697 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9867 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 8700 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9868 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 8701 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 9674 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 8512 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 8357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 9515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9676 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 9519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 8360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 9024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr.EXP | 9025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 8359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 9519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 8360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 8 | 2 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 9519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 8360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 9024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr.EXP | 9025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9870 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 8703 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9871 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | nBERR_FSB_OBUF.UIM | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_FALSE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | nBERR_FSB_OBUF.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9869 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9872 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDTACK_FSB_OBUF.EXP | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 19 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9874 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9875 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDTACK_FSB_OBUF.EXP | 10205 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 8704 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9873 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 8702 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9876 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 8705 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 8394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 9522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 8361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 10260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 9046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 4 | 3 +SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 8394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 9878 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 8707 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 9879 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 8708 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 10250 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 9043 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrg | IV_FALSE | fsb/ASrf SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 9877 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 8706 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 9880 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 8709 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 8388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq.EXP | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT +NODE | ram/RefReq | 8390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 9047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 8388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq.EXP | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT +NODE | ram/RefReq | 8390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 9047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9882 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 8711 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9883 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | ram/RefReq.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RASEL.D2 | 8712 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrg +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | ram/RefReq SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9881 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 8710 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9884 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 8713 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RefReq | 8390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 8388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | nBR_IOB_OBUF.EXP | 9046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd2.EXP | 9047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 11 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RefReq | 8390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 8388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 9046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9886 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 8715 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9887 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 8716 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 9044 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | ram/RefReq | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9885 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 8714 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9888 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 8717 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 9 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9890 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 8719 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9891 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 8720 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9889 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 8718 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9892 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0.EXP | 10259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0.EXP | 10259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9894 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9895 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOL0.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg -SPPTERM | 5 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9893 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9896 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 8721 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 8357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 8357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9898 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 8723 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9899 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 8724 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9897 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 8722 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9900 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 8725 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 8727 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 8728 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg +SPPTERM | 5 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RefUrg | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 8726 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 8729 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9902 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 8731 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9903 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 8732 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9901 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 8730 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9904 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 8733 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | C8M_IBUF | 8357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 8396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 8398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 8399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 8400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 11 | 2 +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | C8M_IBUF | 8357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 8396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 8398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 8399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 8400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9906 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 8735 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9907 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK -SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 8736 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/BERRrf | IV_FALSE | iobm/DTACKrf | IV_FALSE | iobm/ETACK | IV_FALSE | iobm/RESrf SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9905 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 8734 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9908 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 8737 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgSync | 9584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefUrg.SI | ram/RefUrg | 0 | 2 | 2 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgSync | 9584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefUrg.D1 | 9910 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 8739 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefUrg.D2 | 9911 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgSync +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 8740 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | ram/RefUrg.REG | ram/RefUrg | 0 | 2 | 1 +SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefUrg.D | 9909 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 8738 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefUrg.Q | 9912 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9914 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9915 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9913 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9916 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 8741 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9918 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 8743 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9919 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 8744 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9917 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 8742 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9920 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 8745 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9922 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 8747 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9923 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 8748 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9921 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 8746 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9924 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady.EXP | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady.EXP | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 9926 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 9927 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | ram/RAMReady.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 9925 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 9928 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 8749 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9930 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 8751 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9931 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 8752 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 @@ -3457,135 +3051,292 @@ SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES< SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9929 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 8750 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9932 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 8753 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9934 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 8755 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9935 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 8756 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9933 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 8754 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9936 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 8757 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RegUrgSync | 8420 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RefUrg.EXP | 9045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.EXP | ram/RefUrg | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RefUrg.SI | ram/RefUrg | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RegUrgSync | 8420 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefUrg.D1 | 8759 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefUrg.D2 | 8760 | ? | 0 | 4096 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgSync +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RefUrg.EXP | 9042 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RefUrg.REG | ram/RefUrg | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefUrg.D | 8758 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefUrg.Q | 8761 | ? | 0 | 0 | ram/RefUrg | NULL | NULL | ram/RefUrg.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 8349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 8394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 8349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 8394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 8763 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 8764 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/INITS_FSM_FFd1.REG | cnt/INITS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/INITS_FSM_FFd1.D | 8762 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd1.Q | 8765 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 8349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/INITS_FSM_FFd2.SI | cnt/INITS_FSM_FFd2 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 8341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 8349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 8767 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 8768 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/INITS_FSM_FFd2.REG | cnt/INITS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/INITS_FSM_FFd2.D | 8766 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd2.Q | 8769 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9938 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 8771 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9939 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 8772 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9937 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 8770 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9940 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 8773 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9942 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 8775 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9943 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 8776 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 @@ -3593,3881 +3344,2741 @@ SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2 SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9941 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<4>.D | 8774 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9944 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 8777 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 8398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | C8M_IBUF | 8357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | nDinLE_OBUF.EXP | 9041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 7 | 2 +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 8398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | C8M_IBUF | 8357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | nDinLE_OBUF.EXP | 9041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 9946 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 8779 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 9947 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/INITS_FSM_FFd1.REG | cnt/INITS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd1.D | 9945 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd1.Q | 9948 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/INITS_FSM_FFd2.SI | cnt/INITS_FSM_FFd2 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 9950 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 9951 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/INITS_FSM_FFd2.REG | cnt/INITS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd2.D | 9949 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd2.Q | 9952 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9954 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9955 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT - -SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9953 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9956 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9958 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9959 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOREQ - -SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9957 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9960 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9962 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9963 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9961 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9964 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9966 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9967 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr -SPPTERM | 4 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK -SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SIGNAL | NODE | IOACT.D2 | 8780 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOREQr +SPPTERM | 3 | IV_FALSE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/DTACKrf SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9965 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 8778 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9968 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9970 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9971 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR -SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/ETACK -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr - -SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9969 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9972 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 8781 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 9677 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 8514 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 8382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 8382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 8382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9974 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 8783 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9975 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 8784 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9973 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 8782 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9976 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 8785 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IOREQ | 8405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | IOREQ | 8405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9978 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 8787 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9979 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 8788 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ + +SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOREQr.D | 8786 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 8789 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 9026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 8791 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 8792 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOACTr.EXP | 9019 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9977 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 8790 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9980 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 8793 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RefReq.EXP | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.EXP | ram/RefReq | 4 | 0 | MC_EXPORT +NODE | iobs/Load1 | 8386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 10 | 3 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReq.D1 | 9982 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 8795 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReq.D2 | 9983 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RefReq.EXP | 10231 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq +SIGNAL | NODE | iobs/Load1.D2 | 8796 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReq.D | 9981 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 8794 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReq.Q | 9984 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReqSync | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReqSync.D1 | 9986 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReqSync.D2 | 9987 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RefReq - -SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReqSync.D | 9985 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReqSync.Q | 9988 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 9990 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 9991 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | E_IBUF - -SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 9989 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 9992 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 9678 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 9994 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 9995 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nIPL2_IBUF - -SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 9993 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 9996 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9679 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9998 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9999 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9997 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 10000 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 10002 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 10003 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 10001 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 10004 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 10006 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 10007 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 10005 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 10008 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 8797 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 8387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 8383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 10010 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 8799 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 10011 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 8800 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 10009 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +NODE | iobm/Er2.D | 8798 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 10012 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 8801 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 8360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 9026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 8388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 9025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 24 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 8360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 9026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 8803 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 8804 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/BACTr.EXP | 9018 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr +SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_FALSE | IOACT + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 8802 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 8805 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 8390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg.EXP | 9045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.EXP | ram/RefUrg | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 8389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg | 8376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 8389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReq | 8390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 8388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 8368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrg.EXP | 9045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.EXP | ram/RefUrg | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 8807 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 8808 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RefUrg.EXP +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr | IV_TRUE | ram/RAMEN +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/RAMEN | IV_FALSE | ram/RefReq + +SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMEN.D | 8806 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 8809 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReqSync | 8391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefReq | 8390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 8345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefReqSync | 8391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReq.D1 | 8811 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefReq.D2 | 8812 | ? | 0 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync + +SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefReq.D | 8810 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefReq.Q | 8813 | ? | 0 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 8391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 8348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReqSync.D1 | 8815 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefReqSync.D2 | 8816 | ? | 0 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefReq + +SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefReqSync.D | 8814 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefReqSync.Q | 8817 | ? | 0 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 8382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 8819 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 8820 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IBUF + +SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Er<0>.D | 8818 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 8821 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nIPL2 | 8515 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 8393 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nIPL2_IBUF | 8393 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 8394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nIPL2_IBUF | 8393 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 8823 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 8824 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nIPL2_IBUF + +SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/nIPL2r.D | 8822 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 8825 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 8516 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 8395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 8395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 8396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 8395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 8827 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 8828 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 8826 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 8829 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 8517 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 8397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 8397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 8398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 8397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 8831 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 8832 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrf.D | 8830 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 8833 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOBout | 8311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 8399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOBout | 8311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 8372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 8373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 8374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 8379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 8380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 8835 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 8836 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 8834 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 8837 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 8342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 8400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 8342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 8839 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 8840 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | N01 + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 8838 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 8358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 8841 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9680 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 8518 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 8401 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 8401 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 8402 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 +SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 8401 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 10014 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 8843 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 10015 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 8844 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF -SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 +SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 10013 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 8842 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 10016 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 10018 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 10019 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 10017 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 10020 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 8845 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 8403 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 10022 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 8847 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 10023 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 8848 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 10021 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 8846 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 10024 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 8849 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 8404 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 10026 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 8851 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 10027 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | ALE0S.D2 | 8852 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 10025 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 8850 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 10028 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 8853 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | RA_6_OBUF.EXP | 9037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +NODE | IOREQ | 8405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IOREQ.EXP | 9038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 10 | 2 +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 8385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 9037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 10030 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 8855 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 10031 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | IOREQ.D2 | 8856 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IOREQ.EXP | 9033 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Sent | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 10029 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +NODE | IOREQ.D | 8854 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 10032 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 8857 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 8406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 8392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 10034 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 8859 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 10035 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 8860 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 10033 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 8858 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 10036 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 8861 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/ODCSr | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/ODCSr | 8407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/ODCSr.SI | cs/ODCSr | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/ODCSr.D1 | 8863 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/ODCSr.D2 | 8864 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cs/ODCSr.REG | cs/ODCSr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/ODCSr.D | 8862 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/ODCSr.Q | 8865 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 10038 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 8867 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 10039 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 8868 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 10037 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 8866 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 10040 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 10042 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 10043 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 10041 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 10044 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 10046 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 10047 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 10045 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 10048 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 8869 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 9570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 8409 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 8367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 8384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 10050 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 8871 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 10051 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 8872 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 2 | IV_FALSE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 10049 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 8870 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 8308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 10052 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 8873 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 8354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 9036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 10054 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 10055 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | N01 - -SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 10053 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 10056 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 10058 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 10059 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | N01 - -SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 10057 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 10060 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 8410 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 9035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 12 | 3 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | IORW0 | 8339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 8354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nROMCS_OBUF.EXP | 9036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 10062 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 8875 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 10063 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SIGNAL | NODE | iobs/Clear1.D2 | 8876 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 10211 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Clear1.EXP | 9030 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | IORW0 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IORW0 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 10061 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 8874 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 10064 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 8877 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODTACKr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 8397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 10260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 9574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRESout.EXP | 10261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 17 | 3 +SIGNAL_INSTANCE | iobs/IODTACKr.SI | iobs/IODTACKr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 10260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDTACK_IOB_IBUF | 8397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 10066 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODTACKr.D1 | 8879 | ? | 0 | 4096 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 10067 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRESout.EXP | 10251 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | iobs/IODTACKr.D2 | 8880 | ? | 0 | 4096 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobs/IODTACKr.REG | iobs/IODTACKr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IODTACKr.D | 8878 | ? | 0 | 0 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IODTACKr.Q | 8881 | ? | 0 | 0 | iobs/IODTACKr | NULL | NULL | iobs/IODTACKr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nRESout | 8412 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 8883 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 8884 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 10065 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 8882 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 10068 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RAMReady.EXP | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 10070 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 10071 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RAMEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RAMReady.EXP | 10227 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 10069 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 10072 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 8885 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9681 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 8519 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 8413 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9682 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 8520 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 8414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9683 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 8521 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 8415 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9684 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 8522 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 8416 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9685 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 8523 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 8417 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9686 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 8524 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 8418 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9687 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 8525 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefRAS | 9583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefRAS.D1 | 10074 | ? | 0 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefRAS.D2 | 10075 | ? | 0 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefRAS.D | 10073 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefRAS.Q | 10076 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_7_IBUF | 8419 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RegUrgSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RegUrgSync | 9584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM +NODE | ram/RegUrgSync | 8420 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgSync.Q | ram/RegUrgSync | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RegUrgSync.SI | ram/RegUrgSync | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 8322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RegUrgSync.D1 | 10078 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RegUrgSync.D1 | 8887 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RegUrgSync.D2 | 10079 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RegUrgSync.D2 | 8888 | ? | 0 | 4096 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RefUrg SRFF_INSTANCE | ram/RegUrgSync.REG | ram/RegUrgSync | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RegUrgSync.D | 10077 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.XOR | 0 | 7 | ALU_F +NODE | ram/RegUrgSync.D | 8886 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RegUrgSync.Q | 10080 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.REG | 0 | 8 | SRFF_Q +NODE | ram/RegUrgSync.Q | 8889 | ? | 0 | 0 | ram/RegUrgSync | NULL | NULL | ram/RegUrgSync.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 8413 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 8421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 8413 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 10082 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 8891 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 10083 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 8892 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 10081 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 8890 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 10084 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 8893 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 8414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 8422 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 8414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 10086 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 8895 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 10087 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 8896 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 10085 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 8894 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 10088 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 8897 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 8415 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 8423 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 8415 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 10090 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 8899 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 10091 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 8900 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 10089 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 8898 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 10092 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 8901 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 8416 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 8424 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 8416 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 10094 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 8903 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 10095 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 8904 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 10093 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_3_OBUF.D | 8902 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 10096 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 8905 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 8417 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 8425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 8417 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 10098 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 8907 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 10099 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 8908 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 10097 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 8906 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 10100 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 8909 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 8418 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 8426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 8418 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 10102 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 8911 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 10103 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 8912 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 10101 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 8910 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 10104 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 8913 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 8419 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 8427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 9037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 8419 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 8321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 10106 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 8915 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 10107 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 8916 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 9032 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 10105 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 8914 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 10108 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 8917 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 8428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 10110 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 8919 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 10111 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 8920 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 10109 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 8918 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 10112 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 10114 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 10115 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 2 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs/nOverlay -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RASEL - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 10113 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 10116 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 8921 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 8429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 10118 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 8923 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 10119 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 8924 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 10117 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 8922 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 10120 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 8925 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 8395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 8430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 8395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 8927 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 8928 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/DTACKEN | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 8926 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 8929 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 8409 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 8431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 8409 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 10122 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 8931 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 10123 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 8932 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 10121 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 8930 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 10124 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 8933 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 3 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF | 8432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 3 | 3 +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 10126 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 8935 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 10127 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 8936 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 10229 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_FALSE | ram/RASEL SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 10125 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 8934 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 10128 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 8937 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 8433 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 9028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 14 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 10130 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 8939 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 10131 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 8940 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 10210 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nROMWE_OBUF.EXP | 9021 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 10129 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 8938 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 10132 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 8941 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 8351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 8434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 8351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10134 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 8943 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10135 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 8944 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 10133 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 8942 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 10136 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 8945 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 8403 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | ALE0S | 8404 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nADoutLE0_OBUF.EXP | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF | 8435 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 7 | 3 +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 8403 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | ALE0S | 8404 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10138 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 8947 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10139 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 8948 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10239 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 10137 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 8946 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 10140 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 8949 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK- | 8320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nCAS_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF | 8436 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RASEL | 8364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 10142 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 8951 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 10143 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nCAS_OBUF.EXP | 10228 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 6 | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_TRUE | ram/BACTr +SIGNAL | NODE | nCAS_OBUF.D2 | 8952 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 10141 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 8950 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 8320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 10144 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 8953 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | iobm/BERRrf | 8396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DTACKrf | 8398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 8399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 8400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 8437 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 10242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 9041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 8369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 8370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | iobm/BERRrf | 8396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/DTACKrf | 8398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 8399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 8400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 10146 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 8955 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 10147 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 8956 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 10240 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SIGNAL | NODE | nDinLE_OBUF.EXP | 9040 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/BERRrf | IV_FALSE | iobm/DTACKrf | IV_FALSE | iobm/ETACK | IV_FALSE | iobm/RESrf SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 10145 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 8954 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 8309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 10148 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 10256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 10150 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 10151 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RefRAS -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 10246 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 10149 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 10152 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 8957 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 8412 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 9603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 8438 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 9604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 8439 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 8412 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 10154 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 8959 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 10155 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 8960 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 10157 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 8962 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 10153 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | N0.D | 8958 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 10158 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 8963 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 10157 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 8962 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 10156 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 8961 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +MACROCELL_INSTANCE | OptxMapped | A_FSB_18_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 10254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_18_IBUF$BUF0 | 8440 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_18_IBUF$BUF0.Q | A_FSB_18_IBUF$BUF0 | 0 | 0 | MC_Q -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 8 | 3 +SIGNAL_INSTANCE | A_FSB_18_IBUF$BUF0.SI | A_FSB_18_IBUF$BUF0 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10160 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_18_IBUF$BUF0.D1 | 8965 | ? | 0 | 4096 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10161 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10244 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF +SIGNAL | NODE | A_FSB_18_IBUF$BUF0.D2 | 8966 | ? | 0 | 4096 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_18_IBUF + +SRFF_INSTANCE | A_FSB_18_IBUF$BUF0.REG | A_FSB_18_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_18_IBUF$BUF0.D | 8964 | ? | 0 | 0 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_18_IBUF$BUF0.Q | 8967 | ? | 0 | 0 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 8441 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 8969 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 8970 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 10159 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_19_IBUF$BUF0.D | 8968 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 10162 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 8971 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 8442 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10164 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 8973 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10165 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 8974 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 10163 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_21_IBUF$BUF0.D | 8972 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 10166 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 8975 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 8410 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 8443 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 8410 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 8386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10168 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 8977 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10169 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 8978 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 10167 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 8976 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 10170 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 8979 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 9609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 8445 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 8362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 8377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 8378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 8446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 10172 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 8981 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 10173 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 8982 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 10171 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 8980 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 10174 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 8983 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 8447 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 10176 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 8985 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 10177 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 8986 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 10175 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 8984 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 10178 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 8987 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 8389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 10257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF | 8448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 17 | 3 +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 8352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 8389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10180 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 8989 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10181 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10247 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 8990 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 10179 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 8988 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 10182 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 8991 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 8389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 10258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF | 8449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 17 | 3 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 8355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RAMEN | 8389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10184 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 8993 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10185 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 8994 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10248 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 10183 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 8992 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 10186 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 8995 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF | 8450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 8365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 8366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 10188 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 8997 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 10189 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 8998 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 8996 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 8319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 8999 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 8451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 9036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 8371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 8375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9001 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9002 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 10226 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN -SPPTERM | 6 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf +SIGNAL | NODE | nROMCS_OBUF.EXP | 9031 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/TS_FSM_FFd1 SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 10187 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 9000 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 10190 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9003 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF$Q | 9615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$Q | 8452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 10192 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 9005 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 10193 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 9006 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 10191 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 9004 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 10194 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 9007 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | C25MEN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF$BUF0 | 9616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$BUF0 | 8453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF$BUF0.SI | C25MEN_OBUF$BUF0 | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF$BUF0.D1 | 10196 | ? | 0 | 4096 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF$BUF0.D1 | 9009 | ? | 0 | 4096 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF$BUF0.D2 | 10197 | ? | 0 | 6144 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF$BUF0.D2 | 9010 | ? | 0 | 6144 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF$BUF0.REG | C25MEN_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF$BUF0.D | 10195 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF$BUF0.D | 9008 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF$BUF0.Q | 10198 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF$BUF0.Q | 9011 | ? | 0 | 0 | C25MEN_OBUF$BUF0 | NULL | NULL | C25MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 9466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 8310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 9468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 8312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9617 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 8454 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout | 9469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout | 8313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 9470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 8314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9618 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 8455 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout | 9471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout | 8315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 9472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 8316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9619 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 8456 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout | 9473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout | 8317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 9474 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 8318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9620 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9621 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 8457 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 8359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9622 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 8458 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 9522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 8361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 9623 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 8459 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 8421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9624 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 8460 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 8422 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9625 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 8461 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 8423 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9626 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 8462 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 8424 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9627 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 8463 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 8425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9628 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 8464 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 8426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9629 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 8465 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 8427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9630 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 8466 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 8428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9631 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9632 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<7> | 8467 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 8429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9633 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 8468 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 8430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 8469 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 8431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9634 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 8470 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 8432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9635 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 8471 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 8433 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9636 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 8472 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 8434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9637 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 8473 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 8435 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9638 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 8474 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 8436 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9639 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 8475 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 8437 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9640 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9641 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nDinLE | 8476 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 9603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 8438 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 9604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 8439 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 9642 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 8477 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_18_IBUF$BUF0 | 8440 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_18_IBUF$BUF0.Q | A_FSB_18_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 8478 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 8441 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9643 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 8479 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 8442 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9644 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 8480 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 8443 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9645 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 8481 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 9609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 8445 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9646 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 8482 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 8447 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9647 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 8483 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 8448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9648 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 8484 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 8449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9649 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 8485 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 8450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 8486 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 8451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9650 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 8487 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF$Q | 9615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$Q | 8452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 9651 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 8488 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF$BUF0 | 9616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | C25MEN_OBUF$BUF0 | 8453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF$BUF0.Q | C25MEN_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C20MEN | 9652 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT +NODE | C20MEN | 8489 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$48_INV$124 | WarpSE_COPY_0_COPY_0 | 2181038080 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.UIM | 8490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.Q | $OpTx$$OpTx$FX_DC$48_INV$124 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.EXP | 9027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.EXP | $OpTx$$OpTx$FX_DC$48_INV$124 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 17 | 1 +SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$48_INV$124.SI | $OpTx$$OpTx$FX_DC$48_INV$124 | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$48_INV$124.D1 | 9013 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$48_INV$124 | NULL | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$48_INV$124.D2 | 9014 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$48_INV$124 | NULL | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 10199 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP11_.EXP -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$48_INV$124.EXP | 9020 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$48_INV$124 | NULL | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/DTACKEN -MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 +SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$48_INV$124.REG | $OpTx$$OpTx$FX_DC$48_INV$124 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.D | 9012 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$48_INV$124 | NULL | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$$OpTx$FX_DC$48_INV$124.Q | 9015 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$48_INV$124 | NULL | NULL | $OpTx$$OpTx$FX_DC$48_INV$124.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 9023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 16 | 1 +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 10200 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | EXP10_.EXP | 9016 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_TRUE | iobs/IODTACKr -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 8360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | EXP10_.EXP | 9023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 9024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 18 | 1 +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF.UIM | 8360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 8343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 8327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 8444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | EXP10_.EXP | 9023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 10201 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 9017 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/DTACKEN | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_TRUE | IOACT | IV_FALSE | iobs/IODTACKr | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 9029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 8292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 8293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 8294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 8295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 8296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 8297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 8298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 8299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 8300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 8301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 8302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 8303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 8304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 8305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 8306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 8307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 8350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 8408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/DTACKEN | 8344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/DTACKEN.Q | iobs/DTACKEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 8363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 8381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODTACKr | 8411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODTACKr.Q | iobs/IODTACKr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 9022 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 10202 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 10203 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | ALE1 -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9453 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9454 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 10204 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r -SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady - -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 10206 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nDTACK_FSB_OBUF.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 10207 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF - -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 10209 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 - -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrg | 9531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrg.Q | ram/RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 10225 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | ram/RefReq -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefUrg | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 10253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 10243 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF - -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9458 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9460 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 10252 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | IOACT +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/IODTACKr +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_FALSE | IOACT | IV_TRUE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/DTACKEN | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/IODTACKr FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RegUrgSync | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/RefUrg | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 2 | ram/RegUrgSync | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 FBPIN | 3 | ram/RefReqSync | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/Er2 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | iobm/Er | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/DTACKrf | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | iobm/BERRrr | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | fsb/ASrf | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 4 | iobs/IODTACKr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/VPAr | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobm/RESrf | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/Er2 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobm/Er | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/BERRrf | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 FBPIN | 12 | cnt/nIPL2r | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 FBPIN | 13 | cnt/Er<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IOU1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | iobs/IOL1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ALE0M | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ALE0S | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | RefReq | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 @@ -7475,131 +6086,124 @@ FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 FBPIN | 9 | NULL | 0 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 FBPIN | 11 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 FBPIN | 12 | NULL | 0 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 14 | iobm/VPArr | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/VPArf | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/RESrf | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | NULL | 0 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | NULL | 0 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 17 | NULL | 0 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | IORW0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP10_ | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | EXP11_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP12_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP13_ | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | fsb/VPA | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | EXP14_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP15_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | NULL | 0 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 5 | NULL | 0 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | NULL | 0 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | EXP10_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP11_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobs/IORW1 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | EXP17_ | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cs/nOverlay | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | EXP18_ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobs/IOACTr | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | fsb/ASrf | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | cs/ODCSr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | $OpTx$$OpTx$FX_DC$48_INV$124 | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | iobs/DTACKEN | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP12_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | cnt/Timer<0> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | cnt/LTimer<6> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/LTimer<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/INITS_FSM_FFd2 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | RefReq | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/Timer<2> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | cnt/LTimer<4> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/LTimer<2> | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | cnt/LTimer<1> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<10> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | cnt/INITS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP19_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | iobs/TS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | IOU0 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 7 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | ram/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | ram/RefRAS | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RefReq | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/Sent | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | IORW0 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nVMA_IOBout | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 -FBPIN | 3 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/ES<1> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | iobm/ES<0> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 -FBPIN | 7 | iobm/DoutOE | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/ES<4> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 3 | ALE0M | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ES<3> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/ES<1> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/DoutOE | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 FBPIN | 9 | nLDS_IOBout | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nUDS_IOBout | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 FBPIN | 12 | nAS_IOBout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 -FBPIN | 13 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 -FBPIN | 16 | IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 -FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 +FBPIN | 7 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | A_FSB_18_IBUF$BUF0 | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 FBPIN | 9 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 -FBPIN | 13 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | C25MEN_OBUF$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 -FBPIN | 15 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 15 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 | 60 | 49152 FBPIN | 16 | cnt/LTimer<12> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 -FBPIN | 18 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RefUrg | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/RefReq | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | nRESout | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | IOL0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 9 | ram/RefDone | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ALE0S | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | nRESout | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RASEL | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -7607,81 +6211,72 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RegUrgSync | NULL | 2 | iobs/Load1 | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | nDTACK_IOB | 78 | 9 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | iobs/IOU1 | NULL | 14 | RefReq | NULL | 15 | IOACT | NULL | 16 | E | 25 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | iobm/IOREQr | NULL | 20 | nIPL2 | 92 | 23 | nBERR_IOB | 76 | 27 | nUDS_FSB | 33 | 29 | nLDS_FSB | 30 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 30 | ram/RefDone | NULL | 33 | nAS_FSB | 32 | 44 | iobm/Er | NULL | 47 | RefUrg | NULL | 49 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 50 | iobm/IOS_FSM_FFd1 | NULL | 51 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 3 | iobm/IOS_FSM_FFd3 | NULL | 6 | nIPL2 | 92 | 7 | nDTACK_IOB | 78 | 9 | iobm/IOS_FSM_FFd2 | NULL | 11 | nVPA_IOB | 77 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | cnt/Er<0> | NULL | 15 | cnt/TimerTC | NULL | 16 | RefReq | NULL | 17 | RefUrg | NULL | 24 | cnt/Er<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | cnt/Timer<1> | NULL | 33 | iobm/Er | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 40 | cnt/Timer<2> | NULL | 43 | IOREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 46 | nBERR_IOB | 76 | 48 | cnt/Timer<0> | NULL | 49 | iobm/IOS_FSM_FFd1 | NULL | 51 | nRES | 91 | 52 | E | 25 -FB_IMUX_INDEX | FOOBAR1_ | 0 | -1 | 128 | -1 | -1 | -1 | 132 | 167 | -1 | 99 | -1 | -1 | 138 | 13 | 68 | 105 | 242 | 35 | -1 | -1 | 150 | -1 | -1 | 175 | -1 | -1 | -1 | 272 | -1 | 260 | 81 | -1 | -1 | 268 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 5 | -1 | -1 | 71 | -1 | 103 | 15 | 92 | -1 | -1 +FB_IMUX_INDEX | FOOBAR1_ | -1 | -1 | -1 | 93 | -1 | -1 | 150 | 167 | -1 | 99 | -1 | 171 | 12 | -1 | -1 | 15 | 16 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | 117 | -1 | -1 | -1 | -1 | 124 | -1 | -1 | -1 | 8 | -1 | -1 | -1 | 84 | -1 | -1 | 125 | -1 | -1 | 87 | -1 | -1 | 175 | -1 | 120 | 14 | -1 | 148 | 242 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 11 | nVPA_IOB | 77 | 15 | IOREQ | NULL | 51 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | fsb/VPA | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | iobs/IODTACKr | NULL | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | A_FSB<16> | 14 | 6 | A_FSB<10> | 8 | 7 | A_FSB<17> | 15 | 8 | nDTACK_FSB_OBUF.UIM | NULL | 9 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | iobs/IOACTr | NULL | 11 | fsb/ASrf | NULL | 12 | cs/nOverlay | NULL | 13 | $OpTx$$OpTx$FX_DC$48_INV$124.UIM | NULL | 14 | iobs/DTACKEN | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 15 | fsb/Ready1r | NULL | 16 | iobs/Sent | NULL | 17 | IOACT | NULL | 21 | A_FSB<19> | 17 | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 30 | A_FSB<20> | 18 | 33 | nAS_FSB | 32 | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 | 42 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 44 | A_FSB<13> | 11 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 52 | A_FSB<11> | 9 -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 171 | -1 | -1 | -1 | 51 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 148 | -1 | -1 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 194 | 3 | 196 | 214 | 198 | 216 | 44 | 218 | 46 | 47 | 138 | 49 | 50 | 51 | 88 | 107 | -1 | -1 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | -1 | -1 | 268 | -1 | -1 | 240 | -1 | -1 | 230 | -1 | -1 | 206 | -1 | 208 | -1 | 212 | 228 | -1 | 103 | -1 | -1 | 202 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | IORW0 | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | ram/RAMReady | NULL | 4 | nRES | 91 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/VPA | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | fsb/Ready0r | NULL | 8 | nDTACK_FSB_OBUF.UIM | NULL | 10 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 12 | fsb/Ready1r | NULL | 13 | ALE1 | NULL | 14 | A_FSB<12> | 10 | 16 | A_FSB<13> | 11 | 20 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 21 | A_FSB<19> | 17 | 24 | A_FSB<22> | 20 | 26 | cs/nOverlay | NULL | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 32 | iobs/PS_FSM_FFd1 | NULL | 33 | nAS_FSB | 32 | 34 | iobs/IOReady | NULL | 36 | A_FSB<23> | 24 | 38 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | fsb/ASrf | NULL | 43 | A_FSB<10> | 8 | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 | 47 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<17> | 15 | 50 | A_FSB<18> | 16 | 52 | iobs/Once | NULL | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/INITS_FSM_FFd1 | NULL | 1 | AoutOE | NULL | 2 | cnt/LTimer<9> | NULL | 3 | cnt/LTimer<8> | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | cnt/LTimer<7> | NULL | 7 | iobm/DoutOE | NULL | 8 | cnt/LTimer<6> | NULL | 9 | cnt/LTimer<5> | NULL | 11 | cnt/nIPL2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | cnt/Er<0> | NULL | 13 | cnt/LTimer<2> | NULL | 14 | cnt/LTimer<1> | NULL | 15 | cnt/TimerTC | NULL | 16 | cnt/LTimer<10> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | cnt/INITS_FSM_FFd2 | NULL | 24 | cnt/Er<1> | NULL | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 32 | cs/nOverlay | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | nAS_FSB | 32 | 36 | A_FSB<23> | 24 | 39 | cnt/LTimerTC | NULL | 42 | nBR_IOB_OBUF | NULL | 44 | fsb/VPA | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 47 | A_FSB<21> | 19 | 49 | cnt/LTimer<3> | NULL | 51 | nRESout | NULL | 52 | cnt/LTimer<0> | NULL | 53 | cnt/LTimer<4> | NULL -FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 194 | 75 | 148 | 41 | 132 | 79 | 44 | -1 | 46 | -1 | 48 | 103 | 206 | -1 | 208 | -1 | -1 | -1 | 214 | 222 | -1 | -1 | 230 | -1 | 49 | -1 | 256 | -1 | 226 | -1 | 138 | 268 | 129 | -1 | 240 | -1 | 202 | 10 | -1 | -1 | -1 | 198 | -1 | 228 | 212 | 3 | -1 | 216 | 218 | -1 | 142 | 196 +FB_IMUX_INDEX | FOOBAR4_ | 54 | 55 | 56 | 57 | 230 | -1 | 60 | 97 | 62 | 63 | -1 | 11 | 12 | 67 | 68 | 15 | 70 | 71 | -1 | -1 | -1 | -1 | -1 | -1 | 117 | -1 | -1 | -1 | 256 | -1 | 226 | -1 | 138 | 268 | -1 | -1 | 240 | -1 | -1 | 122 | -1 | -1 | 140 | -1 | 36 | -1 | -1 | 228 | -1 | 66 | -1 | 132 | 114 | 65 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<0> | NULL | 2 | A_FSB<21> | 19 | 6 | iobm/DoutOE | NULL | 8 | cnt/Timer<0> | NULL | 12 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 13 | cnt/INITS_FSM_FFd2 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | cnt/Timer<1> | NULL | 16 | cnt/Timer<2> | NULL | 17 | RefUrg | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | A_FSB<20> | 18 | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | nRESout | NULL | 33 | cnt/INITS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | cnt/TimerTC | NULL | 36 | nAS_FSB | 32 | 37 | fsb/VPA | NULL | 39 | A_FSB<22> | 20 | 41 | cnt/nIPL2r | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 42 | cnt/Er<1> | NULL | 50 | cnt/LTimerTC | NULL | 51 | AoutOE | NULL | 52 | cnt/Er<0> | NULL | 53 | cnt/LTimer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 1 | A_FSB<14> | 12 | 2 | iobs/TS_FSM_FFd1 | NULL | 3 | A_FSB<15> | 13 | 6 | A_FSB<5> | 2 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 8 | nAS_FSB | 32 | 9 | iobs/IORW1 | NULL | 10 | iobs/IOL1 | NULL | 12 | iobs/TS_FSM_FFd2 | NULL | 13 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 14 | A_FSB<7> | 4 | 16 | ram/RASEL | NULL | 17 | IORW0 | NULL | 21 | A_FSB<3> | 96 | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 28 | nWE_FSB | 29 | 32 | cs/nOverlay | NULL | 33 | A_FSB<6> | 3 | 36 | A_FSB<4> | 97 | 37 | nLDS_FSB | 30 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 39 | A_FSB<22> | 20 | 40 | iobs/IOU1 | NULL | 41 | iobs/IOACTr | NULL | 42 | A_FSB<12> | 10 | 44 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | A_FSB<21> | 19 | 48 | fsb/ASrf | NULL | 49 | iobs/Sent | NULL | 51 | nUDS_FSB | 33 | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 228 | -1 | -1 | -1 | 96 | -1 | 62 | -1 | -1 | -1 | 66 | 67 | 140 | 69 | 70 | 71 | -1 | 226 | -1 | -1 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 141 | -1 | -1 | 56 | -1 | 60 | 268 | 41 | -1 | 230 | -1 | 11 | 57 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 108 | 55 | 12 | 65 +FB_IMUX_INDEX | FOOBAR5_ | 226 | 210 | 74 | 212 | -1 | -1 | 182 | -1 | 268 | 81 | 136 | -1 | 84 | 103 | 190 | -1 | 142 | 89 | -1 | -1 | -1 | 168 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | -1 | -1 | 138 | 186 | -1 | -1 | 172 | 260 | -1 | 230 | 135 | 46 | 206 | -1 | 208 | -1 | -1 | 228 | 47 | 88 | -1 | 272 | -1 | 214 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 2 | ram/RefReqSync | NULL | 3 | A_FSB<15> | 13 | 6 | A_FSB<5> | 2 | 8 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 9 | ram/RefDone | NULL | 10 | fsb/ASrf | NULL | 12 | ram/BACTr | NULL | 13 | nWE_FSB | 29 | 14 | A_FSB<7> | 4 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | ram/RefReq | NULL | 20 | A_FSB<16> | 14 | 21 | A_FSB<3> | 96 | 22 | ram/RefUrg | NULL | 23 | A_FSB<23> | 24 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 24 | A_FSB<22> | 20 | 26 | cs/nOverlay | NULL | 30 | A_FSB<4> | 97 | 33 | A_FSB<6> | 3 | 35 | A_FSB<14> | 12 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | ram/RS_FSM_FFd1 | NULL | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 42 | A_FSB<12> | 10 | 46 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | A_FSB<21> | 19 | 48 | fsb/Ready0r | NULL | 50 | ram/RS_FSM_FFd2 | NULL | 52 | ram/RAMReady | NULL | 53 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobs/Clear1 | NULL | 1 | nVMA_IOBout | NULL | 2 | ALE0M | NULL | 3 | IOU0 | NULL | 4 | iobm/VPAr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/RESrf | NULL | 6 | iobm/IOREQr | NULL | 7 | iobm/Er2 | NULL | 8 | iobm/Er | NULL | 9 | iobm/DTACKrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/BERRrf | NULL | 12 | iobm/ES<4> | NULL | 13 | ALE0S | NULL | 14 | iobm/IOS_FSM_FFd1 | NULL | 15 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 24 | iobm/ETACK | NULL | 28 | iobm/ES<3> | NULL | 32 | iobm/ES<0> | NULL | 33 | iobm/IOS_FSM_FFd3 | NULL | 34 | C8M | 23 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | iobm/ES<1> | NULL | 46 | IORW0 | NULL | 47 | iobm/IOS_FSM_FFd2 | NULL | 48 | iobs/Load1 | NULL | 49 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 50 | IOL0 | NULL | 51 | AoutOE | NULL | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 2 | 212 | -1 | -1 | 182 | -1 | 268 | 81 | 10 | -1 | 84 | 256 | 190 | -1 | 88 | -1 | -1 | -1 | 214 | 168 | 1 | 240 | 230 | -1 | 49 | -1 | -1 | -1 | 172 | -1 | -1 | 186 | -1 | 210 | 136 | 208 | -1 | 74 | -1 | -1 | 206 | -1 | -1 | -1 | 89 | 228 | 79 | -1 | 78 | -1 | 75 | 134 +FB_IMUX_INDEX | FOOBAR6_ | 72 | 91 | 92 | 75 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | -1 | 102 | 13 | 14 | 105 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | 94 | -1 | -1 | -1 | 96 | 93 | 238 | -1 | -1 | -1 | -1 | 95 | -1 | -1 | -1 | -1 | -1 | -1 | 89 | 99 | 79 | 103 | 78 | 55 | -1 | 107 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | AoutOE | NULL | 2 | iobm/IOS_FSM_FFd3 | NULL | 3 | iobm/ES<3> | NULL | 4 | iobm/Er2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/Er | NULL | 6 | iobm/DTACKrr | NULL | 7 | iobm/DTACKrf | NULL | 8 | iobm/BERRrr | NULL | 9 | iobm/BERRrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 12 | iobm/ES<2> | NULL | 13 | iobm/VPArr | NULL | 14 | iobm/VPArf | NULL | 15 | iobm/IOS_FSM_FFd1 | NULL | 16 | ALE0M | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 17 | IOU0 | NULL | 22 | nVMA_IOBout | NULL | 23 | nBERR_IOB | 76 | 28 | iobm/ES<1> | NULL | 31 | IOL0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 37 | iobs/Load1 | NULL | 38 | IOACT | NULL | 39 | iobm/ES<0> | NULL | 40 | iobm/IOREQr | NULL | 42 | iobm/ES<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 43 | ALE0S | NULL | 44 | IORW0 | NULL | 46 | iobm/RESrf | NULL | 47 | iobm/IOS_FSM_FFd2 | NULL | 48 | C8M | 23 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | ALE1 | NULL | 50 | iobs/Clear1 | NULL | 52 | iobm/RESrr | NULL | 53 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | A_FSB<21> | 19 | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<0> | NULL | 7 | A_FSB<17> | 15 | 9 | cnt/Er<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 10 | A_FSB<11> | 9 | 11 | cnt/LTimer<4> | NULL | 12 | cnt/LTimer<3> | NULL | 13 | A_FSB<19> | 17 | 15 | cnt/LTimer<11> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | cnt/Timer<1> | NULL | 17 | cnt/Timer<2> | NULL | 19 | cnt/LTimer<12> | NULL | 30 | A_FSB<20> | 18 | 31 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | cnt/LTimer<9> | NULL | 35 | cnt/LTimer<7> | NULL | 36 | cnt/LTimer<5> | NULL | 37 | cnt/LTimer<10> | NULL | 38 | cnt/LTimer<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 39 | A_FSB<1> | 94 | 42 | cnt/LTimer<8> | NULL | 43 | A_FSB<10> | 8 | 45 | cnt/LTimer<2> | NULL | 46 | cnt/TimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 47 | ram/RASEL | NULL | 48 | cnt/Timer<0> | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 52 | cnt/Er<0> | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 92 | 93 | 4 | 5 | 6 | 7 | 8 | 9 | -1 | -1 | 102 | 31 | 32 | 15 | 16 | 17 | -1 | -1 | -1 | -1 | 91 | 175 | -1 | -1 | -1 | -1 | 94 | -1 | -1 | 135 | -1 | -1 | -1 | -1 | -1 | 128 | 105 | 95 | 35 | -1 | 97 | 139 | 36 | -1 | 34 | 99 | 238 | 103 | 53 | -1 | 33 | 107 +FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 228 | -1 | 164 | -1 | 114 | 216 | -1 | 117 | 202 | 65 | 66 | 222 | -1 | 69 | 124 | 125 | -1 | 123 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 226 | 68 | -1 | 56 | -1 | 60 | 63 | 70 | 62 | 156 | -1 | -1 | 57 | 198 | -1 | 67 | 15 | 142 | 120 | 194 | 218 | -1 | 12 | -1 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<0> | NULL | 2 | cnt/LTimer<9> | NULL | 3 | cnt/Er<1> | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 9 | cnt/LTimer<3> | NULL | 10 | A_FSB<11> | 9 | 11 | cnt/LTimer<2> | NULL | 12 | cnt/Er<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | cs/nOverlay | NULL | 14 | cnt/LTimer<4> | NULL | 15 | cnt/LTimer<12> | NULL | 16 | cnt/LTimer<11> | NULL | 17 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 21 | A_FSB<19> | 17 | 24 | cnt/LTimer<6> | NULL | 30 | A_FSB<20> | 18 | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | cnt/LTimer<10> | NULL | 43 | A_FSB<10> | 8 | 45 | A_FSB<1> | 94 | 46 | cnt/LTimer<1> | NULL | 47 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/LTimer<5> | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 51 | cnt/LTimer<8> | NULL | 52 | cnt/LTimer<7> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/RefUrg | NULL | 1 | ram/RegUrgSync | NULL | 2 | ram/RefReqSync | NULL | 3 | ram/RS_FSM_FFd1 | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 7 | iobs/Load1 | NULL | 8 | ram/RefDone | NULL | 9 | ram/BACTr | NULL | 11 | fsb/ASrf | NULL | 12 | cs/ODCSr | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 13 | ram/RS_FSM_FFd3 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | ram/RS_FSM_FFd2 | NULL | 17 | ram/RAMEN | NULL | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 32 | cs/nOverlay | NULL | 33 | nAS_FSB | 32 | 37 | ram/RefReq | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 41 | cnt/nIPL2r | NULL | 42 | iobs/DTACKEN | NULL | 46 | nBERR_IOB | 76 | 47 | cnt/INITS_FSM_FFd2 | NULL | 51 | nUDS_FSB | 33 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 52 | cnt/INITS_FSM_FFd1 | NULL -FB_IMUX_INDEX | FOOBAR7_ | 54 | -1 | 110 | 57 | 164 | -1 | 60 | 216 | -1 | 63 | 202 | 65 | 12 | 49 | 122 | 123 | 124 | 89 | -1 | -1 | -1 | 222 | -1 | -1 | 117 | -1 | -1 | -1 | -1 | -1 | 226 | -1 | -1 | -1 | -1 | -1 | 240 | -1 | -1 | 230 | 125 | -1 | -1 | 198 | -1 | 156 | 66 | 228 | 120 | 194 | 218 | 111 | 114 | 196 - - -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 1 | ram/RefUrg | NULL | 2 | cnt/INITS_FSM_FFd1 | NULL | 3 | iobs/IOACTr | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<16> | 14 | 6 | ram/RS_FSM_FFd2 | NULL | 7 | A_FSB<17> | 15 | 8 | ram/RS_FSM_FFd3 | NULL | 9 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 10 | fsb/ASrf | NULL | 11 | cnt/nIPL2r | NULL | 12 | iobs/PS_FSM_FFd1 | NULL | 13 | cs/nOverlay | NULL | 14 | iobs/IOL1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 15 | ram/RefRAS | NULL | 16 | iobs/Once | NULL | 17 | IOBERR | NULL | 21 | A_FSB<19> | 17 | 27 | nUDS_FSB | 33 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 33 | nAS_FSB | 32 | 34 | iobs/IOReady | NULL | 35 | A_FSB<14> | 12 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | A_FSB<23> | 24 | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 42 | nBR_IOB_OBUF | NULL | 44 | nBERR_FSB_OBUF.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 45 | cnt/INITS_FSM_FFd2 | NULL | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | ram/RS_FSM_FFd1 | NULL | 51 | iobs/PS_FSM_FFd2 | NULL - -FB_IMUX_INDEX | FOOBAR8_ | 226 | 1 | 56 | 3 | 230 | 214 | 78 | 216 | 134 | 218 | 10 | 11 | 138 | 49 | 14 | 87 | 142 | 107 | -1 | -1 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | 272 | 256 | 260 | -1 | -1 | -1 | 268 | 129 | 210 | 240 | 208 | -1 | 74 | -1 | -1 | 140 | -1 | 137 | 67 | -1 | 228 | -1 | 103 | 136 | 132 | -1 | -1 +FB_IMUX_INDEX | FOOBAR8_ | 126 | 1 | 2 | 129 | 148 | -1 | -1 | 79 | 134 | 45 | -1 | 47 | 48 | 139 | 140 | 141 | -1 | 143 | -1 | -1 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | 260 | -1 | -1 | 138 | 268 | -1 | -1 | -1 | 128 | -1 | -1 | -1 | 11 | 50 | -1 | -1 | -1 | 175 | 71 | -1 | -1 | -1 | 272 | 54 | -1 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index a9ecbc7..eafbc97 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index 2f6ebea..5b7737c 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-27-2023, 9:56AM +Design Name: WarpSE Date: 4- 1-2023, 4:45AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -119/144 ( 83%) 380 /720 ( 53%) 214/432 ( 50%) 94 /144 ( 65%) 71 /81 ( 88%) +114/144 ( 79%) 288 /720 ( 40%) 194/432 ( 45%) 88 /144 ( 61%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 22/54 24/90 11/11* -FB2 5/18 3/54 5/90 8/10 -FB3 9/18 34/54 82/90 10/10* -FB4 18/18* 25/54 39/90 10/10* -FB5 17/18 30/54 60/90 8/10 -FB6 18/18* 34/54 63/90 10/10* -FB7 18/18* 31/54 34/90 8/10 -FB8 16/18 35/54 73/90 6/10 +FB1 17/18 20/54 24/90 11/11* +FB2 0/18 0/54 0/90 8/10 +FB3 10/18 30/54 49/90 10/10* +FB4 18/18* 30/54 34/90 10/10* +FB5 18/18* 30/54 59/90 8/10 +FB6 18/18* 28/54 55/90 10/10* +FB7 15/18 30/54 27/90 8/10 +FB8 18/18* 26/54 40/90 6/10 ----- ----- ----- ----- - 119/144 214/432 380/720 71/81 + 114/144 194/432 288/720 71/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 0 0 | ** Power Data ** -There are 119 macrocells in high performance mode (MCHP). +There are 114 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -87,178 +87,170 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused ** 36 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 9 26 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 1 2 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 10 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 5 6 FB7_8 54 I/O O STD FAST -RA<10> 1 1 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -C20MEN 0 0 FB7_14 59 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 2 6 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST -nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 16 26 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 1 2 FB4_5 89 I/O O STD FAST +nDinOE 4 7 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 9 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 1 1 FB7_8 54 I/O O STD FAST +RA<10> 1 1 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +C20MEN 0 0 FB7_14 59 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +nRAS 1 2 FB8_5 64 I/O O STD FAST SET +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 1 2 FB8_12 70 I/O O STD FAST +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 83 Buried Nodes ** +** 78 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RegUrgSync 1 1 FB1_1 STD RESET -ram/RefUrg 1 2 FB1_2 STD RESET -ram/RefReqSync 1 1 FB1_3 STD RESET -iobs/IOACTr 1 1 FB1_4 STD RESET -iobm/Er2 1 1 FB1_5 STD RESET -iobm/Er 1 1 FB1_6 STD RESET -iobm/DTACKrr 1 1 FB1_7 STD RESET -iobm/DTACKrf 1 1 FB1_8 STD RESET -iobm/BERRrr 1 1 FB1_9 STD RESET -iobm/BERRrf 1 1 FB1_10 STD RESET -fsb/ASrf 1 1 FB1_11 STD RESET -cnt/nIPL2r 1 1 FB1_12 STD RESET -cnt/Er<0> 1 1 FB1_13 STD RESET -iobs/IOU1 2 2 FB1_14 STD RESET -iobs/IOL1 2 2 FB1_15 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_16 STD RESET -ALE0M 2 4 FB1_17 STD RESET -IOU0 3 5 FB1_18 STD RESET -iobm/VPArr 1 1 FB2_14 STD RESET -iobm/VPArf 1 1 FB2_15 STD RESET -iobm/RESrr 1 1 FB2_16 STD RESET -iobm/RESrf 1 1 FB2_17 STD RESET -iobm/IOREQr 1 1 FB2_18 STD RESET -IORW0 17 20 FB3_1 STD RESET -fsb/VPA 16 26 FB3_6 STD RESET -iobs/IORW1 16 19 FB3_11 STD RESET -fsb/Ready1r 6 17 FB3_13 STD RESET -cs/nOverlay 3 8 FB3_14 STD RESET -IOREQ 13 19 FB3_16 STD RESET -iobs/Clear1 1 3 FB3_18 STD RESET -cnt/LTimer<0> 1 3 FB4_1 STD RESET -cnt/INITS_FSM_FFd1 1 7 FB4_3 STD RESET -cnt/Er<1> 1 1 FB4_4 STD RESET -cnt/TimerTC 2 6 FB4_7 STD RESET -cnt/Timer<0> 2 4 FB4_9 STD RESET -cnt/LTimer<3> 2 6 FB4_10 STD RESET -cnt/LTimer<2> 2 5 FB4_12 STD RESET -cnt/LTimer<1> 2 4 FB4_13 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB4_14 STD RESET -RefReq 2 5 FB4_15 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RegUrgSync 1 1 FB1_2 STD RESET +ram/RefReqSync 1 1 FB1_3 STD RESET +iobs/IODTACKr 1 1 FB1_4 STD RESET +iobm/VPAr 1 1 FB1_5 STD RESET +iobm/RESrf 1 1 FB1_6 STD RESET +iobm/IOREQr 1 1 FB1_7 STD RESET +iobm/Er2 1 1 FB1_8 STD RESET +iobm/Er 1 1 FB1_9 STD RESET +iobm/DTACKrf 1 1 FB1_10 STD RESET +iobm/BERRrf 1 1 FB1_11 STD RESET +cnt/nIPL2r 1 1 FB1_12 STD RESET +cnt/Er<0> 1 1 FB1_13 STD RESET +ALE0S 1 1 FB1_14 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB1_15 STD RESET +cnt/TimerTC 2 6 FB1_16 STD RESET +RefReq 2 5 FB1_17 STD RESET +RefUrg 5 7 FB1_18 STD RESET +fsb/VPA 10 24 FB3_1 STD RESET +ram/BACTr 1 2 FB3_10 STD RESET +iobs/IOACTr 1 1 FB3_11 STD RESET +fsb/ASrf 1 1 FB3_12 STD RESET +cs/ODCSr 2 6 FB3_13 STD RESET +$OpTx$$OpTx$FX_DC$48_INV$124 2 7 FB3_14 STD +iobs/DTACKEN 6 12 FB3_15 STD RESET +fsb/Ready1r 9 13 FB3_16 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB4_1 STD RESET +cnt/LTimer<9> 2 12 FB4_3 STD RESET +cnt/LTimer<8> 2 11 FB4_4 STD RESET +cnt/LTimer<7> 2 10 FB4_7 STD RESET +cnt/LTimer<6> 2 9 FB4_9 STD RESET +cnt/LTimer<5> 2 8 FB4_10 STD RESET +cnt/LTimer<4> 2 7 FB4_12 STD RESET +cnt/LTimer<3> 2 6 FB4_13 STD RESET +cnt/LTimer<2> 2 5 FB4_14 STD RESET +cnt/LTimer<1> 2 4 FB4_15 STD RESET +cnt/LTimer<11> 2 14 FB4_16 STD RESET +cnt/LTimer<10> 2 13 FB4_17 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB4_18 STD RESET +iobs/Clear1 1 2 FB5_1 STD RESET +iobs/TS_FSM_FFd1 2 3 FB5_3 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/Timer<1> 4 5 FB4_16 STD RESET -cnt/Timer<2> 5 6 FB4_17 STD RESET -RefUrg 5 7 FB4_18 STD RESET -ram/RAMEN 9 12 FB5_3 STD RESET -ram/RAMReady 9 12 FB5_4 STD RESET -ram/RS_FSM_FFd2 7 11 FB5_7 STD RESET -fsb/Ready0r 2 7 FB5_8 STD RESET -ram/RefDone 2 4 FB5_10 STD RESET -ram/BACTr 1 2 FB5_13 STD RESET -ram/RefRAS 1 2 FB5_16 STD RESET -ram/RefReq 1 2 FB5_17 STD RESET -ram/RASEL 14 12 FB5_18 STD RESET -iobm/ETACK 1 6 FB6_1 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_3 STD RESET -iobm/ES<3> 3 6 FB6_4 STD RESET -iobm/ES<1> 3 4 FB6_5 STD RESET -iobm/ES<0> 3 7 FB6_6 STD RESET -iobm/DoutOE 3 6 FB6_7 STD RESET -iobm/ES<4> 4 7 FB6_8 STD RESET -iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET -iobm/ES<2> 5 7 FB6_13 STD RESET -IOACT 6 12 FB6_16 STD RESET -IOBERR 9 13 FB6_18 STD RESET -cnt/LTimerTC 2 16 FB7_1 STD RESET -cnt/LTimer<9> 2 12 FB7_3 STD RESET -cnt/LTimer<8> 2 11 FB7_4 STD RESET -cnt/LTimer<7> 2 10 FB7_7 STD RESET -cnt/LTimer<6> 2 9 FB7_10 STD RESET -cnt/LTimer<5> 2 8 FB7_13 STD RESET -cnt/LTimer<4> 2 7 FB7_15 STD RESET -cnt/LTimer<12> 2 15 FB7_16 STD RESET -cnt/LTimer<11> 2 14 FB7_17 STD RESET -cnt/LTimer<10> 2 13 FB7_18 STD RESET -iobs/Load1 14 18 FB8_3 STD RESET -iobs/IOReady 4 8 FB8_4 STD RESET -iobs/PS_FSM_FFd2 12 19 FB8_7 STD RESET -ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET -IOL0 3 5 FB8_10 STD RESET -ram/RS_FSM_FFd1 3 9 FB8_11 STD RESET -iobs/PS_FSM_FFd1 2 3 FB8_13 STD RESET - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ALE0S 1 2 FB8_14 STD RESET -nRESout 1 2 FB8_16 STD RESET -iobs/Once 15 18 FB8_17 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +IOU0 3 5 FB5_4 STD RESET +IOL0 3 5 FB5_7 STD RESET +iobs/Load1 4 9 FB5_8 STD RESET +iobs/IORW1 4 10 FB5_10 STD RESET +iobs/TS_FSM_FFd2 5 12 FB5_13 STD RESET +IOREQ 5 12 FB5_16 STD RESET +iobs/Sent 9 12 FB5_17 STD RESET +IORW0 9 14 FB5_18 STD RESET +iobm/ETACK 1 6 FB6_1 STD RESET +ALE0M 2 4 FB6_3 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB6_4 STD RESET +iobm/ES<3> 3 6 FB6_5 STD RESET +iobm/ES<1> 3 4 FB6_6 STD RESET +iobm/ES<0> 3 7 FB6_7 STD RESET +iobm/DoutOE 3 6 FB6_8 STD RESET +iobm/IOS_FSM_FFd2 4 8 FB6_10 STD RESET +iobm/ES<4> 4 7 FB6_13 STD RESET +iobm/ES<2> 5 7 FB6_16 STD RESET +IOACT 6 9 FB6_18 STD RESET +cnt/LTimer<0> 1 3 FB7_7 STD RESET +cnt/Er<1> 1 1 FB7_10 STD RESET +cnt/Timer<0> 2 4 FB7_13 STD RESET +cnt/LTimerTC 2 16 FB7_15 STD RESET +cnt/LTimer<12> 2 15 FB7_16 STD RESET +cnt/Timer<1> 4 5 FB7_17 STD RESET +cnt/Timer<2> 5 6 FB7_18 STD RESET +ram/RefUrg 1 2 FB8_1 STD RESET +ram/RefReq 1 2 FB8_3 STD RESET +ram/RS_FSM_FFd1 1 2 FB8_4 STD RESET +nRESout 1 2 FB8_7 STD RESET +ram/RefDone 2 4 FB8_9 STD RESET +iobs/IOU1 2 2 FB8_10 STD RESET +iobs/IOL1 2 2 FB8_11 STD RESET +cs/nOverlay 2 5 FB8_13 STD RESET +ram/RS_FSM_FFd3 3 6 FB8_14 STD RESET +ram/RS_FSM_FFd2 5 8 FB8_16 STD RESET +ram/RASEL 6 8 FB8_17 STD RESET +ram/RAMEN 7 9 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -276,64 +268,62 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 22/32 -Number of signals used by logic mapping into function block: 22 +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RegUrgSync 1 0 0 4 FB1_1 (b) (b) -ram/RefUrg 1 0 0 4 FB1_2 11 I/O I +(unused) 0 0 0 5 FB1_1 (b) +ram/RegUrgSync 1 0 0 4 FB1_2 11 I/O I ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I -iobs/IOACTr 1 0 0 4 FB1_4 (b) (b) -iobm/Er2 1 0 0 4 FB1_5 13 I/O I -iobm/Er 1 0 0 4 FB1_6 14 I/O I -iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b) -iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I -iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I -iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) -fsb/ASrf 1 0 0 4 FB1_11 17 I/O I +iobs/IODTACKr 1 0 0 4 FB1_4 (b) (b) +iobm/VPAr 1 0 0 4 FB1_5 13 I/O I +iobm/RESrf 1 0 0 4 FB1_6 14 I/O I +iobm/IOREQr 1 0 0 4 FB1_7 (b) (b) +iobm/Er2 1 0 0 4 FB1_8 15 I/O I +iobm/Er 1 0 0 4 FB1_9 16 I/O I +iobm/DTACKrf 1 0 0 4 FB1_10 (b) (b) +iobm/BERRrf 1 0 0 4 FB1_11 17 I/O I cnt/nIPL2r 1 0 0 4 FB1_12 18 I/O I cnt/Er<0> 1 0 0 4 FB1_13 (b) (b) -iobs/IOU1 2 0 0 3 FB1_14 19 I/O I -iobs/IOL1 2 0 0 3 FB1_15 20 I/O I -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_16 (b) (b) -ALE0M 2 0 0 3 FB1_17 22 GCK/I/O GCK -IOU0 3 0 0 2 FB1_18 (b) (b) +ALE0S 1 0 0 4 FB1_14 19 I/O I +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_15 20 I/O I +cnt/TimerTC 2 0 0 3 FB1_16 (b) (b) +RefReq 2 0 0 3 FB1_17 22 GCK/I/O GCK +RefUrg 5 0 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 9: iobm/IOS_FSM_FFd3 16: nBERR_IOB - 2: IOACT 10: iobs/IOU1 17: nDTACK_IOB - 3: RefReq 11: iobs/Load1 18: nIPL2 - 4: RefUrg 12: iobs/PS_FSM_FFd1 19: nLDS_FSB - 5: iobm/Er 13: iobs/PS_FSM_FFd2 20: nUDS_FSB - 6: iobm/IOREQr 14: nADoutLE1 21: ram/RefDone - 7: iobm/IOS_FSM_FFd1 15: nAS_FSB 22: ram/RegUrgSync - 8: iobm/IOS_FSM_FFd2 + 1: E 8: cnt/Timer<0> 15: iobm/IOS_FSM_FFd3 + 2: IOREQ 9: cnt/Timer<1> 16: iobs/TS_FSM_FFd2 + 3: nRES.PIN 10: cnt/Timer<2> 17: nBERR_IOB + 4: RefReq 11: cnt/TimerTC 18: nDTACK_IOB + 5: RefUrg 12: iobm/Er 19: nIPL2 + 6: cnt/Er<0> 13: iobm/IOS_FSM_FFd1 20: nVPA_IOB + 7: cnt/Er<1> 14: iobm/IOS_FSM_FFd2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgSync ...X.................................... 1 -ram/RefUrg ....................XX.................. 2 -ram/RefReqSync ..X..................................... 1 -iobs/IOACTr .X...................................... 1 -iobm/Er2 ....X................................... 1 +ram/RegUrgSync ....X................................... 1 +ram/RefReqSync ...X.................................... 1 +iobs/IODTACKr .................X...................... 1 +iobm/VPAr ...................X.................... 1 +iobm/RESrf ..X..................................... 1 +iobm/IOREQr .X...................................... 1 +iobm/Er2 ...........X............................ 1 iobm/Er X....................................... 1 -iobm/DTACKrr ................X....................... 1 -iobm/DTACKrf ................X....................... 1 -iobm/BERRrr ...............X........................ 1 -iobm/BERRrf ...............X........................ 1 -fsb/ASrf ..............X......................... 1 -cnt/nIPL2r .................X...................... 1 +iobm/DTACKrf .................X...................... 1 +iobm/BERRrf ................X....................... 1 +cnt/nIPL2r ..................X..................... 1 cnt/Er<0> X....................................... 1 -iobs/IOU1 ..........X........X.................... 2 -iobs/IOL1 ..........X.......X..................... 2 -iobm/IOS_FSM_FFd1 ......XXX............................... 3 -ALE0M .....XXXX............................... 4 -IOU0 .........X.XXX.....X.................... 5 +ALE0S ...............X........................ 1 +iobm/IOS_FSM_FFd1 ............XXX......................... 3 +cnt/TimerTC ....XXXXXX.............................. 6 +RefReq ....XXX.XX.............................. 5 +RefUrg ....XXXXXXX............................. 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 3/51 -Number of signals used by logic mapping into function block: 3 +Number of function block inputs used/remaining: 0/54 +Number of signals used by logic mapping into function block: 0 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -349,130 +339,119 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_11 6 I/O I (unused) 0 0 0 5 FB2_12 7 I/O I (unused) 0 0 0 5 FB2_13 (b) -iobm/VPArr 1 0 0 4 FB2_14 8 I/O I -iobm/VPArf 1 0 0 4 FB2_15 9 I/O I -iobm/RESrr 1 0 0 4 FB2_16 (b) (b) -iobm/RESrf 1 0 0 4 FB2_17 10 I/O I -iobm/IOREQr 1 0 0 4 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: IOREQ 2: nRES.PIN 3: nVPA_IOB - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..X..................................... 1 -iobm/VPArf ..X..................................... 1 -iobm/RESrr .X...................................... 1 -iobm/RESrf .X...................................... 1 -iobm/IOREQr X....................................... 1 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +(unused) 0 0 0 5 FB2_14 8 I/O I +(unused) 0 0 0 5 FB2_15 9 I/O I +(unused) 0 0 0 5 FB2_16 (b) +(unused) 0 0 0 5 FB2_17 10 I/O I +(unused) 0 0 0 5 FB2_18 (b) *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IORW0 17 12<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 /\3 2 FB3_3 (b) (b) -(unused) 0 0 \/1 4 FB3_4 (b) (b) -(unused) 0 0 \/5 0 FB3_5 24 I/O I -fsb/VPA 16 11<- 0 0 FB3_6 25 I/O I -(unused) 0 0 /\5 0 FB3_7 (b) (b) +fsb/VPA 10 5<- 0 0 FB3_1 (b) (b) +(unused) 0 0 0 5 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 0 5 FB3_3 (b) +(unused) 0 0 0 5 FB3_4 (b) +(unused) 0 0 0 5 FB3_5 24 I/O I +(unused) 0 0 0 5 FB3_6 25 I/O I +(unused) 0 0 \/1 4 FB3_7 (b) (b) (unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 9 5<- \/1 0 FB3_9 28 I/O O -(unused) 0 0 \/5 0 FB3_10 (b) (b) -iobs/IORW1 16 11<- 0 0 FB3_11 29 I/O I -(unused) 0 0 /\5 0 FB3_12 30 I/O I -fsb/Ready1r 6 1<- 0 0 FB3_13 (b) (b) -cs/nOverlay 3 0 /\1 1 FB3_14 32 I/O I -(unused) 0 0 \/5 0 FB3_15 33 I/O I -IOREQ 13 8<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\3 1 FB3_17 34 I/O O -iobs/Clear1 1 0 \/4 0 FB3_18 (b) (b) +nDTACK_FSB 16 11<- 0 0 FB3_9 28 I/O O +ram/BACTr 1 1<- /\5 0 FB3_10 (b) (b) +iobs/IOACTr 1 0 /\1 3 FB3_11 29 I/O I +fsb/ASrf 1 0 0 4 FB3_12 30 I/O I +cs/ODCSr 2 0 0 3 FB3_13 (b) (b) +$OpTx$$OpTx$FX_DC$48_INV$124 + 2 0 \/1 2 FB3_14 32 I/O I +iobs/DTACKEN 6 1<- 0 0 FB3_15 33 I/O I +fsb/Ready1r 9 4<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IOACTr - 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 - 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady - 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once - 5: A_FSB<14> 17: IORW0 28: iobs/PS_FSM_FFd1 - 6: A_FSB<15> 18: nRES.PIN 29: iobs/PS_FSM_FFd2 - 7: A_FSB<16> 19: cs/nOverlay 30: nADoutLE1 - 8: A_FSB<17> 20: fsb/ASrf 31: nAS_FSB - 9: A_FSB<18> 21: fsb/Ready0r 32: nDTACK_FSB - 10: A_FSB<19> 22: fsb/Ready1r 33: nWE_FSB - 11: A_FSB<20> 23: fsb/VPA 34: ram/RAMReady - 12: A_FSB<21> + 1: $OpTx$$OpTx$FX_DC$48_INV$124 11: A_FSB<19> 21: fsb/Ready1r + 2: A_FSB<10> 12: A_FSB<20> 22: fsb/VPA + 3: A_FSB<11> 13: A_FSB<21> 23: iobs/DTACKEN + 4: A_FSB<12> 14: A_FSB<22> 24: iobs/IOACTr + 5: A_FSB<13> 15: A_FSB<23> 25: iobs/IODTACKr + 6: A_FSB<14> 16: A_FSB<8> 26: iobs/Sent + 7: A_FSB<15> 17: A_FSB<9> 27: nADoutLE1 + 8: A_FSB<16> 18: IOACT 28: nAS_FSB + 9: A_FSB<17> 19: cs/nOverlay 29: nDTACK_FSB + 10: A_FSB<18> 20: fsb/ASrf 30: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 ...XX.XXXXXXXX..X.XX....X.XXXXX.X....... 20 -fsb/VPA XXXXXXXXXXXXXXXX..XXXXX..X...XX.XX...... 26 -nDTACK_FSB XXXXXXXXXXXXXXXX..XXXX...X...XXXXX...... 26 -iobs/IORW1 ...XX.XXXXXXXX....XX....X.XXXXX.X....... 19 -fsb/Ready1r ...XX.XXXXXXXX....XX.X...X...XX.X....... 17 -cs/nOverlay ..........XXXX...XXX..........X......... 8 -IOREQ ...XX.XXXXXXXX....XX...X..XXXXX.X....... 19 -nROMWE ..............................X.X....... 2 -iobs/Clear1 ...........................XXX.......... 3 +fsb/VPA XXXXXXXXXXXXXXXXXX.XXXX.X..X............ 24 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXXX.X.X.XXXX.......... 26 +ram/BACTr ...................X.......X............ 2 +iobs/IOACTr .................X...................... 1 +fsb/ASrf ...........................X............ 1 +cs/ODCSr ...........XXXX....X.......X............ 6 +$OpTx$$OpTx$FX_DC$48_INV$124 + ...........XXXX...X.......X..X.......... 7 +iobs/DTACKEN ...........XXXX...XX..XX.XXX.X.......... 12 +fsb/Ready1r ...........XXXX..XXXX.X.X.XX.X.......... 13 +nROMWE ...........................X.X.......... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<0> 1 0 0 4 FB4_1 (b) (b) +cnt/INITS_FSM_FFd1 1 0 0 4 FB4_1 (b) (b) nAoutOE 2 0 0 3 FB4_2 87 I/O O -cnt/INITS_FSM_FFd1 1 0 0 4 FB4_3 (b) (b) -cnt/Er<1> 1 0 0 4 FB4_4 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB4_3 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB4_4 (b) (b) nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/TimerTC 2 0 0 3 FB4_7 (b) (b) +nDinOE 4 0 0 1 FB4_6 90 I/O O +cnt/LTimer<7> 2 0 0 3 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/Timer<0> 2 0 0 3 FB4_9 92 I/O I -cnt/LTimer<3> 2 0 0 3 FB4_10 (b) (b) +cnt/LTimer<6> 2 0 0 3 FB4_9 92 I/O I +cnt/LTimer<5> 2 0 0 3 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/LTimer<2> 2 0 0 3 FB4_12 94 I/O I -cnt/LTimer<1> 2 0 0 3 FB4_13 (b) (b) -cnt/INITS_FSM_FFd2 2 0 0 3 FB4_14 95 I/O I -RefReq 2 0 0 3 FB4_15 96 I/O I -cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I -RefUrg 5 0 0 0 FB4_18 (b) (b) +cnt/LTimer<4> 2 0 0 3 FB4_12 94 I/O I +cnt/LTimer<3> 2 0 0 3 FB4_13 (b) (b) +cnt/LTimer<2> 2 0 0 3 FB4_14 95 I/O I +cnt/LTimer<1> 2 0 0 3 FB4_15 96 I/O I +cnt/LTimer<11> 2 0 0 3 FB4_16 (b) (b) +cnt/LTimer<10> 2 0 0 3 FB4_17 97 I/O I +cnt/INITS_FSM_FFd2 2 0 0 3 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 10: cnt/LTimer<0> 18: cnt/nIPL2r - 2: A_FSB<21> 11: cnt/LTimer<1> 19: fsb/VPA - 3: A_FSB<22> 12: cnt/LTimer<2> 20: iobm/DoutOE - 4: A_FSB<23> 13: cnt/LTimerTC 21: nAS_FSB - 5: RefUrg 14: cnt/Timer<0> 22: nAoutOE - 6: cnt/Er<0> 15: cnt/Timer<1> 23: nBR_IOB - 7: cnt/Er<1> 16: cnt/Timer<2> 24: nRESout - 8: cnt/INITS_FSM_FFd1 17: cnt/TimerTC 25: nWE_FSB - 9: cnt/INITS_FSM_FFd2 + 1: A_FSB<20> 11: cnt/LTimer<1> 21: cnt/TimerTC + 2: A_FSB<21> 12: cnt/LTimer<2> 22: cnt/nIPL2r + 3: A_FSB<22> 13: cnt/LTimer<3> 23: cs/nOverlay + 4: A_FSB<23> 14: cnt/LTimer<4> 24: fsb/VPA + 5: cnt/Er<0> 15: cnt/LTimer<5> 25: iobm/DoutOE + 6: cnt/Er<1> 16: cnt/LTimer<6> 26: nAS_FSB + 7: cnt/INITS_FSM_FFd1 17: cnt/LTimer<7> 27: nAoutOE + 8: cnt/INITS_FSM_FFd2 18: cnt/LTimer<8> 28: nBR_IOB + 9: cnt/LTimer<0> 19: cnt/LTimer<9> 29: nRESout + 10: cnt/LTimer<10> 20: cnt/LTimerTC 30: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<0> .....XX.........X....................... 3 -nAoutOE .......XX............XX................. 4 -cnt/INITS_FSM_FFd1 .....XXXX...X...XX...................... 7 -cnt/Er<1> .....X.................................. 1 -nDoutOE ...................X.X.................. 2 -nDinOE XXXX................X...X............... 6 -cnt/TimerTC ....XXX......XXX........................ 6 -nRES .......................X................ 1 -cnt/Timer<0> .....XX......X..X....................... 4 -cnt/LTimer<3> .....XX..XXX....X....................... 6 -nVPA_FSB ..................X.X................... 2 -cnt/LTimer<2> .....XX..XX.....X....................... 5 -cnt/LTimer<1> .....XX..X......X....................... 4 -cnt/INITS_FSM_FFd2 .....XXXX...X...X....................... 6 -RefReq ....XXX.......XX........................ 5 -cnt/Timer<1> .....XX......XX.X....................... 5 -cnt/Timer<2> .....XX......XXXX....................... 6 -RefUrg ....XXX......XXXX....................... 7 +cnt/INITS_FSM_FFd1 ....XXXX...........XXX.................. 7 +nAoutOE ......XX..................XX............ 4 +cnt/LTimer<9> ....XX..X.XXXXXXXX..X................... 12 +cnt/LTimer<8> ....XX..X.XXXXXXX...X................... 11 +nDoutOE ........................X.X............. 2 +nDinOE XXXX..................X..X...X.......... 7 +cnt/LTimer<7> ....XX..X.XXXXXX....X................... 10 +nRES ............................X........... 1 +cnt/LTimer<6> ....XX..X.XXXXX.....X................... 9 +cnt/LTimer<5> ....XX..X.XXXX......X................... 8 +nVPA_FSB .......................X.X.............. 2 +cnt/LTimer<4> ....XX..X.XXX.......X................... 7 +cnt/LTimer<3> ....XX..X.XX........X................... 6 +cnt/LTimer<2> ....XX..X.X.........X................... 5 +cnt/LTimer<1> ....XX..X...........X................... 4 +cnt/LTimer<11> ....XX..XXXXXXXXXXX.X................... 14 +cnt/LTimer<10> ....XX..X.XXXXXXXXX.X................... 13 +cnt/INITS_FSM_FFd2 ....XXXX...........XX................... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** @@ -480,246 +459,244 @@ Number of function block inputs used/remaining: 30/24 Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 \/3 0 FB5_2 35 I/O O -ram/RAMEN 9 4<- 0 0 FB5_3 (b) (b) -ram/RAMReady 9 5<- /\1 0 FB5_4 (b) (b) -nCAS 1 1<- /\5 0 FB5_5 36 I/O O -nOE 1 0 /\1 3 FB5_6 37 I/O O -ram/RS_FSM_FFd2 7 2<- 0 0 FB5_7 (b) (b) -fsb/Ready0r 2 0 /\2 1 FB5_8 39 I/O (b) +iobs/Clear1 1 1<- /\5 0 FB5_1 (b) (b) +nROMCS 2 0 /\1 2 FB5_2 35 I/O O +iobs/TS_FSM_FFd1 2 0 0 3 FB5_3 (b) (b) +IOU0 3 0 0 2 FB5_4 (b) (b) +nCAS 1 0 0 4 FB5_5 36 I/O O +nOE 1 0 0 4 FB5_6 37 I/O O +IOL0 3 0 0 2 FB5_7 (b) (b) +iobs/Load1 4 0 0 1 FB5_8 39 I/O (b) RA<4> 2 0 0 3 FB5_9 40 I/O O -ram/RefDone 2 0 0 3 FB5_10 (b) (b) +iobs/IORW1 4 0 0 1 FB5_10 (b) (b) RA<3> 2 0 0 3 FB5_11 41 I/O O RA<5> 2 0 0 3 FB5_12 42 I/O O -ram/BACTr 1 0 0 4 FB5_13 (b) (b) +iobs/TS_FSM_FFd2 5 0 0 0 FB5_13 (b) (b) RA<2> 2 0 0 3 FB5_14 43 I/O O -RA<6> 2 0 0 3 FB5_15 46 I/O O -ram/RefRAS 1 0 0 4 FB5_16 (b) (b) -ram/RefReq 1 0 \/4 0 FB5_17 49 I/O (b) -ram/RASEL 14 9<- 0 0 FB5_18 (b) (b) +RA<6> 2 0 \/3 0 FB5_15 46 I/O O +IOREQ 5 3<- \/3 0 FB5_16 (b) (b) +iobs/Sent 9 4<- 0 0 FB5_17 49 I/O (b) +IORW0 9 5<- /\1 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 11: A_FSB<4> 21: ram/RAMEN - 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMReady - 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL - 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 - 5: A_FSB<16> 15: cs/nOverlay 25: ram/RS_FSM_FFd2 - 6: A_FSB<20> 16: fsb/ASrf 26: ram/RS_FSM_FFd3 - 7: A_FSB<21> 17: fsb/Ready0r 27: ram/RefDone - 8: A_FSB<22> 18: nAS_FSB 28: ram/RefReq - 9: A_FSB<23> 19: nWE_FSB 29: ram/RefReqSync - 10: A_FSB<3> 20: ram/BACTr 30: ram/RefUrg + 1: A_FSB<12> 11: A_FSB<4> 21: iobs/IOU1 + 2: A_FSB<13> 12: A_FSB<5> 22: iobs/Sent + 3: A_FSB<14> 13: A_FSB<6> 23: iobs/TS_FSM_FFd1 + 4: A_FSB<15> 14: A_FSB<7> 24: iobs/TS_FSM_FFd2 + 5: A_FSB<16> 15: IORW0 25: nADoutLE1 + 6: A_FSB<20> 16: cs/nOverlay 26: nAS_FSB + 7: A_FSB<21> 17: fsb/ASrf 27: nLDS_FSB + 8: A_FSB<22> 18: iobs/IOACTr 28: nUDS_FSB + 9: A_FSB<23> 19: iobs/IOL1 29: nWE_FSB + 10: A_FSB<3> 20: iobs/IORW1 30: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS .....XXXX.....X......................... 5 -ram/RAMEN .......XX.....XX.X.XX..XXX.X.X.......... 12 -ram/RAMReady .......XX.....XX.X.XX..XXX.X.X.......... 12 -nCAS ......................X................. 1 -nOE .................XX..................... 2 -ram/RS_FSM_FFd2 .......XX.....XX.X.X...XXX.X.X.......... 11 -fsb/Ready0r .......XX.....XXXX...X.................. 7 -RA<4> ..X........X..........X................. 3 -ram/RefDone .......................XX.X.X........... 4 -RA<3> .X........X...........X................. 3 -RA<5> ...X........X.........X................. 3 -ram/BACTr ...............X.X...................... 2 -RA<2> X........X............X................. 3 -RA<6> ....X........X........X................. 3 -ram/RefRAS .......................XX............... 2 -ram/RefReq ..........................X.X........... 2 -ram/RASEL .......XX.....XX.X.XX..XXX.X.X.......... 12 +iobs/Clear1 ......................XX................ 2 +nROMCS .....XXXX......X........................ 5 +iobs/TS_FSM_FFd1 .................X....XX................ 3 +IOU0 ....................X.XXX..X............ 5 +nCAS .............................X.......... 1 +nOE .........................X..X........... 2 +IOL0 ..................X...XXX.X............. 5 +iobs/Load1 .......XX.......X....XXXXX..X........... 9 +RA<4> ..X........X.................X.......... 3 +iobs/IORW1 .......XX.......X..X.XXXXX..X........... 10 +RA<3> .X........X..................X.......... 3 +RA<5> ...X........X................X.......... 3 +iobs/TS_FSM_FFd2 .....XXXX......XXX...XXXXX.............. 12 +RA<2> X........X...................X.......... 3 +RA<6> ....X........X...............X.......... 3 +IOREQ .....XXXX......XXX...XXXXX.............. 12 +iobs/Sent .....XXXX......XX....XXXXX..X........... 12 +IORW0 .....XXXX.....XXX..X.XXXXX..X........... 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -iobm/IOS_FSM_FFd3 3 0 0 2 FB6_3 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I -iobm/DoutOE 3 0 0 2 FB6_7 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +ALE0M 2 0 0 3 FB6_3 (b) (b) +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_4 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I +iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) +iobm/DoutOE 3 0 0 2 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) +iobm/IOS_FSM_FFd2 4 0 0 1 FB6_10 (b) (b) nUDS_IOB 4 0 0 1 FB6_11 80 I/O O nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -IOACT 6 1<- 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/4 0 FB6_17 86 I/O O -IOBERR 9 4<- 0 0 FB6_18 (b) (b) +nADoutLE0 1 0 0 4 FB6_15 85 I/O O +iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/1 3 FB6_17 86 I/O O +IOACT 6 1<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 - 2: ALE0S 14: iobm/ES<1> 25: iobm/RESrf - 3: C8M 15: iobm/ES<2> 26: iobm/RESrr - 4: IOACT 16: iobm/ES<3> 27: iobm/VPArf - 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArr - 6: IOL0 18: iobm/ETACK 29: iobs/Clear1 - 7: IORW0 19: iobm/Er 30: iobs/Load1 - 8: IOU0 20: iobm/Er2 31: nADoutLE1 - 9: iobm/BERRrf 21: iobm/IOREQr 32: nAoutOE - 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB - 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB - 12: iobm/DTACKrr + 1: ALE0M 11: iobm/ES<1> 20: iobm/IOS_FSM_FFd2 + 2: ALE0S 12: iobm/ES<2> 21: iobm/IOS_FSM_FFd3 + 3: C8M 13: iobm/ES<3> 22: iobm/RESrf + 4: IOACT 14: iobm/ES<4> 23: iobm/VPAr + 5: IOL0 15: iobm/ETACK 24: iobs/Clear1 + 6: IORW0 16: iobm/Er 25: iobs/Load1 + 7: IOU0 17: iobm/Er2 26: nADoutLE1 + 8: iobm/BERRrf 18: iobm/IOREQr 27: nAoutOE + 9: iobm/DTACKrf 19: iobm/IOS_FSM_FFd1 28: nVMA_IOB + 10: iobm/ES<0> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ............XXXXX................X...... 6 -nVMA_IOB ...X........XXXXX.........XX...X.X...... 10 -iobm/IOS_FSM_FFd3 ..X.................XXXX.......X........ 6 -iobm/ES<3> ............XXXX..XX.................... 6 -iobm/ES<1> ............XX....XX.................... 4 -iobm/ES<0> ............XXXXX.XX.................... 7 -iobm/DoutOE ......X.............XXXX.......X........ 6 -iobm/ES<4> ............XXXXX.XX.................... 7 -nLDS_IOB .....XX..............XXX.......X........ 6 -iobm/IOS_FSM_FFd2 ..X.....XXXX.....X...XXXXX.............. 11 -nUDS_IOB ......XX.............XXX.......X........ 6 -nAS_IOB .....................XXX.......X........ 4 -iobm/ES<2> ............XXXXX.XX.................... 7 -nADoutLE1 ............................XXX......... 3 +iobm/ETACK .........XXXXX.............X............ 6 +nVMA_IOB ...X.....XXXXX........X...XX............ 9 +ALE0M .................XXXX................... 4 +iobm/IOS_FSM_FFd3 ..X..............XXXX.....X............. 6 +iobm/ES<3> .........XXXX..XX....................... 6 +iobm/ES<1> .........XX....XX....................... 4 +iobm/ES<0> .........XXXXX.XX....................... 7 +iobm/DoutOE .....X...........XXXX.....X............. 6 +nLDS_IOB ....XX............XXX.....X............. 6 +iobm/IOS_FSM_FFd2 ..X....XX.....X...XXXX.................. 8 +nUDS_IOB .....XX...........XXX.....X............. 6 +nAS_IOB ..................XXX.....X............. 4 +iobm/ES<4> .........XXXXX.XX....................... 7 +nADoutLE1 .......................XXX.............. 3 nADoutLE0 XX...................................... 2 -IOACT ..X.....XXXX.....X..XXXXXX.............. 12 -nDinLE .....................XX................. 2 -IOBERR ..X.X...XXXX.....X...XXXXX......X....... 13 +iobm/ES<2> .........XXXXX.XX....................... 7 +nDinLE ..................XX.................... 2 +IOACT ..X....XX.....X..XXXXX.................. 9 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 31/23 -Number of signals used by logic mapping into function block: 31 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimerTC 2 0 0 3 FB7_1 (b) (b) +(unused) 0 0 0 5 FB7_1 (b) RA<1> 2 0 0 3 FB7_2 50 I/O O -cnt/LTimer<9> 2 0 0 3 FB7_3 (b) (b) -cnt/LTimer<8> 2 0 0 3 FB7_4 (b) (b) +(unused) 0 0 0 5 FB7_3 (b) +(unused) 0 0 0 5 FB7_4 (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<7> 2 0 0 3 FB7_7 (b) (b) -RA<8> 5 0 0 0 FB7_8 54 I/O O +cnt/LTimer<0> 1 0 0 4 FB7_7 (b) (b) +RA<8> 1 0 0 4 FB7_8 54 I/O O RA<10> 1 0 0 4 FB7_9 55 I/O O -cnt/LTimer<6> 2 0 0 3 FB7_10 (b) (b) +cnt/Er<1> 1 0 0 4 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<5> 2 0 0 3 FB7_13 (b) (b) +cnt/Timer<0> 2 0 0 3 FB7_13 (b) (b) C20MEN 0 0 0 5 FB7_14 59 I/O O -cnt/LTimer<4> 2 0 0 3 FB7_15 60 I/O (b) +cnt/LTimerTC 2 0 0 3 FB7_15 60 I/O (b) cnt/LTimer<12> 2 0 0 3 FB7_16 (b) (b) -cnt/LTimer<11> 2 0 0 3 FB7_17 61 I/O (b) -cnt/LTimer<10> 2 0 0 3 FB7_18 (b) (b) +cnt/Timer<1> 4 0 0 1 FB7_17 61 I/O (b) +cnt/Timer<2> 5 0 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 12: A_FSB<8> 22: cnt/LTimer<3> - 2: A_FSB<11> 13: A_FSB<9> 23: cnt/LTimer<4> - 3: A_FSB<17> 14: cnt/Er<0> 24: cnt/LTimer<5> - 4: A_FSB<18> 15: cnt/Er<1> 25: cnt/LTimer<6> - 5: A_FSB<19> 16: cnt/LTimer<0> 26: cnt/LTimer<7> - 6: A_FSB<1> 17: cnt/LTimer<10> 27: cnt/LTimer<8> - 7: A_FSB<20> 18: cnt/LTimer<11> 28: cnt/LTimer<9> - 8: A_FSB<21> 19: cnt/LTimer<12> 29: cnt/TimerTC - 9: A_FSB<22> 20: cnt/LTimer<1> 30: cs/nOverlay - 10: A_FSB<23> 21: cnt/LTimer<2> 31: ram/RASEL - 11: A_FSB<2> + 1: A_FSB<10> 11: cnt/Er<0> 21: cnt/LTimer<5> + 2: A_FSB<11> 12: cnt/Er<1> 22: cnt/LTimer<6> + 3: A_FSB<17> 13: cnt/LTimer<0> 23: cnt/LTimer<7> + 4: A_FSB<18> 14: cnt/LTimer<10> 24: cnt/LTimer<8> + 5: A_FSB<19> 15: cnt/LTimer<11> 25: cnt/LTimer<9> + 6: A_FSB<1> 16: cnt/LTimer<12> 26: cnt/Timer<0> + 7: A_FSB<20> 17: cnt/LTimer<1> 27: cnt/Timer<1> + 8: A_FSB<21> 18: cnt/LTimer<2> 28: cnt/Timer<2> + 9: A_FSB<2> 19: cnt/LTimer<3> 29: cnt/TimerTC + 10: A_FSB<8> 20: cnt/LTimer<4> 30: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 -RA<1> .X........X...................X......... 3 -cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 -cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 -RA<7> ..X........X..................X......... 3 -RA<0> X....X........................X......... 3 -cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 -RA<8> ...X....XX..X................XX......... 6 +RA<1> .X......X....................X.......... 3 +RA<7> ..X......X...................X.......... 3 +RA<0> X....X.......................X.......... 3 +cnt/LTimer<0> ..........XX................X........... 3 +RA<8> ...X.................................... 1 RA<10> .......X................................ 1 -cnt/LTimer<6> .............XXX...XXXXX....X........... 9 -RA<9> ....X.X.......................X......... 3 +cnt/Er<1> ..........X............................. 1 +RA<9> ....X.X......................X.......... 3 C25MEN ........................................ 0 -cnt/LTimer<5> .............XXX...XXXX.....X........... 8 +cnt/Timer<0> ..........XX.............X..X........... 4 C20MEN ........................................ 0 -cnt/LTimer<4> .............XXX...XXX......X........... 7 -cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 -cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 -cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 +cnt/LTimerTC ..........XXXXXXXXXXXXXXX...X........... 16 +cnt/LTimer<12> ..........XXXXX.XXXXXXXXX...X........... 15 +cnt/Timer<1> ..........XX.............XX.X........... 5 +cnt/Timer<2> ..........XX.............XXXX........... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 26/28 +Number of signals used by logic mapping into function block: 26 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/1 4 FB8_1 (b) (b) -RA<11> 1 1<- \/5 0 FB8_2 63 I/O O -iobs/Load1 14 9<- 0 0 FB8_3 (b) (b) -iobs/IOReady 4 3<- /\4 0 FB8_4 (b) (b) -nRAS 2 0 /\3 0 FB8_5 64 I/O O -nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O -iobs/PS_FSM_FFd2 12 7<- 0 0 FB8_7 (b) (b) -nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O -ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b) -IOL0 3 0 /\2 0 FB8_10 (b) (b) -ram/RS_FSM_FFd1 3 0 0 2 FB8_11 68 I/O (b) -nBERR_FSB 4 0 0 1 FB8_12 70 I/O O -iobs/PS_FSM_FFd1 2 0 0 3 FB8_13 (b) (b) -ALE0S 1 0 0 4 FB8_14 71 I/O (b) +ram/RefUrg 1 0 /\2 2 FB8_1 (b) (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +ram/RefReq 1 0 0 4 FB8_3 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB8_4 (b) (b) +nRAS 1 0 0 4 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +nRESout 1 0 0 4 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +ram/RefDone 2 0 0 3 FB8_9 67 I/O (b) +iobs/IOU1 2 0 0 3 FB8_10 (b) (b) +iobs/IOL1 2 0 0 3 FB8_11 68 I/O (b) +nBERR_FSB 1 0 0 4 FB8_12 70 I/O O +cs/nOverlay 2 0 0 3 FB8_13 (b) (b) +ram/RS_FSM_FFd3 3 0 0 2 FB8_14 71 I/O (b) nBR_IOB 2 0 \/1 2 FB8_15 72 I/O O -nRESout 1 1<- \/5 0 FB8_16 (b) (b) -iobs/Once 15 10<- 0 0 FB8_17 73 I/O (b) -(unused) 0 0 /\5 0 FB8_18 (b) (b) +ram/RS_FSM_FFd2 5 1<- \/1 0 FB8_16 (b) (b) +ram/RASEL 6 1<- 0 0 FB8_17 73 I/O (b) +ram/RAMEN 7 2<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 13: cnt/INITS_FSM_FFd2 25: nBERR_FSB - 2: A_FSB<14> 14: cnt/nIPL2r 26: nBR_IOB - 3: A_FSB<16> 15: cs/nOverlay 27: nLDS_FSB - 4: A_FSB<17> 16: fsb/ASrf 28: nUDS_FSB - 5: A_FSB<18> 17: iobs/IOACTr 29: nWE_FSB - 6: A_FSB<19> 18: iobs/IOL1 30: ram/RAMEN - 7: A_FSB<20> 19: iobs/IOReady 31: ram/RS_FSM_FFd1 - 8: A_FSB<21> 20: iobs/Once 32: ram/RS_FSM_FFd2 - 9: A_FSB<22> 21: iobs/PS_FSM_FFd1 33: ram/RS_FSM_FFd3 - 10: A_FSB<23> 22: iobs/PS_FSM_FFd2 34: ram/RefRAS - 11: IOBERR 23: nADoutLE1 35: ram/RefUrg - 12: cnt/INITS_FSM_FFd1 24: nAS_FSB + 1: A_FSB<19> 10: iobs/Load1 19: ram/RS_FSM_FFd1 + 2: nRES.PIN 11: nAS_FSB 20: ram/RS_FSM_FFd2 + 3: cnt/INITS_FSM_FFd1 12: nBERR_IOB 21: ram/RS_FSM_FFd3 + 4: cnt/INITS_FSM_FFd2 13: nBR_IOB 22: ram/RefDone + 5: cnt/nIPL2r 14: nLDS_FSB 23: ram/RefReq + 6: cs/ODCSr 15: nUDS_FSB 24: ram/RefReqSync + 7: cs/nOverlay 16: nWE_FSB 25: ram/RefUrg + 8: fsb/ASrf 17: ram/BACTr 26: ram/RegUrgSync + 9: iobs/DTACKEN 18: ram/RAMEN Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> .....X.................................. 1 -iobs/Load1 XXXXXXXXXX....XX...XXXXX....X........... 18 -iobs/IOReady ..........X....XX.XX.XXX................ 8 -nRAS ........XX....X........X.....X...X...... 6 -nRAMLWE .......................X..X.XX.......... 4 -iobs/PS_FSM_FFd2 XXXXXXXXXX....XXX..XXXXX....X........... 19 -nRAMUWE .......................X...XXX.......... 4 -ram/RS_FSM_FFd3 ........XX....XX.......X.....XXXX.X..... 10 -IOL0 .................X..XXX...X............. 5 -ram/RS_FSM_FFd1 ........XX....XX.......X.....XXXX....... 9 -nBERR_FSB ..........X....XX..X.XXXX............... 8 -iobs/PS_FSM_FFd1 ................X...XX.................. 3 -ALE0S ....................XX.................. 2 -nBR_IOB ...........XXX...........X.............. 4 -nRESout ...........XX........................... 2 -iobs/Once XXXXXXXXXX....XX...XXXXX....X........... 18 +ram/RefUrg .....................X...X.............. 2 +RA<11> X....................................... 1 +ram/RefReq .....................X.X................ 2 +ram/RS_FSM_FFd1 ...................XX................... 2 +nRAS ..................XX.................... 2 +nRAMLWE ..........X..X.X.X...................... 4 +nRESout ..XX.................................... 2 +nRAMUWE ..........X...XX.X...................... 4 +ram/RefDone ..................XX.X.X................ 4 +iobs/IOU1 .........X....X......................... 2 +iobs/IOL1 .........X...X.......................... 2 +nBERR_FSB ........X..X............................ 2 +cs/nOverlay .X...XXX..X............................. 5 +ram/RS_FSM_FFd3 .......X..X.......XXX...X............... 6 +nBR_IOB ..XXX.......X........................... 4 +ram/RS_FSM_FFd2 .......X..X.....X.XXX.X.X............... 8 +ram/RASEL .......X..X.....X.XXX.X.X............... 8 +ram/RAMEN .......X..X.....XXXXX.X.X............... 9 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** + +$OpTx$$OpTx$FX_DC$48_INV$124 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay)); + FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); -FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,FCLK,'0','0'); -ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); C20MEN <= '1'; @@ -730,132 +707,49 @@ C25MEN <= '1'; - - - - - - - - - - - - - - - - - - FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); -IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/RESrf AND iobm/RESrr) - OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND - NOT iobm/IOREQr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/ETACK) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/BERRrf AND iobm/BERRrr)); - -FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,C16M,'0','0'); -IOBERR_T <= ((C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND - iobm/RESrr) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND - iobm/BERRrr) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND - iobm/RESrr) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2 AND IOBERR) - OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) - OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) - OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND - iobm/BERRrr)); +IOACT_D <= ((iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND + NOT iobm/ETACK AND NOT iobm/RESrf) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr) + OR (NOT C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND + NOT iobm/DTACKrf)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +IOL0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); -IOREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf)); +IOREQ_D <= ((iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); -FDCPE_IORW0: FDCPE port map (IORW0,IORW0_D,FCLK,'0','0'); -IORW0_D <= ((EXP11_.EXP) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT IORW0 AND - nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (NOT IORW0 AND iobs/PS_FSM_FFd2) - OR (NOT IORW0 AND iobs/PS_FSM_FFd1) - OR (iobs/Once AND NOT IORW0 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) - OR (NOT IORW0 AND nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,FCLK,'0','0'); +IORW0_T <= ((iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1) + OR (nWE_FSB AND IORW0 AND nADoutLE1) + OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1) + OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) + OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND nADoutLE1) + OR (iobs/Sent AND nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +IOU0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) @@ -890,12 +784,7 @@ RA(7) <= ((A_FSB(8) AND ram/RASEL) OR (A_FSB(17) AND NOT ram/RASEL)); -RA(8) <= ((A_FSB(23) AND A_FSB(18)) - OR (A_FSB(22) AND A_FSB(18)) - OR (A_FSB(18) AND NOT cs/nOverlay) - OR (A_FSB(18) AND NOT ram/RASEL) - OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RASEL)); +RA(8) <= A_FSB(18); RA(9) <= ((A_FSB(20) AND ram/RASEL) @@ -1032,87 +921,75 @@ cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,NOT nRES.PIN,'0'); -cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND NOT nAS_FSB) +FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); +cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT nAS_FSB) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND fsb/ASrf)); + fsb/ASrf)); + +FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); +cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) + OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND + NOT fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND NOT ram/RAMReady)); - -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); -fsb/Ready1r_D <= ((A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1)); +FTCPE_fsb/Ready1r: FTCPE port map (fsb/Ready1r,fsb/Ready1r_T,FCLK,'0','0'); +fsb/Ready1r_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND + NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT fsb/Ready1r AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT fsb/Ready1r AND fsb/ASrf) + OR (fsb/Ready1r AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND NOT IOACT) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND + iobs/IODTACKr) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT IOACT AND fsb/ASrf) + OR (iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/ASrf AND + iobs/IODTACKr)); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); -fsb/VPA_D <= ((EXP12_.EXP) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND - NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND - NOT nADoutLE1) +fsb/VPA_D <= ((A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND fsb/ASrf) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB) + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND NOT IOACT) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND NOT nAS_FSB) + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND + iobs/IODTACKr) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT nAS_FSB) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT nAS_FSB)); + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT AND fsb/ASrf) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND fsb/ASrf AND + iobs/IODTACKr) + OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND + NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND fsb/ASrf AND + NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND IOACT AND + NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (NOT fsb/Ready1r AND fsb/VPA AND IOACT AND fsb/ASrf AND + NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124) + OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND + A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB)); -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C16M,'0','0'); +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C8M,'0','0'); -FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,C16M,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C16M,'0','0'); - -FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,C16M,'0','0'); +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C8M,'0','0'); FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) @@ -1167,17 +1044,12 @@ FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); -FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,'0','0'); -iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/ETACK) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) - OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND - iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((NOT C8M AND iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND + NOT iobm/ETACK AND NOT iobm/RESrf)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) @@ -1186,136 +1058,74 @@ iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND iobm/IOREQr AND NOT nAoutOE)); -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C16M,'0','0'); +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C8M,'0','0'); -FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES.PIN,C16M,'0','0'); - -FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT C16M,'0','0'); - -FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,C16M,'0','0'); +FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C16M,'0','0'); FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); -iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); +iobs/Clear1_D <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); + +FDCPE_iobs/DTACKEN: FDCPE port map (iobs/DTACKEN,iobs/DTACKEN_D,FCLK,'0','0'); +iobs/DTACKEN_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/DTACKEN) + OR (NOT iobs/Sent AND NOT iobs/DTACKEN) + OR (NOT iobs/DTACKEN AND NOT iobs/IOACTr) + OR (NOT iobs/DTACKEN AND NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT iobs/DTACKEN)); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); +FDCPE_iobs/IODTACKr: FDCPE port map (iobs/IODTACKr,NOT nDTACK_IOB,FCLK,'0','0'); + FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (nDTACK_FSB_OBUF.EXP) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (nWE_FSB AND iobs/IORW1) - OR (NOT nWE_FSB AND NOT iobs/IORW1) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); - -FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); -iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1) - OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)); +iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -iobs/Load1_D <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) +iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND + iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/Sent: FDCPE port map (iobs/Sent,iobs/Sent_D,FCLK,'0','0'); +iobs/Sent_D <= ((A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) + OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) + OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT iobs/Sent AND cs/nOverlay) + OR (NOT iobs/Sent AND NOT nADoutLE1) OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay)); + OR (A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) + OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd2)); -FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,FCLK,'0','0'); -iobs/Once_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); +iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); -FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,'0','0'); -iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); - -FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,'0','0'); -iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND - NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); +iobs/TS_FSM_FFd2_D <= ((NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1336,14 +1146,8 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND NOT nAoutOE)); -FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); -nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + +nBERR_FSB <= NOT ((iobs/DTACKEN AND NOT nBERR_IOB)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND @@ -1354,11 +1158,22 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +nDTACK_FSB_D <= ((EXP10_.EXP) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) + OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) + OR (NOT A_FSB(22) AND nWE_FSB AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) + OR (NOT A_FSB(22) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND + nDTACK_FSB AND NOT nADoutLE1) + OR (NOT A_FSB(22) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND + NOT iobs/IODTACKr AND NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(23) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND + NOT iobs/IODTACKr) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND @@ -1366,25 +1181,26 @@ nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND iobs/IOReady) + A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND + NOT fsb/Ready1r AND nDTACK_FSB) OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1)); + nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) + OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND + nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) + OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND + nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) + OR (NOT A_FSB(22) AND nWE_FSB AND NOT fsb/Ready1r AND nDTACK_FSB AND + IOACT AND NOT iobs/IODTACKr)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); + OR (A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(20) AND nWE_FSB AND NOT nAS_FSB) + OR (NOT A_FSB(22) AND nWE_FSB AND cs/nOverlay AND NOT nAS_FSB))); nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); @@ -1401,15 +1217,13 @@ nLDS_IOB_OE <= NOT nAoutOE; nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); - -nRAS <= NOT (((ram/RefRAS) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RAMEN))); +FDCPE_nRAS: FDCPE port map (nRAS,nRAS_D,FCLK,'0','0'); +nRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); nRES_I <= '0'; @@ -1438,7 +1252,7 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND NOT iobm/ES(3) AND NOT iobm/ES(4)) OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPAr)); nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; nVMA_IOB_OE <= NOT nAoutOE; @@ -1449,102 +1263,46 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +ram/RAMEN_D <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/BACTr AND + ram/RAMEN) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND - ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND - NOT ram/RefReq) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); - -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - NOT ram/RAMEN)); + NOT ram/RefReq)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +ram/RASEL_D <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND + ram/RefReq AND fsb/ASrf) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); + NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND ram/RefUrg) + OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + ram/RefUrg AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND ram/RefReq)); FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); -ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND - fsb/ASrf)); +ram/RS_FSM_FFd1_T <= (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); -ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +ram/RS_FSM_FFd2_T <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RefUrg AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + NOT ram/RefUrg AND NOT fsb/ASrf)); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +ram/RS_FSM_FFd3_T <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RefUrg) @@ -1556,9 +1314,6 @@ ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND ram/RefReqSync)); -FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); -ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index 0cf58b7..fbb1e7b 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -5,13 +5,17 @@ ********** Mapped Logic ********** + +$OpTx$$OpTx$FX_DC$48_INV$124 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      cs/nOverlay)); + FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0');
     ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND
      NOT iobm/IOREQr)); -FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,FCLK,'0','0'); -
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); C20MEN <= '1'; @@ -25,129 +29,46 @@ C25MEN <= '1'; - - - - - - - - - - - - - - - - - - FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); -
     IOACT_D <= ((C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND -
      NOT iobm/IOREQr) -
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/ETACK) -
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/BERRrf AND iobm/BERRrr)); - -FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,C16M,'0','0'); -
     IOBERR_T <= ((C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/RESrf AND -
      iobm/RESrr) -
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/DTACKrf AND -
      iobm/DTACKrr) -
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/BERRrf AND -
      iobm/BERRrr) -
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/RESrf AND -
      iobm/RESrr) -
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND -
      NOT iobm/IOS_FSM_FFd2 AND IOBERR) -
      OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/ETACK) -
      OR (C8M AND NOT nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND NOT IOBERR AND iobm/ETACK) -
      OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/DTACKrf AND -
      iobm/DTACKrr) -
      OR (C8M AND nBERR_IOB AND iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2 AND IOBERR AND iobm/BERRrf AND -
      iobm/BERRrr)); +
     IOACT_D <= ((iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND +
      NOT iobm/ETACK AND NOT iobm/RESrf) +
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr) +
      OR (NOT C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND +
      NOT iobm/DTACKrf)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE);
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
      OR (iobs/IOL1 AND NOT nADoutLE1)); -
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
     IOL0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); -
     IOREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) -
      OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf)); +
     IOREQ_D <= ((iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) +
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); -FDCPE_IORW0: FDCPE port map (IORW0,IORW0_D,FCLK,'0','0'); -
     IORW0_D <= ((EXP11_.EXP) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      nADoutLE1) -
      OR (NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      NOT nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT IORW0 AND -
      nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (NOT IORW0 AND iobs/PS_FSM_FFd2) -
      OR (NOT IORW0 AND iobs/PS_FSM_FFd1) -
      OR (iobs/Once AND NOT IORW0 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) -
      OR (NOT IORW0 AND nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,FCLK,'0','0'); +
     IORW0_T <= ((iobs/TS_FSM_FFd2) +
      OR (iobs/TS_FSM_FFd1) +
      OR (nWE_FSB AND IORW0 AND nADoutLE1) +
      OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1) +
      OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) +
      OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      cs/nOverlay AND nADoutLE1) +
      OR (iobs/Sent AND nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)); FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE);
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
      OR (iobs/IOU1 AND NOT nADoutLE1)); -
     IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
     IOU0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) @@ -182,12 +103,7 @@ RA(7) <= ((A_FSB(8) AND ram/RASEL)
      OR (A_FSB(17) AND NOT ram/RASEL)); -RA(8) <= ((A_FSB(23) AND A_FSB(18)) -
      OR (A_FSB(22) AND A_FSB(18)) -
      OR (A_FSB(18) AND NOT cs/nOverlay) -
      OR (A_FSB(18) AND NOT ram/RASEL) -
      OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      ram/RASEL)); +RA(8) <= A_FSB(18); RA(9) <= ((A_FSB(20) AND ram/RASEL) @@ -324,87 +240,75 @@ FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/Ti FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,NOT nRES.PIN,'0'); -
     cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay AND NOT nAS_FSB) +FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); +
     cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT nAS_FSB)
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay AND fsb/ASrf)); +
      fsb/ASrf)); + +FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); +
     cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) +
      OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND +
      NOT fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); -
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT fsb/Ready0r AND NOT ram/RAMReady)); - -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); -
     fsb/Ready1r_D <= ((A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nADoutLE1)); +FTCPE_fsb/Ready1r: FTCPE port map (fsb/Ready1r,fsb/Ready1r_T,FCLK,'0','0'); +
     fsb/Ready1r_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND +
      NOT nAS_FSB AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND +
      fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      cs/nOverlay AND NOT fsb/Ready1r AND NOT nAS_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      cs/nOverlay AND NOT fsb/Ready1r AND fsb/ASrf) +
      OR (fsb/Ready1r AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND NOT IOACT) +
      OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND +
      iobs/IODTACKr) +
      OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT IOACT AND fsb/ASrf) +
      OR (iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/ASrf AND +
      iobs/IODTACKr)); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); -
     fsb/VPA_D <= ((EXP12_.EXP) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      NOT nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      NOT nADoutLE1) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND -
      NOT nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT nWE_FSB AND NOT iobs/IOReady AND fsb/ASrf AND -
      NOT nADoutLE1) +
     fsb/VPA_D <= ((A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND fsb/ASrf)
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB) +
      A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND NOT IOACT)
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND NOT nAS_FSB) +
      A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND +
      iobs/IODTACKr)
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND iobs/IOReady AND fsb/ASrf) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND NOT nAS_FSB) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND NOT nAS_FSB)); +
      A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT AND fsb/ASrf) +
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND fsb/ASrf AND +
      iobs/IODTACKr) +
      OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND +
      NOT $OpTx$$OpTx$FX_DC$48_INV$124) +
      OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND fsb/ASrf AND +
      NOT $OpTx$$OpTx$FX_DC$48_INV$124) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND IOACT AND +
      NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND IOACT AND fsb/ASrf AND +
      NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124) +
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB)); -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C16M,'0','0'); +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C8M,'0','0'); -FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,C16M,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C16M,'0','0'); - -FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,C16M,'0','0'); +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C8M,'0','0'); FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0');
     iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) @@ -459,17 +363,12 @@ FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1)
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); -FTCPE_iobm/IOS_FSM_FFd2: FTCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_T,C16M,'0','0'); -
     iobm/IOS_FSM_FFd2_T <= ((iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND -
      NOT iobm/IOS_FSM_FFd2) -
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/ETACK) -
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/BERRrf AND iobm/BERRrr) -
      OR (C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1 AND -
      iobm/IOS_FSM_FFd2 AND iobm/RESrf AND iobm/RESrr)); +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); +
     iobm/IOS_FSM_FFd2_D <= ((NOT C8M AND iobm/IOS_FSM_FFd2) +
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) +
      OR (iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND +
      NOT iobm/ETACK AND NOT iobm/RESrf)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0');
     iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) @@ -478,136 +377,74 @@ FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C
      OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND
      iobm/IOREQr AND NOT nAoutOE)); -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C16M,'0','0'); +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C8M,'0','0'); -FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES.PIN,C16M,'0','0'); - -FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT C16M,'0','0'); - -FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,C16M,'0','0'); +FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C16M,'0','0'); FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); -
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); +
     iobs/Clear1_D <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); + +FDCPE_iobs/DTACKEN: FDCPE port map (iobs/DTACKEN,iobs/DTACKEN_D,FCLK,'0','0'); +
     iobs/DTACKEN_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      cs/nOverlay AND NOT iobs/DTACKEN) +
      OR (NOT iobs/Sent AND NOT iobs/DTACKEN) +
      OR (NOT iobs/DTACKEN AND NOT iobs/IOACTr) +
      OR (NOT iobs/DTACKEN AND NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT iobs/DTACKEN)); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); +FDCPE_iobs/IODTACKr: FDCPE port map (iobs/IODTACKr,NOT nDTACK_IOB,FCLK,'0','0'); + FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -
     iobs/IORW1_T <= ((iobs/Once) -
      OR (NOT nADoutLE1) -
      OR (nDTACK_FSB_OBUF.EXP) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) -
      OR (nWE_FSB AND iobs/IORW1) -
      OR (NOT nWE_FSB AND NOT iobs/IORW1) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); - -FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,FCLK,'0','0'); -
     iobs/IOReady_T <= ((iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1) -
      OR (iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)); +
     iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -
     iobs/Load1_D <= ((iobs/Once) -
      OR (NOT nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22)) +
     iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/Sent: FDCPE port map (iobs/Sent,iobs/Sent_D,FCLK,'0','0'); +
     iobs/Sent_D <= ((A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) +
      OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) +
      OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT iobs/Sent AND cs/nOverlay) +
      OR (NOT iobs/Sent AND NOT nADoutLE1)
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay)); +
      OR (A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) +
      OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) +
      OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd2)); -FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,FCLK,'0','0'); -
     iobs/Once_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT iobs/Once AND cs/nOverlay AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) -
      OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); +
     iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) +
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); -FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,'0','0'); -
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) -
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); - -FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,'0','0'); -
     iobs/PS_FSM_FFd2_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Once AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Once AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Once AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); +
     iobs/TS_FSM_FFd2_D <= ((NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) +
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) +
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -628,14 +465,8 @@ FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0');
      OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND
      NOT nAoutOE)); -FTCPE_nBERR_FSB: FTCPE port map (nBERR_FSB,nBERR_FSB_T,FCLK,'0','0'); -
     nBERR_FSB_T <= ((nAS_FSB AND NOT nBERR_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND NOT nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT nAS_FSB AND nBERR_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) -
      OR (iobs/Once AND nBERR_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + +nBERR_FSB <= NOT ((iobs/DTACKEN AND NOT nBERR_IOB)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
     nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND @@ -646,11 +477,22 @@ FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -
     nDTACK_FSB_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
     nDTACK_FSB_D <= ((EXP10_.EXP) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND +
      NOT fsb/Ready1r AND nDTACK_FSB) +
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND +
      NOT fsb/Ready1r AND nDTACK_FSB) +
      OR (NOT A_FSB(22) AND nWE_FSB AND NOT iobs/DTACKEN AND +
      NOT fsb/Ready1r AND nDTACK_FSB) +
      OR (NOT A_FSB(22) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (NOT A_FSB(22) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND +
      NOT iobs/IODTACKr AND NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND
      nDTACK_FSB) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND +
      NOT iobs/IODTACKr)
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND @@ -658,25 +500,26 @@ FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0');
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND iobs/IOReady) +
      A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND +
      NOT fsb/Ready1r AND nDTACK_FSB)
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND nDTACK_FSB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT fsb/Ready1r AND NOT nWE_FSB AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1)); +
      nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) +
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND +
      nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) +
      OR (NOT A_FSB(22) AND nWE_FSB AND NOT fsb/Ready1r AND nDTACK_FSB AND +
      IOACT AND NOT iobs/IODTACKr)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
     nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); +
      OR (A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(20) AND nWE_FSB AND NOT nAS_FSB) +
      OR (NOT A_FSB(22) AND nWE_FSB AND cs/nOverlay AND NOT nAS_FSB))); nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); @@ -693,15 +536,13 @@ FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); - -nRAS <= NOT (((ram/RefRAS) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      ram/RAMEN))); +FDCPE_nRAS: FDCPE port map (nRAS,nRAS_D,FCLK,'0','0'); +
     nRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); nRES_I <= '0'; @@ -730,7 +571,7 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0');
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND
      NOT iobm/ES(3) AND NOT iobm/ES(4))
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPAr));
     nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z';
     nVMA_IOB_OE <= NOT nAoutOE; @@ -741,102 +582,46 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0');
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -
     ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) -
      OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
     ram/RAMEN_D <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
      ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/BACTr AND +
      ram/RAMEN)
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND -
      ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND -
      NOT ram/RefReq) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND ram/RAMEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); - -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -
     ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND NOT ram/RefUrg) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND -
      NOT ram/RAMEN)); +
      NOT ram/RefReq)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -
     ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND ram/BACTr) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND ram/BACTr) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
     ram/RASEL_D <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND +
      ram/RefReq AND fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND ram/RefUrg) +
      OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND +
      ram/RefUrg AND NOT fsb/ASrf) +
      OR (NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/BACTr AND ram/RefReq)); FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); -
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND -
      fsb/ASrf)); +
     ram/RS_FSM_FFd1_T <= (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3); FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); -
     ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) -
      OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
     ram/RS_FSM_FFd2_T <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
      ram/RefUrg AND NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
      ram/BACTr)
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
      NOT ram/RefReq)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +
      NOT ram/RefUrg AND NOT fsb/ASrf)); FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -
     ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
     ram/RS_FSM_FFd3_T <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3)
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
      ram/RS_FSM_FFd3 AND ram/RefUrg) @@ -848,9 +633,6 @@ FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      ram/RefReqSync)); -FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); -
     ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0');
     ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index 4e65e08..c3f3f66 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@